IEEE MTT-V054-I04A (2006-04A) [54, 4a ed.]

  • Commentary
  • FANTOMASPING

Table of contents :
010 - 01618543......Page 1
020 - 01618544......Page 3
030 - [email protected] 4
040 - [email protected] 11
050 - [email protected] 18
060 - [email protected] 28
070 - [email protected] 34
080 - [email protected] 43
090 - [email protected] 53
100 - [email protected] 66
110 - [email protected] 73
120 - [email protected] 82
130 - [email protected] 91
140 - [email protected] 99
150 - [email protected] 108
160 - [email protected] 118
170 - [email protected] 128
180 - [email protected] 134
190 - [email protected] 141
200 - [email protected] 154
210 - [email protected] 161
220 - [email protected] 167
230 - [email protected] 173
240 - [email protected] 180
250 - [email protected] 187
260 - [email protected] 198
270 - [email protected] 206
280 - [email protected] 215
290 - [email protected] 222
300 - [email protected] 230
310 - [email protected] 237
320 - [email protected] 248
330 - [email protected] 257
340 - [email protected] 264
350 - [email protected] 272
360 - [email protected] 279
370 - [email protected] 285
380 - [email protected] 293
390 - [email protected] 301
400 - [email protected] 314
410 - [email protected] 322
420 - [email protected] 334
430 - [email protected] 335
440 - 01618586......Page 336
450 - 01618587......Page 337

Citation preview

APRIL 2006

VOLUME 54

NUMBER 4

IETMAB

(ISSN 0018-9480)

PART I OF TWO PARTS

PAPERS

Design and Cold Testing of a Radial Extraction Output Cavity for a Frequency-Doubling Gyroklystron ....... ......... .. .. ........ ......... ......... ....... K. Bharathan, W. Lawson, J. Anderson, E. S. Gouveia, B. P. Hogan, and I. Spassovsky Tissue Sensing Adaptive Radar for Breast Cancer Detection—Investigations of an Improved Skin-Sensing Method T. C. Williams, E. C. Fear, and D. T. Westwick Performance Analysis of Signal Vias Using Virtual Islands With Shorting Vias in Multilayer PCBs ... ......... ......... .. .. ........ ......... ......... ........ ......... ......... S. Nam, Y. Kim, Y. Kim, H. Jang, S. Hur, B. Song, J. Lee, and J. Jeong A Novel Toroidal Inductor Structure With Through-Hole Vias in Ground Plane ....... M. D. Phillips and R. K. Settaluri Novel Circuit Model for Three-Dimensional Geometries With Multilayer Dielectrics .. ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... .. J. Jayabalan, B.-L. Ooi, M.-S. Leong, and M. K. Iyer Augmented Hammerstein Predistorter for Linearization of Broad-Band Wireless Transmitters . ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... .... T. Liu, S. Boumaiza, and F. M. Ghannouchi A Full-Wave Numerical Approach for Modal Analysis of 1-D Periodic Microstrip Structures .. ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ...... P. Baccarelli, C. Di Nallo, S. Paulotto, and D. R. Jackson Close-in Phase-Noise Enhanced Voltage-Controlled Oscillator Employing Parasitic V-NPN Transistor in CMOS Process ......... ......... ........ ......... ..... ..... ........ ......... ......... ....... Y. Ku, I. Nam, S. Ha, K. Lee, and S. Cho A 10–35-GHz Six-Channel Microstrip Multiplexer for Wide-Band Communication Systems .... . S. Hong and K. Chang Comparisons Between Serpentine and Flat Spiral Delay Lines on Transient Reflection/Transmission Waveforms and Eye Diagrams ....... ......... ........ .......... ......... ........ ......... ...... W.-D. Guo, G.-H. Shiue, C.-M. Lin, and R.-B. Wu Analyses of Elliptical Coplanar Coupled Waveguides and Coplanar Coupled Waveguides With Finite Ground Width . .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... . M. Duyar, V. Akan, E. Yazgan, and M. Bayrak Power and Efficiency Enhancement of 3G Multicarrier Amplifiers Using Digital Signal Processing With Experimental Validation ...... ......... ........ ......... ......... ........ ... M. Helaoui, S. Boumaiza, A. Ghazel, and F. M. Ghannouchi Design of New Three-Line Balun and Its Implementation Using Multilayer Configuration ..... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ....... B. H. Lee, D. S. Park, S. S. Park, and M. C. Park A Digital Dispersive Matching Network for SAW Devices in Chirp Transform Spectrometers . ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... G. L. Villanueva, P. Hartogh, and L. M. Reindl Compensation Method for a Nonlinear Amplifier Using the Gain Expansion Phenomenon in a Doherty Amplifier ..... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ H. T. Jeong, I. S. Chang, and C. D. Kim

1301 1308 1315 1325 1331 1340 1350 1363 1370 1379 1388 1396 1405 1415 1425

(Contents Continued on Back Cover)

(Contents Continued from Front Cover) Measurement of Frequency-Dependent Equivalent Width of Substrate Integrated Waveguide .. ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... ..... C.-H. Tseng and T.-H. Chu Subwavelength-Resolution Microwave Tomography Using Wire Grid Models and Enhanced Regularization Techniques ..... ......... ........ ......... ......... ........ ......... ......... ...... B. Omrane, J.-J. Laurin, and Y. Goussard Left-Handed Electromagnetic Properties of Split-Ring Resonator and Wire Loaded Transmission Line in a Fin-Line Technology ..... ......... ........ ......... ...... T. Decoopman, A. Marteau, E. Lheurette, O. Vanbésien, and D. Lippens Wide-Band Predistortion Linearization for Externally Modulated Long-Haul Analog Fiber-Optic Links ....... ......... .. .. ........ ......... ......... ........ ......... ......... .... V. J. Urick, M. S. Rogge, P. F. Knapp, L. Swingen, and F. Bucholtz A 16-Term Error Model Based on Linear Equations of Voltage and Current Variables .. ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ...... K. Silvonen, N. H. Zhu, and Y. Liu Integrated Planar Spatial Power Combiner ........ ........ ......... ......... ........ ......... ......... ........ . L. Li and K. Wu Signal Model and Linearization for Nonlinear Chirps in FMCW Radar SAW-ID Tag Request .. ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ..... S. Scheiblhofer, S. Schuster, and A. Stelzer Iterative Image Reconstruction of Two-Dimensional Scatterers Illuminated by TE Waves ....... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ... D. Franceschini, M. Donell, G. Franceschini, and A. Massa 5.8-GHz Circularly Polarized Dual-Diode Rectenna and Rectenna Array for Microwave Power Transmission . ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... ......... . Y.-J. Ren and K. Chang Patch End-Launchers—A Family of Compact Colinear Coaxial-to-Rectangular Waveguide Transitions ........ ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ...... M. Simeoni, C. I. Coman, and I. E. Lager An LTCC Balanced-to-Unbalanced Extracted-Pole Bandpass Filter With Complex Load .... .. L. K. Yeung and K.-L. Wu Study and Suppression of Ripples in Passbands of Series/Parallel Loaded EBG Filters . ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ....... C. Gao, Z. N. Chen, Y. Y. Wang, N. Yang, and X. M. Qing Design and Experimental Verification of Backward-Wave Propagation in Periodic Waveguide Structures ...... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... . J. Carbonell, L. J. Roglá, V. E. Boria, and D. Lippens Two-Resonator Method for Measurement of Dielectric Anisotropy in Multilayer Samples ...... ........ ..... P. I. Dankov The Effects of Component Distribution on Microwave Filters ......... ........ ......... ....... C.-M. Tsai and H.-M. Lee A Noise Optimization Formulation for CMOS Low-Noise Amplifiers With On-Chip Low- Inductors ........ ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... .. K.-J. Sun, Z.-M. Tsai, K.-Y. Lin, and H. Wang Design and Fabrication of Multiband p-i-n Diode Switches With Ladder Circuits ....... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ....... S. Tanaka, S. Horiuchi, T. Kimura, and Y. Atsumi -Band Dual-Path Dual-Polarized Antenna System for Satellite Digital Audio Radio Service (SDARS) Application .. .. .. ........ ......... ......... ........ ... Y.-P. Hong, J.-M. Kim, S.-C. Jeong, D.-H. Kim, M.-H. Choi, Y. Lee, and J.-G. Yook A Comparative Test of Brillouin Amplification and Erbium-Doped Fiber Amplification for the Generation of Millimeter Waves With Low Phase Noise Properties ...... ........ ......... ......... ........ ......... ......... ........ ......... ......... .. .. ........ ......... ...... M. Junker, M. J. Ammann, A. T. Schwarzbacher, J. Klinger, K.-U. Lauterbach, and T. Schneider Composite Right/Left-Handed Transmission Line Metamaterial Phase Shifters (MPS) in MMIC Technology . ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... .... J. Perruisseau-Carrier and A. K. Skrivervik A New Feedback Method for Power Amplifier With Unilateralization and Improved Output Return Loss ...... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... .... Z.-M. Tsai, K.-J. Sun, G. D. Vendelin, and H. Wang Sensitivity Analysis of Scattering Parameters With Electromagnetic Time-Domain Simulators . ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... .... N. K. Nikolova, Y. Li, Y. Li, and M. H. Bakr Self-Consistent Coupled Carrier Transport Full-Wave EM Analysis of Semiconductor Traveling-Wave Devices ....... .. .. ........ ......... ......... ........ ......... ..... F. Bertazzi, F. Cappelluti, S. Donati Guerrieri, F. Bonani, and G. Ghione Periodic FDTD Analysis of Leaky-Wave Structures and Applications to the Analysis of Negative-Refractive-Index Leaky-Wave Antennas . ........ ......... ........ .. ........ ......... ..... T. Kokkinos, C. D. Sarris, and G. E. Eleftheriades

1431 1438 1451 1458 1464 1470 1477 1484 1495 1503 1512 1519 1527 1534 1545 1554 1561 1569 1576 1582 1590 1598 1611 1619

LETTERS

Corrections to “Complex-Permittivity Measurement on High- Materials via Combined Numerical Approaches” .... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ... X. C. Fan, X. M. Chen, and X. Q. Liu Corrections to “Error Correction for Diffraction and Multiple Scattering in Free-Space Microwave Measurement of Materials” ...... ......... ........ ......... ......... ........ ...... .... ......... ........ ......... ......... ........ ...... K. M. Hock

1631

Information for Authors .. ........ ......... ......... ........ ......... .......... ........ ......... ......... ........ ......... ......... .

1632

1631

IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society upon payment of the annual Society membership fee of $14.00, plus an annual subscription fee of $16.00 per year for electronic media only or $32.00 per year for electronic and print media. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only. ADMINISTRATIVE COMMITTEE K. VARIAN, President M. P. DELISIO D. HARVEY S. M. EL-GHAZALY J. HAUSNER M. HARRIS K. ITOH

J. S. KENNEY, L. KATEHI S. KAWASAKI J. S. KENNEY

President Elect N. KOLIAS T. LEE J. LIN

W. H. CANTRELL, Secretary D. LOVELACE V. J. NAIR J. MODELSKI B. PERLMAN A. MORTAZAWI D. RUTLEDGE

Honorary Life Members T. ITOH A. A. OLINER

T. S. SAAD P. STAECKER

W. SHIROMA R. SNYDER R. SORRENTINO

N. KOLIAS, Treasurer K. VARIAN K. WU R. WEIGEL R. YORK S. WETENKAMP

Distinguished Lecturers

K. TOMIYASU L. YOUNG

L. E. DAVIS W. GWAREK W. HEINRICH

W. HOEFER T. ITOH B. KIM

J. LASKAR J. C. RAUTIO D. RYTTING

Past Presidents M. SHUR P. SIEGEL R. J. TREW

K. C. GUPTA (2005) R. J. TREW (2004) F. SCHINDLER (2003)

MTT-S Chapter Chairs Albuquerque: S. BIGELOW Atlanta: D. LEATHERWOOD Austria: R. WEIGEL Baltimore: D. KREMER Beijing: Z. FENG Beijing, Nanjing: W. X. ZHANG Belarus: A. GUSINSKY Benelux: D. V.-JANVIER Brasilia: A. KLAUTAU, JR. Buenaventura: L. HAYS Buffalo: E. M. BALSER Bulgaria: K. ASPARUHOVA Cedar Rapids/Central Iowa: D. JOHNSON Central New England: F. SULLIVAN Central & South Italy: R. TIBERIO Central No. Carolina: T. IVANOV Chicago: R. KOLLMAN Cleveland: G. PONCHAK Columbus: F. TEIXEIRA Connecticut: C. BLAIR/R. ZEITLER Croatia: Z. SIPUS Czech/Slovakia: P. HAZDRA Dallas: R. D. BALUSEK Dayton: A. TERZOULI, JR. Denver: K. BOIS Eastern No. Carolina: D. PALMER Egypt: I. A. SALEM Finland: T. KARTTAAVI Florida West Coast: S. O’CONNOR

Foothill: C. ANTONIAK France: O. PICON Germany: W. HEINRICH Greece: I. XANTHAKIS Hong Kong: W. Y. TAM Houston: J. T. WILLIAMS Houston, College Station: K.. MICHALSKI Hungary: T. BERCELI Huntsville: H. G. SCHANTZ India/Calcutta: P. K. SAHA India Council: K. S. CHARI Israel: S. AUSTER Japan Council: Y. TAKAYAMA Kitchener-Waterloo: R. R. MANSOUR Lithuania: V. URBANAVICIUS Long Island/New York: J. COLOTTI Los Angeles, Coastal: A. SHARMA Los Angeles, Metro: J. WEILER Malaysia: Z. AWANG Melbourne: R. BOTSFORD Milwaukee: S. G. JOSHI Mohawk Valley: P. RATAZZI Monterrey Mexico: R. M. RODRIGUEZ-DAGNINO Montreal: K. WU New Hampshire: T. PERKINS New Jersey Coast: E. HU New South Wales: G. TOWN New Zealand: J. MAZIERSKA North Italy: G. GHIONE

North Jersey: K. DIXIT North Queensland: A. TSAKISSIRIS Northern Nevada: B. S. RAWAT Norway: Y. THODESEN Orange County: H. J. DE LOS SANTOS Oregon: T. RUTTAN Orlando: T. WU Ottawa: J. E. ROY Philadelphia: J. B. MCCORMACK Phoenix: C. WEITZEL Poloand: M. P. MROZOWSKI Portugal: C. A. CARDOSO FERNANDES Princeton/Central Jersey: W. CURTICE/A. KATZ Queensland: A. ROBINSON Rio de Janeiro: F. J. V. HASSELMANN Rochester: S. M. CICCARELLLI/ J. VENKATARAMAN Romania: I. SIMA Russia, Nizhny-Novgorad: Y. BELOV Russia, Saint Petersburg: M. SITNIKOVA Russia, Moscow: V. KALOSHIN Russia, Saratov-Penza: N. RYSKIN Saint Louis: D. MACKE San Diego: J. TWOMEY Santa Clara Valley/San Francisco: S.. KUMAR Seattle: K. POULSON Seoul Coucil: H.-Y. LEE Siberia, Novosibirsk: V. SHUBALOV Siberia, Tomsk: O. STUKACH

Editor-In-Chief MICHAEL STEER North Carolina State Univ. Raleigh, NC 27695-7911 USA Phone: +1 919 515 5191 Fax: +1 919 513 1979 email: [email protected]

Singapore: O. B. LEONG South Africa: P. W. VAN DER WALT South Australia: H. HANSON South Brazil: L. C. KRETLEY Southeastern Michigan: L. M. ANNEBERG Southern Alberta: E. C. FEAR Spain: L. DE HARO Springfield: S. C. REISING Sweden: A.. RYDBERG Switzerland: J. HESSELBARTH Syracuse: E. ARVAS Taipei: C.-S. LU Thailand: M. KRAIRIKSH Toronto: G. V. ELEFTHERIADES Tucson: N. BURGESS/S. MORALES Turkey: O. A. CIVI Twin Cities: M. J. GAWRONSKI Ukraine West: M. I. ANDRIYCHUK UK/RI: A. REZAZADEH Ukraine, Central Kiev: Y. POPLAVKO Ukraine, East: E. I. VELIEV Ukraine, Rep. of Georgia: R. ZARIDZE Ukraine, Vinnitsya: V. DUBOVOY Venezuela: M. PETRIZZELLI Victoria: A. MITCHELL Virginia Mountain: D. MILLER Washington DC/Northern Virginia: J. QIU Winnipeg: S. NOGHANIAN Yugoslavia: B. MILOVANOVIC

Associate Editors

ANDREAS CANGELLARIS RUEY-BEEI WU ZOYA POPOVIC Univ. of Illinois, Urbana Champaign Univ. of Colorado, Boulder National Taiwan Univ. USA USA Taiwan, R.O.C. email:[email protected] email: [email protected] email: [email protected] AMIR MORTAZAWI DYLAN F. WILLIAMS ALESSANDRO CIDRONALI Univ. of Michigan, Ann Arbor NIST Univ. of Florence USA USA Italy email: [email protected] email: [email protected] email: [email protected] YOSHIO NIKAWA KENJI ITOH STEVEN MARSH Mitsubishi Electronics Midas Consulting Kokushikan Univ. Japan U.K. Japan email: [email protected] email: [email protected] email: [email protected] DAVID LINTON TADEUSZ WYSOCKI JOSÉ PEDRO Queen’s Univ. Belfast Univ. of Wollongong Univ. of Aveiro Northern Ireland Australia Portugal email: [email protected] email: [email protected] email: jcp.mtted.av.it.pt M. GOLIO, Editor, IEEE Microwave Magazine G. PONCHAK, Editor, IEEE Microwave and Wireless Component Letters

MANH ANH DO Nanyang Technological Univ. Singapore email: [email protected] VITTORIO RIZZOLI Univ. of Bologna Italy email: [email protected] SANJAY RAMAN Virginia Polytech. Inst. and State Univ. USA email: [email protected] ALEXANDER YAKOVLEV Univ. of Mississippi USA email: [email protected] T. LEE, Web Master

IEEE Officers MICHAEL R. LIGHTNER, President and CEO SAIFUR RAHMAN, Vice President, Publication Services and Products LEAH H. JAMIESON, President-Elect PEDRO RAY, Vice President, Regional Activities J. ROBERTO DE MARCA, Secretary DONALD N. HEIRMAN, President, IEEE Standards Association JOSEPH V. LILLIE, Treasurer CELIA L. DESMOND, Vice President, Technical Activities W. CLEON ANDERSON, Past President RALPH W. WYNDRUM, JR., President, IEEE-USA MOSHE KAM, Vice President, Educational Activities STUART A. LONG, Director, Division IV—Electromagnetics and Radiation

IEEE Executive Staff JEFFRY W. RAYNES, CAE, Executive Director & Chief Operating Officer DONALD CURTIS, Human Resources ANTHONY DURNIAK, Publications Activities JUDITH GORMAN, Standards Activities CECELIA JANKOWSKI, Regional Activities BARBARA COBURN STOLER, Educational Activities

MATTHEW LOEB, Corporate Strategy & Communications RICHARD D. SCHWARTZ, Business Administration CHRIS BRANTLEY, IEEE-USA MARY WARD-CALLAN, Technical Activities SALLY A. WASELIK, Information Technology

IEEE Periodicals Transactions/Journals Department Staff Director: FRAN ZAPPULLA Editorial Director: DAWN MELLEY Production Director: ROBERT SMREK Managing Editor: MONA MITTRA Senior Editor: CHRISTINA M. REZES IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $77.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Available in microfiche and microfilm. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee indicated in the code at the bottom of the first page is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. Copyright © 2006 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. GST Registration No. 125634188.

Digital Object Identifier 10.1109/TMTT.2006.874636

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

1301

Design and Cold Testing of a Radial Extraction Output Cavity for a Frequency-Doubling Gyroklystron Karthik Bharathan, Student Member, IEEE, Wes Lawson, Senior Member, IEEE, James Anderson, Emmanuel Steven Gouveia, Bart P. Hogan, and Ivan Spassovsky

Abstract—Research in the University of Maryland at College Park’s Gyroklystron (GKL) Project has recently centered around the development of a high-power high-gain frequency-doubling 17.136-GHz system. The current tube is a four-cavity (input, buncher, penultimate, and output) coaxial frequency-doubling system that will be used to drive a linear accelerator structure. This paper presents the design, simulation, optimization, cold test methodology, and performance data of a proposed radial extraction output cavity in which the microwave energy is extracted through an inner coaxial conductor in the TE01 circular mode. The positioning of dielectrics in the drift spaces and the effect of axial and radial misalignments between the inner and outer walls of the cavity were studied in depth. One advantage of this topology is that it reduces the size and complexity of the output waveguide chain otherwise needed to convert the TE02 circular mode from the GKL into the standard rectangular waveguide mode for injection into the Haimson Research Corporation accelerator structure. Cold test results show that this new cavity, which has of 458 and a resonant frequency of 17.112 GHz, is a viable a replacement for the output cavity currently in the system, as long as the cavity is well aligned.

Fig. 1. University of Maryland at College Park’s GKL test facility layout. Amplified microwaves in TE circular mode exit from large uptaper on the right.

Index Terms—Accelerator drivers, gyroklystron (GKL), microwave coupling scheme.

I. INTRODUCTION IGNIFICANT milestones recently achieved in the University of Maryland at College Park’s Gyroklystron (GKL) Project include a three-cavity first harmonic coaxial system, which produced over 75 MW of peak power at 8.57 GHz, and a three-cavity frequency-doubling system that produced 27 MW at 17.14 GHz [1], [2]. The latter served as a starting point for the design of a four-cavity frequency-doubling system [3] that was expected to have a large-signal gain of above 50 dB. This was achieved in part by the introduction of an additional gain cavity (penultimate cavity) before the output cavity. Fig. 1 shows a schematic of the test facility where the different GKL tubes are tested and characterized. The current focus of the experiment is

S

Manuscript received January 13, 2005; revised August 12, 2005. This work was supported by the Division of High-Energy Physics, Department of Energy under a grant. K. Bharathan is with Sprint, Chicago, IL 60657 USA. W. Lawson, E. S. Gouveia, and B. P. Hogan are with the Institute for Research in Electronics and Applied Physics, University of Maryland at College Park, College Park, MD 20742 USA (e-mail: [email protected]). J. Anderson is with the Massachusetts Institute of Technology Lincoln Laboratory, Lexington, MA 02420 USA. I. Spassovsky is with the Free-Electron Laser Laboratory, Italian National Agency for New Technologies, Energy, and the Environment, Research Centre, 00044 Frascati, Italy. Digital Object Identifier 10.1109/TMTT.2006.871351

Fig. 2. Power transport from University of Maryland at College Park’s GKL to HRC accelerator structure. The amplified output signal from the GKL feeds into the large downtaper on the right.

to condition the four-cavity system to act as a driver for the accelerator structure designed and delivered to the University of Maryland at College Park by the Haimson Research Corporation (HRC), Santa Clara, CA [4]. The HRC accelerator structure employs a compact power multiplier system based on a dual hybrid feed ( rectangular mode) bridge configuration, effectively generating high accelerating gradients [4]. To enable the experimental system to be a driver for the HRC accelerator structure, a power transport system that connects the output of the GKL ( circular mode) to the two rectangular WR-62 injection arms of the HRC structure was designed and fabricated (Fig. 2). The output signal from the large uptaper (which would ordinarily feed the anechoic chamber) is now diverted via a nonlinear downtaper to a ripple wall mode converter that converts the circular mode into the circular mode. It then passes through a pumping cross before entering a compact circular to rectangular mode converter (Tantawi converter) [5]. A bifurcation then divides the power equally between the two injection arms by converting the rectangular mode into a rectangular mode in each arm. - and -plane bends

0018-9480/$20.00 © 2006 IEEE

1302

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 3. (a) Schematic of a typical axial power extraction scheme where the separation of amplified microwaves and spent electron beam takes place in the beam dump beyond the output cavity. (b) Schematic of proposed radial power extraction output cavity in which the amplified microwaves couple from the cavity to the inner conductor.

are used to orient the drive signal for the HRC accelerator structure, and a phase shifter is provided for phase equalization of the signals in the dual feed arms. A common feature of various GKL amplifiers, differing in their operating frequency, number, and geometric profile of their cavities, cavity modes, and harmonic number is the axial microwave power extraction scheme [see Fig. 3(a)]. In this scheme, the amplified microwave signal and the spent electron beam travel together in the output waveguide, where a beam dump dissipates the remaining electron energy. In these schemes, the cross section of the output waveguide differs slightly from the cross section of the output cavity and undergoes a gradual variation over an axial distance of several wavelengths. In this region of the microwave circuit, the electron beam energy is as yet unexhausted with essentially the same beam cross section, and remains potentially well coupled to the operating mode or various spurious modes. Thus, reverse energy transfer from the microwaves to the electron beam, which significantly reduces the efficiency of the system, is possible. Zero-drive instability is a concern because without a drive signal to initiate bunching, the beam quality remains very high even after the output cavity. The large reduction in the output waveguide dimensions prior to injecting into the accelerator structure increases the likelihood of trapped modes in the tapered sections. Reflections may occur in the output waveguide or at the window when downtapering pushes propagating modes below cutoff. A long nonlinear tapered waveguide section could act as a cavity or as a backward wave oscillator with a low start oscillation condition. Thus, a power extraction scheme in which

the downtapering of the output waveguide is minimized will have improved zero-drive stability. The axial extraction scheme also necessitates a ripple wall mode converter section downstream to convert the coaxial mode from the GKL into the circular mode, which is the desired output mode for accelerator applications that require pulse compression (Fig. 2). Such a design would involve a tradeoff between bandwidth and mode purity, in addition to compensating for any spurious modes generated in the chain [6]. Thus, an improved extraction scheme would provide for a method to separate the microwaves from the spent beam rapidly, while simultaneously ensuring that the signal is extracted from the output cavity in a mode that minimizes the need to significantly reduce the output waveguide dimensions and enable the system to be zero drive stable. As shown in Fig. 3(b), the radial extraction output cavity is defined by changes in the radii of both the inner and outer walls [7]. Strong axial magnetic fields at the inner and outer conducting walls are a characteristic of the coaxial mode that is excited in the output cavity. This mode is magnetically coupled to the coaxial mode in the inner coax guide through four coupling slots symmetrically distributed about its azimuth. The -field near the slots should be small since, ideally, this field goes to zero at the metal boundaries. The inner coaxial structure terminates with a variable short on the upstream side, which can be adjusted to reinforce the signal propagating downstream. A conical coaxial to cylindrical transition on the downstream end converts the coaxial mode generated in the inner coaxial region into the circular mode, which is transported through the output waveguide system. The upstream and downstream boundaries of the main cavity region are occupied by drift regions that are cut off to the mode. The physical dimensions of this new cavity need to be comparable to that of the current one to ensure that the tube can be housed in its original assembly (vacuum jacket and related hardware) without a major overhaul of the circuit. Additionally, the new cavity would have essentially the same gain and efficiency as the existing output cavity. The volume of the inner coax guide is comparable to that of the Tantawi converter, thus avoiding the need for a long problematic downtaper scheme. It would also obviate the need for the ripple wall mode converter section, and should insure the zero-drive capability of the system. II. COMPUTER MODELING The principal dimensions of the current axial extraction output cavity obtained with GYCOAX and MAGYKL [8] served as the starting point for the radial extraction cavity design. A three-dimensional (3-D) model of the cavity is generated using Ansoft’s High Frequency Structure Simulator (HFSS) [14]. The model includes a WR-62 injection arm for exciting the coaxial mode in the cavity for cold test purposes only. By taking advantage of cylindrical symmetry, a 90 slice of the HFSS model is constructed (Fig. 4), which requires the simulation of only one injection/probing port with the symmetry cuts defined as perfect electric boundaries. The variable short, however, makes the system asymmetric in the -direction, necessitating a simulation of its complete axial

BHARATHAN et al.: DESIGN AND COLD TESTING OF RADIAL EXTRACTION OUTPUT CAVITY FOR FREQUENCY-DOUBLING GKL

1303

Fig. 4. Quartered 3-D sectional view of HFSS output cavity model.

length. HFSS evaluates the cold test performance of the cavity by simulating the injection of a signal at the drive frequency through the WR-62 waveguide. The design was optimized by the variation of several parameters, the primary ones being the angular width and axial length of the coupling slots, the number of slots, the axial position of the variable short, and the thickness of the inner wall of the output cavity. The coupling slots were the most sensitive and difficult element to design, as they greatly influence the resonant frequency and quality factor of the cavity. The is particularly sensitive to fluctuations in slot length, varying by a factor of ten for a slot length change of roughly 0.5 cm. However, the cavity characteristics are not as sensitive to the azimuthal positioning of the slots, as compared to their axial length sensitivity [15]. Another constraint was to make the metal conducting gaps between the slots as large as necessary to ensure mechanical strength of the system. The final optimized design called for four slots each having an angular width of 81.5 with an axial length of 5.08 mm. As seen in Fig. 5(a), the double radial variation field pattern indicative of the coaxial mode is excited between the inner conductor and outer wall. This, in turn, magnetically couples through the slots to the coaxial mode in the inner conductor. The metal conducting gaps show up in white along the circumference of the inner conductor. Fig. 5(b) is a longitudinal slice of the cavity, which in addition to illustrating the electric field coupling, also shows how the conical taper converts the coaxial mode in the inner conductor into the circular mode further downstream. Fig. 6(a) shows the theoretical transmission curve for the cavity, exhibiting a resonant frequency of 17.08 GHz and a of 354. Practically, the cold and hot test prototypes of this cavity would be realized using discrete sections for the inner conductor, outer wall, and the insets. The eccentricities of the individual cylindrical sections, as well as any relative shift or slop could have an unpredictable effect on the cavity performance. To provide clear guidelines on the limits of design

Fig. 5. HFSS simulated electric field patterns for perfectly aligned output cavity. (a) z = 0 cut showing the azimuthal distribution of electric fields. Note lack of azimuthal variation indicating that it is a circular electric (TE ) mode. (b) Longitudinal slice showing power transport in cavity structure. The drift regions are designed to be cut off to the cavity mode, which is confirmed by the minimal penetration of cavity fields in the drift regions.

tolerances that can be introduced in the fabrication process without adversely affecting cavity performance, two cases, which could occur independently or in unison, were investigated, namely: 1) a radial offset between the inner conductor and the inserts, resulting in them having dissimilar axes of symmetry and 2) an axial offset of the inner conductor with respect to the inserts, resulting in a misalignment of the depressions on the inner and outer radial walls that constitute the cavity. To accommodate a radial offset of the inner conductor relative to the outer housing, the model is mirrored into a half section. The inner conductor is then shifted in steps of, say, 0.05 mm (2 mil) along either the - or -axis, with no alteration in the positioning. Fig. 7(a) shows the electric field distribution across the cavity center, while Fig. 7(b) indicates the power transport through the structure for a radial offset of 2 mil, which would be the limiting radial tolerance considering the distortion observed in the cavity modes. This distortion manifests itself in an transmission curve with two distinct resonant frequencies [see Fig. 6(b)] staggered in frequency by 75 MHz. A Fourier analysis involving two electric fields (whose variation as a function of time was represented by an amplitude factor, an exponentially decaying component dependent on the resonant frequency, quality factor, and a relative phase-shift term) yielded a curve of a similar nature, which led us to believe that there were two distinct competing modes in the misaligned cavity.

1304

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 7. HFSS simulated electric field patterns for a radial offset of 2 mil. (a) z = cavity mode though visible, lacks perfect azimuthal symmetry. (b) Longitudinal slice indicating how the distorted TE coaxial cavity mode couples imperfectly to the TE coaxial mode in the inner conductor.

0 slice shows that the TE

Fig. 6. Theoretical S transmission curves for the output cavity. (a) Perfectly aligned case, exhibiting a single resonance peak at 17.08 GHz. (b) 2-mil radial offset case, exhibiting a prominent peak at 17.08 GHz and a spurious peak 6 dB lower at 17.155 GHz. (c) 1-mil axial offset case, exhibiting two peaks of nearly equal amplitude, separated in frequency by 85 MHz.

To simulate the effect of an axial displacement between the inner coax and outer conductor, the quarter symmetry section is again used to cut down on computation time. Keeping the and positions of the inner conductor as per the base line model constant, it is then offset axially along . This results in a misalignment of the changes in the radii of the inner and outer conductors that define the output cavity. As seen in Fig. 6(c), the effect of an axial offset of even 0.025 mm (1 mil) is much more pronounced with the transmission curve exhibiting two humps of nearly equal amplitude, staggered in frequency by 85 MHz. HFSS simulated electric field patterns for this case

Fig. 8. Cold test bench setup of the radial extraction output cavity connected to the HP8757C scalar network analyzer.

are not shown, as the -field is severely distorted with the cavity modes barely discernable. III. COLD TEST RESULTS Fig. 8 illustrates the experimental setup where an aluminum prototype of the cavity was cold tested. The cavity is positioned at the center of a 150-mm-long cylindrical support structure. The WR-62 waveguide section that breaches through the housing is also visible, connected to a detector probe for the HP8757C scalar network analyzer. Cylindrical inserts, which

BHARATHAN et al.: DESIGN AND COLD TESTING OF RADIAL EXTRACTION OUTPUT CAVITY FOR FREQUENCY-DOUBLING GKL

serve as the cut off/drift regions fit snugly into this housing. Eccosorb BSRII/SS-6M, an elastomeric microwave absorber, was applied to the drift regions to minimize spurious modes and reflections from the walls of the inserts [10]–[12]. The inner coaxial conductor is rigidly supported between the micrometer-driven variable short on the upstream end and a depression in the faceplate on the downstream end. A Marié converter accepts the circular mode and converts it into the rectangular mode. To mitigate the effect of spurious modes that may be generated in the process, the converter is cascaded with a -mode filter [6]. The mode filter consists of a six-fin Fiberglass resistance card wedged symmetrically in the cylindrical housing. A pair of cross guide couplers are used to measure the incident and reflected powers in the setup. Preliminary tests showed a dual-hump structure indicative of some degree of misalignment in the cavity housing. The individual assemblies that constitute the cavity were found to have eccentricity ranges below 0.2 mil when measured on the coordinate measurement machine (CMM). This ruled out the possibility of a loss of circularity in the assemblies causing the mode competition predicted earlier. The upstream and downstream insets, however, were found to have a small amount of play when inserted in the cavity housing, and this was compensated for by inserting shim stock to center the inserts perfectly with respect to the inner conductor. The transmission curve of this corrected cavity is shown in Fig. 9(a), which closely approximates the HFSS predicted transmission curve of Fig. 6(a). The experimental resonant frequency of 17.112 GHz is 32 MHz higher than the theoretical estimate, while the measured of 458 exceeds the HFSS estimate by approximately 100. To verify the effect of a radial offset on the transmission curve, the inserts were shimmed with 2-mil stock to exaggerate the offset present. The corresponding experimental transmission curve, shown in Fig. 9(b), exhibits the familiar dual-hump feature with a prominent peak at 17.094 GHz and a secondary resonant peak 6 dB lower at 17.183 GHz. An axial offset of the inner conductor that will misalign the inner and outer cavity walls, can be mimicked by taking advantage of the compressibility of the O-ring seal on the downstream faceplate. As the inner conductor is rigidly supported between the faceplates, shim stock that would compress the inserts toward the O-ring seal was inserted to produce an offset of 1 mil. Fig. 9(c) illustrates the transmission curve in the axial offset case in which the dual resonant peaks of nearly equal amplitude, separated by 71 MHz, are visible. Fine tuning of the cavity and resonant frequency can be achieved by adjustment of the axial length of the coupling slots. The effective coupling slot length is progressively reduced from its maximum of 5.08 mm to approximately 50% of its original length using a strip of adhesive-backed copper tape to mask the slots. The resonant frequency and are then plotted as a function of the slot opening (Fig. 10). The same procedure is simulated in HFSS to obtain experimental trends in the resonant frequency and . In the corrected cavity, the cold test of 458 observed with the slots completely open is a bit off the predicted value of 354. More significantly, however, the experimental in the corrected cavity rises to approximately 1200 with the coupling slots closed 50%, which is in keeping with the theoretical estimate. This trend is a good indicator that the losses taking

1305

Fig. 9. Experimental S transmission curves. (a) Corrected cavity with radial and axial misalignments compensated for (b) cavity housing shimmed to mimic 2-mil radial offset and (c) cavity housing shimmed to mimic 1-mil axial offset.

place in the cavity are not a result of the BSRII/SS-6M absorber in the drift regions. The optimum placement is with the lossy material backed up approximately 1.35 cm away from the cavity lip in the upstream and downstream drift regions. Applying the lossy material all the way up to the cavity edge contributes to higher losses in the drift regions, which suppresses the well below the theoretical value predicted by HFSS. The experimental resonant frequency plot shows an upshift of around 30 MHz, as compared to the theoretical curve.

1306

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

the circular mode, and obviate the need for large reduction in the output waveguide dimensions or a ripple wall mode converter section. Thus, it would be ideally suited to inject directly into the compact circular to rectangular mode converter in the linear accelerator structure feed chain. REFERENCES [1] J. Cheng, X. Xu, W. Lawson, J. P. Calame, M. Castle, B. P. Hogan, V. L. Granatstein, G. S. Nusinovich, and M. Reiser, “Experimental studies of a high-power, -band, coaxial gyroklystron,” IEEE Trans. Plasma Sci., vol. 27, no. 8, pp. 1175–1187, Aug. 1999. [2] V. L. Granatstein and W. Lawson, “Gyro-amplifiers as candidate RF drivers for TeV linear colliders,” IEEE Trans. Plasma Sci., vol. 24, no. 6, pp. 648–664, Jun. 1996. [3] P. E. Latham, W. Lawson, and V. Irwin, “The design of a 100 MW, -band second harmonic gyroklystron experiment,” IEEE Trans. Plasma Sci., vol. 22, no. 10, pp. 804–817, Oct. 1994. [4] J. Haimson and B. Mecklenburg, “A linear accelerator power amplification system for high gradient structure research,” in Proc. AIP Adv. Accelerator Concepts, Jul. 1998, vol. 472, pp. 1003–1013. [5] I. Spassovsky, E. S. Gouveia, S. P. Tantawi, B. P. Hogan, W. Lawson, and V. L. Granatstein, “Design and cold-testing of a compact TE TE mode converter,” IEEE Trans. Plasma Sci., vol. 30, no. 6, pp. 787–793, Jun. 2002. [6] W. Lawson, M. Esteban, H. Raghunathan, B. Hogan, and K. Bharathan, “Ripple-wall mode converters for high power microwave applications,” IEEE Trans. Microw. Theory Tech., to be published. [7] J. P. Anderson, “The advanced-concept gyroklystron design,” M.S. thesis, Elect. Comput. Eng. Dept., Univ. Maryland at College Park, College Park, MD, 1997. [8] E. S. Gouveia, “Development of a four cavity second-harmonic gyroklystron as driver for a linear accelerator,” Ph.D. dissertation, Phys. Dept., Univ. Maryland at College Park, College Park, MD, 2004. [9] W. Lawson, “Theoretical evaluation of nonlinear tapers for a highpower gyrotron,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 11, pp. 1617–1622, Nov. 1990. [10] J. Calame and W. Lawson, “A modified method for producing carbon loaded vacuum compatible microwave absorbers from a porous ceramic,” IEEE Trans. Electron Devices, vol. 38, no. 6, pp. 1538–1543, Jun. 1991. [11] W. Lawson, J. Cheng, M. Castle, B. Hogan, V. L. Granatstein, M. Reiser, and G. P. Saraph, “High-power operation of a three-cavity -band coaxial gyroklystron,” Phys. Rev. Lett., vol. 81, pp. 3030–3033, Oct. 1998. [12] ECCOSORB-BSR Specification Sheet Emerson & Cuming Microwave Products, Randolph, MA, Rev. Rep., 2002. [13] Microwave Div., Elisra Electron. Syst. Ltd.. Bene Beraq, Israel, YEAR. [14] High Frequency Structure Simulator (HFSS). ver. 9.1, Ansoft, Pittsburgh, PA, 2004. [15] K. Bharathan, “Cold testing of a radial extraction output cavity for a frequency doubling gyroklystron,” M.S. thesis, Elect. Comput. Eng. Dept., Univ. Maryland at College Park, College Park, MD, 2004.

X

Ku

Fig. 10. Experimental and theoretical variation of resonant frequency and as a function of coupling slot length.

Q

IV. SUMMARY AND CONCLUSIONS

In this paper, we have characterized the design performance of the radial extraction output cavity and presented it as a viable solution to replace the axial extraction output cavity currently in the system. Finer points on the operation of the cavity, such as the positioning of dielectrics in the drift regions, are revealed in the cold testing. It also gives clear guidelines on the limits of design tolerances that can be introduced in the fabrication process without adversely affecting cavity performance. The electromagnetic parameters of the cavity (resonant frequency, , etc.) are acutely sensitive to any misalignment between the inner conductor and the inserts that constitute the cavity. A radial offset of 2 mil (or greater) between the inner and outer conductors produces a dual peak transmission curve, and a moderately distorted field structure of the expected coaxial mode. The difference between the amplitudes of the resonant peaks is, however, in excess of 5 dB, allowing for sufficient discrimination between the two. The effect of a 1-mil axial misalignment between the inner conductor and the inserts is more pronounced. It is characterized by two competing modes, a distorted field structure of the mode, and nearly equal amplitude of the two resonant peaks. The experimentally determined resonant frequency of the cavity compensated for radial and axial misalignments is 17.112 GHz, which is 32 MHz higher than the theoretical HFSS predicted frequency of 17.08 GHz. The corresponding cold test measured is 458, which is higher than the theoretical by approximately 100. The simulation procedure to extract cavity parameters from HFSS is inherently difficult and, hence, its predicted cavity performance should not be treated as an exact result. They serve as guidelines for the design of a cold test piece, which can subsequently be fine tuned to obtain exact cavity dimensions for a desired resonant frequency and . This cavity should enable the system to be zero drive stable, permit extraction of the amplified microwaves from the GKL in

0>

X

Karthik Bharathan (S’00) was born in Bombay, India. He received the B.E. degree in electronics and telecommunications from the University of Bombay, Bombay, India, in 2002, and the M.S. degree in electrical engineering from the University of Maryland at College Park, in 2004. His thesis concerned the cold testing of a radial extraction output cavity for a frequency-doubling GKL. From 2002 to 2004, he was a Research Assistant with the Institute for Plasma Research, University of Maryland at College Park. He is currently an Associate RF Engineer with Sprint, Chicago, IL.

BHARATHAN et al.: DESIGN AND COLD TESTING OF RADIAL EXTRACTION OUTPUT CAVITY FOR FREQUENCY-DOUBLING GKL

Wes Lawson (S’84–M’85–SM’97) received the B.S. degree in mathematics and B.S., M.S., and Ph.D. degrees in electrical engineering from the University of Maryland at College Park, in 1980, 1980, 1981, and 1985, respectively. His doctoral research concerned theoretical and experimental studies of microwave generation in various large-orbit gyrotron configurations. From 1978 to 1982, he was with the Electronic Systems Branch, Harry Diamond Laboratories. For the past 20 years, he has been with the Laboratory for Plasma Research, University of Maryland at College Park, where he is currently a Professor with the Department of Electrical Engineering. His principal interest lies in novel fast-wave microwave sources. His recent efforts have been directed toward high-power fast-wave and hybrid amplifiers and associated high-power microwave components. James Anderson received the B.S. degree from the University of Wisconsin–Madison, in 1995, the M.S. degree from the University of Maryland at College Park, in 1997, and the Ph.D. degree from the Massachusetts Institute of Technology (MIT), Cambridge, in 2005, all in electrical engineering. He performed experimental studies on high-power gyrotron oscillators with the Plasma Science and Fusion Center, MIT, during his doctoral studies. He is currently with the MIT Lincoln Laboratory, Lexington, MA, where he is involved with radar technology using high-power microwave devices. Emmanuel Steven Gouveia received the B.S. degree in physics from the University of Oklahoma, Norman, in 1993, and the M.S. and Ph.D. degrees in physics from the University of Maryland at College Park, in 1997 and 2004, respectively. From 1997 to 1999, he was involved with high-energy physics as a member of the D0 collaboration with the Fermi National Accelerator Laboratory. He is currently a Research Associate with the GKL program at the University of Maryland at College Park. Since 1999, he has been involved in high-power microwave research. His research interests include gyro-devices and novel accelerator concepts.

1307

Bart P. Hogan was born in Bethesda, MD. He received the B.S. degree in mechanical engineering from the University of Maryland at College Park, in 1986. He worked briefly in industry before joining the Institute for Plasma Research, University of Maryland at College Park. He is currently a Research Engineer with the Institute for Research in Electronics and Applied Physics on Advanced Accelerator Technologies, University of Maryland at College Park. His other areas of interest include energy-efficient biomechanical technologies and ultraefficient compact lighting technologies.

Ivan Spassovsky received the Ph.D. degree in physics from the University of Sofia, Sofia, Italy. His earlier research with the University of Sofia concentrated on the physics and applications of intense electron beams and high-power microwaves. From 1992 to 1993, and 1998, he was with the Plasma Physics Laboratory, Instituto Nacional de Pesquisas Espaciais (INPE), São Jose dos Campos SP, Brazil, where he was involved with the research and development of 35-GHz gyrotrons. In 1995, he held a two-year contract with the Laboratory for Quantum Optics, Korean Atomic Energy Institute (KAERI), Daejon, Korea, where he participated in the construction of microtron-driven far infrared free electron laser (FEL). In 1999, he joined the Institute for Plasma Research, University of Maryland at College Park, as a Visiting Researcher, where he remained until 2002, during which time he was involved with the experimental evaluation of a second harmonic GKL. He is currently a Researcher with the Free-Electron Laser Laboratory, ENEA Research Centre, Frascati, Italy. His major research interests focus on development of terahertz free-electron laser. He also participates in the SPARC project, which is a first stage of research and development activity toward X-ray FEL sources.

1308

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Tissue Sensing Adaptive Radar for Breast Cancer Detection—Investigations of an Improved Skin-Sensing Method Trevor C. Williams, Student Member, IEEE, Elise C. Fear, Member, IEEE, and David T. Westwick, Member, IEEE

Abstract—Active microwave breast imaging is being researched as a supplement to current breast imaging modalities. Ultra-wideband radar approaches involve analyzing reflections from the breast to identify the presence of tumors. Skin sensing, which involves estimating the location and thickness of the skin, is a key step in this process, as the reflections from the skin dominate the signal. Current methods employing a rudimentary peak detection process estimate the location of the breast with acceptable accuracy. However, estimates of skin thickness in the range of 1.0–2.0 mm have unacceptable error. A method using deconvolution to obtain the impulse response of a scattering object is investigated to improve the performance of the skin-sensing algorithm. The new method employs a calibration step using a perfect electric conductor. Application to simulated data shows success in reducing the error percentage in both breast skin location and thickness estimates by more than half. Index Terms—Breast cancer, deconvolution, microwave imaging, radar, tissue sensing.

I. INTRODUCTION -RAY mammography is the current gold-standard imaging method for breast cancer detection. The limitations of mammography have generated interest in development of a relatively inexpensive imaging modality that does not expose the patient to X-rays, creates three-dimensional images, and differentiates between malignant and benign tumors [1]. As outlined in [2] and [3], microwave imaging has the potential to provide these capabilities. Several approaches to microwave imaging of the breast are currently under investigation [2], [3]. One approach is active microwave imaging, which can be classified into two general categories: microwave tomography [4], and ultra-wideband (UWB) radar techniques (e.g., [5] and [6]). One UWB radar technique, tissue sensing adaptive radar (TSAR) [5], uses a monostatic radar setup. A single antenna is scanned around the region of interest. The recorded scattered signals are processed to enhance reflections from the breast tissue/tumor interface. The TSAR system requires two scans of each breast. The breast is suspended through a hole in the examination table. A

X

Manuscript received February 11, 2005; revised August 15, 2005. This work was supported in part by the Natural Sciences and Engineering Council of Canada, Alberta Ingenuity Fund, the Informatics Circle of Research Excellence, and by the Canadian Breast Cancer Foundation. The authors are with the Electrical and Computer Engineering, University of Calgary, Calgary, AB, Canada T2N 1N4 (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.871224

tank under the table contains the breast and the antenna, and is filled with an immersion medium for improved matching. A first scan determines the overall location of the breast volume relative to the tank utilizing the first reflection received at the antenna. A second scan done in a coronal fashion progressing from nipple to chest wall at a closer distance provides the data for the tumor detection algorithm. The TSAR algorithm has several distinct stages: skin sensing, skin subtraction, tumor detection, and image display. The reflection from the skin is on the order of 50 dB greater than reflections received from tissue interfaces inside the breast [5] and must be reduced to permit tumor detection. Therefore, skin sensing and reduction of the skin reflection are key components of the TSAR algorithm. The skin-sensing step involves estimating skin thickness and location relative to the antenna position. Previously, skin sensing has been performed using a peak-detection method [7]. This method analyzes the reflection from the skin to identify responses corresponding to skin interfaces. Tests on simulated data indicated that skin location was estimated with an error of 4.55% or less. However, error in thickness estimates may exceed 160% for a 1-mm skin layer. To put large skin thickness estimation errors into perspective, a 160% error in a 1.0-mm skin thickness in a 1.0-mm skin thickness corresponds to the maximum response of the tumor being translated incorrectly in distance by 1.62 mm. Translating the tumor response away from the correct location has effects on image focusing results. Reduction of the signal (tumor) to clutter ratio results from the tumor responses not constructively adding to the maximum possible value. This may lead to difficulties in detection of smaller tumors ( 4.0 mm). The goal of this study is to estimate practical skin thicknesses (1.0–2.5 mm [8]) with substantially less error. The goal is to translate the tumor response no more than 0.3 mm for skin thicknesses of 1.0 and 2.0 mm. This corresponds to error percentage limits of 30% and 15%, respectively. This would mark a large improvement over the current method, and motivates development of a new approach to skin sensing. In the literature, an approach to determining the electrical properties of the skin, as well as skin thickness has been reported [9]. Electrical properties were determined by applying an iterative algorithm to the backscatter computed from a skin layer. Thickness was estimated by performing a simulation of a half-space with the estimated skin properties. Subtracting the reflected signal from the backscatter data and applying peak detection provided an estimate of the skin thickness. We are interested in exploring deconvolution as a method to improve skin location and thickness estimates [10].

0018-9480/$20.00 © 2006 IEEE

WILLIAMS et al.: TSAR FOR BREAST CANCER DETECTION

Deconvolution is used to obtain an estimate of the impulse response of the system, and the estimates of the location and thickness are derived from the impulse response. This is expected to provide more accurate results when compared to the peak detection method. Deconvolution has been used to extract impulse responses from both reflection and transmission measurements at microwave frequencies, permitting estimation of material properties or thicknesses of layers (e.g., [11]–[13]). Extraction of the impulse response using deconvolution has also been used to demonstrate enhanced resolution when applied to measured scattering data (e.g., [14] and [15]). Several approaches to computing the deconvolution have been explored. For example, [16] formulated the problem of determining the impulse response as a total least squares problem. Singular value decomposition (SVD) was applied to the excitation signal, where relatively insignificant singular values were excluded before applying optimization to find an estimate of the inverse [16]. In [14], Rothwell and Sun also used SVD to determine the impulse response; however, they examined the frequency content of the singular vectors and eliminated vectors with content outside of the range of the excitation pulse. For practical systems, approaches to deconvolution have also incorporated a calibration step. In [17], Morgan and McDaniel used deconvolution to extract multiple impulse responses (MIRs) characterizing several influences on a signal, including the antenna. A calibration procedure that incorporated a scattering object with a known impulse response was also included. In [15], van Cappellen et al. used a calibration step to find the system impulse response without the scatterer inserted and deconvolved this response from the measured data to isolate the object under test, much like [17]. This paper explores the application of a deconvolution method that combines [14], [16], and [17] to reflection measurements. This study builds upon [10], which tested the deconvolution algorithm on simple simulated data. Results indicated that errors in skin thickness estimates were reduced by up to an order of magnitude, and use of higher frequency signals showed even more improvement. Although initial results were promising, the method was not practical to implement. This paper introduces the use of MIRs and a calibration technique to extract the response of the scatterer in a realizable system. The methods are tested on simulated data, and are limited to a frequency range that is used in preliminary experimental work with a prototype system [19]. Extension to higher frequencies is planned following experimental verification of the methods reported here. In this study, we limit our representation of the skin to a one-layer homogeneous structure. Although the skin is of a complex multilayered construction, this simple model is a good representation for this initial work. The general layers of the skin consist of an epidermis, a dermis, and a subcutaneous fat layer [18]. The epidermis of the breast is extremely thin, on the order of 0.075–0.15 mm [18], while the dermis layer varies from 0.7 to 2.5 mm [8]. Distinguishing the thickness of the epidermis from the dermis layer is not the goal of this research. The subcutaneous fat layer has been included in general adipose breast tissue. Establishing the overall thickness of the epidermis and dermis layers is the goal of this study. The deconvolution algorithm is outlined in Section II, followed by a description of the finite-difference time-domain

1309

(FDTD) simulations used to generate test data. An MIR technique that includes the effects of the antenna is outlined in Section IV, followed by simulations and results that test this method. Finally, in Section V, we establish a practical deconvolution method that can be used with a TSAR system prototype. Section VI concludes this paper. II. DECONVOLUTION ALGORITHM The received signal is the convolution of the input signal with an impulse response. The impulse response of the scattering object is expected to provide a waveform with sharper peaks; hence, displaying the tissue interfaces with more accuracy. The observed scattered signal describes the reflections from the imaging region and is defined as

(1) where the signals collected with and without a scatterer present are denoted by and , respectively. This representation assumes linearity by ignoring multiple scattering. For our purposes, the initial reflections from the interfaces between the immersion medium and skin and the skin and breast interior are of interest. These reflections dominate other reflections contained in the signal. The scattered signal may also be described using the system impulse response

(2) The goal of deconvolution is to obtain the impulse response of the system from the observed signals and . Here, we consider discretized signals with time steps. Now, and become vectors of length , denoted as , , and . To perform the convolution operation in matrix notation, must be organized as a lower triangular Toeplitz matrix, and will be denoted as . The convolution may now be written as

(3) and the impulse response obtained as

(4) Determining the inverse of has proven to be very difficult as it is ill conditioned [13]. An alternative approach involves finding a low rank estimate for the inverse. Rothwell and Sun developed a method for this estimate that is effective for transient radar data [13]. This procedure essentially performs two tasks that limit the noise when finding the approximate inverse: limiting singular values (similar to [14]) and examining spectral content of the singular vectors. First the SVD of is computed as follows:

(5)

1310

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 2. Block diagram of system model.

the antenna. Perfectly matched layers (parabolic profile, eight layers, 80-dB attenuation) constitute the absorbing boundary. IV. MIR METHOD

Fig. 1. Simulation environment for a dipole antenna and scatterer.

where and are orthogonal unitary matrices of , while is a diagonal matrix containing real nonnegative individual singular values . Next, singular values that are less than a certain percentage of the largest singular value are identified. The largest singular value corresponding to this threshold is labeled . The Fourier transforms of the column vectors of are computed and the spectral content compared to that of . Column vectors possessing significant components at frequencies greater than those contained in the observed signal are set to zero. is defined as with columns zeroed. An estimate of , denoted , is now found as

(6) is

where

The method tested with total-scattered simulations requires modification when an antenna is used. Here, the impulse response represents the influence of the antenna in addition to the scatterer response. The influence can be considered as antenna mismatch, antenna transmission, and antenna receiving characteristics. Using a method similar to Morgan and McDaniel [17], separate impulse responses are derived to characterize each stage. The system model considered here is shown in Fig. 2. A first approach utilizes an observation point in the computational domain to develop the MIR technique. In Section V, an approach that is practically realizable in a lab setting is described. As shown in Fig. 2, the signal is modeled with four impulse responses, with the impulse response isolating the characteristics of the scattering object. The response describes the antenna mismatch. The next impulse response describes the propagation of the UWB signal from the antenna to the observation point. The last impulse response describes the antenna receiving characteristics as the signal propagates from the observation point to the antenna feed. The time-domain equation representing Fig. 2 is

(9) (7) and

is

where the impulse responses are assumed to be error free. Signal data can be collected at the feed point of the antenna eliminating the need for an impulse response to characterize the antenna mismatch

(8)

III. FDTD SIMULATIONS To test the deconvolution algorithm, FDTD simulations are performed. The skin is represented with a planar sheet of material that has electrical properties similar to those of skin ( , S/m). As in [7], the skin is immersed in a lossless substance with dielectric properties similar to fat . The excitation signal considered is a differentiated Gaussian pulse with center frequency of 4 GHz and full-width half-maximum (FWHM) bandwidth of 6 GHz. The computational domain is shown in Fig. 1. The antenna is a resistively loaded dipole [7]. A uniform mesh for the computational domain contains a voxel size of 0.25 mm as dictated by the dimensions of

(10) Referring to Fig. 1, an observation point is placed in the simulation space at 1 cm from the antenna. Electric-field data are collected at the observation point with and without a scatterer present, defined as and , respectively. The scattered field at the observation point is defined as

(11) To acquire observation point

, we deconvolve

and the field at the

(12)

WILLIAMS et al.: TSAR FOR BREAST CANCER DETECTION

1311

layer thicknesses of 2.0 and 3.0 mm. However, the large reduction in thickness error for the 1.0- and 2.0-mm layers brings the results in line with the stated goal of 30% and 15% error, respectively. The reduction from 161% to 15% for a skin layer thickness of 1 mm further demonstrates the viability of this method. V. EXTENSION FOR PRACTICAL IMPLEMENTATION

Fig. 3. Series of plots showing the MIR progression. The signal x is deconvolved from o to find h .^ o is deconvolved from y to find . The shaded region of h shows the location and thickness h estimates of the skin. All signals have been normalized.

TABLE I RESULTS FOR THREE SKIN-LAYER THICKNESSES USING PEAK DETECTION AND DECONVOLUTION WITH AN OBSERVATION POINT

The MIR approach is adapted to a method that enables all signals to be measured, eliminating the need for the simulated observation point. Reflections from a perfect electric conductor (PEC) are used to estimate the system impulse response. This system impulse response is then used to extract the impulse response of the scatterer. Therefore, two simulations are performed. The first simulation is as shown in Fig. 2 with the scatterer located 2.5 cm from the antenna. The second places a PEC at a distance of 1.5 cm from the antenna. Previously, the antenna transmit and receive characteristics are described by impulse responses and , respectively. The goal here is to create an impulse response, defined as , that encompasses the transmit and receive characteristics of the antenna, as well as the nonideal behavior of the system. The simulation containing the PEC can be described as (14) A theoretical estimate of function. This estimate of ulation model now becomes

To determine , the relation between and the calibrated field at the observation point is used as follows:

(13) and results in an impulse response Deconvolution of that permits estimation of skin location and thickness relative to the observation point. As recovering the impulse response characterizing the scatterer is the goal, there is no need to determine . Fig. 3 demonstrates the deconvolution process. First, signals and are presented. Here, is obtained by deconvolving and . The plot shows the estimate of , denoted , obtained by convolving and . When compared with the original signal , the largest error in is less than 3%. Next, the impulse response of the scattering object is shown. This was obtained by deconvolving and . As verification, is then convolved with to give an estimate of the scattered field recorded at the observation point . When compared to the original signal , the largest error in is less than 1%. Finally, the impulse response is used to estimate skin location and thickness, as shown by the shaded region in Fig. 3. Table I compares estimates of skin parameters obtained with the peak detection and MIR method. Three different thicknesses of the planar layer are included. For the deconvolution method, it can be seen that the location error is slightly higher for skin-

is a time-delayed impulse is denoted by . The sim-

(15) where is a delayed version of and is known. An estimate of the impulse response of the system can now be determined through deconvolution and includes nonideal system behavior. For the simulation containing the scattering object, deconvolving the impulse response of the system and from provides an estimate of the impulse response of the scatterer (16) Fig. 4 illustrates the estimate of the impulse response of the system, as well as the estimate of the impulse response of the scattering object. The early-time content of [see Fig. 4(a)] consists of a positive peak followed by a negative peak, and performs the differentiation of the signal . The remainder of the signal includes the system influence and ringing from band-limiting the signal. For verification, the estimated and collected signals are compared for the PEC ( and ) and planar layer ( and ). The largest percentage error between the estimated and computed scatter for both cases is less than 0.1%. Table II shows location and thickness results obtained using the PEC MIR method. When compared with the peak detection method shown in Table I, the significant improvements in thickness estimation for the 2.0- and 1.0-mm layers are maintained. As healthy breast skin is between 1.0–2.5 mm [8], these results

1312

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

TABLE III EFFECT OF INCREASING NOISE ON MEASUREMENTS

Fig. 4. Series of plots showing the PEC MIR progression. All signals have ^ been normalized. (a) The signal (x 3 h ) is the excitation signal convolved with a theoretical impulse response from a PEC. h is found when (x 3 ) is deconvolved from y . (b) The response h is found when h^ (x 3 h ) is deconvolved from y . The shaded region of h shows the location and thickness estimations of the skin. TABLE II RESULTS USING THE DECONVOLUTION METHOD WITH A PEC

show a large practical improvement over the current peak detection method. As Table II shows, the percentage error begins to increase dramatically when the skin is thinner than 1.5 mm. Thickness values of 1.34 and 1.29 mm were obtained for the 1.0- and 0.5-mm layers respectively. The maximum frequencies used in creating the impulse response of the antenna are roughly 15 GHz, corresponding to a resolution of 1.50 mm. This value was found using the radar resolution equation

(17)

where is the resolution distance, is the speed of light in free space, is the signal bandwidth (1–15 GHz), and is the dielectric constant of the skin. Therefore, the minimum layer thickness expected to be detected using this dipole antenna with small measurement error is 1.50 mm. The minimum skin thickness we are currently interested in is 1.0 mm, which we can estimate with 34% accuracy. If the frequency range were extended to 16 GHz, the theoretical radar resolution for a skin layer is 1.30 mm, bringing the error in-line with the stated goals. We are currently investigating higher frequency options. With skin thickness estimate error of 34%, tumor response translation is 0.35 mm compared to the 1.62 mm of the peak detection method. The fluctuations in the error percentages from 3 to 1.5 mm in Table II are likely due to the ringing in the impulse response waveform slightly manipulating the true minimum. Two key factors are currently under investigation. The first is the necessity of using the estimate of , which has a nonzero value for one time-step. The distance to the PEC relative to the antenna does not correspond exactly to an integer value when distance is converted to time steps. The second is the use of in the calculation of the estimate for . Here, is an estimate itself of all system characteristics, except the scatterer. Applying the thresholding techniques in the SVD twice to a dataset, as opposed to only once with the MIR method, may eliminate information useful in the solution. Quantification of this increased error is under investigation. Next, the effect of noise on the PEC MIR method is examined. Uniformly distributed Gaussian white noise is added to the collected signals from the antenna feed of the 2.0-mm skin layer simulations. The noise is referenced to the peak-to-peak value of the total signal measured at the antenna feeds. Once the signal is calibrated, the noise, relative to the peak-to-peak skin reflection, translates to approximately 50 dB higher. For example, a 60-dB signal-to-noise (SNR) ratio at the antenna feed and . translates to 10-dB SNR measured on the signals Table III shows the effect of increasing the noise on the results of a 2.0-mm skin layer. Here, the maximum frequency considis selected to give minimum thickness error for a ered for 2.0-mm layer. Once a 60-dB SNR was attained, a thickness estimate could not be obtained. Note the location error does not change significantly with increasing levels of noise. The thickness estimates assume that the electrical properties of the object under test are known. To test the robustness of the method to variations between actual and estimated skin properties, thicknesses are estimated using a range of permittivity values. Here, we select – based on recent measurements [3]. For the 2.0-mm skin layer with permittivity of , the estimated time for a reflection to travel through the skin layer and back is 80 ps. Using this time estimate and

WILLIAMS et al.: TSAR FOR BREAST CANCER DETECTION

TABLE IV PEAK DETECTION AND PEC MIR METHOD RESULTS FOR A 6.8-cm DIAMETER CYLINDER WITH 2.0-mm SKIN THICKNESS

a relative permittivity of gives a thickness estimate of 2.7 mm. For , the thickness estimate is 1.9 mm. Finally, realistic skin shapes are not planar. The next step toward modeling an increasingly realistic breast shape is the use of a cylinder. The antenna is placed 1.1 cm from the cylinder, which has a diameter of 6.8 cm and skin thickness of 2 mm. A comparison of the peak detection method and the MIR PEC method is shown in Table IV. Only slight improvements are made in the location estimation, however, the error in thickness estimation is reduced from 38.1% to 15.0%.

VI. CONCLUSIONS An improved skin-sensing method to be incorporated into the TSAR active microwave breast imaging approach has been introduced. This method utilizes a MIR approach and deconvolution to estimate the impulse response of scattering objects. Skin location and thickness estimates show significant improvements when compared to the peak detection method. In particular, thickness estimates for 1.0- and 2.0-mm planar skin layers and for a 6.8-cm cylinder demonstrated large improvements, however, they did not meet the 30% error goal for 1.0-mm skin thickness. Preliminary investigation using a total scattered approach suggests that further improvements may be realized using higher frequencies. An accurate skin-sensing algorithm is important for the TSAR imaging method. For the initial scan of the breast to determine the volume location, a reduced location error enables a more accurate placement of the antenna for the second scan. For this second scan, reduced location and thickness errors improve skin subtraction and reduce clutter in image focusing. Testing of this algorithm in more realistic environments is needed in the future. This will include testing the method on models containing dispersive skin and fat materials, differing immersion media, and on nonhomogeneous models.

1313

[5] E. C. Fear and J. M. Sill, “Preliminary investigations of tissue sensing adaptive radar for breast tumor detection,” in IEEE Proc. Eng. Med. Biol. Society, Cancun, Mexico, Sep. 2003, pp. 3787–3790. [6] L. Xi, S. K. Davis, S. C. Hagness, D. W. van der Weide, and B. D. Van Veen, “Microwave imaging via space-time beamforming: Experimental investigation of tumor detection of multiplayer breast phantoms,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 8, pp. 1856–1865, Aug. 2004. [7] E. C. Fear, X. Li, S. C. Hagness, and M. A. Stuchly, “Confocal microwave imaging for breast cancer detection: Localization of tumors in three dimensions,” IEEE Trans. Biomed. Eng., vol. 49, no. 8, pp. 812–822, Aug. 2002. [8] T. L. Pope, M. E. Read, T. Medsker, A. J. Buschi, and A. N. Brenbridge, “Breast skin thickness: Normal range and cause of thickening shown on film-screen mammography,” J. Can. Assoc. Radiol., vol. 35, pp. 365–368, Dec. 1984. [9] M. Popovic and A. Taflove, “Two-dimensional FDTD inverse-scattering scheme for determination of near-surface material properties at microwave frequencies,” IEEE Trans. Antennas Propag., vol. 52, no. 9, pp. 2366–2373, Sep. 2004. [10] T. C. Williams, E. C. Fear, and D. T. Westwick, “Tissue sensing adaptive radar for breast cancer detection: Investigations of reflections from the skin,” in IEEE AP-S Symp. Dig., Monterey, CA, Jun. 2004, vol. 3, pp. 2436–2439. [11] L. Riek and R. K. Crane, “A signal-processing algorithm for the extraction of thin freshwater-ice thickness from short pulse radar data,” IEEE Trans. Geosci. Remote Sens., vol. 28, no. 1, pp. 137–145, Jan. 1990. [12] L. Carin, K. Agi, D. Kralj, K. M. Leung, and B. A. Garetz, “Characterization of layered dielectrics with short electromagnetic pulses,” IEEE J. Quantum Electron., vol. 29, no. 7, pp. 2141–2144, Jul. 1993. [13] D. Kralj and L. Carin, “Wideband dispersion measurements of water in reflection and transmission,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 4, pp. 553–557, Apr. 1994. [14] E. J. Rothwell and W. Sun, “Time domain deconvolution of transient radar data,” IEEE Trans. Antennas Propag., vol. 38, no. 4, pp. 470–475, Apr. 1990. [15] W. A. van Cappellen, R. V. de Jongh, and L. P. Ligthart, “Potentials of ulta-short-pulse time-domain scattering measurements,” IEEE Antennas Propag. Mag., vol. 42, pp. 35–45, Aug. 2000. [16] J. Rahman and T. K. Sarkar, “Deconvolution and total least squares in finding the impulse response of an electromagnetic system from measured data,” IEEE Trans. Antennas Propag., vol. 43, no. 4, pp. 416–421, Apr. 1995. [17] M. A. Morgan and B. W. McDaniel, “Transient electromagnetic scattering: Data acquisition and signal processing,” IEEE Trans. Instrum. Meas., vol. 32, no. 3, pp. 263–267, Jun. 1988. [18] L. A. Goldsmith, Physiology, Biochemistry, and Molecular Biology of the Skin, 2nd ed. Oxford, New York: Oxford Univ. Press, 1991, vol. I. [19] J. M. Sill and E. C. Fear, “Tissue sensing adaptive radar for breast cancer detection: Preliminary experimental results,” in IEEE MTT-S Int. Microw. Symp. Dig., Long Beach, CA, USA, Jun. 2005.

REFERENCES [1] Mammography and Beyond: Developing Techniques for the Early Detection of Breast Cancer. Washington, DC: Inst. Med., Nat. Acad. Press, 2000. [2] E. C. Fear, “Microwave imaging of the breast,” Technology in Cancer Research and Treatment, vol. 4, pp. 69–82, Feb. 2005. [3] E. C. Fear, S. C. Hagness, P. M. Meaney, M. Okoniewski, and M. A. Stuchly, “Enhancing breast tumor detection with near-field imaging,” IEEE Micro, pp. 48–56, Mar. 2002. [4] P. M. Meaney, M. W. Fanning, L. Dun, S. P. Poplack, and K. D. Paulsen, “A clinical prototype for active microwave imaging of the breast,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 11, pp. 1841–1853, Nov. 2000.

Trevor C. Williams (S’99) was born in Cranbrook, BC, Canada, in 1975. He received the Bachelor of Engineering and Masters of Applied Science degrees from the University of Victoria, Victoria, BC, Canada, in 2000 and 2002, respectively, and is currently working toward the Ph.D. degree at the University of Calgary, Calgary, AB, Canada. His current research interest is microwave breast cancer detection.

1314

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Elise C. Fear (S’98–M’02) received the B.A.Sc. degree in systems design engineering from the University of Waterloo, Waterloo, ON, Canada, in 1995, the M.A.Sc. degree in electrical engineering and Ph.D. degree in electrical engineering from the University of Victoria, Victoria, BC, Canada, in 1997 and 2001, respectively. From 2001 to 2002, she was a Natural Sciences and Engineering Research Council of Canada (NSERC) Postdoctoral Fellow in electrical engineering with the University of Calgary, Calgary, AB, Canada, where she is currently an Associate Professor with the same department. Her research interests involve the interaction of electromagnetic fields with living systems, including the interaction of low-frequency fields with biological cells and microwave breast cancer detection. Dr. Fear is currently an associate editor for the IEEE TRANSACTIONS ON BIOMEDICAL ENGINEERING.

David T. Westwick (M’97) received the B.A.Sc. degree in engineering physics from the University of British Columbia, Victoria, BC, Canada, the M.ScE. degree in electrical engineering from the University of New Brunswick, Fredericton, NB, Canada, and the Ph.D. degree from McGill University, Montréal, QC, Canada. He is currently an Associate Professor with the Department of Electrical and Computer Engineering, University of Calgary, Calgary, AB, Canada. He recently coauthored Identification of Nonlinear Physiological Systems, part of the IEEE Press Series on Biomedical Engineering (IEEE Press, 2003). His research interests include signal processing and system identification applied primarily to physiological signals and systems.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

1315

Performance Analysis of Signal Vias Using Virtual Islands With Shorting Vias in Multilayer PCBs Seungki Nam, Yonggyoo Kim, Yonghoon Kim, Hodeok Jang, Sub Hur, Bongyong Song, Jaehoon Lee, and Jichai Jeong, Senior Member, IEEE

Abstract—The mitigation method of parallel-plate waveguide (PPW) noises excited from signal vias due to the mode conversion of desired propagation modes into parasitic PPW modes in multilayer printed circuit boards (PCBs) has been proposed. The mitigation of PPW noises has been achieved using virtual islands with shorting vias. The shorting vias are used to provide the return current path with low impedances and the virtual islands are used to block the propagation of the PPW noises through PCBs. The transmission and coupling responses of signal vias applied to the virtual islands with shorting vias are calculated using the finite-difference time-domain method to show effectiveness of the proposed mitigation method of PPW noises. The PPW noises propagating through PPWs are dramatically suppressed and the electrical performances of signal vias in multilayer PCBs are improved using the proposed method. The effectiveness of the proposed mitigation method of PPW noises is also verified by measurements of -parameters of signal vias in simple test boards applied to the virtual islands with shorting vias. The effects of geometrical parameters of the virtual islands on performances of signal vias are also investigated. The performances of signal vias applied to the virtual islands with shorting vias can be improved up to higher frequency by reducing the size of virtual islands. The effect of the gapwidth of slots can be neglected. The effective number of shorting vias of the proposed mitigation method is four. Since several signal vias can be located at a virtual island, the needed number of shorting vias to obtain good transmission and coupling responses using the proposed mitigation method is less than half of that to obtain similar performance using only shorting vias. Index Terms—Finite difference time domain (FDTD), parallelplate waveguide (PPW) noise, signal vias, virtual islands.

I. INTRODUCTION HE FACT that the parallel-plate waveguide (PPW) noise can be excited from signal vias in multilayer printed circuit boards (PCBs) due to the mode conversion of desired modes into parasitic PPW modes has been well known [1]–[5]. The excited PPW noise can propagate through a PPW composed of power and ground planes in multilayer PCBs. The propagation of the PPW noise through entire PCBs results in resonances in transmission characteristics of signal vias due to multiple reflections

T

Manuscript received February 28, 2005; revised July 7, 2005. This work was supported in part by the National Research Laboratory programs in Korea. S. Nam, Y. Kim, H. Jang, S. Hur, B. Song, and J. Jeong are with the Department of Radio Engineering, Korea University, Seoul 136-701, Korea (e-mail: [email protected]). Y. Kim and J. Lee are with the Digital Home Laboratory Telecommunication Research and Development Center, Samsung Electronics, Suwon 442-742, Korea. Digital Object Identifier 10.1109/TMTT.2006.871227

from the edge of PCBs and coupling between signal vias. Therefore, the mitigation of the PPW noise excited from signal vias due to mode conversion is very important to improve transmission and coupling characteristics of signal vias in high-speed applications. The representative mitigation method of the PPW noise is to connect decoupling capacitors between power and ground planes in order to provide a return current path with low impedances [5]–[7]. The decoupling capacitors can be located near signal vias or distributed over entire PCBs. The PPW noise can be mitigated and electrical performance of signal vias can be improved by decoupling capacitors. Many vias, however, are needed to connect decoupling capacitors and the mitigation level of PPW noises is not sufficient for high frequency above 3 GHz. Recently, the electromagnetic bandgap (EBG) structures or high-impedance surface are applied to mitigate the PPW noise in PCBs [8]–[10]. The propagation of the PPW noise can be prevented by designing EBG structures for the correspondence between their stopband and resonance frequency in transmission characteristics of signal vias. However, it is not simple to design and fabricate EBG structures. The concept of power islands is used to suppress the crosstalk between noisy integrated-circuit (IC) devices and other circuits in high-speed digital designs [11]. A slot between islands and the rest power plane can prevent the propagation of the PPW noise from islands to a larger power area at high frequency. In this paper, the virtual islands with shorting vias are applied to the signal vias for mitigation of the PPW noise excited from signal vias, and the transmission and coupling characteristics of signal vias applied to the proposed mitigation method are analyzed using the finite-difference time-domain (FDTD) method [12]. The effects of geometrical parameters of the virtual islands on performances of signal vias are also investigated. II. STRUCTURE OF SIGNAL VIAS APPLIED THE VIRTUAL ISLANDS WITH SHORTING VIAS Fig. 1(a) shows the three-dimensional structure of conventional via traces in multilayer PCBs. When high-speed signals pass along the signal vias from microstrip lines, the mode conversion of microstrip modes into parasitic PPW modes can occur at some frequencies determined by impedance of PPWs composed of power and ground planes. The excited PPW mode propagates through the PPWs and it is repeatedly reflected from the edge of PCBs at those frequencies. Therefore, the resonance and coupling phenomena result from the propagation of the undesired PPW mode at the frequencies.

0018-9480/$20.00 © 2006 IEEE

1316

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 1. Structures of signal vias in multilayer PCBs. (a) Conventional signal vias. (b) Signal vias using the virtual islands with shorting vias.

The virtual island with shorting vias is introduced to mitigate the PPW mode excited from signal vias. The three-dimensional structure of signal vias applied to the virtual island with shorting vias is shown in Fig. 1(b). The virtual islands centering around signal vias are formed by slots on the top and bottom planes. The rectangular conductor on the mid plane is striped. Two shorting vias are used to connect the top and bottom planes. These shorting vias near signal vias provide a return current path with low impedances and mitigate the propagation of the PPW noise at low frequencies. The slots on the top and bottom planes can block the propagation of the PPW noise from the virtual islands to the rest of the power distribution networks at high frequencies. Transmission lines with the characteristic impedance of 50 must not route over the slots because this may become another source of mode conversion. III. ANALYSIS OF TRANSMISSION PERFORMANCE OF THE SIGNAL VIAS IN TWO- OR FOUR-LAYER PCBS A. Propagation of PPW Noise in PPWs Using Two-Layer PCBs The noise propagation characteristics in PPWs were analyzed to investigate the effect of the virtual island with shorting vias on mitigation of the PPW noise. Fig. 2 shows the top and crosssectional views of the analyzed PPWs using two-layer PCBs. The size and thickness of the PPWs are 10 cm 10 cm and 0.787 mm, respectively. The dielectric material of the waveguides is Taconic TLC with the relative dielectric constant of 3.2. The virtual island with the size of 10 mm 10 mm and gap of 2 mm were used to mitigate the PPW noises excited from the source port. The PPWs with three different kinds of source ports shown in Fig. 2(b) were analyzed to compare transmission performance of PPW noise from those source ports to the probe

Fig. 2. Structures of the analyzed PPWs using two-layer PCBs. (a) Top view. (b) Cross-sectional (A–A ) view of PPWs. The top layer was used as a ground plane. Units are in millimeters.

port. Three sub-figures in Fig. 2(b) show the structures of the PPWs including the conventional source port, the source port with two shorting vias, and the source port applied to the virtual island with shorting vias, respectively. The shorting vias, instead of decoupling capacitors, were used to provide a return current path between the top and bottom layers for simple analysis. Two shorting vias are located 3 mm away from the source port. The transmission performances of PPW noises from the source port located at (5 cm, 5 cm) to the probe port located at (5 cm, 1.5 cm) were calculated using the FDTD method. The PPWs were discretized by the uniform grid of 1 mm 1 mm 0.3935 mm and six air layers with the thickness of 25 cells (from the six faces of PPWs) surrounded the analyzed PPWs. Mur’s absorbing boundary condition (ABC) is applied to the outer faces of the air layers (boundaries of the computational domain for the FDTD analysis). The Gaussian pulses with the maximum frequency of 10 GHz and the maximum voltage of 0.5 V were excited at source ports and the propagated PPW noises through PPWs were calculated for the FDTD analysis. The time step of

NAM et al.: PERFORMANCE ANALYSIS OF SIGNAL VIAS

Fig. 3. Fabricated PPW using two-layer PCB shown in Fig. 2(b) with source port and probe port. (a) Top view and (b) bottom view of PPW. The virtual island with shorting vias is applied to the source port.

1317

Fig. 5. Structure of signal vias applied the virtual island with shorting vias in four-layer PCBs. (a) Top view and (b) cross-sectional (A–A ) view of PCBs. The effect of microstrip lines on top and bottom layers were not considered. Units are millimeters.

Fig. 4. Calculated and measured transmission responses of PPW noises from the source ports to the probe ports in a fabricated two-layer PCB in Fig. 3.

Fig. 6. Calculated transmission responses of three different kinds of signal vias in four-layer PCBs using the FDTD method. These calculated responses have a good agreement with the calculated results using HFSS.

1.088 ps was used for calculations. The -parameters of the fabricated PPWs using a two-layer PCB, with structures shown in Fig. 2(b), including three different kinds of the source ports were

also measured using an Agilent E5071B network analyzer and compared with the calculated results using the FDTD method. Fig. 3 shows a photograph of the fabricated PPWs including the

1318

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 7. Calculated voltages of PPW noises between inner layers using the FDTD method. The magnitude of PPW noises between inner layers in entire PCBs is plotted.

source port applied to the virtual islands with shorting vias and the probe port. The calculated and measured transmission responses of PPW noises from the source ports to the probe ports are shown in Fig. 4. As shown in Fig. 4, most of the excited PPW noises at the conventional source port propagate to the probe port through PPWs at some frequencies. Although the noise propagation is mitigated by approximately 10 dB due to reduction of impedance of return current paths by shorting vias for the source port with two shorting vias, the mitigation level of PPW noises by shorting vias is not sufficient. For the source port applied to the virtual island with shorting vias, however, the calculated and measured transmission responses of PPW noises from the source port to the probe ports are less than 25 dB below 5 GHz. The measured transmission responses of PPW noises from the source ports to the probe ports in PPWs have a good agreement with the calculated data using the FDTD method for all cases, as shown in Fig. 4. B. Calculation of Transmission Responses of Signal Vias in Four-Layer PCBs We analyzed transmission responses of three different kinds of signal vias, located at the same position as that of the source ports depicted in Fig. 2 in four-layer PCBs using the FDTD

method. Three different kinds of signal vias are the: 1) conventional via; 2) via with shorting vias; and 3) via applied to the virtual island with shorting vias, respectively. The structure of PCBs with a signal via applied to the virtual island with shorting vias is shown in Fig. 5. As shown in Fig. 5, the effect of microstrip lines on top and bottom layers in four-layer PCBs on transmission performance of signal vias was not considered for the FDTD analysis. The effect of dielectric loss of the substrate was also not considered for simple analysis because these are not important to show the effectiveness of the proposed mitigation method of PPW noises. The geometrical parameters and materials of the PCBs shown in Fig. 5 are equal to those of the PPWs depicted in Fig. 2. For FDTD analysis, the PCBs were discretized by the uniform grid of 0.25 mm 0.25 mm 0.3935 mm and a time step of 0.51 ps was used. The thickness of air layers surrounding the PCBs are 50 cells from the all faces of PCBs, respectively. The ports, between the top plane and signal via (port 1) and between the bottom plane and signal via (port 2), were terminated by 50- numerical resistors, and the Gaussian pulse with maximum frequency of 10 GHz and maximum voltage of 0.5 V was excited at port 1 for analysis. The Mur’s ABC is applied to boundaries of the computational domains.

NAM et al.: PERFORMANCE ANALYSIS OF SIGNAL VIAS

1319

Fig. 8. Fabricated four-layer test boards: (a) including a signal via with two shorting vias and (b) including a signal via applied to the virtual island with two shorting vias.

Fig. 9. Measured transmission responses and calculated power losses using the measured responses. (b) Power losses. The power losses are calculated using equations as 1 S 11

0j

The calculated transmission response of the signal via applied to the virtual island with shorting vias is compared to the calculated results of a signal via and signal via with shorting vias, as shown in Fig. 6. The calculated -parameters of signal vias with three different structures using the FDTD method are also compared to the calculated data using the commercial electromagnetic field High Frequency Structure Simulator (HFSS). Fig. 6 shows that many resonances occur in transmission responses of the signal via and signal via with shorting vias due to propagation of PPW noises through PPWs (as shown in Fig. 4) composed of power and ground planes in four-layer PCBs. The transmission performance of the signal via applied to the virtual island with shorting vias, however, is dramatically improved by 5 GHz because the virtual island with shorting vias effectively mitigate the PPW noises excited from signal vias, as shown in Fig. 4. The resonance at approximately 6 GHz in the transmission response of signal vias applied to the proposed

S -parameters

j 0 jS 21j .

of two signal traces. (a) Transmission

mitigation method of PPW noises is due to the size of the virtual island. The calculated -parameters of signal vias using the FDTD method have a good agreement with the calculated results using HFSS for all cases, as shown in Fig. 6. Fig. 7 shows that the calculated voltages of PPW noises excited from three different types of signal vias. The Gaussian pulse with a maximum frequency of 5 GHz and maximum voltage of 0.5 V was excited at port 1 (shown in Fig. 5) to calculate the voltage of PPW noises propagated through PPWs using the FDTD method. When the mitigation method of PPW noises is not applied to signal vias, the propagation of large PPW noises excited from signal vias is observed. The PPW noises propagating through PPWs are very small in case of applying the proposed mitigation method to signal vias, and the virtual island with shorting vias can sufficiently suppress the PPW noises in multilayer PCBs, as shown in Fig. 7.

1320

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 10. Structure of signal vias in six-layer PCBs. (a) Top view of layer 2. (b) Top view of layers 3 and 4. (c) Top view of layer 5. (d) Cross-sectional (A–A ) view of PCBs. Subminiature A (SMA) connectors are connected into signal vias directly. Units are millimeters.

C. Measurements of Transmission Responses of Signal Vias in Sample Test Boards To verify the effectiveness of the proposed mitigation method of PPW noises excited from signal vias, the simple test boards including signal vias were fabricated. Fig. 8 shows the fabricated four-layer PCBs with the size of 100 mm 100 mm including a signal via with shorting vias [shown in Fig. 8(a)] and a signal vias applied to the virtual island with shorting vias [shown in Fig. 8(b)]. The dielectric material of four-layer PCBs is FR4 with the relative dielectric constant of 4.5 and the thickness of PPWs composed of layers 2 and 3 is 0.8 mm. A signal via is located at the center of the PCBs and two shorting vias are located 3 mm away from the signal via. The virtual island with the size of 10 mm 10 mm and the gap of 2 mm was applied

to the signal via for mitigation of PPW noises. The characteristic impedance of the microstrip line on the top (layer 1) and bottom (layer 4) layers with the width of 0.71 mm and the length of 50 mm is 50 . Fig. 9(a) shows the measured transmission responses of signal via, connected with a 100-mm microstrip line, with shorting vias and applied to the virtual island with shorting vias in test boards. The measured insertion losses are large due to high dielectric loss of FR4 with the loss tangent of 0.02. However, the transmission performance of signal vias is improved by the proposed mitigation method of PPW noises, virtual island with shorting vias, below 4 GHz, as shown in Fig. 9(a). Fig. 9(b) shows the calculated power loss of signal vias using the measured -parameters. For the signal via with a shorting via, the power loss peaks are observed at resonance

NAM et al.: PERFORMANCE ANALYSIS OF SIGNAL VIAS

1321

Fig. 11. Calculated performances of two signal vias applied to the virtual island with shorting vias in six-layer PCBs. (a) Transmission responses and (b) coupling responses. The normalized (by 4 dB) transmission and coupling responses of signal vias with shorting vias (d = 1:5 mm) are compared to those of signal vias applied to the virtual island with shorting vias in (a) and (b), respectively. The transmission and coupling responses of signal vias with shorting vias are independent of existence of the rectangular conductors (with the size of (W + 2S ) (W + 2S )) on layers 3 and 4.

0

2

frequencies (shown in transmission response) due to multiple reflections and radiation of PPW noises from edge of PCBs. By suppressing the PPW noises using the virtual island with a shorting vias, the power loss peaks can be removed. IV. EFFECTS OF GEOMETRICAL PARAMETERS OF THE VIRTUAL ISLANDS ON PERFORMANCES OF SIGNAL VIAS When the proposed mitigation method of PPW noises excited from signal vias was used to improve performances of signal vias in multilayer PCBs, the effect of the size of virtual islands on transmission and coupling responses of signal vias was analyzed using the FDTD method. Fig. 10 shows the analyzed structures of signal vias in six-layer PCBs with the size of 100 mm 100 mm, the thickness ( ) of 2.361 mm, and the relative dielectric constant of 3.2. Two signal vias are located at (25 mm, 75 mm) and (75 mm, 25 mm), respectively. To analyze the effect of the size of virtual islands on performances of signal vias, three different sizes of virtual islands were applied to the signal vias. Two shorting vias are used at each signal via and the distances between shorting vias and signal vias ( ) are 3, 2.25, and 1.5 mm for the sizes of virtual islands ( ) of 10, 7.5, and 5 mm, respectively. The gaps of slots ( ) are 2 mm for all cases. For FDTD analysis, the PCBs were discretized by the uniform grid of 0.25 mm 0.25 mm 0.3935 mm and time step of 0.51 ps was used. The effects of 50- microstrip lines on the top (layer 1) and bottom (layer 6) layers and conductor and dielectric losses on performances of signal vias were not considered for analysis. The transmission and coupling responses of signal vias applied to the virtual island with shorting vias calculated using the FDTD method are compared to those using the HFSS. Fig. 11 shows the calculated transmission and coupling responses of signal vias applied to the virtual island with three different sizes using the FDTD method and HFSS. As the size of virtual islands decrease, the first resonance frequency in transmission responses are shifted toward higher frequency, as shown

in Fig. 11(a). The performance degradation of signal vias applied to the virtual island with shorting vias at resonance frequency is due to multiple reflections of fields in the virtual islands. When the virtual islands with the size of 5 mm 5 mm are applied to signal vias, the transmission and coupling performance of signal vias are more improved than those of signal vias with shorting vias and coupling between two signal vias in different virtual islands are less than 30 dB below 8 GHz. The calculated transmission and coupling responses of signal vias applied the virtual islands with shorting vias using the FDTD method have a good agreement with the calculated results using HFSS, as shown in Fig. 11. To investigate the effect of the gap of slots on transmission responses of signal vias, the signal vias applied to the virtual island with three different gap sizes of slots in six-layer PCBs were analyzed using the FDTD method. The signal via is located at (35 mm, 70 mm) in six-layer PCBs with the size of 100 mm 100 mm, the thickness of 2.361 mm, and the relative dielectric constant of 3.2. The virtual island with the size of 5 mm 5 mm was applied to the signal via. The distance between two shorting vias and signal via is 1.5 mm. The used three different gap sizes of slots are 2, 1, and 0.5 mm, respectively. Fig. 12 shows the calculated reflection and transmission responses of the signal via applied to the virtual island with three different gap size of slots using the FDTD method. As shown in Fig. 12, the effect of the gap size of slots on performances of signal vias is negligible below 8 GHz, which is the mitigation frequency band for the size of the virtual islands. V. COUPLING BETWEEN TWO SIGNAL VIAS IN VIRTUAL ISLANDS The coupling response between two signal vias in a virtual island was analyzed using the FDTD method to verify the effectiveness of the proposed mitigation method of PPW noises for PCBs with high signal density. Fig. 13 shows the analyzed

1322

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 12. Calculated reflection and transmission responses of signal vias applied the virtual islands with three different gap sizes of slots using the FDTD method. The signal via is located at (35 mm, 70 mm) in six-layer PCBs.

Fig. 14. Calculated transmission and coupling responses of signal vias applied the virtual island with shorting vias using the FDTD method. The top, middle, and bottom graphs represent transmission responses of signal vias, coupling responses between signal vias in different islands, and coupling responses between signal vias in a virtual island, respectively.

The calculated transmission and coupling responses of three signal vias applied the virtual islands with shorting vias using the FDTD method are shown in Fig. 14. The top, middle, and bottom graphs in Fig. 14 show the transmission responses of signal vias, coupling responses between signal vias in different virtual islands, and coupling responses between two signal vias in a virtual island. The couplings between two signal vias in a virtual island are larger than those between two signal vias in different virtual islands. However, the coupling responses between signal vias in a virtual island can be reduced by reducing the island size, as shown in Fig. 14. VI. EFFECTIVE NUMBER OF SHORTING VIAS OF PROPOSED METHOD

Fig. 13. Top view of PCBs (layer 2) including three signal vias. The two signal vias share a virtual island and the other via exists in another virtual island. Units are millimeters.

structures of three signal vias applied to the virtual islands with shorting vias in six-layer PCBs with the size of 100 mm 100 mm, the thickness of 2.361 mm, and the relative dielectric constant of 3.2. The two signal vias share a virtual island and the other via exists in another virtual island, as shown in Fig. 13. Three signal vias are located at (25 mm, 75 mm), (75 mm, 25 mm), and (22.5 mm, 72.5 mm), respectively. The two different sizes of virtual islands were applied to the signal vias. The distances between two shorting vias and signal via ( ) are 3 and 2.25 mm for the sizes of virtual islands ( ) of 10 and 7.5 mm, respectively. The used gap size of slots ( ) is 2 mm for all cases.

To obtain the effective number of shorting vias of the proposed mitigation method of PPW noises, the transmission and coupling responses of signal vias applied the virtual island with two shorting vias are compared to those of signal vias with various number of shorting vias. The structure of six-layer PCBs including two signal vias is shown in Fig. 10. Fig. 15 shows the structures of signal vias applied to three different mitigation methods of PPW noises. The three different mitigation methods of PPW noises are to use two shorting vias mm , four shorting vias mm , and the virtual island with two shorting vias mm , respectively. The calculated transmission and coupling responses of two signal vias [located at (25 mm, 75 mm) and at (75 mm, 25 mm)] applied to three different mitigation methods of PPW noises using the FDTD method are shown in Fig. 16. As shown in Fig. 16, the transmission and coupling responses of signal vias with four shorting vias are similar to those of signal vias applied to the virtual islands with two shorting vias. Therefore, the effective number of shorting vias of the virtual island is four. Since several signal vias can be located at a virtual island, the needed number of shorting vias to obtain good transmission and

NAM et al.: PERFORMANCE ANALYSIS OF SIGNAL VIAS

Fig. 15. Top view of signal vias applied three different mitigation methods of PPW noises: (a) using two shorting vias, (b) using four shorting vias, and (c) applying the virtual island with two shorting vias.

1323

mitigation of the PPW noise up to higher frequencies. The effect of gap size of a slot on transmission performances of signal vias was negligible. The calculated -parameters of signal vias applied to the virtual island with shorting vias using the FDTD method had a good agreement with the calculated results using the commercial electromagnetic field simulator HFSS. The coupling responses between signal vias in a virtual island were also analyzed using the FDTD method. Although the couplings between signal vias in a virtual island are larger than couplings between signal vias in different virtual islands, those could be reduced by using a smaller island. Therefore, the proposed mitigation method of PPW noises could be applied to PCBs with high-signal density. The transmission and coupling responses of signal vias applied to the virtual island with two shorting vias were similar to those of signal vias with four shorting vias. Therefore, the effective number of shorting vias of the proposed mitigation method was four. Since several signal vias could be located at a virtual island, the necessary number of shorting vias to obtain good transmission and coupling responses up to 8 GHz using the proposed mitigation method was less than half of that to obtain similar performance using only shorting vias.

REFERENCES

Fig. 16. Calculated transmission and coupling responses of signal vias applied three different mitigation methods of PPW noises using the FDTD method.

coupling responses up to 8 GHz using the proposed mitigation method is less than half of that to obtain similar performance using only shorting vias. VII. CONCLUSIONS The virtual island with shorting vias has been applied to signal vias for mitigation of the PPW noise excited from signal vias due to the mode conversion of desired modes into parasitic PPW modes in multilayer PCBs. The transmission performance of signal vias applied to the virtual island with shorting vias has been analyzed using the FDTD method and compared to those of signal vias only and signal vias with shorting vias. We have confirmed that the proposed method could sufficiently mitigate the PPW noises propagating through PPW by measurements. Since the shorting vias provided the return current path with low impedances by connecting the top and bottom planes and the slot blocked the propagation of the PPW noises from the virtual islands to the rest of the larger PCBs for high frequencies, the PPW noises excited from signal vias could be mitigated and the transmission performance of signal vias could be improved up to higher frequency by the proposed method. The effects of the size of the virtual island and the gap of the slot on transmission and coupling performances of signal vias has been analyzed using the FDTD method. As the size of virtual islands decreases, the proposed method could be applied for

[1] J. S. Pak, J. Kim, J.-G. Byun, H. Lee, and J. Kim, “Coupling of throughhole signal via to power/ground resonance and excitation of edge radiation in multi-layer PCB,” in IEEE Int. Electromagn. Compat. Symp., Boston, MA, Aug. 2003, pp. 231–235. [2] C. Schuster and W. Fichtner, “Parasitic modes on printed circuit boards and their effects on EMC and signal integrity,” IEEE Trans. Electromagn. Compat., vol. 43, no. 11, pp. 416–425, Nov. 2001. [3] J.-N. Hwang and T.-L. Wu, “Coupling of the ground bounce noise to the signal trace with via transition in partitioned power bus of PCB,” in IEEE Int. Electromagn. Compat. Symp., Minneapolis, MN, Aug. 2002, pp. 733–736. [4] H.-J. Liaw and H. Merkelo, “Simulation and modeling of mode conversion at vias in multilayer interconnections,” in Proc. 45th Electron. Compon. Technol. Conf., Las Vegas, NV, May 1995, pp. 361–367. [5] W. Cui, X. Ye, B. Archambeault, D. White, M. Li, and J. L. Drewniak, “EMI resulting from signal via transitions through the DC power bus,” in IEEE Int. Electromagn. Compat. Symp., Washington,, DC, Aug. 2000, pp. 821–826. [6] J. Fan, W. Cui, J. L. Drewniak, T. P. V. Doren, and J. L. Knighten, “Estimating the noise mitigation effect of local decoupling in printed circuit boards,” IEEE Trans. Adv. Packag., vol. 25, no. 2, pp. 154–165, May 2002. [7] L. Tsang and D. Miller, “Coupling of vias in electronic packaging and printed circuit board structures with finite ground plane,” IEEE Trans. Adv. Packag., vol. 26, no. 4, pp. 375–384, Nov. 2003. [8] T. Kamgaing and O. M. Ramahi, “A novel power plane with integrated simultaneous switching noise mitigation capability using high impedance surface,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 1, pp. 21–23, Jan. 2003. [9] R. Abhari and G. V. Eleftheriades, “Metallo-dielectric electromagnetic bandgap structures for suppression and isolation of the parallel-plate noise in high-speed circuits,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 6, pp. 1629–1639, Jun. 2003. [10] T.-L. Wu, Y.-H. Lin, and S.-T. Chen, “A novel power planes with low radiation and broad-band suppression of ground bounce noise using photonic bandgap structures,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 7, pp. 337–339, Jul. 2004. [11] W. Cui, J. Fan, Y. Ren, H. Shi, J. L. Drewniak, and R. E. DuBroff, “DC power-bus noise isolation with power-plane segmentation,” IEEE Trans. Electromagn. Compat., vol. 45, no. 5, pp. 436–443, May 2003. [12] A. Taflove, Computatiional Electrodynamic: the Finite-Difference Time-Domain Method. Norwood, MA: Artech House, 1995.

1324

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Seungki Nam received the B.S. and M.S. degrees in radio engineering from Korea University, Seoul, Korea, in 1998 and 2000, respectively, and is currently working toward the Ph.D. degree in radio engineering at Korea University. His research interests include modeling and analysis of opto-electronic devices, high-speed electric and opto-electronic device packages, and high-speed interconnections using full-wave methods.

Sub Hur received the B.S. and M.S. degrees in radio sciences and engineering from Korea University, Seoul, Korea, in 1999 and 2001, respectively, and is currently working toward the Ph.D. degree in radio sciences and engineering at Korea University. His research concerns radio-over-fiber systems, advanced modulation format, and modeling of optical transmission systems.

Bongyong Song , photograph and biography not available at time of publication.

Yonggyoo Kim received the B.S., M.S., and Ph.D. degrees in radio sciences and engineering from Korea University, Seoul, Korea, in 1998, 2000, and 2003, respectively. He is currently a Researcher with the Microwave Photonics Laboratory, Research Institute for Information and Communication Technology, Korea University. His current research interests include semiconductor optical components and optical communication systems using new modulation formats.

Yonghoon Kim received the B.S. and M.S. degrees in radio sciences and engineering from Korea University, Seoul, Korea, in 1999 and 2001, respectively, and is currently working toward the Ph.D. degree at Korea Unviversity. His current research interests include optical amplifiers and modulation formats for high-speed optical communication systems.

Hodeok Jang was born in Ulsan, Korea, in 1977. He received the B.S. and M.S. degrees in radio sciences and engineering from Korea University, Seoul, Korea, in 2000 and 2002, respectively, and is currently working toward the Ph.D. degree in radio sciences and engineering at Korea University. He is currently involved with the study of radio-over-fiber systems, polarization-mode dispersion (PMD) tolerance of modulation formats, and performance analysis of forward-error correction (FEC) codes.

Jaehoon Lee received the B.S. degree in radio engineering from Korea University, Seoul, Korea, in 1997, the M.S. degree in electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Taejon, Korea, in 1999, and the Ph.D. degree in radio engineering from Korea University, in 2003. His doctoral research concerned high-speed optical communication systems. Since 2003, he has been with Samsung Electronics, Suwon, Korea, where he has been involved with the development of wavelength-division-multiplexing (WDM)/passive optical network (PON) systems and radio-over-fiber access systems.

Jichai Jeong (SM’96) received the B.S. degree from Korea University, Seoul, Korea, in 1980, the M.S. degree from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 1982, and the Ph.D. degree in electrical engineering from Carnegie-Mellon University, Pittsburgh, PA, in 1988. From 1982 to 1985, he was a Researcher with KAIST. From 1988 to 1993, he was Member of Technical Staff with AT&T Bell Laboratories, Murray Hill, NJ, where he was involved with opto-electonic ICs and semiconductor lasers for optical communications. From 1993 to 1995, he was with the faculty of the Electrical Engineering Department, Pohang University of Science and Technology. In 1995, he joined the faculty of the Radio Engineering Department, Korea University. His current research interests include modeling and simulation of optical components and transmission systems for fiber-optic communications.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

1325

A Novel Toroidal Inductor Structure With Through-Hole Vias in Ground Plane Michael D. Phillips and Raghu Kumar Settaluri, Senior Member, IEEE

Abstract—This paper presents a new toroidal inductor topology that can be realized in microstrip or stripline configuration. The toroidal structure comprises two sets of mutually isolated multiplecoupled lines that are connected by vias through a ground plane. This geometry facilitates a simple equivalent-circuit model for accurate analysis or synthesis of the component. A comparison between the new toroidal structure and the conventional spiral inductor topology shows good improvement in quality factor and a footprint reduction over 300%. The toroidal designs are validated by full-wave electromagnetic simulation, as well as by measurement for a two-turn toroidal inductor in microstrip configuration. Index Terms—Multiple coupled lines, planar transmission lines, RF/microwave inductors.

I. INTRODUCTION NE OF the growing trends in wireless electronics is the push to integrate several functionalities into one module. For instance, the integration of a cell phone, personal digital assistant (PDA) and a global positioning system (GPS) into a single handheld device. Increased electrical performance and reduction of the component footprint with easy to implement device modeling are desirable for effective miniaturization of RF modules. An RF/microwave inductor, which is one of the critical components in any wireless system, still presents many challenges to designers in terms of larger sizes and complex analytical models. Size reduction of RF inductors will allow higher levels of integration at the chip level, allowing closer placement of components and a higher yield of devices per unit area. The spiral inductor is widely used throughout industry and several variations from square to circular configurations have been reported in the literature [1]–[8]. These configurations offer moderate to good quality ( ) factors. However, effective on-chip implementation is still considered a challenge to the designers due to the size considerations. Modeling of square-type spirals is generally carried out by device segmentation and coupled-line analysis [9], while circular type spirals use full-wave electromagnetic (EM) simulations and fabrication for effective characterization [1], [2], [4], [5], [7]. Among

O

Manuscript received May 13, 2005; revised October 11, 2005. This work was supported by the National Science Foundation under NSF Grant ECS-0401357. M. D. Phillips was with the School of Electrical Engineering and Computer Science, Oregon State University, Corvallis, OR 97331-3211 USA. He is now with the Test Engineering Division, Tektronix, Beaverton, OR 97077 USA (e-mail: [email protected]). R. K. Settaluri is with the School of Electrical Engineering and Computer Science, Oregon State University, Corvallis, OR 97331-3211 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.871352

other inductor configurations reported are solenoidal [10], [11] and toroidal inductor [12]–[15] structures, which also rely on either approximate closed-form equations or fabrication/EM modeling. The interstage coupling between various segments, which is a common feature in many of these configurations, makes it particularly challenging for obtaining accurate analytical models. Newer inductor designs that can offer simplified design methodology with enhanced performance and compact footprint will be of great interest to the industry as well as to the research community. This paper presents a new toroidal inductor configuration with all the features described above. The proposed configuration can be realized either in stripline or microstrip-line configuration. An embedded ground plane between the dielectric layers enables a simplified analytical model for accurate design of the inductor. A comparison between the conventional circular spiral inductor and the proposed toroidal configuration will be presented to demonstrate the improvement in electrical performance, as well as in footprint.

II. THEORY Fig. 1(a) and (b) shows the proposed toriodal inductor geometry in stripline and microstrip-line configurations. In both cases, top and bottom dielectric layers are isolated by an intermediate ground plane that splits the core in half. The two metallization layers (shown as metal layer 1 and metal layer 2 in Fig. 1) are connected by through-hole vias in the ground plane. Metal layer 1 consists of parallel multiple coupled lines, as shown in Fig. 1(c), which are connected to slanted multiple coupled lines in Fig. 1(d) through the vias. The composite overhead view is given in Fig. 1(e) to show the alignment of metallization layers. An turn toroidal inductor will have parallel-coupled lines interconnected to slant-coupled lines through vias, as shown in Fig. 1(f). Analysis of the structure can be carried out by considering the overall structure as a cascade of three separate networks, as shown in Fig. 1(f). The presence of an intermediate ground plane enables the coupled line structures above and below the ground plane to be sufficiently isolated so that they can be modeled as multiple coupled parallel and slanted lines, respectively, using the available analytical techniques [16]–[20]. The interaction between various lines in a multiple coupled-line structure can be modeled in terms of the normal-mode parameters [17], [18] or via the inductance and capacitance matrices of the coupled-line system. For the special case of coupled lines in a homogeneous medium, such as in a stripline environment, the capacitance matrix and phase velocity completely describe the

0018-9480/$20.00 © 2006 IEEE

1326

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 2. Via through the ground plane. (a) 3-D view. (b) Equivalent circuit.

Fig. 1. Proposed toroidal inductor configuration with intermediate ground plane. (a) Stripline geometry. (b) Microstrip geometry. (c) Top metal layer. (d) Bottom metal layer. (e) Top view of the composite structure. (f) Network model for the toroidal structure.

The resulting pi model for the via through the ground plane is shown in Fig. 2(b), which is suitable for both stripline and microstrip configurations. The equivalent-circuit parameters of the via model can be extracted from the two-port network parameters of the via structure, which, in turn, can be determined from the full-wave EM simulations. For a given strip width, substrate thickness, and dielectric constant, the extracted model can be used for the realization of a wide range of toroidal inductor configurations. The complete network model of the toroid structure shown in Fig. 1(f) can then be analyzed with metal layer 1 and metal layer 2 interconnected by the through ground via equivalent circuit. The reduced two-port impedance matrix of the toroidal structure can be obtained by using the network analysis procedure similar to that described in [16]. The inductance and factor of the structure can be determined from the short-circuited impedance using the expressions given in (2)–(4) as follows:

corresponding -port network. The port admittance matrix of the -coupled line structure can be expressed in terms of the capacitance matrix, as shown in (1), where is the propagation constant and is the line length. The slanted coupled lines can also be modeled as parallel coupled lines with a length compensation to take into account the slanting angle

(1) Similar expressions in terms of the capacitance and inductance matrices can be derived for inhomogeneous media for application to microstrip-like transmission lines. Having determined the admittance matrices for the multiple coupled lines in top and bottom layers, the next step is to obtain a suitable model for the via through a ground plane, which is shown in Fig. 2(a). The via model enables to determine the overall reduced two-port network impedance matrix of the toroidal inductor using the network model given in Fig. 1(f). A lossless model for the through ground via structure was previously reported by Bahl [9], which can be modified to include the substrate and conductor losses.

(2) (3) (4) Since the proposed approach considers multicoupled transmission theory, there is no preset limitation on the modeling methodology in terms of the length of the structure or the number of turns. However, the choice of the physical parameters such as the length of the structure, number of turns, width of the conductor, and the separation between conductors depends on the desired value of inductance and the desired self-resonant frequency. III. RESULTS Initially, two- and three-turn toroidal structures shown in Fig. 1(a) and (b) were designed in stripline and microstrip configurations. The stripline configuration, shown in Fig. 1(a), was realized using four dielectric layers, each with a dielectric constant of 2.2 and thickness of 31 mil. The microstrip configuration shown in Fig. 1(b) was realized using two dielectric layers with the same properties as that of the stripline configuration. The thickness of the core of the toroid in both cases was considered to be 62 mil. For both cases, the strip

PHILLIPS AND SETTALURI: NOVEL TOROIDAL INDUCTOR STRUCTURE WITH THROUGH-HOLE VIAS IN GROUND PLANE

1327

TABLE I MODEL PARAMETERS FOR STRIPLINE AND MICROSTRIP VIAS

Fig. 4. Frequency response for the microstrip toroidal designs. (a) Inductance. (b) factor.

Q

Fig. 3. Frequency response for the stripline toroidal designs. (a) Inductance. (b) Quality factor.

width and spacing between lines were chosen to be 0.1 mm with line lengths of 1 mm and a metal thickness of 17 m. For the two-turn case, the top metal layer has three coupled lines and the bottom metal has two slant coupled lines with four interconnecting vias. In the case of the three-turn toroid, the top metal layer has four coupled lines and the bottom metal layer has three slant coupled lines with six interconnecting vias. The vias were designed with a ground plane through-hole diameter equal to the linewidth and a via diameter equal to half the size of linewidth. The via model was extracted from the scattering parameters obtained from the full-wave EM scattering parameters of the via structure shown in Fig. 2(a). The equivalent circuit parameters

of the via for the stripline, as well as the microstrip-line geometries, were obtained through optimization. The final optimized values for the via model for stripline and microstrip geometries are shown in Table I. The extracted via models were then integrated into the network model shown in Fig. 1(f) to determine the overall network parameters of the toroidal structure. The inductance and factor of the structure were computed using the (2)–(4). Each via was modeled as an independent structure ignoring the interactions with other via structures. Fig. 3 shows the variation of inductance and factor for the stripline toroidal structure for two- and three-turn cases. The graphs include the theoretical response obtained using the network model approach described above, as well as the response obtained from the 3-D full-wave EM simulation software HFSS from Ansoft, Pittsburgh, PA. The theoretical response shows a good match for the low-frequency inductance with a matching trend in the in comparison with the full EM simulation. Fig. 4 shows the frequency response for the inductance and factor for the two- and three-turn toroids in the microstrip configuration. It may be seen that the theoretical response matches reasonably well with that of the full-wave EM simulation. The

1328

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

TABLE II PERFORMANCE COMPARISON FOR VARIOUS INDUCTOR DESIGNS

Fig. 6. Fabricated microstrip toroidal inductor. (a) Actual device for size comparison. (b) Test structure for two-port measurement.

transmission-line network and inter-via couplings, as well as input–output coupling have not been considered for the sake of simplicity of the model. A complete summary of results for the above designs is shown in the first four rows of Table II. A. Comparison With Spiral Inductor

Fig. 5. Response comparison between a two-turn toroid and a two-turn spiral inductor with same line width and spacing. (a) Inductance. (b) factor.

Q

high-frequency discrepancy in both cases may be attributed to the fact that, in the current theory, the proposed three-dimensional (3-D) structure has been modeled as a two-dimensional

To demonstrate the improvement in performance and compact footprint, a 11-nH inductor was designed in the conventional circular spiral inductor, as well as in the proposed toroidal inductor configurations. For a given inductance value, the objective was to compare the and footprint values. For the spiral, a 31-mil RT duroid substrate with a dielectric constant of 2.2 and a metal thickness of 17 m was considered in microstrip configuration. For the required value of inductance, a two-turn spiral with a linewidth and spacing of 0.5 mm and an inner radius of 0.75 mm turned out to be sufficient. A two-turn toroid was realized with the same linewidth and spacing of 0.5 mm, conductor length of 3 mm on two back-to-back 31-mil substrates. Thus, the core of the toroid was 62-mil thick with both the top and bottom metallization layers symmetrically spaced from the central ground plane, as shown in Fig. 1(b). A comparison for the inductance and for both structures as a function of frequency is given in Fig. 5(a) and (b). With a slightly higher value of inductance compared to that of the spiral inductor configuration, the toroidal inductor offers higher factors. The peak value of for the toroid was observed to be 219 compared to a corresponding maximum for the spiral

PHILLIPS AND SETTALURI: NOVEL TOROIDAL INDUCTOR STRUCTURE WITH THROUGH-HOLE VIAS IN GROUND PLANE

1329

in-house fabrication and not using plated through holes for the vias. IV. CONCLUSION A new simple design methodology for compact toroidal inductor configurations with an intermediate ground plane has been presented. An equivalent network model consisting of the interconnection of multiple coupled lines and multiple coupled slanted lines using vias through a ground plane has been used to realize the inductor configuration. Equivalent-circuit model parameters for a through-hole via model have been determined through EM-based optimization. Two- and three-turn inductor geometries in stripline and microstrip-line configurations have been reported. A footprint comparison of the proposed toroidal configuration with the conventional spiral inductor design has been shown to illustrate the compactness of the new geometries. For a similar inductance value, the toroidal inductor gave footprint reduction of over 300% and an improvement of factor by 150%. The results are validated with the help of full-wave EM simulation for selected design examples. The results show good agreement. A two-turn microstrip toroidal inductor has been fabricated, and the test results show good agreement with the proposed theory. The new toroidal designs exhibit a considerable reduction in the foot print and improvement in the factor, opening up a variety of possible applications for RF and mixed-signal applications. REFERENCES Fig. 7. Measurement results for the fabricated two-turn toroidal inductor shown in Fig. 6 (a) Inductance. (b) factor.

Q

Q

[1] A. Sutono, D. Heo, Y. Chen, and J. Lasker, “High- LTCC-based passive library for wireless system-on-package module development,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 10, pp. 1715–1724, Oct. 2001. [2] P. Pieters, K. Vaesen, S. Brebels, S. Mahmoud, W. Raedt, E. Byne, and R. P. Mertens, “Accurate modeling of high- spiral inductors in thin-film multilayer technology for wireless telecommunication application,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 4, pp. 589–599, Apr. 2001. [3] I. J. Bahl, “Improved quality factor spiral inductors on GaAs substrates,” IEEE Microw. Guided Wave Lett., vol. 9, no. 10, pp. 398–400, Oct. 1999. [4] ——, “High-performance inductors,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 4, pp. 654–664, Apr. 2001. [5] C. P. Yue and S. S. Wong, “Physical modeling of spiral inductors on silicon,” IEEE Trans. Electron Devices, vol. 47, no. 3, pp. 560–568, Mar. 2000. [6] A. Watson, P. Francis, K. Hwang, and A. Weisshaar, “Wide-band distributed modeling of spiral inductors in RFICs,” in IEEE MTT-S Int. Microw. Symp. Dig., Jan. 2003, pp. 1011–1015. [7] A. Watson, D. Melendy, P. Francis, K. Hwang, and A. Weisshaar, “A comprehensive compact-modeling methodology for spiral inductors in silicon-based RFICs,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 849–857, Mar. 2004. [8] N. Talwalkar, C. P. Yue, and S. Wong, “Analysis and synthesis of on-chip spiral inductors,” IEEE Trans. Electron Devices., vol. 52, no. 2, pp. 176–182, Feb. 2005. [9] I. Bahl, Lumped Elements for RF and Microwave Circuits. Norwood, MA: Artech House, 2003, p. 88, 284-286. [10] Y. Kim and M. G. Allen, “Surface micromachined solenoid inductors for high frequency applications,” IEEE Trans. Comp., Packag. Manuf. Technol., vol. 21, no. 1, pp. 26–33, Jan. 1998. [11] S. Lee, J. Choi, and I. Yung, “Modeling and analysis of 3-D solenoid embedded inductors,” IEEE Trans. Electron. Packag. Manuf., vol. 25, no. 1, pp. 34–41, Jan. 2002. [12] V. Ermolov, T. Lindstrom, H. Nieminen, M. Olsson, M. Read, T. Ryhanen, S. Silanto, and S. Uhrberg, “Microreplicated RF toroidal inductors,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 29–37, Jan. 2004.

Q

of 139. The spiral consumed an area of 24.63 mm , while the toroid has a footprint of only 7.5 mm . A comparison of selected electrical parameters for both structures is shown in the last two rows of Table II. B. Experiment The two-turn toroid described in Section III-A was fabricated on two back-to-back layers of Rogers RT Duroid 5880 substrate of 31-mil thickness. For a linewidth and spacing of 0.5 mm and the conductor length of 3 mm, a via diameter of 0.25 mm and a ground plane hole of 0.4-mm diameter were chosen. The structure was fabricated using the in-house milling machine facility. A 30-gauge wire with insulation removed was used to connect the top and bottom metal layers through the vias. A photograph of the fabricated toroidal inductor is shown in Fig. 6(a). The test inductor structure for the two-port measurement is shown in Fig. 6(b). Two-port, as well as short-circuited one-port measurements were carried out using the Hewlett-Packard 8722C network analyzer. A thru-reflect line (TRL) calibration was performed and the additional line lengths have been de-embedded from the measured parameters to obtain the network parameters of the device itself. A comparison of the measurement with the full-wave EM simulation is shown in Fig. 7. The slight discrepancies in the measured response may be attributed to the

1330

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

[13] W. Liu, J. Suryanarayanan, J. Nath, S. Mohammadi, L. P. B. Katehi, and M. B. Steer, “Toroidal inductors for radio frequency integrated circuits,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 646–654, Feb. 2004. [14] P. Murgatroyd, “The optimal form for coreless inductors,” IEEE Trans. Magn., vol. 25, no. 5, pp. 2670–2677, May 1989. [15] M. Phillips and R. K. Settaluri, “New toroidal inductor configurations for LTCC applications,” in Proc. Ceram. Interconnect Technol.: Next Generation II, Denver, CO, Apr. 26–28, 2004, pp. 100–105. [16] R. K. Settaluri, A. Weisshaar, C. Lim, and V. K. Tripathi, “Design of compact multilevel folded-line RF couplers,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2331–2339, Dec. 1999. [17] A. Tripathi and V. K. Tripathi, “A configuration oriented SPICE model for multiconductor transmission lines in an inhomogeneous medium,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 1999–2005, Dec. 1998. [18] V. K. Tripathi, “On the analysis of symmetrical three-line microstrip circuits,” IEEE Trans. Microw. Theory Tech., vol. MTT-25, no. 9, pp. 726–729, Sep. 1977. [19] K. D. Marx and R. I. Eastin, “A configuration-oriented SPICE model for multiconductor transmission lines with homogeneous dielectrics,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 8, pp. 1123–1129, Aug. 1990. [20] Z. Chen, “Fast computation of multiport parameters of multiconductor coupled microstrip lines,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 6, pp. 1393–1395, Jun. 1995. [21] D. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998, pp. 217–222. [22] H. Santos, G. Fischer, H. Tilmans, and J. van Beek, “RF MEMS for umbiquitous wireless connectivity part 2—Application,” IEEE Micro, vol. 5, no. 12, pp. 50–65, Dec. 2004. Michael D. Phillips was born in Portland, OR, in 1973. He received the B.S. degree in electrical engineering and M.S. degree in electrical engineering (in the area of miniaturization of passive inductor design for RF and microwave applications) from Oregon State University, Corvallis, in 2002 and 2005, respectively. From 1991 to 1999, he served in the U.S. Navy as an Electronics Technician within the pacific region. He is currently with the Test Engineering Division, Tektronix, Beaverton, OR.

Raghu Kumar Settaluri (M’98–SM’00) received the B.Tech. degree from the Sri Venkateswara University College of Engineering, Tirupathi, India, in 1983, and the Ph.D. degree from the Indian Institute of Technology, Delhi, India, in 1990. From 1986 to 1990, he was a Senior Scientific Officer-II with the Center for Applied Research in Electronics, Indian Institute of Technology. From 1991 to 1997, he was with Central Electronics Limited, Sahibabad, India, where he was a Senior Technical Manager and Group Leader in the Microwave Electronics Division. From April 1997 to December 1997, he was a Post-Doctoral Fellow with the National University of Singapore. In 1998, he joined the faculty of the Department of Electrical and Computer Engineering, Oregon State University, Corvallis, where he is currently an Associate Professor. He serves on the review panel for a number of program committees at the National Science Foundation (NSF). He coauthored FINCAD, the finline analysis and synthesis software (Artech House, 1996). His current areas of research include computer-aided design (CAD) modeling of microwave and millimeter-wave integrated-circuit components, multilayered embedded passives, RF integratedcircuit (RFIC) interconnects, on-chip magnetically tunable components, and package characterization. His biography is listed in Who’s Who in the Science and Engineering and Who’s Who in American Education. Dr. Settaluri is a member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S), the IEEE Magnetics Society, the IEEE Antenna and Propagation Society (IEEE AP-S), and the IEEE Electron Devices Society. He is on the Editorial Board of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and the IEEE MICROWAVE WIRELESS AND COMPONENTS LETTERS.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

1331

Novel Circuit Model for Three-Dimensional Geometries With Multilayer Dielectrics Jayasanker Jayabalan, Member, IEEE, Ban-Leong Ooi, Senior Member, IEEE, Mook-Seng Leong, Member, IEEE, and Mahadevan K. Iyer, Senior Member, IEEE

Abstract—The partial-element equivalent-circuit (PEEC) method is generalized to include multilayer dielectric interfaces. The boundary between two different dielectrics is treated as a new region to develop an interface Green’s function. The interface Green’s function is subsequently used to calculate the capacitances and inductances of interface surface cells. The method is first verified, using a microstrip to evaluate the quasi-static capacitance, with the method of Wheeler. It is then extended to the PEEC formulation and applied to coupled microstrip-line filter with multilayered dielectrics. The results are compared with that of the method of moments. Agreement is found in the prediction of resonant frequencies and -parameters. Index Terms—Coupled microstrip filter, Green’s function, microstrip lines, multilayer, partial element equivalent circuit (PEEC).

I. INTRODUCTION ARTIAL element equivalent circuit (PEEC) is an efficient full-wave equivalent modeling methodology for handling complex challenges posed by large-scale monolithic-microwave integrated-circuit (MMIC) devices through the use of zero-dimensional objects such as inductors, capacitors, and resistors with time-delayed interaction. It is applicable in both the time and frequency domains [1], [2]. Fast implementations of the method have been shown using multipoles and wavelets [3]–[5]. Nonorthogonal versions of the method have been proposed to handle arbitrary geometries [6]–[8]. Ruehli et al. [9], Ruehli and Antonini [10], Garrett et al. [11], and Ruehli et al. [12] have made accuracy and stability improvements. Model-order reduction has been addressed by Cullum et al. [13] and Antonini et al. [14]. Numerous applications of PEEC have been demonstrated for the case of interconnects, vias, power-ground planes, low-temperature co-fired ceramic (LTCC) circuits, spiral inductors, accurate treatment of crosstalk, skin effect, and dielectric losses. Ordinary differential-equation-based lumped-circuit models are made of noninteracting components and are working well at low frequencies since the interaction terms are negligible. As the frequencies approach the microwave range, the interactions

P

Manuscript received May 17, 2005; revised December 12, 2005. This work was supported by the Agency for Science, Technology, and Research (ASTAR), Singapore under Grant R-265-000-112-305 J. Jayabalan, B.-L. Ooi, and M.-S. Leong are with the Department of Electrical and Computer Engineering, National University of Singapore, Singapore (e-mail: [email protected]). M. K. Iyer is with the Microsystems Packaging Center, Georgia Institute of Technology, Atlanta, GA 30332 USA. Digital Object Identifier 10.1109/TMTT.2006.871228

Fig. 1. Interface between two dielectrics.

among circuit model components cannot be ignored. Delay differential equation based PEEC models are then needed, which contain mutual inductive and capacitive two-body couplings. Usually the interactions are considered weak enough that only a combination of two-body interactions and the second virial coefficients [15] are sufficient for practical needs. The purpose of this paper is to extend the PEEC model to the case of multilayer dielectrics by treating the dielectric interfaces in terms of the Green’s function analog of two-body interaction. Section II introduces the formulation of the Green’s function at the interface to separate out the interaction term using boundary conditions. Familiar examples from the literature are represented here. Section III applies the concept to the quasi-static case of a microstrip, calculates effective per unit length capacitance, and verifies the calculations with the results of Wheeler’s method. Section IV extends the retarded-PEEC model of Ruehli and Heeb [16] to the geometries with multilayer dielectrics. Section V deals with the application of the extended PEEC to the quasi-dynamic case of a coupled microstrip filter and the results of transmission and reflection characteristics of the filter are compared with that obtained from the method of moments. II. INTERFACE GREEN’S FUNCTION The simplest of multilayers, a half space filled with dielectric of permittivity , is considered first in Fig. 1. The Maxwell equation for the dielectric-free space boundary is

(1) and are the electric field and polarization vector, where respectively. At the interface, a third region of infinitesimally small thickness between the dielectric and free space is introduced where the polarization magnitude changes continuously in region 3. Equation (1) is satisfied in all three regions.

0018-9480/$20.00 © 2006 IEEE

1332

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

When the thickness is negligibly small in region 3, the effective permittivity can be represented as

where and are locations to the right and left of the interface is the permittivity distribution function. and When the source charges are at the boundary, we define an effective scalar Green’s function such that

and are source excitations in regions 1 and 2, respectively. While (7) satisfies the wave (6) in general, it is uniquely defined only when the coefficients obtained fulfill the boundary conditions. The 2 2 matrix in (11) is formed such that the diagonal components of the matrix form the homogeneous unbounded Green’s function in the two regions and the counter diagonal terms form the interface component that is the result of interaction between the two regions, i.e.,

(3)

(12)

(2)

where is the free-space impulse response of an unit charge at a distance between the source and field. Typically is given as

with

and (4) for the three-dimensional domain and

(5)

The coefficient of is obtained by setting up an eigenvalue problem with characteristic value . The equation

(13) is solved subject to the limit when the thickness of region 3 approaches zero. This entails using unit basis vector . The value of counter diagonal term is obtained from the solution of as

for the two-dimensional domain. For region 1, a solution of the wave equation (6) is the delta function indicating impulse is sought where excitation. The solution is obtained by applying the method of scattering superposition [17] using a linear combination of unbounded (or self-interaction) Green’s function and scattering (or mutual interaction) Green’s function , which are represented in terms of (4) or (5). Thus, we have

(14) For infinitesimally thin half filled dielectric interface in Fig. 1, the approximation of ,

(15) (7) For region 2, we have a similar solution of the form (8) and in regions I Correspondingly, the electric fields and 2 may also be defined as a combination of unbounded and mutual parts as (9) where (10) (11)

This interaction term (15) along with the self-term is responsible for the effective response. It may be noted that the interaction Green’s function has the form of Silvester’s [18] reflection coefficient term divided by the geometric mean of the permittivities of the two regions. The large denominator in (15) results in faster convergence of the partial image sum series in a confined structure. For the case of an interface between two dielectric materials, the interaction term is

(16) Relation (16) can be deduced by another reasoning. The interface zone is physically influenced by the permittivity of the neighboring dielectrics. The problem of two different permittivities with uniform charges may be treated as equivalent to the problem of two different charges with uniform permittivity considered by Maxwell [19]. To this effect, the charge terms are re-

JAYABALAN et al.: NOVEL CIRCUIT MODEL FOR THREE-DIMENSIONAL GEOMETRIES WITH MULTILAYER DIELECTRICS

1333

. The interaction of the th interface with all the and other interfaces from 1 to results in

(22)

Fig. 2. Interfaces within multilayer dielectrics.

placed by the permittivity terms. The distance between charges are replaced by the elliptic transformation [20]

An inductive open wire loop example [21] is provided as a simple macroscopic analog of the Green’s function matrix. The loop may be considered as a connection of two conducting bars in series. The voltages and currents in the system are related through

(17)

(23)

of the circle

(18) The resulting term that is the mean radius surface is given by

Assuming that same current flows through the bars and that the bars form a tightly coupled lossless system, the mutual inductance becomes

of the extremal

(19) This also leads to the coefficient in (16). For generalizing the interaction term for the multilayer case in Fig. 2, all the interfaces need to be included. Let and be the mean radii of interfaces and , respectively, at distances and from the reference plane. Following Maxwell’s approach [19], the interaction between the interfaces turns out to be the partial image sum

(20)

(24) The relation (24) may be obtained from (14) by duality as a volume integration of the Green’s function kernel when . Thus, the net inductance of the serial conducting bar system becomes

(25) The mutual inductance in this case is an outcome of the twobody interaction of self-inductance terms. Another example [22] is the capacitance coefficient of the system of two insulated spheres of radii and , the centers of which are separated by distance . When the spheres are maintained at potentials and with charges and , the system is represented by

where

(26) where

(21)

(27)

1334

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 3. Microstrip geometry.

and . The capacitance coupling between two equal spheres is obtained as

(28) The self-terms in the form of the partial image sum series of insulated spheres leads to an interaction term that is also a partial sum. Fig. 4. Effective permittivity versus w=h.

III. MICROSTRIP CAPACITANCE In the microstrip quasi-static case of Fig. 3, the solution of potential is sought for the Laplace equation, namely,

where

is given by

(35)

(29) with the boundary conditions

for the perfect electric conductor (PEC) boundary and (30) (36)

at the conductor boundary and

(31) at the boundary between two dielectrics due to the continuity of the normal components of electric displacement. The potential at any point in the plane of the problem is given by the logarithmic kernel as [23], [24]

(32) is the where and are the source and field distances, and corresponding charge, respectively, over interfaces of contour . Expanding the charges in pulse basis leads to

for the dielectric boundary. For numerical implementation, the transverse width of the dielectric layer is taken to be finite laterally. For the microstrip geometry, the effective capacitance is calculated as a function of the ratio of strip width and height. The dielectric layer width is taken to be approximately five times that of the conductor width. The results are plotted in Fig. 4 along with the results obtained from the Wheeler method [25] for comparison. Close agreement of the order of 1% is obtained using the interface Green’s function for the geometry variations and for different dielectric permittivity values. IV. EXTENSION TO PEEC MODEL The PEEC model for the case of homogeneous dielectrics [16] is treated by adding and subtracting the displacement current from the Maxwell’s equation as

(33) (37) at the conducting surfaces, the Using the applied potential moment-method formulation [23] of the microstrip problem with point matching becomes (34)

so that the polarization current due to the dielectrics is combined with conductor current to represent inductive elements. By including dielectric interfaces, it is noted from (1) that

(38)

JAYABALAN et al.: NOVEL CIRCUIT MODEL FOR THREE-DIMENSIONAL GEOMETRIES WITH MULTILAYER DIELECTRICS

1335

Fig. 6. PEEC model at metal and dielectric interface.

and are the source currents and charges. where retardation time between circuit elements given by

is the

Fig. 5. Cell structure for finite conductor including: (a) multilayer dielectrics (b) with multilayer split into two bulk layers and an interface layer.

where the polarization vector is included into the free-space divergence term such that (39) In view of (10), the polarization field is split into unbounded and interaction parts. Accordingly, (39) evolves into (40) with two terms: the first term being the contribution from the bulk dielectric and the second term from the interface (40) The field equation (37) is then modified to

(41) is responsible for the inThe polarization term teraction in the Green’s function. For the case of a multilayer dielectric system in Fig. 5 consisting of a conductor cell and multidielectric cell , the PEEC model takes the form of additional sets of inductance and capacitance elements due to the interface layer nodes. Following the notations from [16], the PEEC equation, for components in -direction, applied to conductor cell in Fig. 5 is

(43) where is the velocity of light in free space and and are the relative permeability and permittivity of the field regions. Upon integration, the first term of (42) leads to the potential term due to the resistance element with conductivity of , the second term gives the potential due to inductance of the electric conductor, the third term gives the potential due to the inductance of the dielectric interface, and the fourth and fifth terms lead to the potential due to the inductances of dielectric interiors, respectively. The last two terms correspond to the potentials due to capacitance elements of conducting surfaces with free charges and dielectric interfaces with bound charges, respectively. Fig. 6 shows the equivalent-circuit representation of metal and dielectric interfaces where the interaction is governed by the mutual inductances, as well as capacitances. The resistance element is obtained by integrating the first term in (42) as

(44) are the cell length along the direction of current where and flow and cell cross-sectional area perpendicular to the direction of current flow, respectively. For the metal cells, the inductance is calculated by integrating the second term of (42) as (45)

are the cross-sectional area of the volume cell where and perpendicular to the direction of current flow. corresponds to the partial self-inductance and corresponds to the partial mutual inductance. The inductive couplings are represented by the voltage-controlled voltage source summation term (46) (42)

where is the potential across the th inductance cell and is the time delay according to (43) between interaction among the th and th cells.

1336

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

For dielectric interfaces, volume integrals are to be replaced by surface integrals such that the interaction becomes

(47) Fig. 7. PEEC model at dielectric interior.

where and are the effective permittivity and cross-sectional length perpendicular to current flow in the dielectric interface, respectively. For capacitance calculation of metal cells, the integral expression of the penultimate term in (42) is approximated to give a partial coefficient of potential as

(48) where and are the extremity position in the -direction, is the total charge of the th cell. In general, and the coefficient of potential is represented as

(49)

Similarly, for the capacitance calculation of dielectric interfaces, the coefficient of potential used is

(50)

The capacitive couplings are represented by the current-controlled current–source summation term

(51) is the current through the th capacitive cell. where Fig. 7 shows the equivalent circuit for dielectric interior [16] where the interaction is due to the mutual inductance components alone. The inductance formulation for the dielectric interior is similar as shown in (45) with the exception of the weight . A series resistance is added to represent a lossy dielectric. Series capacitance is obtained from

(52) where and are the interior dielectric cell length along the current direction and cross-sectional area, respectively.

Fig. 8. Coupled microstrip filter geometry. (a) Top metal layer. (b) Intermediate metal layer. (c) Multilayer cross section backed by ground plane (hashed segment represents coupled line; solid segments represent single transmission line/metal patch).

V. COUPLED MICROSTRIP LINE FILTER The coupled microstrip line filter is chosen as a specific numerical test of the proposed PEEC model. The dynamic properties of the circuit such as the transmission characteristics and resonant frequency for three- and four-layer geometries are investigated. A. Three-Layer Geometry The substrate in Fig. 8 is made of two dielectric layers with permittivity of 3.78 and 2.31 for the bottom and top layers, respectively. Thickness of both the layers is 31.5 mil. The top metal layer has three coupled microstrip lines with length of 870 mil, width of 90 mil, and spacing of 50 mil in the exterior lines and 35 mil in the interior lines. The exterior transmission lines are extended by 435 mil. The intermediate metal layer has two conducting patches of size 435 mil 230 mil. These patches are introduced to enhance the coupling effects. Copper metal of thickness of 1.2 mil is used. All the dielectrics are assumed to have a loss tangent of 0.0009. – in Fig. 8 are the geometrical locations corresponding to the circuit nodes indicated in Fig. 9. For clarity of presentation, only portions of the complete equivalent circuit are shown in Figs. 9 and 10. Interactions between circuit elements are shown in solid objects. The squares and circles represent inductance couplings of the form of (46) in the - and -direction, respectively. The vertical rectangles in Fig. 9 and horizontal rectangles in Fig. 10 represent the capacitive couplings in the form of (51) due to the metal and dielectric interfaces, respectively. The geometry was simulated in the moment method based on the full-wave solver Momentum [26]. The results are compared with that obtained from PEEC simulation. Circuit implementation treats the lateral extent of the substrate to be finite, of about

JAYABALAN et al.: NOVEL CIRCUIT MODEL FOR THREE-DIMENSIONAL GEOMETRIES WITH MULTILAYER DIELECTRICS

1337

Fig. 12. Four-layer coupled microstrip filter geometry. (a) Top metal layer. (b) Second metal layer. (c) Third metal layer. (d) Multilayer cross section backed by ground plane (hashed segment represents coupled line; solid segments represent single transmission line/metal patch).

Fig. 9. Equivalent circuit for a coupled microstrip.

Fig. 10. Equivalent circuit at the interface layer nodes.

Fig. 13.

S 11 magnitude response of four-layer coupled line filter.

to multilayer interfaces. Fig. 11 shows the close agreement between the two simulations. The resonant frequencies are found to be 2.14 and 2.45 GHz with insertion loss ( ) of 1.5 and 2.3 dB, respectively. B. Four-Layer Geometry

Fig. 11.

S 21 magnitude response of three-layer coupled line filter.

five times the size of the coupled strip, to keep the computational effort reasonably low while maintaining required relative accuracy of the order of 1%. The circuit element cells have been segmented in the order of for 3-GHz operation. Details of the implementation are similar to that described in [16], [27], and [28], but with inclusion of additional circuit nodes of Fig. 10 due

The substrate in Fig. 12 is made of three dielectric layers with the free space as the top layer. The geometry is the same as the earlier test case, except that the bottom layer with the permittivity of 4.82 and thickness 31.5 mil has been added. A square-shaped metallic patch of side 355 mil and thickness 1.2 mil is included between the bottom and its adjacent layer. The PEEC implementation is same as the previous case. in Fig. 13 shows that the lower resonant frequency has drifted noticeably due to the couplings from the additional dielectric and metal layer. The resonant frequencies were found to be 2.03 and 2.45 GHz in the method-of-moment solver, which compares closely to 2.01 and 2.41 GHz calculated through PEEC.

1338

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

VI. CONCLUSION The PEEC method has been applied to multilayer dielectric geometry. To do this, the concept of mutual interactions between circuit elements has been extended to the Green’s function. Isolation of the self-component and mutual component lends itself to separate treatment of the interface from the bulk substrate. This formulation was first tested in a quasi-static capacitance problem in a microstrip. The per unit length capacitance was evaluated for different geometries and material properties. Transmission characteristics of a multilayered coupled microstrip filter were then analyzed. In both instances, the treatment of the dielectric interface in terms of mutual interaction analog of the Green’s function was found to give satisfactory results compared to other independent studies. This formulation will be useful in analyzing complex multilayer chips and packaged systems at microwave/RF frequencies by incorporating it into existing circuit solvers. REFERENCES [1] A. Ruehli, “Partial element equivalent circuit (PEEC) method and its application in the frequency and time domain,” in Proc. Electromagn. Compat. Symp., Aug. 19–23, 1996, pp. 128–133. [2] W. Pinello and A. Ruehli, “Time domain solutions for coupled problems using PEEC models with waveform relaxation,” in IEEE Antennas Propag. Soc. Int. Symp. Dig., Jul. 21–26, 1996, vol. 3, pp. 2118–2121. [3] G. Antonini, “The fast multipole method for PEEC circuits analysis,” in Proc. Electromagn. Compat. Symp., Aug. 19–23, 2002, vol. 1, pp. 446–451. [4] G. Antonini and A. Orlandi, “A wavelet-based time-domain solution for PEEC circuits,” IEEE Trans. Circuits Syst. I, Fundam. Theory Appl., vol. 47, no. 11, pp. 1634–1639, Nov. 2000. [5] G. Antonini, A. Orlandi, and A. E. Ruehli, “Speed-up of PEEC method by using wavelet transform,” in Proc. Electromagn. Compat. Symp., Aug. 21–25, 2000, vol. 1, pp. 95–100. [6] A. E. Ruehli, G. Antonini, J. Esch, J. Ekman, A. Mayo, and A. Orlandi, “Nonorthogonal PEEC formulation for time- and frequency-domain EM and circuit modeling,” IEEE Trans. Electromagn. Compat., vol. 45, no. 2, pp. 167–176, May 2003. [7] G. Antonini, A. E. Ruehli, and J. Esch, “Nonorthogonal PEEC formulation for time and frequency domain modeling,” in Proc. Electromagn. Compat. Symp., Aug. 19–23, 2002, vol. 1, pp. 452–456. [8] G. Antonini, A. Orlandi, and A. E. Ruehli, “Analytical integration of quasi-static potential integrals on nonorthogonal coplanar quadrilaterals for the PEEC method,” IEEE Trans. Electromagn. Compat., vol. 44, no. 2, pp. 399–403, May 2002. [9] J. E. Garrett, A. E. Ruehli, and C. R. Paul, “Accuracy and stability improvements of integral equation models using the partial element equivalent circuit (PEEC) approach,” IEEE Trans. Antennas Propag., vol. 46, no. 12, pp. 1824–1832, Dec. 1998. [10] A. E. Ruehli and G. Antonini, “On modeling accuracy of EMI problems using PEEC,” in Proc. Electromagn. Compat. Symp., Aug. 18–22, 2003, vol. 1, pp. 341–346. [11] J. Garrett, A. E. Ruehli, and C. Paul, “Recent improvements in PEEC modeling accuracy,” in Proc. Electromagn. Compat. Symp., Aug. 18–22, 1997, pp. 347–352. [12] A. Ruehli, U. Miekkala, A. Bellen, and H. Heeb, “Stable time domain solutions for EMC problems using PEEC circuit models,” in Proc. Electromagn. Compat. Symp., Aug. 22–26, 1994, pp. 371–376. [13] J. Cullum, A. E. Ruehli, and T. Zhang, “Model reduction for PEEC models including retardation,” in Proc. Elect. Perform. Electron. Packag. Top. Meeting, Oct. 26–28, 1998, pp. 287–290. [14] G. Antonini, A. Orlandi, and A. E. Ruehli, “Harten’s scheme for PEEC method,” in Proc. Electromagn. Compat. Symp., Aug. 13–17, 2001, vol. 1, pp. 340–344. [15] D. Ter Harr, Introduction to the Physics of Many Body-Systems. New York: Intersci., 1958, pp. 1–8. [16] A. E. Ruehli and H. Heeb, “Circuit models for three-dimensional geometries including dielectrics,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 7, pp. 1507–1516, Jul. 1992. [17] C. T. Tai, Dyadic Green Functions in Electromagnetic Theory, 2nd ed. Piscataway, NJ: IEEE Press, 1993, ch. 2, pp. 21–37.

[18] P. Silvester, “TEM wave properties of microstrip transmission line,” Proc. Inst. Elect. Eng., vol. 115, pp. 43–38, Jan. 1968. [19] J. C. Maxwell, A Treatise on Electricity and Magnetism. New York: Dover, 1954, pp. 244–271. [20] G. Szego, “Conformal mapping of the interior of an ellipse onto a circle,” Amer. Math. Monthly, vol. 57, no. 7, pp. 474–478, 1950. [21] A. E. Ruehli, Ed., “Circuit analysis, simulation and design,” in Advances in CAD for VLSI. Amsterdam, The Netherlands: Elsevier, 1986, vol. 3, ch. 11, pp. 235–249. [22] A. G. Greenhill, The Application of Elliptic Functions. New York: Macmillan, 1892, pp. 287–288. [23] C. Wei, R. F. Harrington, J. R. Mautz, and T. K. Sarkar, “Multiconductor transmission lines in multilayered dielectric media,” IEEE Trans. Microw. Theory Tech., vol. 32, no. 4, pp. 439–450, Apr. 1984. [24] Y. Naiheng and R. F. Harrington, “Characteristic impedance of transmission lines with arbitrary dielectrics under the TEM approximation,” IEEE Trans. Microw. Theory Tech., vol. 34, no. 4, pp. 439–450, Apr. 1986. [25] A. Bhattacharyya, Electromagnetic Fields in Multilayered Structures: Theory and Applications. Norwood, MA: Artech House, 1994, ch. 6, pp. 123–130. [26] “Advanced Design System—Momentum, Users’ Manual,” Agilent Technol., Palo Alto, CA, 2003. [27] C. Wollenberg and A. Gurisch, “Analysis of 3-D interconnect structures with PEEC using SPICE,” IEEE Trans. Electromagn. Compat., vol. 41, no. 4, pp. 412–417, Nov. 1999. [28] J. Jayabalan, B. L. Ooi, M. S. Leong, and M. K. Iyer, “A scaling technique for partial element equivalent circuit analysis using SPICE,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 5, pp. 216–218, Mar. 2004.

Jayasanker Jayabalan (M’93) received the B.Eng. (first-class honors) degree in electrical engineering from Madurai Kamaraj University, Madurai, India, in 1987, and the M.Sc. (engg.) degree in electrical engineering from the National University of Singapore, Singapore, in 2002, and is currently working toward the Ph.D. degree at the University of Singapore. His doctoral research concerns the application of PEEC modeling for wafer-level package test. He is currently a Research Fellow with the National University of Singapore. He possesses over 15 years of industry and research experience in the design, development, and test of advanced very large scale integration (VLSI) digital and RF devices. He has authored 15 publications. He holds one U.S. patent. His main research interests include circuit models for microwave applications, computational electromagnetics, and high-frequency characterization of semiconductor devices and packages.

Ban-Leong Ooi (M’91–SM’04) received the B.Eng. and Ph.D. degrees from the National University of Singapore, Singapore, in 1992 and 1997, respectively. He is currently an Associate Professor of electrical and computer engineering with the National University of Singapore. He is the Past Director of the Centre of RF and Microwaves. He also serves as the Deputy Director for the Monolithic Microwave Integrated Circuit (MMIC) and Packaging Laboratory and the Laboratory Supervisor for the Microwave Laboratory, National University of Singapore. His main research interests include active antennas, microwave semiconductor device modeling and characterization, microwave and millimeter-wave circuits design, and novel electromagnetic numerical methods. Dr. Ooi has served as the secretary for the Singapore IEEE Microwave Theory and Techniques (MTT)/Electromagnetic Compatibility (EMC)/Antennas and Propagation (AP) Chapter in 2000 and 2001, and the Chapter vice-chairman in 2002 and 2003. He is currently the chairman of the Singapore IEEE MTT/AP chapter. He was actively involved in organizing both the 1999 Asia–Pacific Microwave Conference, Singapore, the 2003 Progress in Electromagnetic Research Symposium, Singapore, the Singapore IME/I R/IEEE-MTT Joint Ultra-Wideband (UWB) Evening Panel Session in 2003, the International Workshop on Antenna Theory in 2005, and the 2006 EMC, Zurich, Singapore. He has served

JAYABALAN et al.: NOVEL CIRCUIT MODEL FOR THREE-DIMENSIONAL GEOMETRIES WITH MULTILAYER DIELECTRICS

as the publication chairman for both the 1999 Asia–Pacific Microwave Conference, Singapore and the 2003 Progress in Electromagnetic Research Symposium. He was the sponsorship chairman for the 2005 International Workshop on Antenna Theory and the co-chairman for the 2006 EMC Zürich, Singapore. He was a recipient of the 1993 International Scientific Radio Union (URSI) Young Scientist Award.

Mook-Seng Leong (M’75) received the B.S.E.E. degree (with first-class honors) and Ph.D. degree in microwave engineering from the University of London, London, U.K., in 1968 and 1971, respectively. He is currently a Professor of electrical engineering with the National University of Singapore, Singapore. His main research interests include antenna and waveguide boundary-value problems and semiconductor characterization using the spreading resistance profiling (SRP) technique. Dr. Leong is a member of the Massachusetts Institute of Technology (MIT)-based Electromagnetic Academy and a Fellow of the Institution of Electrical Engineers (IEE), U.K. He is the chairman of the IEEE Microwave Theory and Techniques (MTT)/Electromagnetic Compatibility (EMC)/Antennas and Propagation (AP) Joint Chapter and Singapore IEEE Section. He was the recipient of the 1996 MINDEF–NUS Joint Research and

1339

Development Award for his outstanding contributions to the MINDEF–NUS Research and Development Program.

Mahadevan K. Iyer (M’96–SM’02) received the Ph.D. degree from Loughborough University of Technology, Loughborough, U.K. His doctoral dissertation concerned the development of an innovative interconnect scheme for gigabit logic modules. Until September 2005, he headed the Microsystems, Modules, and Components Division, Institute of Microelectronics, Singapore. He is currently the Director of Research at the Microsystems Packaging Center, Georgia Institute of Technology, Atlanta. He has authored or coauthored over 150 publications. He holds 12 U.S. patents. He possesses over 20 years of industry and research experience in the design and development of advanced packaging technologies and RF and opto-electronic modules using system-in-package technologies. His research interests are RF and opto-electronic module design, numerical modeling, and high-frequency measurements. Dr. Iyer has been the chair person, Technical Program chair and Technical Committee member for many leading International Conferences of the IEEE Components, Packaging, and Manufacturing Technology (CPMT) Society. He was the recipient of four Best Paper Awards in leading international conferences.

1340

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Augmented Hammerstein Predistorter for Linearization of Broad-Band Wireless Transmitters Taijun Liu, Member, IEEE, Slim Boumaiza, Member, IEEE, and Fadhel M. Ghannouchi, Senior Member, IEEE

Abstract—In this paper, an augmented lookup-table-based Hammerstein predistorter is proposed for the first time in order to further improve the pre-correction capability of the traditional Hammerstein predistorter in the context of broad-band high-power wireless transmitters. The predistorter scheme consists of two separate modules, and its parameters are determined in two steps, which are: 1) static predistorter identification and then 2) dynamic part identification. The performance assessment of the newly proposed predistorter is carried out on a wireless transmitter prototype, which includes an -band push–pull GaAs field-effect transistor 45-dBm peak-envelope power amplifier. Moreover, one- and three-carrier Third-Generation Partnership Projects frequency-division duplex wide-band code-division multiple-access signals are used as test signals to verify the robustness of this novel predistorter under different bandwidth signals. The linearized transmitter prototype output spectrum demonstrates noticeable superiority of the proposed augmented predistorter in suppressing the spectrum regrowth caused by the memory effects in comparison to the traditional Hammerstein predistorter. Index Terms—Augmented Hammerstein predistorter, broadband wireless transmitters, Hammerstein predistorter, lookup table (LUT), memory effects.

I. INTRODUCTION IGH-EFFICIENCY wide-band transmitter design for modern high-speed wireless communication systems, such as worldwide interoperability for microwave access (WiMAX), third-generation (3G) and beyond systems, etc., is a complex task since it involves numerous inconsistent requirements. In such contexts, simultaneously accomplishing high linearity and high-power efficiency is particularly a great challenge. In fact, to efficiently utilize the precious limited spectrum resources, several complicated modulation schemes have been widely used in the modern wide-band wireless communication systems. These modulated signals lead to a nonconstant envelope with large peak-to-average power ratios (PAPRs), which can be as high as 12 dB in some cases. Consequently, the power amplifier (PA) in the transmitter has to be designed either to operate near its saturated area, so as to provide higher system power efficiency, or at large backoff from its nonlinear region, in order to meet the required linearity. Accordingly, the PA ends up with either high efficiency, but bad linearity, or

H

Manuscript received May 23, 2005; revised October 4, 2005. This work was supported by the Natural Sciences and Engineering Research Council of Canada (NSERC), by the Informatics Circle of Research Excellence (iCORE), by TRLabs, and by Canada Research Chairs (CRC). The authors are with the Intelligent RF Radio Laboratory, Electrical and Computer Engineering Department, University of Calgary, Calgary, AB, Canada T2N 1N4 (e-mail: [email protected]; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.871230

vice versa. Therefore, to satisfy the linearity requirement while operating the PA at its nonlinear area, one has to correct for the different sources of distortion all along the entire transmitter chain. For this reason, different linearization techniques, such as feedback [1], feed-forward [2], and predistortion [3], [4] have been proposed to improve the linearity of the transmitter. Among the various linearization techniques, digital baseband predistortion is one of the most promising and cost-effective linearization techniques due to its digital implementation that offers significant accuracy and flexibility. Considering its simplicity and relative ease of implementation, the lookup table (LUT) is by far the most widely used means for the construction of the inverse of the amplitude-modulation /amplitude-modulation (AM/AM) and amplitude-modulation /phase-modulation (AM/PM) characteristic curves of the transmitter or PA [5]–[8]. However, this type of predistorter is only valid for memoryless nonlinear cases such as the traditional narrow-band wireless communication systems. In the wide-band transmitter/PA context, the memory effects exhibited by the transmitter/PA significantly limit the ability of the memoryless predistorter to suppress the spectrum regrowth [9]. These memory effects can generally be categorized as electrothermal memory effects and electrical memory effects. The electrothermal memory effects are mainly caused by the thermal capacitance and resistance that form a low-pass thermal filter. The electrical memory effects can be mainly attributed to the nonconstant frequency response of the transmitter around the carrier frequency, the impedance variation of bias circuits at baseband, and the harmonic loading in the PA power stage [10], [11]. In the context of a broad-band wireless transmitter, the electrical memory effects are the dominant sources of the spectrum regrowth since the thermal filter time constant is too large compared to the inverse of the signal bandwidth [12]. Therefore, the memory effects in the remainder of this paper are limited to the electrical memory effects. Different predistorter architectures, which are intended to compensate for the nonlinearity, as well as the memory effects, have been reported in the literature. For example, a memory polynomial model was proposed in [13] and utilized in [14]–[16] to address these effects. However, a memory polynomial-based predistorter suffers from numerical instability when higher order polynomial terms are included because a matrix inversion is needed for the determination of the polynomial coefficients [17]. Alternatively, Raich et al. [17] employed orthogonal polynomials to alleviate the numerical instability problem associated with the traditional polynomials. Two-box-based predistorters, which are called either a Hammerstein predistortor or a Wiener predistorter, depending on the cascading order of the nonlinear block and linear block,

0018-9480/$20.00 © 2006 IEEE

LIU et al.: AUGMENTED HAMMERSTEIN PREDISTORTER FOR LINEARIZATION OF BROAD-BAND WIRELESS TRANSMITTERS

are another type of common predistorter architecture in the literature. For example, a Hammerstein predistorter, which is a cascade of a memoryless nonlinear block followed by a linear filter, was utilized to compensate for the nonlinearity, as well as the memory effects of a PA [18], [19]. Recently, Wang and Ilow [20] demonstrated the compensation performance using a Wiener predistorter to linearize the high power amplifier (HPA) with memory effects in an orthogonal frequency-division multiplexing (OFDM) transmitter while considering the HPA as a Hammerstein nonlinear system. In these two examples, the memoryless nonlinearity is represented by a complex high-order memoryless polynomial. In addition, the identification of the coefficients of the memoryless nonlinear block and the taps of the linear filter are concurrently resolved by means of complicated algorithms that are applied either in a time domain [18], [19] or frequency domain [20]. Moreover, Sano and Sun [21] proposed a new three-box efficient Wiener–Hammerstein predistortion scheme for pre-compensating the nonlinear distortion of an HPA. The identification algorithm of this scheme is implemented in a frequency domain. In this paper, a LUT-based Hammerstein predistorter is initially developed to compensate for the nonlinearity and the memory effects that occur in a broad-band wireless transmitter. To further improve the compensation performance of the predistorter, an augmented Hammerstein predistorter is then proposed. The remainder of this paper is organized as follows. Section II elucidates the details of the LUT-based Hammerstein predistorter and its corresponding identification procedure. In Section III, a new augmented Hammerstein predistorter is proposed in order to improve the correction performance for the nonlinearity and the memory effects in the context of the broad-band wireless transmitter. Section IV describes the test bed used in the experimental validation of different predistorters involved in this paper. In Section V, the validation results of the Hammerstein predistorter and augmented Hammerstein predistorter with different configurations under one- and three-carrier third-generation partnership projects frequency-divisionduplex (3GPP-FDD) wide-band code-division multiple-access (WCDMA) signals are illustrated and discussed using an -band 45-dBm GaAs field-effect transistor (FET) push–pull PA-based transmitter. Section VI presents the conclusion. II. LUT-BASED HAMMERSTEIN PREDISTORTER AND IDENTIFICATION A. LUT-Based Hammerstein Predistorter A Hammerstein predistorter, as illustrated in Fig. 1, is utilized to build a predistortion function for a broad-band wireless transmitter. Accordingly, the predistorter is decomposed into a nonlinear static memoryless subsystem and a linear dynamic one. The static memoryless subsystem is intended to precompensate for the static nonlinearity of the transmitter, while the linear dynamic filter is focused on suppressing the spectrum regrowth caused by the memory effects. The memoryless predistortion can be implemented using the traditional LUT. This LUT is constructed based on the AM/AM and AM/PM characteristics of the transmitter that are extracted directly from the

1341

Fig. 1. Hammerstein predistorter diagram.

Fig. 2. Offline training scheme for Hammerstein predistorter identification.

baseband measurement data by means of a moving average procedure, as explained in [22]. Consequently, the complex dynamic predistortion problem is simplified to a relatively easy linear dynamic problem. In this way, resolving the Hammerstein predistortion becomes more convenient than the traditional solutions [18]–[20], where all of the linear and nonlinear parameters of the Hammerstein model are resolved concurrently by means of elaborate algorithms. The identification of the static memoryless predistorter on the basis of raw measured baseband data and permits the extraction of the nonmeasurable variable , shown in Fig. 1, which is required in the identification of the linear filter subsystem. For this reason, the input signal of the predistorter is applied to the memoryless predistorter subsystem so as to get the dynamic linear filter input signal . The input and output signals of the two blocks in Fig. 1 can be related as follows:

(1) (2) refers to the where memoryless complex gain of the predistorter that depends only on the instantaneous magnitude of ; is a linear transfer function of the linear filter. B. Hammerstein Predistorter Identification Hammerstein parameter identification is performed using an offline training scheme, as shown in Fig. 2. To identify the parameters of the predistorter, the output of the transmitter is normalized by the designated linear gain of the transmitter and taken as the input training sequence of the predistorter, i.e.,

(3)

1342

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 3. Predistorter AM/AM curve smoothed with DEWMA versus the raw measurement AM/AM data.

The input training sequence

Fig. 4. Predistorter AM/PM curve smoothed with DEWMA versus the raw measurement AM/PM data.

of the transmitter is used as the output of the predistorter, i.e., (4)

The dynamic exponential weighted moving average (DEWMA) method [22] is then applied to the predistorter training sequences and in order to remove the dispersion of the dynamic AM/AM and AM/PM characteristics. As reported in [22], the weight factor should not be constant, as is used in the traditional EWMA, during the averaging process, in order to handle the variable dispersion along the whole input power range. Therefore, we can define

Fig. 5. Dynamic AM/AM characteristics of the linear filter extracted from the measurement data.

(5) is the minimum amplitude of the input, is the maximum amplitude of the input, is a constant weight factor with value between 0–1, is an adjustment factor with a value that is larger than 1, and is a positive integer for changing the variation speed of the weight factor with the input. The extracted smoothed AM/AM and AM/PM curves are then used to construct the LUT of the predistorter. Figs. 3 and 4 show typical extracted AM/AM and AM/PM curves, which are based on the measurement data when the transmitter is driven with a three-carrier 3GPP-FDD WCDMA signal. This latter is synthesized according to 3GPP test-model-3 with a carrier separation of 5 MHz from each other [23]. The intermediate training data set is deduced via the application of the input training data to the previously constructed LUT. Based on the data set and , the AM/AM and AM/PM characteristics of the memory effect subsystem in Fig. 1 are traced and shown in Figs. 5 and 6. These two figures illustrate the removal of the strong static nonlinearity. In this paper, a finite impulse response (FIR) filter is chosen instead of an infinite impulse response (IIR) filter to build the where

Fig. 6. Dynamic AM/PM characteristics of the linear filter extracted from the measurement data.

dynamic linear filter in order to avoid the potential instability of an IIR filter. Thus, (2) can be expressed as

(6)

LIU et al.: AUGMENTED HAMMERSTEIN PREDISTORTER FOR LINEARIZATION OF BROAD-BAND WIRELESS TRANSMITTERS

Fig. 7. Augmented Hammerstein predistorter diagram.

where denotes the number of the FIR filter taps and denotes the coefficients of the FIR filter taps. Equation (6) can then be rewritten in matrix format as follows:

1343

mitter output when the transmitter is driven with a modulated signal. For this new dynamic FIR-based filter, an extra parallel branch is added to the linear FIR filter. In this parallel branch, the input signal is multiplied by its magnitude in order to generate even-order distortions that will be applied to a second FIR filter. Accordingly, the new predistorter includes distortions sources that are close to those encountered in the real transmitter to be linearized. Thus, a superior performance to that obtained with a simple linear FIR filter is anticipated. Assuming that the two FIR filters in the dynamic FIR-based filter have and taps, respectively, the input signal and the output signal of this predistorter, given in the Fig. 7, can be correlated as follows:

(7) where (8) (9) (10) (11) The FIR filter parameter identification can be performed using the recursive least squares (RLS) algorithm [24], where the deduced and the measured are taken as the input signal and desired signal, respectively. Once the memoryless LUT and the linear filter parameters are identified, the offline training procedure is ended, and the Hammerstein predistorter parameters are updated accordingly. This offline training procedure is required to be repeated several times until is minimized. The training process is then suspended until the output spectrum of the transmitter fails to satisfy the predefined requirements again. In this way, the adaptive predistortion can be easily achieved with the feedback loop.

(12) where, and denote the memory depth of the predistorter. and represent the tap coefficients of the two FIR filters, FIR1 and FIR2, respectively. The memoryless static nonlinear module in the augmented Hammerstein predistorter can also be expressed by (1). Based on the training sequence and , the moving average procedure proposed in [22] can be utilized to extract the AM/AM and AM/PM LUT to construct a memoryless predistorter. After removing the strong static nonlinearity using the obtained LUT, the identification of the coefficients and can be largely simplified. Let (13) Equation (12) can be rewritten as

(14)

III. AUGMENTED HAMMERSTEIN PREDISTORTER As discussed in Section II, the memory effects are pre-compensated by means of a linear FIR filter in the traditional Hammerstein predistorter. This linear filter corrects for the electrical memory effects that can be attributed mainly to the nonconstant frequency response of the transmitter around the carrier frequency. Consequently, it fails to completely pre-compensate for the electrical memory effects due to the impedance variation of the bias circuits and harmonic loading of the power transistors. For that reason, an augmented Hammerstein predistorter, as shown in Fig. 7, is proposed to enhance the correction capability in the context of broad-band wireless transmitters. This augmented Hammerstein predistorter is a cascade of a strong nonlinear static subsystem and a dynamic weak nonlinear subsystem. The strong nonlinear subsystem, which is based on averaged AM/AM and AM/PM characteristics of the predistorter, can be implemented using LUTs. However, the dynamic weak nonlinear subsystem is composed of a new dynamic FIRbased filter, which is responsible for annulling the spectrum regrowth produced by the dynamic distortion sources at the trans-

Consequently, (14) can be resolved with the RLS algorithm if (9) and (11) are modified as follows: (15)

(16) where is the largest value of and . Since only the first- and second-order terms of the input signals are involved in this new predistorter scheme, the RLS algorithm exhibits good numerical stabilities. FIR1 in Fig. 7, which is widely used in the Hammerstein structure, permits compensation for the frequency response of the transmitter around the carrier frequency. In this case, the linear filter FIR1 plays the role of a pre-equalizer. If the PA exhibits only the odd-order nonlinearities, this filter will be enough

1344

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 8. Frequency response of the added FIR2 (ten-tap FIR filter) used in the augmented Hammerstein predistorter while applying a three-carrier 3GPP-FDD WCDMA signal.

to get rid of the memory effects. However, the PA is an actual nonlinear RF circuit that, in principle, generates even-order harmonics and intermodulation products in addition to the dominant odd-order intermodulation products and harmonics. In particular, the second harmonic and envelope components, when remixed afterwards with the in-band signal, will end up with passband distortions that will be added to those produced by the odd-order nonlinearities [10], [25]. In addition, since the signal excitation is wide-band, the frequency response of the matching circuits at the harmonics and the biasing circuits will cause additional memory effects. With the parallel filter branch in the predistorter, one can generate baseband even-order distortions, which compensate for those introduced by the passband PA even-order distortions when remixed with the in-band signal. The baseband distortions are then shaped using FIR2 to take into account the frequency response of biasing circuits and matching circuits around harmonics. The parallel branch is, therefore, contributing to the cancellation of the nonlinearity effects introduced by the nonideal biasing and matching circuits in a real PA. To demonstrate the effectiveness of this new memory effect subsystem, the frequency response of the added filter (FIR2) in the augmented Hammerstein predistorter is plotted in Fig. 8, where a three-carrier 3GPP-FDD WCDMA signal is used. Hence, one can measure the contribution of the filter to the memory effect compensation. FIR2 admits a flat frequency response around the carrier frequency. Indeed, the biasing circuits and the harmonics load’s impedances are almost constant for a small frequency shift from the carrier frequency. However, at the frequency points far from the carrier frequency, the filter has a more noticeable frequency response to compensate for the impedance variation of the bias circuits and harmonic loads. IV. VALIDATION EXPERIMENTAL SETUP The experimental setup used to evaluate the compensation performance of the conventional Hammerstein predistorter and the augmented Hammerstein predistorter is shown in Fig. 9. The broad-band wireless transmitter prototype includes an RF vector modulator, two digital-to-analog converters, and an RF PA at

the frequency band of 1930–1990 MHz. The RF PA is a cascade of three stages. The first stage contains a 40-dBm linear LDMOS PA MHL-19936 with 29-dB gain from Freescale Semiconductor, Austin, TX. The second stage is based on a Freescale Semiconductor MRF19045 LDMOS transistor. The final stage is comprised of a 45-dBm peak-envelop-power push–pull FET transistor (FLL600IQ-2) from Eudyna Devices USA Inc., San Jose, CA. The whole lineup of the RF PA has 53-dB gain and 45-dBm saturated power. Moreover, the RF vector modulator and two digital-to-analog converters are emulated with an electronic signal generator (ESG) (E4438C, Agilent Technologies, Palo Alto, CA). Therefore, the transmitter prototype is physically constructed with the ESG and PA. The host digital signal processor (DSP) is implemented with a personal computer (PC), where the in-phase/quadrature (I/Q) signal is initially synthesized using the 3GPP library in Agilent’s Advanced Design System (ADS). In this study, the I/Q test signals have one 3GPP-FDD WCDMA carrier and three neighboring 3GPP-FDD WCDMA carriers (carrier spacing 5 MHz for every two neighboring carriers), which are configured according to 3GPP test-model-3 with 32 code channels [23]. The baseband I/Q signal is firstly preprocessed by the predistortion function and then downloaded to the I/Q arbitrary waveform generator of the ESG via the general-purpose interface bus (GPIB) interface with the help of the dynamic link existing between the ADS and ESG. After that, the predistorted baseband signal is modulated to an RF carrier in the ESG and fed to the PA. In this way, the ADS in the host DSP, the ESG, and the PA work together to form a baseband linearized transmitter prototype. The baseband data at the output of the transmitter is captured by an RF receiver. As shown in Fig. 9, this receiver consists of an RF/IF down-converter, a high-speed analog-to-digital converter, a digital down-converter, and the host DSP. In this study, the receiver prototype is physically constructed by a high-performance spectrum analyzer (PSA) (E4446A, Agilent Technologies), a vector signal analyzer (VSA) (89611A, Agilent Technologies) and a PC. The spectrum analyzer serves as a down-converter, which transforms the RF signal to a 70-MHz IF. The IF signal is then digitized by means of the high-speed digitizer module Agilent 1439C and digitally down-converted to baseband I and Q signals. The VSA software in the PC captures the baseband I and Q data via the high-speed IEEE1394 interface. It should be noted that the time delay between the input baseband data sequence and the equivalent output baseband data sequence should be accurately aligned. The baseband input and output data are first captured by the VSA (without delay compensation). The time delay between these two sequences is then estimated using a co-variance-based algorithm. To increase the accuracy of the delay estimation, a Lagrange interpolation is implemented to increase the sampling rate. Finally, this estimated delay time is used to set the delay calibration parameter in the VSA, and the baseband input and output data are captured again. Therefore, the captured input and output data are correctly aligned. Furthermore, the measurement setup, shown in Fig. 9, has a modulation bandwidth of 39 MHz. The sampling rate used in the experiment is equal to 46.08 million samples per second (MSPS) and 92.16 MSPS for one- and three-carrier WCDMA

LIU et al.: AUGMENTED HAMMERSTEIN PREDISTORTER FOR LINEARIZATION OF BROAD-BAND WIRELESS TRANSMITTERS

Fig. 9

1345

Experimental setup for verifying digital predistorters. (A) Experimental set up diagram. (B) Functional diagram of the experimental setup.

signals, respectively. As shown in Fig. 9(A), both the VSA and spectrum analyzer are synchronized by a 10-MHz reference signal from the ESG. The captured baseband data at the input and output of the prototype transmitter are processed in MATLAB in order to deduce the parameters of the predistorter. Finally, the obtained predistorter parameters are sent to the ADS to update the corresponding predistorter parameters. The performance of the different predistorters can be evaluated by comparing the output spectra of the transmitter obtained with the various predistorter schemes. V. VALIDATION RESULTS AND DISCUSSION To validate the pre-compensation ability of the predistorter, the 1024-entry LUT and dynamic FIR filter are constructed using the offline training method introduced in Section IV. At first, the LUT is built using the DEWMA method in MATLAB. The RLS algorithm is then applied to determine the coefficients of the dynamic filter. The constructed predistorter is then implemented in ADS to synthesize the predistorted version of the test signal that will be fed to the wide-band transmitter. To test the generality of the predistorter, a frame of the 3GPP-FDD WCDMA signal, which is different from the frame used in the predistorter identification stage, is applied to the predistorter during the validation phase.

A. Hammerstein Predistorter To optimize the Hammerstein predistorter, the dynamic FIR filter is configured with a different numbers of taps so as to evaluate the variation of the residual spectrum regrowth. Fig. 10 illustrates the ability of the Hammerstein predistorters, with different FIR taps, to suppress the output spectrum regrowth of the transmitter, while applying the predistorted one-carrier 3GPP-FDD WCDMA signals. The spectrum of the Hammerstein predistorter with the 128-tap FIR filter shows the larger sidelobe suppression. In comparison to the spectrum of the transmitter obtained using a memoryless predistorter, one can conclude that, to some extent, all of the different Hammerstein predistorters are able to partially suppress the spectrum regrowth caused by the memory effects. Fig. 11 shows the adjacent channel power ratio (ACPR) at the output of the transmitter for different predistorters, which are assessed at several frequency offsets ( 15, 10, 5, 5, 10, and 15 MHz) from the center frequency within the 3.84-MHz bandwidth. The improved ACPR value at the 5-MHz offset for the transmitter with the Hammerstein predistorter that has the 128-tap FIR filter is as high as 15 dB. The average output power of the transmitter linearized by the Hammerstein predistorter with the 128-tap FIR filter is approximately 36.6 dBm. Furthermore, Fig. 10 clearly exemplifies that all of these Hammerstein predistorters cannot effectively suppress the

1346

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

to 54.2 dBc of the ACPR obtained with the memoryless predistorter, there is no obvious improvement for further suppression of the spectrum regrowth using these traditional Hammerstein predistorters. Consequently, based on the results obtained, one can conclude that, in actual transmitter systems, the ability of the Hammerstein predistorter for reducing the transmitter spectrum regrowth caused by the memory effects is limited. This may be due to the fact that real broad-band transmitters cannot be accurately characterized by, and do not obey, a Wiener nonlinear model. This is in agreement with the simulation results and conclusion reached in [19], where a perturbed Wiener PA model was simulated and further linearized using a Hammerstein predistorter. B. Augmented Hammerstein Predistorter

Fig. 10. Spectrum comparison of the transmitter with different Hammerstein predistorters. (a) Without predistorter. (b) With memoryless predistorter. (c) Hammerstein predistorter with a ten-tap FIR filter. (d) Hammerstein predistorter with a 64-tap FIR filter. (e) Hammerstein predistorter with a 128-tap FIR filter. (A) Full spectrum comparison. (B) Zoom-in spectrum comparison.

Fig. 11. ACPR comparison of the transmitter with different Hammerstein predistorter. (a) Without predistorter. (b) With memoryless predistorter. (c) Hammerstein predistorter with a ten-tap FIR filter. (d) Hammerstein predistorter with a 64-tap FIR filter. (e) Hammerstein predistorter with a 128-tap FIR filter.

spectrum regrowth close to the main channel. The best ACPR value at the 5-MHz offset for the transmitter with the Hammerstein predistorters is approximately 55.5 dBc. In comparison

The parameter identification of the augmented Hammerstein predistorter is firstly carried out in terms of the procedure explained in Section III. A 1024-entry LUT is constructed and two FIR filters with ten or 20 taps are identified. To illustrate the superior accuracy of this new predistorter scheme, the spectrum and ACPR results obtained while cascading the transmitter with a 128-tap Hammerstein predistorter or a memoryless predistorter are used as references in the validation process. In addition, the spectrum and ACPR of the transmitter without a predistorter are also added in the comparison of results to illustrate the performance improvements under the conditions of with and without predistortion. To verify the robustness of the proposed new Hammerstein predistorter, one- and three-carrier 3GPP-FDD WCDMA signals are chosen as the test signals. The average output powers of the transmitter linearized by the augmented Hammerstein predistorter are approximately 36.6 and 36.4 dBm for the one-carrier 3GPP-FDD WCDMA signal and the three-carrier 3GPP-FDD WCDMA signal, respectively. The spectrum and ACPR comparison results shown in Figs. 12–15 for one- and three-carrier 3GPP-FDD WCDMA signals indicate that the novel augmented Hammerstein predistorter can suppress the memory effects of the transmitter more effectively than the conventional Hammerstein predistorter. Although the memory effects are not strong for the one-carrier 3GPP-FDD WCDMA signal, as shown in Fig. 12, the augmented Hammerstein predistorter still provides obvious improvement for suppressing the spectrum regrowth caused by the memory effects. When the transmitter is applied with the three-carrier 3GPP-FDD WCDMA signal, the transmitter exhibits strong memory effects, as illustrated in Fig. 14, curve (b). Fig. 14, curve (c) reveals that the traditional Hammerstein predistorter cannot efficiently stifle the spectrum regrowth attributed to the memory effects. Nevertheless, Fig. 14, curve (d) clearly demonstrates that the new augmented Hammerstein predistorter can successfully compensate for the memory effects. Moreover, to clearly show the improvement with the augmented Hammerstein predistorter in suppressing the out-of-band emission, the spectrum differences between the Hammerstein predistorter with a 128-tap FIR filter and the augmented Hammerstein predistorter with two ten-tap FIR filters for the three-carrier 3GPP-FDD WCDMA signal are plotted in Fig. 16. This figure proves the spectrum regrowth that

LIU et al.: AUGMENTED HAMMERSTEIN PREDISTORTER FOR LINEARIZATION OF BROAD-BAND WIRELESS TRANSMITTERS

1347

Fig. 14. Spectrum comparison of different predistorters for a three-carrier WCDMA signal. (a) Without predistorter. (b) Memoryless predistorter. (c) Hammerstein predistorter with a 128-tap FIR filter. (d) Augmented Hammerstein predistorter with two ten-tap FIR filters.

Fig. 12. Spectrum comparison of different predistorters for a one-carrier WCDMA signal. (a) Without predistorter. (b) Memoryless predistorter. (c) Hammerstein predistorter with a 128-tap FIR filter. (d) Augmented Hammerstein predistorter with two 20-tap FIR filters. (A) Full spectrum comparison. (B) Zoom-in spectrum comparison.

Fig. 13. ACPR comparison of different predistorters for one-carrier WCDMA signal. (a) Without predistorter. (b) Memoryless predistorter. (c) Hammerstein predistorter with a 128-tap FIR filter. (d) Augmented Hammerstein predistorter with two 20-tap FIR filters.

resulted from the nonlinearities, which are mainly attributed to the bias and harmonic loading of the PA, can be effectively cancelled by adding a weak nonlinear branch to the traditional linear FIR filter.

Fig. 15. ACPR comparison of different predistorters for a three-carrier WCDMA signal. (a) Without predistorter. (b) Memoryless predistorter. (c) Hammerstein predistorter with a 128-tap FIR filter. (d) Augmented Hammerstein predistorter with two ten-tap FIR filters.

Fig. 16. Spectrum differences between the Hammerstein predistorter with a 128-tap FIR filter and the augmented Hammerstein predistorter with two ten-tap FIR filters for a three-carrier WCDMA signal.

VI. CONCLUSION In this paper, a LUT-based Hammerstein predistorter has been employed to suppress the spectrum regrowth caused by

1348

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

nonlinearity and the memory effects in a wide-band wireless transmitter. The identification procedure of this predistorter has been discussed in detail. Considering the limitation of the traditional Hammerstein predistorter in pre-compensating for memory effects, an augmented LUT-based Hammerstein predistorter has been proposed. In this augmented Hammerstein predistorter, a weak nonlinear FIR-based dynamic filter has been utilized to compensate for the memory effects of the transmitter instead of the linear FIR filter used in the conventional Hammerstein predistorter. To the best of the authors’ knowledge, this is the first time that an augmented Hammerstein predistorter is proposed and implemented to compensate for the dynamic nonlinearity existing in a broad-band wireless transmitter. Finally, the LUT-based Hammerstein predistorter and the new augmented LUT-based Hammerstein predistorter have been tested using a 45-dBm peak-envelope-power GaAs FET push–pull amplifier-based transmitter prototype driven by one- and three-carrier 3GPP-FDD WCDMA signals. Both the linearized output spectrum and ACPR comparison results have demonstrated that the proposed augmented LUT-based Hammerstein predistorter outperforms the conventional LUT-based Hammerstein predistorter in suppressing the spectrum regrowth caused by the memory effects of the broad-band wireless transmitter. ACKNOWLEDGMENT The authors would like to acknowledge J. Gauthier, S. Dube, R. Brassard, R. Archambault, and J.-S. Décarie, all of the École Polytechnique of Montréal, Montréal, QC, Canada, and M. Helaoui and H. B. Nasr, both of the University of Calgary, Calgary, AB, Canada, for providing technical and software support during measurements. The authors further acknowledge C. Heys, Calgary, AB, Canada, for proofreading this paper’s manuscript. REFERENCES [1] M. R. Moazzam and C. S. Aitchison, “A low third order intermodulation amplifier with harmonic feedback circuitry,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1996, vol. 2, pp. 827–830. [2] E. Eid, F. M. Ghannouchi, and F. Beauregard, “Optimal feedforward linearization system design,” Microw. J., pp. 78–86, Nov. 1995. [3] N. Imai, T. Nojima, and T. Murase, “Novel linearizer using balanced circulators and its application to multilevel digital radio systems,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 8, pp. 1237–1243, Aug. 1989. [4] E. G. Jeckeln, F. M. Ghannouchi, and M. A. Sawan, “An L band adaptive digital predistorter for power amplifiers using direct I -Q modem,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1998, vol. 2, pp. 719–722. [5] S. Boumaiza, J. Li, and F. M. Ghannouchi, “Adaptive digital/RF predistortion using a nonuniform LUT indexing function with built-in dependence on the amplifier nonlinearity,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 12, pp. 2670–2677, Dec. 2004. [6] J. K. Cavers, “Optimum indexing in predistorting amplifier linearizers,” in Proc. IEEE 47th Veh. Technol. Conf., May 1997, vol. 2, pp. 676–680. [7] Q. Ren and I. Wolff, “Improvement of digital mapping predistorters for linearising transmitters,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1997, vol. 3, pp. 1691–1694. [8] K. J. Muhonen, M. Kavehrad, and R. Krishnamoorthy, “Look-up table techniques for adaptive digital predistortion: A development and comparison,” IEEE Trans. Veh. Technol., vol. 49, no. 9, pp. 1995–2002, Sep. 2000. [9] J. S. Kenney, W. Woo, L. Ding, R. Raich, H. Ku, and G. T. Zhou, “The impact of memory effects on predistortion linearization of RF power amplifiers,” in Proc. 8th Int. Microw. Opt. Technol. Symp., Jun. 2001, pp. 189–193.

[10] J. H. K. Vuolevi, T. Rahkonen, and J. P. A. Manninen, “Measurement technique for characterizing memory effects in RF power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 1383–1389, Dec. 2001. [11] H. Ku and J. S. Kenney, “Behavioral modeling of nonlinear RF power amplifiers considering memory effects,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 12, pp. 2495–2504, Dec. 2003. [12] S. Boumaiza and F. M. Ghannouchi, “Thermal memory effects modeling and compensation in RF power amplifiers and predistortion linearizers,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 12, pp. 2427–2433, Dec. 2003. [13] J. Kim and K. Konstantinou, “Digital predistortion of wide-band signals based on power amplifier model with memory,” Electron. Lett., vol. 37, pp. 1417–1418, Nov. 2001. [14] L. Ding, G. T. Zhou, D. R. Morgan, Z. Ma, J. S. Kenney, J. Kim, and C. R. Giardina, “A robust digital baseband predistorter constructed using memory polynomials,” IEEE Trans. Commun., vol. 52, no. 1, pp. 159–165, Jan. 2004. [15] A. Ahmed, S. M. Endalkachew, and G. Kompa, “Power amplifier linearization using memory polynomial predistorter with nonuniform delay taps,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2004, vol. 3, pp. 1871–1874. [16] A. B. J. Kokkeler, “A crosscorrelation predistorter using memory polynomials,” in Proc. Int. Circuits Syst. Symp., May 2004, vol. 3, pp. 23–26. [17] R. Raich, H. Qian, and G. T. Zhou, “Orthogonal polynomials for power amplifier modeling and predistorter design,” IEEE Trans. Veh. Technol., vol. 53, no. 9, pp. 1468–1479, Sep. 2004. [18] H. W. Kang, Y. S. Cho, and D. H. Youn, “On compensating nonlinear distortions of an OFDM system using an efficient adaptive predistorter,” IEEE Trans. Commun., vol. 47, no. 4, pp. 522–526, Apr. 1999. [19] L. Ding, R. Raich, and G. T. Zhou, “A Hammerstein predistortion linearization design based on the indirect learning architecture,” in Proc. IEEE Int. Acoust., Speech, Signal Process. Conf., May 2002, vol. 3, pp. 2689–2692. [20] T. Wang and J. Ilow, “Compensation of nonlinear distortions with memory effects in OFDM transmitters,” in Proc. IEEE Global Telecommun. Conf., Nov. 2004, vol. 4, pp. 2398–2403. [21] A. Sano and L. Sun, “Identification of Harmmerstein–Wiener system with application to compensation for nonlinear distortion,” in Proc. 41st SICE Annu. Conf., Aug. 2002, vol. 3, pp. 1521–1526. [22] T. Liu, S. Boumaiza, M. Helaoui, H. Ben Nasr, and F. M. Ghannouchi, “Behavior modeling procedure of wide-band RF transmitters exhibiting memory effects,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig., Long Beach, CA, Jun. 12–17, 2005. [23] 3GPP specifications: TS 25.104 v4.5.0, TS 25.141 v4.5.0 2002 [Online]. Available: ftp://ftp.3gpp.org/specs/2002-06/Rel-4/25_series/ [24] S. Haykin, Adaptive Filter Theory, 3rd ed. Upper Saddle River, NJ: Prentice-Hall, 1996. [25] J. H. K. Vuolevi and T. Rahkonen, Distortion in RF Power Amplifier. Norwood, MA: Artech House, 2003.

Taijun Liu (S’05–M’06) received the B.S. degree in applied physics from the China University of Petroleum, Dongying, China, in 1986, the M. Eng. degree in electrical engineering from the University of Electronic Science and Technology of China, Chengdu, China, in 1989, and the Ph.D. degree from the École Polytechnique de Montréal, Montréal, QC, Canada, in 2005. He is currently a Post-Doctoral Fellow with the University of Calgary, Calgary, AB, Canada. From 1989 to 1992, he was a Lecturer with the Chongqing University of Posts and Telecommunications, Chongqing, China. From 1992 to 1998, he was a Senior Engineer with the Information Technology Company, Dianqiangui Petroleum Exploration Bureau, Kunming, China. From 1999 to 2000, he was a Software Engineer with ElectromagneticWorks Inc., Montreal, QC, Canada. His current research interests are digital signal processing, neural networks, nonlinear modeling and linearization of wide-band transmitters/PAs, design of ultra-linear high-efficiency intelligent digital transmitters for broad-band wireless, and satellite communications systems. Dr. Liu was the recipient of the 1990 Second-Class Award presented by the Science and Technology Progress Prize of the Ministry of Machine-Building and Electronics Industry of China and the 1991 Third-Class Award presented by the National Science and Technology Progress Prize of China.

LIU et al.: AUGMENTED HAMMERSTEIN PREDISTORTER FOR LINEARIZATION OF BROAD-BAND WIRELESS TRANSMITTERS

Slim Boumaiza (S’00–M’04) received the B.Eng. degree in electrical engineering from the École Nationale d’Ingénieurs de Tunis, Tunis, Tunisia, in 1997, and the M.S. and Ph.D. degrees from the École Polytechnique de Montréal, Montréal, QC, Canada, in 1999 and 2004. In May 2005, he joined the Department of Electrical and Computer Engineering, University of Calgary, Calgary, AB, Canada, as an Assistant Professor and faculty member with the Intelligent RF Radio Laboratory. His research interests are in the general areas of RF/microwave and millimeter components and systems for broad-band wireless and satellite communications. His specific current interests include RF/digital signal processing mixed design of intelligent RF transmitters, the design, characterization, modeling and linearization of high-power RF amplifiers, reconfigurable and multiband transceivers, and adaptive DSP.

Fadhel M. Ghannouchi (S’84–M’88–SM’93) received the B.Eng. degree in engineering physics and the M.S. and Ph.D. degrees in electrical engineering from the École Polytechnique de Montréal, Montréal, QC, Canada, in 1983, 1984, and 1987, respectively. He is currently an iCORE Professor with the Intelligent RF Radio Laboratory, Electrical and Computer Engineering Department, University of Calgary, Calgary, AB, Canada, and Tier-I Canada Research Chair in Intelligent RF Radio Technology. From 1984 to

1349

2005, he was a Professor with the Department of Electrical Engineering, École Polytechnique de Montréal. He has taught microwave theory and techniques and RF communications systems. He held several invited positions at several academic and research institutions in Europe, North America, Japan, and North Africa. He has provided consulting services to numerous microwave and wireless communications companies. He is also the founder of AmpliX Inc., Montréal, QC, Canada, a company that offers linearization products and services to wireless and satellite communication equipment manufacturers. His research interests are in the areas of microwave instrumentation and measurements, nonlinear modeling of microwave devices and communications systems, design of power- and spectrum-efficient microwave amplification systems, and design of intelligent RF transceivers for wireless communications. He has authored or coauthored over 250 publications. He holds seven patents. Dr. Ghannouchi is a Registered Professional Engineer in the Province of Quebec, Canada. He has served on the Technical Committees of several international conferences and symposiums.

1350

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

A Full-Wave Numerical Approach for Modal Analysis of 1-D Periodic Microstrip Structures Paolo Baccarelli, Member, IEEE, Carlo Di Nallo, Member, IEEE, Simone Paulotto, Student Member, IEEE, and David R. Jackson, Fellow, IEEE

Abstract—In this paper, a full-wave numerical approach for the analysis and design of one-dimensional (1-D) printed periodic structures is presented. Electromagnetic-bandgap structures and leaky-wave antennas are important special cases of structures that can be analyzed. The proposed technique is based on a mixed-potential integral equation in a unit-cell environment solved by the method of moments in the spatial domain through a triangular Delaunay mesh. The 1-D periodic vector and scalar Green’s functions are derived in the spectral domain and an efficient sum of spectral integrals is carried out to obtain the spatial-domain quantities. An appropriate choice of the spectral integration path is used in order to consider leakage effects. The method developed here can thus analyze both bound and leaky modes on printed structures that have an arbitrary metallization within the unit cell. Index Terms—Electromagnetic bandgap (EBG), leaky waves (LWs), LW antennas, method of moments (MoM), microstrip, mixed-potential integral equation (MPIE), periodic structures.

I. INTRODUCTION VARIETY of methods are available in the literature for the analysis of the dispersive and radiative behavior of microstrip lines; nevertheless, only uniform structures are usually considered [1]–[4]. However, modern microwave and millimeter-wave integrated circuits are often periodic. In fact, periodic planar electromagnetic-bandgap (EBG) structures are frequently employed as multifunctional and compact filters that show forbidden bands of propagation over a wide frequency range [5]–[10]. Furthermore, the effects of periodicity can find suitable application in the realization of periodic leaky-wave (LW) antennas, which are able to radiate both in the forward quadrant, as in the uniform case, and in the backward quadrant [11]–[13]. Recently, backfire-to-endfire LW microstrip antennas based on composite right/left-handed metamaterials, which operate in the dominant mode, have been developed [14]–[16]. The periodicity, by adding degrees of freedom to the design of LW antennas, provides an effective tool for the synthesis of

A

Manuscript received May 26, 2005; revised October 23, 2005. This work was supported in part by the State of Texas under the Advanced Technology Program. P. Baccarelli and S. Paulotto are with the Department of Electronic Engineering, “La Sapienza” University of Rome, 00184 Rome, Italy (e-mail: [email protected]; [email protected]). C. Di Nallo is with the Corporate ElectroMagnetic Energy Research Laboratory, Motorola, Fort Lauderdale, FL 33322 USA (e-mail: [email protected]). D. R. Jackson is with the Department of Electrical and Computer Engineering, University of Houston, Houston, TX 77204-4005 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.871353

the radiation pattern (reduction of secondary lobes, optimization of radiation at broadside, etc.) [12], [13]. Analysis and design of these kinds of EBG structures and LW antennas are generally carried out with full-wave tools, e.g., the finite-difference time-domain (FDTD) method [5], Bloch wave analysis in addition with full-wave simulations obtained with commercial softwares (Ansoft HFSS, Sonnet EM, and IE3D) [7], [10], the short-open calibration procedure (SOC) admittancetype method of moments (MoM) applied to periodic waveguides [8], [9], and commercial MoM software (IE3D) in addition with the matrix-pencil method [17]. Approximate methods, prevalently based on a transmission-line approach and equivalent-circuit model [14], [15], are also widely used for these types of applications. Knowledge of the real and complex propagation constants of the bound and leaky modes supported by a one-dimensional (1-D) periodic printed structure is very useful for understanding the fundamental parameters governing the design. This paper focus on structures that are periodic in one dimension (called ) and have a finite metallization in the perpendicular direction (called ) printed on a homogeneous and isotropic dielectric background structure. For this class of printed structures, the open transverse boundaries (transverse with respect to the direction of periodicity) allow for radiation both into the dielectric substrate and into free space. Very few results are available for bound modes and modes that leak energy both into free space (space-wave leakage) and into the dielectric substrate (surfacewave leakage) on such infinite periodic microstrip structures. To our knowledge, the only existing study of the problem has been presented in [18]. In this paper, the authors adopted a specialized full-wave spectral-domain method that employs an entire-domain basis function expansion in order to discretize the current on the metallization. This method provides a clear physical picture of the different modal regimes, but has a crucial constraint since it does not permit the study of arbitrary metallization within the unit cell. Some results for guided waves supported by periodic microstrip lines, on a grounded homogeneous and isotropic dielectric slab, have been presented in [19] and [20], where Floquet’s theorem has been applied to the method of lines and to a rigorous boundary-value problem, respectively. In [19] and [20], the structure is completely shielded and only bound modes have been considered. Recently, various hybrid methods, based on a simple Bloch-wave analysis in addition to a full-wave simulation, have been extensively investigated [7]–[10] in order to analyze the propagation characteristics of both bound and leaky modes supported by periodic printed structures with different shapes of the metallization

0018-9480/$20.00 © 2006 IEEE

BACCARELLI et al.: FULL-WAVE NUMERICAL APPROACH FOR MODAL ANALYSIS OF 1-D PERIODIC MICROSTRIP STRUCTURES

within the unit cell. An alternative method has been proposed in [17], which is based on the combination of the MoM to derive the surface currents along the line and the matrix-pencil method to extrapolate the propagating exponential terms. It is essential to notice that in all the approaches proposed in [7]–[10], and [17], the real or complex propagation constant has been obtained without information about the spectral properties of the spatial harmonics involved or about the physical nature of the leakage phenomena. Finally, the guided-wave characteristics of a microstrip line on artificial periodic substrate have been analyzed in [21]; in this case, the metallic strip is uniform and nonperiodic, while the substrate is an inhomogeneous dielectric material with planar two-dimensional periodic discontinuities in the dielectric permittivity. In this paper, a versatile full-wave numerical approach for the modal analysis of infinite periodic microstrip structures on a grounded homogeneous and isotropic dielectric slab is presented. The technique enables a systematic investigation of bound modes, space-leaky modes, and surface-leaky modes supported by a printed structure with arbitrary metallization within the unit cell. Our approach is based on the MoM in the spatial domain applied to a mixed-potential integral-equation (MPIE) formulation within the unit cell. 1-D periodic dyadic and scalar Green’s functions are derived in the spectral domain first, and the corresponding spatial-domain quantities are obtained through an efficient sum of inverse Fourier transforms. This formulation clearly provides a physical explanation of the different propagation regimes based on the mathematical location of poles and branch points of the relevant Green’s function in the complex spectral-variable plane for any spatial harmonic. In fact, the spectral integration path for each transform is properly chosen in order to take into account leakage effects. For the first time, 1-D periodic microstrip structures with arbitrary geometrical shape within the unit cell are considered, and accurate evaluations of the dispersion and radiation properties are obtained through a rigorous spectral-domain analysis. This paper is organized as follows. In Section II, the derivation of the electric-field integral equation (EFIE), based on an MPIE formulation, for 1-D periodic printed structures is described. The 1-D periodic Green’s functions in the spatial domain are derived for the layered environment. By decomposing Maxwell’s equations into two sets of independent equations in the spectral domain for TE and TM waves, spectral transmission-line voltages and currents are derived and used to represent the spectral-domain vector and scalar potentials. The numerical evaluation of the sum of the spectral integrals is examined in detail. An accelerated numerical integration is performed by extracting slowly converging and singular terms. Closed-form expressions for the terms extracted are derived wherever possible. Section III presents the numerical technique implemented to solve the EFIE through the MoM formulation. The currents are expressed on the arbitrarily shaped metallization in the unit cell in terms of the well-known Rao–Wilton–Glisson (RWG) basis functions. The problem of properly considering basis functions on the border of the unit cell in the MoM expansion is taken into account and examined. In Section IV, leakage phenomena in 1-D periodic planar structures are discussed and the related integration paths in the spectral domain are analyzed. Finally,

1351

Fig. 1. Example of 1-D periodic planar structure with relevant physical and geometrical parameters. The unit cell is the shaded area between the dashed lines.

in Section V, numerical results for the dispersion properties of uniform and periodically loaded microstrip lines are shown, as a validation of the proposed approach. II. FULL-WAVE FORMULATION IN THE UNIT CELL A typical periodic planar structure is a microstrip line of negligible thickness etched on the surface of a grounded dielectric layer (see Fig. 1), which has a periodic change in width (however, the formulation allows for an arbitrary metallization within the unit cell). The background dielectric slab has relative permittivity , relative permeability , and thickness . The transmission-line geometry is periodic along the -direction with a spatial period equal to . The behavior along of the modal fields can be expressed, according to Floquet’s theorem, as the product of a fundamental traveling-wave term with a complex propagation wavenumber and a standing-wave term, which repeats itself with period and represents the local variations due to the periodicity [11], [22]. This field variation along may alternatively be represented as a superposition of an infinite number of traveling waves, termed spatial harmonics. The complex propagation wavenumber of the th spatial harmonic is

(1) with [11], [22], [23]. It can be observed that each spatial harmonic propagates along with a different phase constant , but with the same attenuation constant [11], [23]. A time–harmonic dependence is assumed and suppressed throughout. The periodicity of the structure along allows us to restrict the analysis to only one spatial period (unit cell), i.e., the one limited by the planes and [11]. By enforcing boundary conditions for the tangential electric field at the surface of the conductors inside the unit cell, we obtain an EFIE. Depending on the representation used to express the electric field, the kernel of the EFIE can have severe singularities that make it unsuitable for a direct application of the MoM [24]. The MPIE formulation has been used in our derivation, as it provides weak singularities that can be more easily dealt with [25]–[29]. The electric field is thus expressed as

(2)

1352

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

The relevant 1-D periodic magnetic vector potential and scalar electric potential , due to the modal surface current in the unit cell, are given by (3)

is the Fourier transform of with respect to both where the spatial variables and , and and are the corresponding transform (spectral) variables. The dependence on the variable is omitted as both source and field points are located on the plane throughout the derivation. By applying Floquet’s theorem, the following expression for is obtained:

(4) The scalar potential kernel

has been chosen such that [29] (5)

(8)

where and are the permeability and permittivity of the observation medium, respectively. For vertical dipole sources, it is usually necessary to introduce a correction term to (5) [28]. However, since only horizontal (planar) sources are considered in our analysis, a single term is sufficient. Therefore, in this paper, we will follow [28, Form. C], where the correction term will not be considered. For planar structures, the current is perpendicular to and the integral equation for the field assumes the following form:

The same derivation applies for the scalar electric potential yielding

(6) In (3), (4), and (6), stands for the surface of the conductors in the unit cell, is the observation point position vector, and is the source point position vector. and are the dyadic and scalar Green’s functions for the 1-D periodic vector and scalar potentials and , respectively. It is well known that, for a horizontally directed dipole, just two components of the vector potential are required to satisfy the boundary conditions at the interfaces [28]. We have used the traditional Sommerfeld formulation for the vector potential [28] so that each source component only generates a parallel vector potential component. This formulation is convenient for the analysis of planar structures because . Moreover, the symmetry of revolution around the axis inherent to the planar geometry leads to . Hence, only one component of the vector potential dyadic Green’s function needs to be calculated. A. Space-Domain Kernel for 1-D Periodic Structures can be obtained The vector potential Green’s function from its spectral counterpart by carrying out the inverse Fourier transform integration as

(7)

(9)

and in (8) and (9) are the Fourier transforms of the potentials from the single (nonperiodic) point source, is a complex spectral integration variable, and is the complex propagation wavenumber of the th spatial harmonic in the Floquet expansion. B. Spectral-Domain Kernel The spectral quantities and can be easily calculated by using a spectral-domain transmission-line representation of the layered medium [28]–[30]. In particular, in the presence of purely planar electric current sources, we can derive and in terms of the TE and TM mode transmission-line spectral Green’s functions generated by a unit-strength parallel (39), current source. The analytical expressions for (40) are given in the Appendix. The final expressions for and are [28], [29]

(10) (11) is the radial spectral wavenumber where variable. and in (10) and (11) have branch points at with , where and are the free-space permeability and permittivity, respectively. These branch points arise because of the unbounded upper air region above the structure. There can also be a finite number of poles on the real axis of the proper sheet of the complex plane. These poles represent the propagation wavenumbers of the surface-wave modes of the background dielectric structure. All these singularities play an

BACCARELLI et al.: FULL-WAVE NUMERICAL APPROACH FOR MODAL ANALYSIS OF 1-D PERIODIC MICROSTRIP STRUCTURES

important role in the development of the numerical approach, as discussed in Section IV.

1353

(14)

C. Series of the Spectral Integrals Once the spectral expressions for the integral-equation kernels are known, their space-domain counterparts can be obtained by carrying out the infinite sum of spectral integrals (inverse Fourier transforms) in (8) and (9). While searching for the modal wavenumbers in an iterative solution, each integral of the expansion has to be evaluated for each “guess” value of the complex propagation wavenumber . Two main difficulties are encountered in the evaluation of the space-domain quantities in (8) and (9). A straightforward numerical integration of each spectral integral is very inefficient due to the oscillatory and slowly decaying nature of the functions [25]. Moreover, the Green’s functions for the vector and scalar potentials become singular when source and observation points coincide; this singularity hinders the convergence of the spectral integrals and also needs to be properly handled in the application of the MoM, as will be discussed in Section III. Here, we describe an approach to efficiently perform the numerical summation of the series in (8) and (9) and the numerical evaluation of the relevant spectral integrals, which represents the most time-consuming step of the entire calculation, in the general case of complex propagation wavenumber for the th spatial harmonic. To speed up these integrations, the asymptotic parts of the integrands (as tends to infinity) have been determined and subtracted, as is customary in similar cases [22], [25]; the resulting integrals show a much more rapid and monotonic convergence behavior. However, a real speedup is achieved only if a closed-form expression for the integral of the asymptotic part can be derived and a numerical summation of the series can be efficiently performed, as will be done here. To significantly accelerate the convergence of the integrals in (8) and (9), we extract a term that corresponds to the Green’s function of an asymptotically equivalent homogeneous medium. This auxiliary Green’s function will be indicated in the following simply as the homogeneous term. This technique has the additional advantage that the source singularity is contained in the homogeneous term and can, therefore, be evaluated analytically. For the subtraction to be effective, the equivalent homogeneous medium must have a dielectric constant equal to the arithmetic mean of the permittivities of the two dielectrics above and below the metal strips

is the transverse wavenumber in the where homogeneous medium and . It can be observed that the two spectral potentials for the homogeneous medium (13) and (14) have the same dependence on the spectral variables described by the term , except for a coefficient that is a function of the frequency and of the physical parameters of the medium. After extracting the homogeneous terms (13) and (14) from (10) and (11), we obtain a different asymptotic behavior of compared to , thus giving rise to a different convergence behavior of the two spectral integrals, for each spatial harmonic of the Floquet expansion. It can be proven analytically (see the Appendix) that, for , (15) (16) In order to equally speed up the numerical evaluation of the inverse Fourier transforms of the two different potentials, a second-order extraction has been performed on the spectral scalar potential. The asymptotic behavior of , which is the term in the quantity that behaves as , has the following form (derived in the Appendix):

(17) If (17) is used to further extract the slowly decaying portion from the spectral scalar potential, one obtains a function that finally behaves asymptotically as desired (18) After the extraction, the space-domain expression (8) of the 1-D periodic magnetic vector potential Green’s function becomes

(12) By using the TE and TM mode transmission-line spectral Green’s functions , we easily obtain the following expressions for the spectral potentials of the homogeneous medium (i.e., the asymptotic part of the integrands):

(13)

(19) is the Floquet expansion of the homogewhere neous term that involves a summation of inverse Fourier transforms of the spectral function given in (13), and contains the source singularity of the Green’s function. The expression for and the method used to handle the singularity will be discussed in detail in Section II-D.

1354

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

For the 1-D periodic electric scalar potential in (9), after the double spectral extraction, we obtain

transform can be evaluated as in [31] and the following analytical expression is obtained:

(24) is the zeroth-order modified Bessel function of the where first kind [32] with argument with (20) (25) where is the same as in (19), and represents the Floquet series of inverse Fourier transforms of the spectral harmonics given by (17). A closed-form expression for the inverse Fourier transform of is derived according to the formula given in [31]

(21) where is the first-order modified Bessel function of the first kind [32] of argument and the positive real part of all roots is chosen. It is worth noting that when and are near zero, (21) becomes singular. To overcome this problem, it is possible to modify the expression of in (17) by adding a real smoothing parameter , i.e., . The series

can thus be expressed as

(22) This series is convergent for each finite value of the argument and does not contain the source singularity; its limit when is

(23) The value of is chosen to avoid significant roundoff error in the calculation of (22) due to near-singular behavior when the wavenumber is small. A reasonable choice is . D. Homogeneous Term A fundamental speedup in the computation time is obtained if a closed-form expression for the integrals involved in the evaluation of the homogeneous terms is available. The expressions of the homogenous terms given in (13) and (14) indicate a common spectral behavior described by the function . The relevant inverse Fourier

The argument of the integral (24) contains branch point singularities at . The integration path in (24) is chosen, for each harmonic involved in the Floquet expansion, on the proper sheet of the complex plane of the spectral integration variable . This choice is ensured by requiring that the selection of the sign of results in a positive real part. Coherently, the integration of the terms and in (19) and (20) must always lie on the proper sheet of the complex plane with respect to the same branch point singularities so that the homogeneous terms that are subtracted are the same as those that are analytically added back. (In the integrations of (19) and (20), is not always on the proper sheet for the terms and when solving for leaky modes, as will be discussed later, but always ends at infinity on the proper sheet so that, for the purposes of improving convergence, staying completely on the proper sheet for the homogeneous terms is fine.) The series derived from the extraction of the homogeneous term can thus be expressed as

(26) This series is the Floquet expansion of the Green’s function of a linear array of point sources aligned along the axis in a homogeneous medium when both source and field points are located on the plane and where is the complex propagation wavenumber of the th spatial harmonic. in (26) becomes infinite when source and observation points are superimposed in the unit cell, i.e., when . Our objective is to extract the singularity from and derive its closed-form contribution to the reaction integrals required by the MoM. The extraction of the source singularity requires the derivation of an alternative form of (26) in terms of the direct contributions from each single point source. In this way, the singularity, i.e., the static term , where is the planar distance between the source point and observation point in the unit cell, can be easily isolated. Unfortunately, such a representation of (26) in the general case of complex propagation wavenumber for the th spatial harmonic does not exist (i.e., the spatial series corresponding to the field of the infinite linear array of point sources is not convergent since the sources grow

BACCARELLI et al.: FULL-WAVE NUMERICAL APPROACH FOR MODAL ANALYSIS OF 1-D PERIODIC MICROSTRIP STRUCTURES

1355

to infinity as ). It is necessary once again to resort to an auxiliary series to accelerate the convergence of the Floquet sum in (26). By applying the Kummer and Poisson transformations [33] to a 1-D periodic structure in the case of a complex propagation wavenumber, the following expression for the homogeneous term is obtained:

(27) where all the series are fast converging. The terms have the following expressions:

and

(28) (29) while the real parameter is usually chosen equal to [33]. As can be noticed, the singularity is contained in the second series for . Some numerical results illustrating the accuracy and efficiency of the method proposed to calculate the spatial potentials will be shown next. In Fig. 2, the behavior of the Green’s functions for the vector and scalar spatial potentials is reported as a function of the normalized absolute value of the transverse distance between observation and source points at the dielectric–air interface of a grounded dielectric slab. The physical and geometrical parameters of the structure are specified in the caption of Fig. 2. To validate the accuracy of the proposed approach, in Fig. 2(a) and (b), a comparison is made between the values of the Green’s functions obtained without any kind of extractions (black diamonds and triangles), by straightforward numerical evaluation of (8) and (9), and the relevant expressions derived following the acceleration technique (gray circles and squares) through (19), (20), (22), and (27). A perfect agreement, within the tolerance chosen for the numerical evaluation 10 is obtained between the two different methods. Moreover, Fig. 3 shows the ratio of CPU times required by the two calculation methods. On average, two orders of magnitude CPU time reduction are obtained for any value.

Fig. 2. Comparison between Green’s functions obtained with and without extractions at 20 GHz. (a) G and (b) K are plotted as functions of the normalized absolute value of the distance j y j= between source and observation points. The background structure is the same as in Fig. 1 with h : . : mm (h=  : , where  is the free-space wavelength) and " Other parameters: unit-cell length p mm p =  : , z , normalized phase constant of the fundamental 1 mm z=  : 1 harmonic =k : , normalized attenuation constant =k : . Legend: real part without extractions, black diamonds; imaginary part without extractions, black triangles; real part with extractions, gray circles, imaginary part with extractions, gray squares.

15

(1

= 0 100 = 6 67 10 ) = 1 25

1

=2

(

= =22 = 0 133) 1 = = 0 05

E. Extraction of the Source Singularity The singularity of the Green’s function when source and observation points coincide is due to the static term and this is easily extracted from (27). Hence, the series expansion for the homogeneous term that is used in the numerical calculation is written as

(30)

Fig. 3. Ratio between CPU times necessary in order to calculate the vector potential Green’s functions, shown in Fig. 2, with and without extractions as a function of the normalized absolute value of the distance j y j= .

1

1356

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

where

(31) The term in (30) can be analytically integrated in the application of the MoM method according to [34]. , a limit expression for When in (31) has to be derived. In particular, the series in which the function appears has the following closed-form limit expression:

(32) Also, the last term on the right-hand side of (31) has the following limit:

(33) where is the angle between the radial planar spatial coordinate and the longitudinal axis . The value of the limit depends on the trajectory used to approach the end point. In these cases, the numerical integrations involving this term can be treated by considering a principal value approach [35]. If a symmetric circular neighborhood centered on the observation point is used as the integration domain for the limit evaluation, the cosine in (33) is averaged over the entire circle, thus providing a vanishing contribution. Following this argument, we can set the value of the limit in (33) to . The final expression for is

(34)

Fig. 4. Example of a microstrip line periodically loaded with rectangular patches. Discretization through a triangular mesh inside the unit cell environment is shown. The domain on which basis functions are defined is shown for two generic th and th interior edges. An example of how the domain is defined for an edge on a unit-cell border is also shown.

m

n

III. APPLICATION OF MOM TO 1-D PERIODIC PRINTED LINES Planar triangular meshes are particularly appropriate for modeling arbitrarily shaped surfaces [36], [37]; triangular patches, in fact, are able to accurately fit any planar geometry by locally varying the patch density. In this study, a triangular Delaunay mesh is employed (see Fig. 4) and the current density is expanded on a set of RWG basis functions [38] (35) The nature of guarantees that neither line, nor point charges appear when the divergence operator is applied to the current density [see (4)]. Each basis function is related to a nonboundary edge of the mesh. In (35), is the total number of the interior edges and each coefficient may be interpreted as the normal component of the current density flowing through the th edge [38]. In order to allow a nonzero current to flow across the unit-cell borders and to assure that are linearly independent functions, edges laying on the borders of the unit cell have to be handled carefully. Floquet’s theorem establishes that the currents at two points located on opposite borders of the unit cell, with the

BACCARELLI et al.: FULL-WAVE NUMERICAL APPROACH FOR MODAL ANALYSIS OF 1-D PERIODIC MICROSTRIP STRUCTURES

same abscissa , have the same value, except for the phase shift expressed by the factor . This observation imposes a practical constraint on the mesh, which has to be symmetrical on the two opposite edges of the unit cell, to allow a seamless transition from one spatial period to the next. Under this condiof basis functions defined on tion, the complex amplitudes symmetrical edges of the mesh located on opposite borders of the cell must have the same value, except for the aforementioned phase shift. As a consequence, only the mesh edges belonging to one border of the unit cell have to be taken into account to form a set of linearly independent functions. The expansion (35) can thus be rewritten as (36) is the number of basis functions associated with where strictly interior edges, and is one-half of the number of edges lying on the cell borders. Galerkin’s method is applied to transform the MPIE into a linear system of equations for the unknown coefficients. It means that the basis functions defined in (35) are used as testing functions as well. Each term of the matrix defining the MPIE system requires the evaluation of two kinds of integrals [38], i.e., (37) (38) where are the indexes associated with testing and basis functions, respectively. The propagation wavenumbers of the modes of the periodic structure correspond to zeros of the determinant of the linear system matrix. Each basis function is defined over a pair of triangles sharing one edge and vanishes over the rest of the mesh [38]; therefore, expressions (37) and (38) need to be evaluated only for the four triangles related to and . When the domains on which and are defined overlap, and show a singular behavior, which is treated by extracting the static term , as explained in Section II, and by performing an analytical integration [34] according to the principal value definition introduced in Section II. Special attention has to be paid when, in (37) and (38), either or are associated with an edge lying on the unit-cell borders. In this case, one of the two triangles related to such a basis function lies outside the unit cell. Thanks to the symmetry imposed on the mesh at the unit-cell borders, the triangle attached to the symmetric edge belonging to the opposite border of the unit cell can be used to complete the basis function domain, as illustrated by the example in Fig. 4, provided that phase-shift factor is considered in the calculation. IV. PROPAGATION AND RADIATION REGIMES IN 1-D PERIODIC PRINTED LINES: RELEVANT INTEGRATION PATHS A microstrip line that is modulated periodically exhibits different propagation and radiation regimes with respect to uni-

1357

Fig. 5. Integration path in the complex k plane for a spatial harmonic in different regimes, assuming that the spatial harmonic is bounded (the fields decay at infinity in the y -direction). Black lines correspond to harmonics for which < 0; gray lines are related to harmonics for which > 0. In the limit case of a guided regime ( = 0), free-space branch point and pole singularities move to the imaginary axis k . It is assumed in this figure that only the TM surface wave is above cut off.

form printed lines [18]. For instance, a bound field solution exists not only in the propagation regime, but also in a closed stopband regime. Radiation through surface and space LWs can occur both in backward and forward directions. The propagation wavenumber of the fundamental harmonic may be complex or purely real. The solution of the MPIE requires an integration in the transverse complex plane for every spatial harmonic involved in the Floquet expansions (19) and (20). Since the spectral kernels and contain singularities, different choices of the integration path are possible, leading to different propagation regimes and radiation behaviors. Branch points, as well as surface-wave poles on the proper sheet and LW poles on the improper sheet of the complex plane, must be considered. In the following, only the mode of the background substrate is assumed to be above cutoff. When the guided regime or closed stopband regime is considered, the fields are expected to decay away from the structure, and the integration is carried out along the entire real axis for all the harmonics of the Floquet expansion [18], as in Fig. 5. For guided solutions, a real propagation wavenumber for the fundamental harmonic is expected and, hence, poles and branch-point singularities lie on the imaginary axes of the proper sheet of the complex plane. In a closed stopband regime, the propagation wavenumber is complex and the singularities lie on the second and fourth quadrants if or on the first and third quadrants if (see Fig. 5). When a leaky regime is considered, at least one of the spatial harmonics is radiating into space or leaking energy into the surface wave. Usually, in uniform structures, this leakage of energy takes place in the forward direction, i.e., the phase and group velocities of the leaky-mode point in the same direction and the fields are expected to grow unbounded at infinity (in air and/or in the substrate) [11], [18], [39]–[41]. When periodicity is present, leakage may also occur in the backward direction, i.e., the phase and group velocities of the leaky-mode point in different directions and the fields are expected to decay away from the structure [11], [18], [39], [40]. For any spatial harmonic radiating in either the backward surface-leaky regime, i.e., , or backward space-leaky regime,

1358

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

i.e., , the integration is carried out along the entire real axis of the proper sheet of the complex plane (see Fig. 5) even though the fundamental propagation constant is complex. When the th spatial harmonic is in a forward surface-leaky or space-leaky regime, the relevant integration path is chosen according to the same criterion used for uniform structures [3], [41], leading to a complex fundamental propagation wavenumber . In particular, when the th spatial harmonic is in a forward surface-leakage regime, the path of integration detours around the surface-wave poles, which are then located in the first and third quadrants (see the gray-shaded poles in Fig. 5). When the th spatial harmonic is in a forward space-leakage regime, the path of integration detours around the surface-wave poles and also detours around the branch points in the first and third quadrants (see the gray-shaded branch cuts in Fig. 5) so that the path lies partly on the lower Riemann sheet for that part of the path that is between the branch-cut crossings. V. NUMERICAL RESULTS AND VALIDATION Based on the methodology described in this paper, a computer code has been developed for the evaluation of the dispersion behavior of bound and leaky modes on periodic microstrip structures with arbitrary geometry. The current method has been validated first on uniform microstrip lines by comparing our results with those obtained by means of a well-established spectral-domain approach code, i.e., the uniform microstrip line is regarded as a periodic structure (as shown in Fig. 4 without the extra metal patches above the two sides and below the line), in which case the numerical result should be independent of the size of the unit cell. Validation for such periodic microstrip lines has been carried out by comparing our results with previously published results. Validation has also been performed by comparing results for microstrip lines with a periodic change in the width since some results are available in the literature for this type of structure. The agreement for both the bound and leaky regimes, for both the uniform and the periodic microstrip lines, is excellent for all the case considered. The validation is considered in more detail below. A. Uniform Microstrip Lines For uniform microstrip lines, various rigorous techniques can be used to calculate the modal propagation wavenumber. In particular, the performances of the current method have been benchmarked against a well-tested code based on a spectraldomain method utilizing Chebyshev entire-domain basis functions by comparing the dispersion behaviors of bound and leaky modes. This provides validation for structures for which the current flows across the boundaries of the unit cell (to make sure that the edges are being treated correctly, as discussed in connection with Fig. 4), and it also provides validation for the path integrations required to uncover the leaky-mode solutions. For uniform lines, the unit cell length can be chosen arbitrarily without affecting results for the propagation wavenumbers. However, the computation time does depend on the value of . Large values of lead to an unnecessary large mesh,

Fig. 6. Discretized unit cell with period p = 0:5 mm for a uniform microstrip line with strip width w = 1:5 mm on a homogeneous isotropic lossless grounded dielectric slab of thickness h = 1:5 mm and relative permittivity " = 2:2.

Fig. 7. Normalized phase constant as a function of frequency f for the fundamental EH mode of the microstrip line in Fig. 6. Legend: solution obtained from a spectral-domain method, black solid line with circles; solution obtain from the current method, gray dashed line with diamonds; TM mode, thin black solid line.

while if the cell is too narrow, the quality of the mesh could be compromised. In Fig. 6, the triangular mesh of the unit cell mm used in the analysis is shown. In Fig. 7, the dispersion diagram between 20 and 50 GHz for the normalized phase constant of the fundamental mode is reported. In Fig. 8, the normalized phase and attenuation constants for the dominant surface-leaky mode are shown in the same frequency range. The black solid lines are obtained from a spectraldomain method utilizing entire-domain basis functions, whereas the gray dashed lines are obtained with the current spatial-domain approach. A very good agreement is obtained between the two different methods. The results from the spectral-domain code are also in perfect agreement with the results shown in [42, Fig. 6], obtained with a different spectral-domain code.

BACCARELLI et al.: FULL-WAVE NUMERICAL APPROACH FOR MODAL ANALYSIS OF 1-D PERIODIC MICROSTRIP STRUCTURES

Fig. 8. Normalized phase constant and normalized attenuation constant as a function of frequency f for the dominant EH surface-leaky mode for the microstrip line described in Fig. 6. Legend: phase constant (spectral-domain method), black solid line with circles; phase constant (current method), gray dashed line with diamonds; attenuation constant (spectral-domain method), black solid line with squares; attenuation constant (current method), gray dashed line with triangles; TM mode, thin black solid line.

1359

Fig. 10. Normalized phase constant and normalized attenuation constant as a function of frequency f for the EH space-leaky mode of the microstrip line in Fig. 9. Legend: phase constant (spectral-domain method), black solid line with circles; phase constant (current method), gray dashed line with diamonds; attenuation constant (spectral-domain method), black solid line with squares; attenuation constant (current method), gray dashed line with triangles.

Fig. 11. Discretized unit cell for a microstrip with a periodic modulation of the strip width. The relevant geometrical parameters of the metallization are indicated on this figure. The background structure is a grounded slab of thickness h = 0:635 mm and relative permittivity " = 10:2.

are also in perfect agreement with those shown in [43, Fig. 3], where a completely different method, based on a Wiener-Hopf solution, was used. Extensive validation tests have also been performed for other values of the period to verify that the results are independent of the assumed period (as expected, since the lines studied in this section are really uniform). Fig. 9. Discretized unit cell with period p = 2 mm for a uniform microstrip line with strip width w = 8:5 mm on a homogeneous isotropic lossless grounded dielectric slab of thickness h = 0:508 mm and relative permittivity " = 2:2.

The dispersion behavior of the first higher order space-leaky mode for the microstrip line described in Fig. 9, which has a much wider strip, is shown in Fig. 10. The results from the current spatial-domain method are obtained using the unit-cell mesh mm shown in Fig. 9, where a symmetry with respect to the central axis has been imposed. The normalized phase and attenuation constants reported in Fig. 10 are obtained from a spectral-domain method utilizing full-domain basis functions (black solid lines) and with the current spatial-domain method (gray dashed lines). The agreement between the two different methods is excellent. The results

B. Periodic Microstrip Line The dispersion behavior of a microstrip line with a periodic change in the width has been considered as a benchmark case for demonstrating the capability of the method for analyzing general periodic printed structures. The microstrip width is periodically modulated as depicted in Fig. 11, which represents the unit-cell geometry and the triangular mesh utilized in the MoM. The obtained results have been compared with the data presented in [9], calculated through a MoM–SOC deembedding technique on a structure of finite length (five unit cells). In Fig. 12, the normalized phase constant of the spatial harmonic and the normalized attenuation constant are reported as a function of frequency. The considered mode is a perturbation of the fundamental mode of the uniform line and it is nonleaky in the shown frequency range. The propagation constant is real, except in the closed

1360

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

straightforward numerical integration of the spectral integrals in the series. A technique for analytically treating the source singularity of the Green’s function, which is commonly used in space-domain MoM solutions, has been extended here to the general case of a 1-D periodic Green’s function with a complex propagation wavenumber, which is necessary for the efficient analysis of leaky modes or modes within a stopband. For the first time, a general technique to analyze periodically loaded microstrip structures, characterized by a unit cell with an arbitrary metallization geometry, has been introduced. Validation tests have been performed for both the bound and leaky modes that exist on both uniform and periodic microstrip lines, comparing results from the current method with existing results that are available in the literature. Excellent agreement has been found in all cases. Fig. 12. Normalized phase constant and normalized attenuation constant for the n = 0 spatial harmonic as function of frequency f for the fundamental mode of the structure in Fig. 11. Legend: Results obtained in [9]: phase constant, black solid line; phase constant of the uniform microstrip line, black dotted line; attenuation constant, black dashed line. Results obtained from the current method: phase constant, circles; attenuation constant, squares.

stopband region where, as is well known [8], [11], [23], the attenuation constant assumes significant values and a discontinuous slope behavior of the normalized phase constant versus frequency is observable. An excellent agreement between our approach and the results in [9] has been obtained for both the phase constant and attenuation constant.

APPENDIX Spectral-domain Green’s functions for the vector and scalar potentials can be obtained in a simple closed form as a function of the spectral variables and , as in (10) and (11). The expressions for the and spectral transmission-line Green’s function terms, generated from unit-strength parallel current sources at in the transmission-line model, are

(39)

VI. CONCLUSION A numerical procedure based on a MoM solution of the MPIE in the spatial domain has been developed for the efficient analysis of 1-D periodic microstrip structures, which are periodic and finite in the perpendicular direction in one dimension . An arbitrary metallization within the unit cell is allowed. The solution methodology has been developed to allow for both bound and leaky modes, including modes that leak into the substrate, as well as modes that also leak into space, so that all of the possible guided modes can be analyzed. An accurate, versatile, and efficient tool for the analysis and the design of 1-D EBG structures and LW antennas based on periodic microstrip structures is thus obtained. A key step in the analysis is the efficient calculation of the 1-D periodic mixed-potential Green’s functions, which are represented as infinite sums of spectral integrations (inverse Fourier transforms). An effective procedure has been presented to speed up the computation by means of extracting terms from the integral to accelerate the convergence. In particular, the extraction from the spectral-domain vector and scalar Green’s functions of a term associated with an equivalent homogeneous medium has greatly improved the convergence of the spectral integrals. Moreover, the convergence of the integrals for the scalar potential has been further accelerated by a second-order extraction. Numerical results have been presented demonstrating a considerable gain in the computation time with respect to the

(40)

where

,

, and

. We are interested here in evaluating the asymptotic behavior of the spectral Green’s function terms and after the extraction of their relevant homogeneous terms and [see (13) and (14)] when the spectral variable (i.e., ). We perform these extractions on the real axis of the proper sheet with respect to the branch points in for and , and with respect to the branch points in for and . Under these assumptions, we obtain the following asymptotic expansions:

(41)

(42)

(43) (44)

BACCARELLI et al.: FULL-WAVE NUMERICAL APPROACH FOR MODAL ANALYSIS OF 1-D PERIODIC MICROSTRIP STRUCTURES

for , , , and [44]. By introducing (39)–(44) in (10) and (11) and (13) and (14), we obtain

(45)

(46) Considering the asymptotic expansions on the right-hand sides of (41)–(44), we then obtain, after some algebra, the following results for (45) and (46):

(47) (48) In (47) and (48), a different asymptotic behavior is observed between the spectral Green’s functions and after the extraction of their relevant homogeneous terms and . The closed-form expressions for the coefficients of the dominant terms of the asymptotic expansions obtained in the derivation can be used to perform additional extractions. As discussed in connection with (20), an additional extraction is performed for the scalar potential. It is then clear from (47) and (48) that the resulting integrand for the scalar potential will then have the same asymptotic behavior as the integrand for the vector potential (both integrands will decay as ). REFERENCES [1] A. A. Oliner, “Leakage from higher modes on microstrip line with application to antennas,” Radio Sci., vol. 22, pp. 907–912, Nov. 1987. [2] K. A. Michalski and D. Zheng, “Rigorous analysis of open microstrip lines of arbitrary cross section in bound and leaky regions,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 12, pp. 2005–2010, Dec. 1989. [3] J. S. Bagby, C.-H. Lee, D. P. Nyquist, and Y. Yuan, “Identification of propagation regimes on integrated microstrip lines,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 11, pp. 1887–1894, Nov. 1993. [4] R. Rodriguez-Berral, F. Mesa, and F. Medina, “Enhanced implementation of the complex images method to study bound and leaky regimes in layered planar printed lines,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 709–720, Feb. 2004. [5] V. Radisic, Y. Qian, and T. Itoh, “Novel architectures for high-efficiency amplifiers for wireless applications,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 11, pp. 1901–1909, Nov. 1998. [6] S.-G. Mao and M.-Y. Chen, “A novel periodic electromagnetic bandgap structure for finite-width conductor-backed coplanar waveguides,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 6, pp. 261–263, Jun. 2001. [7] ——, “Propagation characteristics of finite-width conductor-backed coplanar waveguides with periodic electromagnetic bandgap cells,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 11, pp. 2624–2628, Nov. 2002.

1361

[8] L. Zhu, “Guided-wave characteristics of periodic coplanar waveguides with inductive loading—Unit-length transmission parameters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 10, pp. 2133–2138, Oct. 2003. [9] ——, “Guided-wave characteristics of periodic microstrip lines with inductive loading: Slow-wave and bandstop behaviors,” Microw. Opt. Technol. Lett., vol. 41, pp. 77–79, Apr. 2004. [10] B.-L. Ooi, “Compact EBG in-phase hybrid-ring equal power divider,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 7, pp. 2329–2334, Jul. 2005. [11] R. E. Collin and F. J. Zucker, Eds., Antenna Theory. New York: McGraw-Hill, 1969, ch. 19 and 20. [12] A. A. Oliner, Antenna Engineering Handbook, R. C. Johnson, Ed. New York: McGraw-Hill, 1993, ch. 20. [13] M. Guglielmi and D. R. Jackson, “Broadside radiation from periodic leaky-wave antennas,” IEEE Trans. Antennas Propag., vol. 41, no. 1, pp. 31–37, Jan. 1993. [14] L. Liu, C. Caloz, and T. Itoh, “Dominant mode leaky-wave antenna with backfire-to-endfire scanning capability,” Electron. Lett., vol. 38, pp. 1414–1416, Nov. 2002. [15] A. Grbic and G. V. Eleftheriades, “Experimental verification of backward-wave radiation from a negative refractive index metamaterial,” J. Appl. Phys., vol. 92, pp. 5930–5935, Nov. 2002. [16] S.-G. Mao, S.-L. Chen, and C.-W. Huang, “Effective electromagnetic parameters of novel distributed left-handed microstrip lines,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1515–1521, Apr. 2005. [17] Y.-C. Chen, C.-K. C. Tzuang, T. Itoh, and T. K. Sarkar, “Modal characteristics of planar transmission lines with periodical perturbations: Their behaviors in bound, stopband, and radiation regions,” IEEE Trans. Antennas Propag., vol. 53, no. 1, pp. 47–58, Jan. 2005. [18] P. K. Potharazu and D. R. Jackson, “Analysis and design of a leakywave EMC dipole array,” IEEE Trans. Antennas Propag., vol. 40, no. 8, pp. 950–958, Aug. 1992. [19] S. B. Worm and R. Pregla, “Hybrid-mode analysis of arbitrarily shaped planar microwave structures by the method of lines,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 2, pp. 191–196, Feb. 1984. [20] F. J. Glandorf and I. Wolff, “A spectral-domain analysis of periodically nonuniform microstrip lines,” IEEE Trans. Microw. Theory Tech., vol. MTT-35, no. 3, pp. 336–343, Mar. 1987. [21] H.-Y. D. Yang, “Theory of microstrip lines on artificial periodic substrates,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 5, pp. 629–635, May 1999. [22] R. E. Collin, Field Theory of Guided Waves, 2nd ed. New York: IEEE Press, 1991. [23] C. Elachi, “Waves in active and passive periodic structures: A review,” Proc. IEEE, vol. 64, no. 12, pp. 1666–1698, Dec. 1976. [24] A. W. Glisson and D. R. Wilton, “Simple and efficient numerical methods for problems of electromagnetic radiation and scattering from surfaces,” IEEE Trans. Antennas Propag., vol. AP-28, no. 9, pp. 593–603, Sep. 1980. [25] J. R. Mosig and F. E. Gardiol, “Analytical and numerical techniques in the Green’s function treatment of microstrip antennas and scatterers,” Proc. Inst. Elect. Eng., vol. 130, pt. H, pp. 175–182, Mar. 1983. [26] K. A. Michalski, “The mixed potential electric field integral equation for objects in a layered media,” Arch. Elektron. Uebertrag., vol. 39, pp. 317–322, Sep.–Oct. 1985. [27] J. R. Mosig, “Arbitrarily shaped microstrip structures and their analysis with a mixed potential integral equation,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 2, pp. 314–323, Feb. 1988. [28] K. A. Michalski and D. Zheng, “Electromagnetic scattering and radiation by surfaces of arbitrary shape in layered media—Part I: Theory,” IEEE Trans. Antennas Propag., vol. 38, no. 3, pp. 335–344, Mar. 1990. [29] C.-I. G. Hsu, R. F. Harrington, K. A. Michalski, and D. Zheng, “Analysis of multiconductor transmission lines of arbitrary cross section in multilayered uniaxial media,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 1, pp. 70–78, Jan. 1993. [30] L. B. Felsen and N. Marcuvitz, Radiation and Scattering of Waves. Englewood Cliffs, NJ: Prentice-Hall, 1973. [31] I. S. Gradshteyn and I. M. Ryzhik, Table of Integrals, Series, and Products. New York: Academic, 1980. [32] M. Abramowitz and I. A. Stegun, Handbook of Mathematical Functions, 9th ed. New York: Dover, 1972. [33] S. Singh, W. F. Richards, J. R. Zinecker, and D. R. Wilton, “Accelerating the convergence of series representing the free space periodic Green’s function,” IEEE Trans. Antennas Propag., vol. 38, no. 12, pp. 1958–1962, Dec. 1990.

1362

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

[34] R. D. Graglia, “On the numerical integration of the linear shape functions times the 3-D Green’s function or its gradient on a plane triangle,” IEEE Trans. Antennas Propag., vol. 41, no. 10, pp. 1448–1455, Oct. 1993. [35] N. Morita, N. Kugamai, and J. R. Mautz, Integral Equation Methods for Electromagnetics. Norwood, MA: Artech House, 1990. [36] A. Sankar and T. C. Tong, “Current computation on complex structures by finite element method,” Electron. Lett., vol. 11, no. 20, pp. 481–482, Oct. 1975. [37] J. J. H. Wang, “Numerical analysis of three-dimensional arbitrarilyshaped conducting scatterers by trilateral surface cell modeling,” Radio Sci., vol. 13, no. 6, pp. 947–952, Nov.–Dec. 1978. [38] S. M. Rao, D. R. Wilton, and A. W. Glisson, “Electromagnetic scattering by surfaces of arbitrary shape,” IEEE Trans. Antennas Propag., vol. AP-30, no. 5, pp. 409–418, May 1982. [39] T. Tamir and A. A. Oliner, “Guided complex waves. Part I: Fields at an interface. Part II: Relation to radiation patterns,” Proc. Inst. Elect. Eng., vol. 110, pp. 310–334, Feb. 1963. [40] T. Tamir, “Inhomogeneous wave types at planar interfaces: III—Leaky waves,” Optik, vol. 38, pp. 269–297, 1973. [41] F. Mesa, C. Di Nallo, and D. R. Jackson, “The theory of surface-wave and space-wave leaky-mode excitation on microstrip lines,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 2, pp. 207–215, Feb. 1999. [42] D. Nghiem, J. T. Williams, D. R. Jackson, and A. A. Oliner, “Suppression of leakage on stripline and microstrip structures,” in IEEE MTT-S Int. Microw. Symp. Dig., San Diego, CA, May 23–27, 1994, vol. 1, pp. 145–148. [43] C.-C. Hu, C. F. Jou, and J.-J. Wu, “An aperture-coupled linear microstrip leaky-wave antenna array with two-dimensional dual-beam scanning capability,” IEEE Trans. Antennas Propag., vol. 48, no. 6, pp. 909–912, Jun. 2000. [44] M. J. Ablowitz and A. S. Fokas, Complex Variables. Cambridge, U.K.: Cambridge Univ. Press, 1997.

Paolo Baccarelli (S’96–M’01) received the Laurea degree in electronic engineering and Ph.D. degree in applied electromagnetics from “La Sapienza” University of Rome, Rome, Italy, in 1996 and 2000, respectively. In 1996, he joined the Department of Electronic Engineering, “La Sapienza” University of Rome, where he is an Associate Researcher since 2000. From April 1999 to October 1999, he was a Visiting Scholar with the University of Houston, Houston, TX. His research interests concern analysis and design of planar LW antennas, numerical methods, periodic structures, and propagation and radiation in metamaterials and anisotropic media.

Carlo Di Nallo (S’95–M’97) was born in Varese, Italy, in 1967. He received the Laurea degree in electronics engineering (summa cum laude) and Research Doctorate (Ph.D.) degree in applied electromagnetics from the University of Rome “La Sapienza,” Rome, Italy, in 1992 and 1997, respectively. From 1997 to 1998, he was a Post-Doctorate Fellow with the Department of Electronic Engineering, University of Rome “La Sapienza.” From 1998 to 2000, he was consultant for different companies on electromagnetic simulation, antenna numerical modeling, design, and experimental characterization. In 2000, he joined the Corporate ElectroMagnetic Energy (EME) Laboratory, Motorola, Plantation, FL, where he is involved in theoretical and experimental research in antenna technologies and RF dosimetry. He is currently a Distinguished Member of the Technical Staff. He has authored or coauthored over 70 conference and journal papers on numerical modeling, leaky-wave antennas, leaky-wave theory, radiation phenomena in printed circuits, dielectric-resonator devices, propagation and radiation in anisotropic media, and integrated multiband antennas. He holds four patents in antenna technology.

Simone Paulotto (S’97) received the Laurea degree (cum laude and honorable mention) in electronic engineering from “La Sapienza” University of Rome, Rome, Italy, in 2002, and is currently working toward the Ph.D. degree in applied electromagnetics at “La Sapienza” University of Rome. In 2002, he joined the Electronic Engineering Department, “La Sapienza” University of Rome. From November 2004 to April 2005, he was a Visiting Scholar with the University of Houston, Houston, TX. His scientific interests include analysis and design of planar LW antennas, guidance and radiation phenomena in metamaterial structures, periodic structures, and direct and inverse-scattering theory.

David R. Jackson (S’83–M’84–SM’95–F’99) was born in St. Louis, MO, on March 28, 1957. He received the B.S.E.E. and M.S.E.E. degrees from the University of Missouri, Columbia, in 1979 and 1981, respectively, and the Ph.D. degree in electrical engineering from the University of California at Los Angeles (UCLA), in 1985. From 1985 to 1991, he was an Assistant Professor with the Department of Electrical and Computer Engineering, University of Houston, Houston, TX, where from 1991 to 1998, he was an Associate Professor, and since 1998, has been a Professor. He has served as an Associate Editor for the Journal of Radio Science, the International Journal of RF, and Microwave Computer-Aided Engineering. His current research interests include microstrip antennas and circuits, LW antennas, leakage and radiation effects in microwave integrated circuits, periodic structures, and electromagnetic compatibility (EMC). Dr. Jackson is currently the vice chair for the International Union of Radio Science (URSI) U.S. Commission B and the chair of the Transnational Committee of the IEEE Antennas and Propagation Society (IEEE AP-S). He is also on the Editorial Board for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He was the Chapter activities coordinator for the IEEE AP-S, a distinguished lecturer for the IEEE AP-S , an associate editor for the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION, and a member of the Administrative Committee (AdCom) for the IEEE AP-S.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

1363

Close-in Phase-Noise Enhanced Voltage-Controlled Oscillator Employing Parasitic V-NPN Transistor in CMOS Process Yeonwoo Ku, Student Member, IEEE, Ilku Nam, Member, IEEE, Sohmyung Ha, Student Member, IEEE, Kwyro Lee, Senior Member, IEEE, and Seonghwan Cho, Member, IEEE

Abstract—This paper presents a voltage-controlled oscillator (VCO) with low close-in phase noise by exploiting a parasitic vertical NPN transistor (V-NPN) as a tail current source in a 0.18- m CMOS process. V-NPN has an inherently low flicker noise) profile compared to CMOS devices. Simple noise (1 dc and ac characteristics of V-NPN are measured and extracted for design convenience. The proposed VCO that used a V-NPN current source instead of nMOS is verified using a 0.18- m deep n-well CMOS process. Test results of the designed VCO show good figure-of-merit of 87.4 dBc/Hz, 111 dBc/Hz of phase noise at 10 kHz, and 100-kHz offsets while consuming only 540 W from the 1.8-V supply. Index Terms—Close-in phase noise, flicker noise, vertical-NPN (V-NPN) transistor, voltage-controlled oscillator (VCO).

I. INTRODUCTION S THE demand on high data-rate high-quality communications increases, the low phase-noise oscillator is more indispensable to each standard such as global systems for mobile communications (GSMs), Bluetooth, and wireless local area networks (WLANs). To obtain the low phase-noise oscillator, there has been much effort to meet the specification of each standard. Most of previous studies are concentrated on the noise of the intermediate offset frequency from the carrier and, unfortunately, it is difficult to satisfy the close-in phase-noise specifications in many applications, which use narrow channel spacing, such as Japanese personal digital cellular (PDC) or Korean domestic standard, at a 400 500-MHz band. The main reason is the device flicker noise ( noise) up-converted by a negative -cell and tail current–source transistor, which dominates these low frequency offset regions. Therefore, many previous methods are not fit to enhance the close-in phase-noise performance. Recently, a few studies to improve the close-in phase-noise performances have been published [1], [2]. Although there is some improvement in the close-in

A

Manuscript received June 2, 2005; revised September 23, 2005. Y. Ku, S. Ha, and S. Cho are with the Department of Electrical Engineering and Computer Science, Korea Advanced Institute of Science and Technology, Daejeon 305-701, Korea (e-mail:[email protected]). I. Nam was with the Department of Electrical Engineering and Computer Science, Korea Advanced Institute of Science and Technology, Daejeon 305701, Korea. He is now with Samsung Electronics, Kyunggi 449-711, Korea. K. Lee is with the Department of Electrical Engineering and Computer Science, Korea Advanced Institute of Science and Technology, Daejeon 305-701, Korea and also with the LG Electronics Institute of Technology, Seoul 137-140, Korea. Digital Object Identifier 10.1109/TMTT.2006.871231

Fig. 1. (a) The cross-sectional view of the deep n-well CMOS technology. (b) Layout for a V-NPN.

phase-noise performances, many additional components such as an external inductor, a large capacitor, and a resistor are used. These additional components require either a quite large silicon area or extra pins to the printed circuit board. In this paper, a low close-in phase-noise voltage-controlled oscillator (VCO) using a parasitic vertical NPN (V-NPN) transistor is introduced at 500 MHz. The parasitic V-NPN is used as a current source instead of conventional nMOS or pMOS devices because of its inherent low noise profile, which thereby promises low close-in phase noise. In addition, simple analysis of the phase-noise up-conversion mechanism by the current source is included. The implemented VCO shows good agreement with the proposed design method with a high figure-of-merit (FOM) compared to previous studies without any sacrifices in area or power consumption. This paper is organized as follows. In Section II, properties of the parasitic V-NPN is introduced. Simple dc and small-signal modeling of the V-NPN are carried out for its circuit applications. In Section III, the noise up-conversion mechanism of the tail current–source transistor is explained. It shows what is the dominant close-in phase-noise source of the VCO and how to reduce the amount of up-converted noise. Finally, the experimental results are summarized in Section IV.

0018-9480/$20.00 © 2006 IEEE

1364

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

TABLE I LIST OF EXTRACTED DC MODEL PARAMETERS

Fig. 4. (a) Equivalent-circuit model under cutoff region (at measurement, emitter, and substrate are grounded). (b) Intrinsic small-signal model under forward active region. TABLE II LIST OF SOME IMPORTANT EXTRACTED AC PARAMETERS = 1:0 V) (I = 0:1 mA, V

Fig. 2. Measured and fitted Gummel plots at the forward active region.

Fig. 3. Measured and fitted I–V curves at the forward active region.

II. PARASITIC V-NPN TRANSISTOR A cross-sectional view of the V-NPN in a deep n-well standard CMOS process is shown in Fig. 1. Although, the parasitic V-NPN was first employed in [10], a circuit model for the V-NPN was not introduced; hence, its simple modeling is carried out in this paper.

1) DC Modeling: DC parameters of a V-NPN are characterized by using the conventional Gummel–Poon model [4]. A list of extracted dc parameters are given in Table I. Some of the interesting parameters to notice are and . Although the extracted is quite low due to its innate physical structure, it is not a design bottleneck as a current–source application. of 40 V is also low compared with the conventional bipolar junction transistor (BJT), but still comparable to or larger than the nMOS case. Using the above model parameters, the I–V curve is fitted with the simulation and shows a good agreement with the measured results, as shown in Figs. 2 and 3. 2) AC Small-Signal Modeling: Using the - and -parameter of open, short, and deembedding patterns, parasitic resistances and parasitic inductances are removed. Under the cutoff conditions, the equivalent model becomes only capacitive and, hence, , , and can be extracted from the dependency on and conditions. The intrinsic part for the

KU et al.: CLOSE-IN PHASE-NOISE ENHANCED VCO EMPLOYING PARASITIC V-NPN TRANSISTOR IN CMOS PROCESS

1365

Fig. 5. Measured and fitted S -parameter from a 0.5–5-GHz frequency range.

forward active region is shown in Fig. 4. , , and are extracted under the cutoff condition, and and are also extracted by the method introduced in [5]. Some of the significant ac parameters are shown in Table II. Although is quite low, V-NPN has large small-signal transconductance mS at mA. is one of the main sources of the thermal noise induced phase-noise region (also called the region) in the MOSFET case. also influences the amount of the noise conversion in both case, and it can become a weak point for using a current source. Another distinguishable feature is the unity current gain frequency . Resulting from long base transit time due to its inherent physical base structure, is quite low compared with the nMOS case. Nevertheless, it can be used as a current–source transistor because it is neither a gain stage, nor a current sensitive negative -cell. Fig. 5 show the -parameter plot fitted by small-signal modeling.

governs the up-conversion of the flicker noise around the carrier [3], [8]. Therefore, focusing on the tail current–source transistor can improve the close-in phase-noise performance. There are two notable noise up-conversion mechanisms that explains how noise is up-converted near the carrier frequency. noise at the drain of the tail current source mixes with the driving current of the fundamental frequency at the gate of negative -cell. The mixing mechanism produces random AM noise at the low-frequency regime ( is frequency offset) and near the carrier frequency , as it has been observed in a single-balanced mixer. These two random AM noises modulate the capacitance of the tank varactor, which is called AM (or FM)-to-PM conversion, and result in the sideband skirts at the VCO output [2], [9]. By virtue of the narrow channel application and the narrow-band FM approximation, is quite small and, hence, the amount of AM-to-PM noise by the varactor is smaller than those incurred by the second mechanism as follows:

III. FLICKER NOISE UP-CONVERSION MECHANISM In a typical CMOS differential LC VCO, there are two main sources of noise: the negative -cell transistor and the tail current–source transistor. Generally, it is known that the contribution of the negative -cell is suppressed by hard switching action because of the long correlation time of noise physical process [6], [7]. According to [6] and [7], the hard switching can be thought of as a method to reduce the correlation by interfering with the (de)-trapping process. Furthermore, it is believed that noise of the negative -cell MOS pair can be reduced by the symmetric properties of the oscillation waveform, which

(1)

(2) AM

PM by varactor (3)

1366

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 6. Circuit schematic of designed differential LC VCO. (a) nMOS current–source version. (b) V-NPN current–source version.

The next mechanism originates from the switching nature of differential oscillators. Similar to the first mechanism, noise at node A in Fig. 6 modulates the second harmonic current caused by a nonideal tail current source pulling a carrier every half period. These modulated second harmonic noise currents are down-converted near the carrier frequency after convolving with the current of the fundamental frequency at the gate of negative -cell. For this reason, noise induced by a tail current source is scrutinized in order to improve the VCO close-in phase-noise performance. In (1) and (2), present the input referred noise of the MOSFET and V-NPN, respectively, and the amount of noise that modulates the varactor is expressed in (3). The coefficient is the MOSFET channel-length-dependent coefficient, and and denote noise coefficient and base resistance, respectively. The amount of the converted noise into the drain or collector is expressed by (4) as follows:

Fig. 7. Measured output noise spectra of V-NPN with four emitter fingers and nMOS of 80 m/2 m at 0.3 mA. The two solid lines are 1=f noise models fitted with the extracted K , K , where K = 3 10 and K = 4 10 .

2

2

(4) where is the total resistance at node A and is the transconductance of the tail current transistor. As in the operation of a single-balanced mixer, the gate of the negative -cell transistor plays a role of the local-oscillator (LO) input, and its source plays the role of the RF input. Thus, the conversion gain is approximately determined to be by a hard switching assumption. Finally, the total close-in noise power is calculated as follows. To get a rough comparison, the amount of noise is calculated by (5) and (6) using circuit parameters. The first term of the nMOS VCO and the first two terms of V-NPN VCO have the same order of 3 10 V Hz, hence, the total output noise density is determined mostly by each noise

(5)

(6) In (5), a tradeoff can be observed between the size of the tail current transistor and the transconductance. Usually, a large

size transistor is preferred in a current source, but it does not always improve the close-in phase noise because its gain portion increases quadratically as noise decreases linearly. IV. VCO DESIGN noise Here, we propose a unique method to reduce up-conversion, employing parasitic V-NPN in a deep n-well CMOS process. Since V-NPN has inherently less noise [10], it can be expected that the VCO using V-NPN as the tail current source has less close-in phase noise. The measured noise profile of V-NPN is plotted in Fig. 7, which clearly shows lower of the V-NPN than that of NMOS. To confirm our proposal, two test oscillators have been implemented via a one-poly six-metal 0.18- m CMOS process. One is a conventional VCO using an nMOS current source and the other is the proposed VCO using V-NPN as a tail current source. To ensure oscillation, a complementary cross-coupled topology is chosen for larger negative and the safety factor is set to 3. The designed VCO has a 1.5 larger width pMOS pair than the nMOS pair for symmetric waveform and uses a 0.35- m-length transistor. V-NPN is also used at the current mirror in the V-NPN VCO. The output buffer is implemented

KU et al.: CLOSE-IN PHASE-NOISE ENHANCED VCO EMPLOYING PARASITIC V-NPN TRANSISTOR IN CMOS PROCESS

1367

Fig. 8. Simulated phase-noise plot using SpectreRF. Fig. 11. Measured output spectrum at 500 MHz.

Fig. 12. VCO chip microphotograph.

Fig. 9. Measured close-in phase noise using HP 4352B VCO/PLL signal analyzer.

Fig. 10. Measured frequency tuning curve and output power variation versus control voltage at the V-NPN VCO.

as a source follower type to reduce the output impedance for easy matching to 50 . Each buffer consumes 1 2 mA at 1.8-V power supply. As a frequency-tuning element, an N-type MOS varactor is used. The capacitance of the varactor is varied from 0.4 to 1.0 pF with the range of 0-V 1.8-V control voltage.

TABLE III PERFORMANCE SUMMARY OF THE DESIGNED VCO

Its quality factor is approximately 120 at 0.5 GHz, which has negligible loss compared to the inductor. The total tank consists of two fixed metal–insulator–metal (MIM) capacitor and two MOS varactors in series to reduce the tuning sensitivity. A 27-nH off-chip discrete inductor is also used. The simulated phase noise is plotted in Fig. 8 and the tuning sensitivity is approximately 25 MHz/V for both VCOs.

1368

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

TABLE IV FOM COMPARISON TO THE PREVIOUS STUDIES

V. MEASUREMENT RESULTS Fig. 9 shows the measured phase-noise characteristics using an HP 4352B VCO/phase-locked loop (PLL) signal analyzer. Compared to the simulated phase-noise results, there is little difference at the nMOS VCO, the V-NPN VCO has 3-dB 5-dB difference because of inaccurate V-NPN noise models. However, the close-in phase-noise performance of the V-NPN VCO is evidently superior to the nMOS one by 4 9 dB from 1 to 100 kHz. Below the 300-Hz offset, it is difficult to find superiority due to the bandwidth limit of the signal analyzer. Since the thermal noise due to the resistance of the tank inductor and negative -cell pair dominates above a 1-MHz offset, two VCOs have very similar noise characteristics. The noise corner of the V-NPN VCO is observed to be less 100 kHz, which is lower than that of the nMOS one. The frequency characteristic and output power variations due to the frequency tuning are plotted in Fig. 10. The measured and simulated frequency difference is approximately 10 MHz, which is equivalent to the parasitic capacitance of 220 fF. The output spectrum is measured using an off-chip balun, as in Fig. 11. Fig. 12 presents a fabricated chip microphotograph with a size of 300 m 200 m. The measured results and the FOM compared to recent studies are summarized in Tables III and IV, respectively. The proposed method provides satisfactory close-in phase-noise performance to meet the stringent specification that is difficult to achieve in CMOS process without any sacrifices, such as area, external component, or additional power consumption. VI. CONCLUSIONS A 500-MHz low close-in phase-noise CMOS VCO has been presented with the simple modeling of a V-NPN. The noise up-conversion mechanism has been graphically explained, and the biggest contributor of VCO close-in phase noise has been identified. By adopting the parasitic V-NPN transistor as a current source instead of NMOS, the phase-noise performance in the low-frequency offset region has been drastically improved. The V-NPN VCO has quite low phase noise ranging between 4 9 dBc/Hz from a 1- to 100-kHz offset region compared with

an nMOS current–source VCO consuming the same power. It is evident that adopting the V-NPN as a current source is an attractive option for achieving low close-in phase-noise VCOs. ACKNOWLEDGMENT The authors would like to thank S. H. Han, Electronics and Telecommunications Research Institute (ETRI), Daejeon, Korea, and J. H. Ko, Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, for providing a signal analyzer and fruitful discussion. REFERENCES [1] P. Andreani and H. Sjoland, “Tail current noise suppression in RF CMOS VCOs,” IEEE J. Solid-State Circuits, vol. 37, no. 3, pp. 342–348, Mar. 2002. [2] A. Ismail and A. A. Abidi, “CMOS differential LC oscillator with suppressed up-converted flicker noise,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., San Francisco, CA, Feb. 2003, pp. 98–99. ¨ lger and E. Sanchez-Sinencio, “Design trade-offs of a symmetric [3] F. D linearized CMOS LC VCO,” in Proc. IEEE Int. Circuits Syst. Symp., Scottsdale, AZ, May 2002, pp. 397–400. [4] J. Kendall, “Direct extraction of accurate dc bipolar spice parameters for the forward active region without using optimization,” in Proc. IEEE Int. Microelectron. Test Structures Conf., Kyoto, Japan, Mar. 1991, pp. 197–202. [5] D. R. Pehlke and D. Pavlidis, “Evaluation of the factors determining HBT high-frequency performance by direct analysis of S -parameters data,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 12, pp. 2367–2373, Dec. 1992. [6] I. Bloom and Y. Nemirovsky, “1=F noise reduction by interfering with the self correlation of the physical noisy process,” in Proc. 17th Elect. Electron. Eng. Conv., Ramat-Gan, Israel, Mar. 1991, pp. 69–72. [7] S. L. J. Gierkink, E. A. M. Klumperink, A. P. van der Wel, G. Hoogzaad, E. A. J. M. van Tuijl, and B. Nauta, “Intrinsic 1=f device noise reduction and its effect on phase noise in CMOS ring oscillators,” IEEE J. Solid-State Circuits, vol. 34, no. 7, pp. 1022–1025, Jul. 1999. [8] A. Hajimiri and T. H. Lee, The Design of Low Noise Oscillator. Norwell, MA: Kluwer, 1999. [9] B. Razavi, RF Micro-Electronics. Upper Saddle River, NJ: PrenticeHall, 1998. [10] I. Nam and K. Lee, “High performance RF mixer and operational amplifier BiCMOS circuits using parasitic vertical bipolar transistor in CMOS technology,” IEEE J. Solid-State Circuits, vol. 40, no. 2, pp. 392–402, Feb. 2005. [11] H. Darabi and A. A. Abidi, “Noise in RF-CMOS mixers: A simple physical model,” IEEE J. Solid-State Circuits, vol. 35, no. 1, pp. 15–25, Jan. 2000.

KU et al.: CLOSE-IN PHASE-NOISE ENHANCED VCO EMPLOYING PARASITIC V-NPN TRANSISTOR IN CMOS PROCESS

[12] E. Hegazi and A. A. Abidi, “A 17 mW transmitter and frequency synthesizer for 900 MHz GSM fully integrated in 0.35-m CMOS,” IEEE J. Solid-State Circuits, vol. 38, no. 5, pp. 782–792, May 2003. [13] C. C. Ho, C. W. Kuo, C. C. C. Hsia, and Y. J. Chan, “A 2.4 GHz low phase noise VCO fabricated by 0.18-m pMOS technologies,” in IEEE Int. VLSI Technol. Symp., Oct. 2003, pp. 144–146. [14] Y.-K. Chu and H.-R. Chuang, “A fully integrated 5.8 GHz U-NII band 0.18-m CMOS VCO,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 7, pp. 287–289, Jul. 2003.

Yeonwoo Ku (S’02) received the B.S. and M.S. degrees in electrical engineering and computer science from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 1999 and 2001, respectively, and is currently working toward the Ph.D. degree at KAIST. His current research interests are designing VCOs, PLLs in transmitters, and designing analog baseband circuit in receivers for low-power applications.

Ilku Nam (S’02–M’06) received the B.S. degree in electronic engineering from Yonsei University, Seoul, Korea, in 1999, and the M.S. and Ph.D. degrees in electrical engineering and computer science from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2001 and 2005, respectively. In 2005, he joined Samsung Electronics, Kyunggi, Korea, where he is currently a Senior Engineer with the RF Development Team. His research interests include CMOS RF/analog integrated circuits and RF system design for wireless communication, and interfaces between RF and modems.

Sohmyung Ha (S’05) was born in Cheongju, Korea, in 1981. He received the B.S. degree in electronics engineering from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2004, and is currently working toward the M.S. degree at KAIST. In 2004, he was involved with V-NPN modeling. His current research interests include PLL-based clock generators and their digital calibration.

1369

Kwyro Lee (M’80–SM’90) received the B.S. degree in electronics engineering from Seoul National University, Seoul, Korea, in 1976, and the M.S. and Ph.D. degrees from the University of Minnesota at Minneapolis–St. Paul, in 1981 and 1983, respectively, where he performed pioneering work for characterization and modeling of AlGaAs/GaAs heterojunction field-effect From 1983 to 1986, he was an Engineering General Manager with GoldStar Semiconductor Inc., Seoul, Korea, where he was responsible for the development of the first polysilicon CMOS products in Korea. In 1987, he joined the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, as an Assistant Professor in the development of electrical engineering. He is currently a Professor with KAIST. From 1998 to 2000, he served as the KAIST Dean of Research Affairs and the Dean of Institute Development and Cooperation. Since 1997, he has been the Director of the Micro Information and Communication Remote-Object Oriented Systems (MICROS) Research Center, Daejeon, Korea, an Engineering Center of Excellence supported by the Korea Science and Engineering Foundation. He has authored or coauthored over 150 publications in major international journals and conferences. He authored Semiconductor Device Modeling for VLSI (Prentice-Hall, 1993) and was one of the co-developers of AIM-SPICE, the world’s first SPICE run under Windows. Dr. Lee is a Life Member of the Korean Institute of Electrical and Communications Engineers. From 1990 to 1996, he served as the Conference co-chair of the International Semiconductor Device Research Symposium, Charlottesville, VA. From 1998 to 2000, he served as the chairman of the IEEE Korea Electron Device Chapter and currently serves as the elected member of the Administrative Committee (AdCom) of the Electron Devices Society (EDS).

Seonghwan Cho (M’02) received the B.S. degree in electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 1995, and the S.M. and Ph.D. degrees in electrical engineering and computer science (EECS) from the Massachusetts Institute of Technology (MIT), Cambridge, MA, in 1997 and 2002, respectively. During the summer of 1999, he was with the IBM T. J. Watson Research Center, Yorktown Heights, NY. In 2002, he joined Engim Inc., where he was involved in data converters, PLL and VCO design for IEEE 802.11(a)(b)(g) WLANs. From 2003 to 2004, he served the military of Korea as a Public Service Agent. Since November of 2004, he has been with KAIST as an Assistant Professor with the Department of Electrical Engineering and Computer Science. His research interests include mixed-signal and analog circuits for low-power communication systems and wireless sensor networks. Dr. Cho is a member of the Technical Program Committee for the IEEE Asian Solid-State Circuits Conference.

1370

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

A 10–35-GHz Six-Channel Microstrip Multiplexer for Wide-Band Communication Systems Seungpyo Hong, Student Member, IEEE, and Kai Chang, Fellow, IEEE

Abstract—A six-channel multiplexer composed of parallel-coupled microstrip bandpass filters is developed. The passbands of the filters, i.e., channel frequencies, are 10, 12, 19, 21, 32, and 35 GHz. The operating frequency range of the multiplexer is so wide that a new method of harmonic suppression is devised for the filters designed at 10 and 12 GHz. The method is simple and does not require any change to the conventional parallel-coupled microstrip bandpass filter. A design procedure for the microstrip multiplexer is then introduced with a rule to set up initial conditions for better optimization. The designed multiplexer does not need any tuning elements, and the measurement results show insertion losses between 2.0–3.2 dB for all six channels. The multiplexer developed in this paper has been used in the wide-band transceiver system. Index Terms—Harmonic suppression, microstrip filters, multiplexers.

I. INTRODUCTION ODAY, IT is required that satellite, mobile, and other communication systems operate in full-duplex mode. The systems are also required to operate over a wide band or multifrequency bands. To meet these requirements, the system should be able to transmit and receive signals simultaneously over its operating frequency range and must have a way to provide a high degree of isolation between transmit and receive paths to prevent the receiver from being degraded by the leakage from the transmitter and to maintain a good signal-to-noise ratio (SNR). Generally, a circulator or multiplexer is used to provide a way to achieve full-duplex operation. However, the circulator is not appropriate to be used for wide-band systems, because of its narrow operating bandwidth. Multiplexers provide isolation between transmit and receive channels by assigning a different frequency band to each channel and can operate over a wide bandwidth. A microstrip multiplexer used for multifrequency, full-duplex and phased array antenna systems has been reported in [1]. In this paper, a compact six-channel, wide-band multiplexer is developed. The design of the filters constituting the multiplexer will be presented first, and then the procedure of constructing the multiplexer will be explained. The multiplexer is composed of six parallel-coupled microstrip bandpass filters and can offer full-duplex operation over an extremely wide frequency range from 10 to 35 GHz. Because of the wide operation bandwidth of the multiplexer, the bandpass filters

T

Manuscript received June 22, 2005; revised December 13, 2005. This work was supported in part by the U. S. Army. The authors are with the Department of Electrical and Computer Engineering, Texas A&M University, College Station, TX 77843-3128 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.871912

Fig. 1. Block diagram of the multiplexer.

centered at lower frequencies, i.e., 10 and 12 GHz, should be able to reduce the spurious passbands at the harmonic frequencies of the designed passband freqeuncy . Many methods have been proposed to suppress the spurious passbands that are inherent to the parallel-coupled microstrip bandpass filters [2]–[5]. In this paper, a novel and simple method for harmonic suppression is proposed. With the method presented, the conventional parallel-coupled microstrip bandpass filters can be used without any changes. Instead of modifying the filter itself, small half-wavelength resonators are placed in the vicinity of the conventional filter. The small resonators invoke matching conditions for the wave propagating through the filter at the harmonic frequencies, perturb the current distribution on the coupled lines of the filter, and reject the spurious passbands. A transmission-line model is used to predict the extra resonances caused by the added resonator. The proposed method is verified by both electromagnetic simulation and measurement of a designed filter. The construction of the multiplexer by connecting each microstrip bandpass filter requires a great deal of effort because there are many optimization variables, and even a slight change in the length of any microstrip line connecting each filter will change the frequency responses of the multiplexer. The initial condition for optimization is important to avoid the local minima and reduce the optimization time. The procedure of designing the multiplexer, taking into account these considerations, is presented in Section IV. When connected with wide-band monolothic microwave integrated circuit (MMIC) amplifiers and phased-array antennas, as shown in Fig. 1, the multiplexer routes transmitting signals at 10, 19, and 32 GHz from the power amplifiers and then sends

0018-9480/$20.00 © 2006 IEEE

HONG AND CHANG: 0–35-GHz SIX-CHANNEL MICROSTRIP MULTIPLEXER FOR WIDE-BAND COMMUNICATION SYSTEMS

1371

TABLE I DIMENSIONS OF DESIGNED PARALLEL-COUPLED MICROSTRIP BANDPASS FILTERS

Fig. 2. Layout of the designed filters. (W = 0:84 mm).

the amplified signals to the phased-array antenna. We call this path the transmit path. On the other hand, in the receive path, it receives signals at 12, 21, and 35 GHz from the antenna and routes them to the low-noise amplifiers (LNAs). A bandwidth of at least 500 MHz is required for each channel. The multiplexer presented in this paper should have many applications in full-duplex multiband communication systems and radar systems. II. FILTER DESIGN To construct a six-channel multiplexer, six bandpass filters shown in Fig. 1, whose passbands are corresponding to each channel of the multiplexer, should be designed first. In this paper, a conventional parallel-coupled microstrip bandpass filter composed of half-wavelength resonators is chosen for the channel filter of the multiplexer, because of its compactness, easy fabrication, and low cost. However, the radiation from the filter with half-wavelength resonators increases as the designed center frequency of the filter operates in the millimeter-wave region, thus causing high insertion loss. The loss due to the radiation becomes severe if a thick substrate is used. For this reason, a thin 0.25-mm substrate is selected for the filter design. The actual substrate used is 0.25-mm-thick RT/Duroid 5880 from Rogers with a relative dielectric constant . All six filters have five coupling sections and a bandwidth of about 10%. The basic design procedure follows the conventional parallel-coupled filter design methods [6], and the designed filters are optimized using IE3D, which is a full-wave electromagnetic simulator [7]. The coupling sections of the filters are symmetrical with respect to the third section, that is, the first and second sections have same physical dimensions as the fifth and fourth sections. Fig. 2 shows the physical layout of the designed filters, and the actual dimensions of each filter are summarized in Table I. The width of the input/output lines is set to 0.84 mm, making the characteristic impedance of the lines 50 . The three filters centered at 10, 19, and 32 GHz are placed in the transmit path of the multiplexer, and their simulated responses are shown in Fig. 3. The three filters in the receive path of the multiplexer have center frequencies of 12, 21, and 35 GHz, respectively, and their simulated responses are shown in Fig. 4. Table II shows the simulated insertion losses of the designed filters. The insertion loss of the filter is less than 2 dB even in the millimeter-wave region, although it has higher losses at 32 and 35 GHz due to the higher dielectric and radiation loss. III. HAMONIC REJECTION In Fig. 3, it can be seen that the spurious passband responses of the filter designed at 10 GHz appear around the harmonic

Fig. 3. Simulated frequency responses of the designed filters to be placed in the transmit path of the multiplexer. The designed frequencies are 10, 19, and 32 GHz, respectively.

frequencies of 20 and 30 GHz and overlap in part with the passbands of filters designed at 19 and 32 GHz, respectively. Same phenomenon happens for the filter designed at 12 GHz, as can be seen in Fig. 4, but, at the third harmonic frequency, the level of the insertion loss is close to that of the filter designed at 35 GHz, and the overlapping bandwidth occupies about the half of the passband of the filter designed at 35 GHz. Unfortunately, the spurious responses at and , where is the designed passband frequency, are inevitable for the parallel-coupled microstrip filters composed of half-wave resonators. If the spurious passbands are not suppressed properly, these overlapping passbands can provide signals at 32 and 35 GHz with multiple paths or a loop path, causing signal distortions when all the filters are connected together to form a multiplexer. Many methods have been reported to suppress the spurious passbands at the harmonic frequencies, but every method has its own merits and disadvantages. To mention a few, the method in [3] provides different lengths for the even and odd modes to suppress the spurious passbands only at . The method in [4] uses

1372

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 5. Half-wavelength resonators. (a) Single microstrip line. (b) Parallel-coupled microstrip line. ( is the guided wavelength).

Fig. 4. Simulated frequency responses of the designed filters to be placed in the receive path of the multiplexer. The designed frequencies are 12, 21, and 35 GHz, respectively. TABLE II SIMULATED INSERTION LOSS (IL) OF THE DESIGNED BANDPASS FILTERS

Fig. 6. Variations of X

a uniplanar compact photonic-bandgap (UC-PBG) structure to reject the spurious passbands at both and . However, the physical dimensions of the parallel-coupled microstrip bandpass filter should be redesigned, and additional etching and accurate alignment are required because of the UC-PBG structure in the ground plane. Recently, wiggly-line bandpass filters are reported to be effective in multispurious rejection [5]. Since all of the methods mentioned above demonstrate their performance for filters designed below 10 GHz, it has not been verified if they can work as well if the designed frequency of the filter increases so that the harmonic frequencies can be in the millimeter-wave region as in the case of Figs. 3 and 4. Furthermore, when the harmonic frequencies are in the millimeter-wave region, the fabrication precision required for the method mentioned above becomes more stringent. A novel and simple method is proposed here that requires neither change in the conventional filter itself nor more precise fabrication for the rejection of spurious passbands in the millimeter-wave region. To show the basic idea of the method proposed in this paper, a half-wavelength open-ended microstrip resonator and a half-wavelength parallel-coupled microstrip line shown in Fig. 5 are investigated first at its resonant frequency . The input impedance of a lossless half-wavelength resonator when one end is terminated with open circuit is

and X

with frequency.

fect of the open end [8]. The input impedance of a parallel-coupled microstrip line can be computed from the matrix of the line. The matrix of a parallel-coupled line can be expressed as [9] (2a) (2b) (2c) is the even-mode characteristic impedance, is where the odd-mode characteristic impedance of the coupled transmission line, is the even-mode electrical length, and is the odd-mode electrical length of the line. For a two-port network, if one of its ports is terminated with a load impedance of , then the input impedance seen from the other port can be calculated from the matrix of the network. Therefore, when one of the ports is terminated with an open circuit, the input impedance of the coupled line in Fig. 5(b) can be calculated as (3)

(1) where is the physical length of the resonator corresponding to the half wavelength and is the additional length due to the ef-

Fig. 6 shows the frequency responses of and lated by (1) and (3). As can be seen in the figure, additional resonance near the resonant frequency of

calcuhas an .

HONG AND CHANG: 0–35-GHz SIX-CHANNEL MICROSTRIP MULTIPLEXER FOR WIDE-BAND COMMUNICATION SYSTEMS

1373

Fig. 8. Proposed parallel-coupled microstrip bandpass filter designed at 12 GHz with suppression of both second- and third-harmonic responses.

Fig. 7. S11 responses of the single microstrip resonator and the coupled resonator.

Due to this additional resonance, there is a frequency where becomes zero, and this zero hinders a wave in traveling through the filter at that frequency. The frequency of the zero can be predicted from (3) as

(4) Therefore, it is possible to suppress the spurious passband by placing an open-circuited resonator near resonators of a parallelcoupled microstrip bandpass filter and adjusting the resonant frequency of so-formed coupled lines such that the frequency, where the becomes zero, can be close to the harmonic frequency of the microstrip bandpass filter. The method is verified by full-wave simulation, as shown in Fig. 7. Because of the good return loss at the harmonic frequency, which is 36 GHz in this simulation, it is difficult for the resonators of the filter to sustain the standing wave necessary for the passband at 36 GHz. The small resonator placed near the coupling section, therefore, can be considered as a matched load at the harmonic frequency of 36 GHz, and the 10-dB return loss is contributed mainly by ohmic loss. The change in the input impedance of the resonators by forming a coupled line makes better return loss and gives rise to a matching condition to the resonator of the filter at the desired frequency, as shown in Fig. 7. At the harmonic resonance frequency, standing waves exist on the resonators of the conventional parallel-coupled microstrip bandpass filter, and the standing waves cause the spurious passband. The matching condition introduced by the coupled line of the proposed filter prevents the standing waves from existing on the proposed filter at the harmonic frequency and thus suppresses the passband at that frequency. To suppress the harmonics passband, the method is applied to the filter designed at 12 GHz. To suppress the second-harmonic passband of the filter centered at 12 GHz with its layout shown in Fig. 2, open-circuited resonators are placed near the outer edge of first, third, and fifth coupling sections, as shown in Fig. 8. For the third coupling section, two resonators are placed for symmetry. The length of the

resonators is half the wavelength at the second harmonic frequency of 24 GHz. For the third-harmonic suppression, a resonator is placed near the edge of the second and fourth coupling sections with a length of one-half wavelength at the third-harmonic frequency of the filter. The gap size of the coupled line is decided as 0.15 mm after the optimization process. As mentioned earlier in this section, the third-harmonic spurious passband of the filter designed at 12 GHz is more problematic when operating with the filter designed at 35 GHz. The resonators for suppressing the third-harmonic passband is, therefore, modified to a stepped-impedance resonator, and their position is optimized to have wider suppression and rejection bandwidth. Fig. 8 shows the final layout of the proposed parallel-coupled microstrip bandpass filters centered at 12 GHz. Fig. 9 shows the simulated and the measured frequency responses of the conventional and the proposed parallel-coupled microstrip bandpass filters. The measured result shows that the second-harmonic passband of the conventional filter is suppressed below 35 dB and the third-harmonic passband is suppressed from 7.6 to 42.5 dB at 35.7 GHz. Over 30-dB suppression for the third-harmonic passband is achieved with the proposed method. It should be emphasized that the main passband is almost the same for the conventional and the proposed filters. The same procedure is applied to the filter designed at 10 GHz.

IV. MULTIPLEXER DESIGN AND MEASUREMENT Although several multiplexer design methods have been published so far [10]–[13], they are mainly applicable to the manifold multiplexing technique, and, generally, practical multiplexers need some tuning after fabrication. The multiplexer presented in this paper is composed of microstrip bandpass filters. Unlike the waveguide multiplexers, the microstrip multiplexer has higher loss [14] and is difficult to tune after fabrication. Therefore, precise design should be carried out before fabrication. In spite of these drawbacks, the reasons for selecting the microstrip filter as channel elements are its compact size, light weight, low-cost fabrication, and easy integration with other microstrip circuits in a system. Some of the circuits in the system that will be integrated with the multiplexer include phase shifters, MMIC amplifiers, and microstrip-feed wide-band Vivaldi antennas. The configuration of the multiplexer was shown in Fig. 1. There are two groups of filters for transmit and receive paths, and each group has three filters corresponding to each passband channel. Because of the grouping of filters, the variable range of the length of the line between each filter becomes limited, which makes the design

1374

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 10. Relative sizes of filters in the transmit path.

Fig. 11. Layouts of bent filters designed at: (left) 10 GHz and (right) 12 GHz.

Fig. 9. Simulated and measured performances of the conventional and proposed filters. (a) Simulated results. (b) Measured results.

of the multiplexer more difficult than the design of manifold multiplexer. Since the multiplexer presented here covers an extremely wide bandwidth and the filters are composed of half-wavelength resonators, the physical dimensions vary a great deal from filter to filter. Fig. 10 shows the relative sizes of the filters in the transmit path group. The size variation of the filter is another obstacle to the design of the wide-band multiplexer, especially for compact multiplexers. To make the final multiplexer more compact, the filters centered at lower frequencies are bent, and the method presented in Section III is applied here to suppress the spurious passbands as in Fig. 11. Compared with the results in Fig. 9 for the unbent proposed filters, the simulated results in Fig. 12 show that the bent filter

has almost the same main passband response and slightly better suppression of the spurious passbands at the second- and thirdharmonic frequencies. By bending a resonator of the filter, the structure is perturbed, and it is more difficult to form the passband at the third-harmonic frequency. The filters for the mid-frequency bands, which are 19 and 21 GHz, are tilted by 20 for compactness of the multiplexer. With all of the filters corresponding to each channel designed, the next step in designing a multiplexer is to connect filters together with the requirements of compact size, low insertion loss, high return loss at each channel, and good isolation between channels. This procedure is normally done by optimization. However, when the number of channels increases, the number of optimization variables increases, and it is extremely difficult and time-consuming for a full-wave electromagnetic simulator to optimize all variables. Therefore, the method used in this paper uses both a full-wave and a circuit simulator. The full-wave simulator used is IE3D [7], and the circuit simulator is Microwave Office [15]. Before the optimization procedure, to reduce the optimization time and the possibility of falling into a local minimum, a guideline for the initial lengths of the microstrip lines connecting each filter has to be found. Fig. 13 shows the variation of the frequency response of the channel filter with the length between the filters. The filters centered at 10 and 35 GHz are selected because they are the two extreme cases and have the longest and the shortest resonators among the channel filters in the multiplexer, respectively. From Fig. 13, the insertion loss does not change much if the value of is between 0.7–1.3 wavelengths. It can be reasoned that the length of the line (from the feed line of a filter to the

HONG AND CHANG: 0–35-GHz SIX-CHANNEL MICROSTRIP MULTIPLEXER FOR WIDE-BAND COMMUNICATION SYSTEMS

1375

Fig. 12. Performance comparisons between the unbent and bent proposed filters: (a) designed at 10 GHz and (b) designed at 12 GHz.

first resonator of other filters) should be in the range of even multiples of the quarter wavelength at the center frequency of the filter and 0.3 wavelength for the line to act like an open stub. For example, if the in Fig. 13(a) is one wavelength , then the total length of becomes four quarter wavelengths, resulting in the best insertion loss. Therefore, from many simulation results, it is found that, for an acceptable insertion loss, the length of the line should be

(5) where is the length from the feed line of the th filter to the end of the first resonator of the th filter and is the guide wavelength at the center frequency of the th filter in Fig. 1. Therefore, the best choice is to set the lengths of the connecting lines such that (5) is satisfied for all possible ’s for . For example, is considered as and at the same time for the filters in the transmit path, and each length should satisfy (5) for , , and simultaneously. Unfortunately, it is almost impossible to satisfy the above condition for all six filters, keeping the length as short as possible for a compact multiplexer. It turns out to be a good choice to apply (5) to the lines

Fig. 13. Variation of the frequency response of the channel filter with the length between the filters. (a) Filter centered at 10 GH. (b) Filter centered at 35 GHz.

1376

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 15. Physical layout of the designed six-channel microstrip multiplexer.

Fig. 14. Flowchart of multiplexer design.

connecting filters designed at lower and higher frequencies, i.e., 10, 12, 32, and 35 GHz, and resort to computer optimization for the final lengths of all ’s. The complete design procedure of the multiplexer is illustrated by the flowchart in Fig. 14 and is explained step by step as follows. Step 1) Design each channel filter. Step 2) Extract the -parameters of each designed channel filter using the full-wave simulator for the entire operating frequency range of the multiplexer. Step 3) Import the extracted -parameters of each filter using the circuit simulator as a subcircuit. Step 4) Place and connect the subcircuits corresponding to each channel filter with circuit models like microstrip lines, bend, and junctions, provided by the circuit simulator. The placement should be done with consideration of the actual layout of the filters inside the multiplexer.

Fig. 16. Physical dimensions of the distances for the interconnecting lines between the channel filters (unit: millimeters).

Step 5) Set the initial line lengths connecting filters designed at lower and higher frequencies according to (5). Care should be taken in setting the variable range of the length such that the final results should be physically realizable. Step 6) Set the optimization goals and run the optimization with a proper maximum iteration. Step 7) Verify the optimized result using the full-wave simulation. If the performance is acceptable, then it is the final design. If it is not acceptable, tune the design using the full-wave simulator or change the optimization setups slightly within the goals and rerun the optimization.

HONG AND CHANG: 0–35-GHz SIX-CHANNEL MICROSTRIP MULTIPLEXER FOR WIDE-BAND COMMUNICATION SYSTEMS

1377

in Fig. 16. The designed multiplexer is measured with the HP 8510 Network Analyzer, and the measured results are plotted in Fig. 17 together with the simulation results. Referring to the block diagram in Fig. 1, the measured insertion losses are 2.2, 3.1, 3.2, 2.0, 2.8, and 3.0 dB at 10, 12, 19, 21, 32, and 35 GHz, respectively. The insertion loss at 35 GHz is smaller than that of the diplexer reported in [14]. The isolation for any channels between ports 2 and 3 shown in Fig. 1 is more than 30 dB. A good agreement between the simulation and measurement results is achieved. V. CONCLUSION A 10–35-GHz six-channel microstrip multiplexer for wideband communication systems has been presented. The multiplexer is composed of the parallel-coupled microstrip bandpass filters. Since the operating frequency range is extremely wide, the channel filters centered at lower frequencies should have a way to suppress the spurious passbands at their harmonic frequencies. A new method of suppressing the spurious passbands has been presented. The method is simple and does not require any changes to the design of the conventional parallel-coupled microstrip filters. The method is applied to the filters centered at 12 GHz and is verified to be effective by both simulation and measurement. The design procedure for the microstrip multiplexer has been presented together with a rule of initial setup for optimization. The multiplexer designed does not need tuning after fabrication, and measurement results show good performance over the entire operating frequency range. The insertion losses are between 2.0–3.2 dB. The return losses are better than 10 dB for all six channels although, for some of the channels, the bandwidth for the return loss better than 10 dB is narrow. The multiplexer developed in this paper has been used in the wide-band transceiver system and should have many applications in multiband communication systems requiring full-duplex operation. ACKNOWLEDGMENT The authors would like to thank M. Li, Texas A&M University, College Station, for his assistance. REFERENCES

Fig. 17. Simulated and measured frequency responses of the six-channel multiplexer. (a) Simulated responses for S21 and S31. (b) Measured responses for S21 and S31. (c) Simulated and measured responses for S11.

The layout of the designed multiplexer following the procedure is shown in Fig. 15, and the physical dimensions are shown

[1] C. Wang and K. Chang, “Microstrip multiplexer with four channels for broadband system applications,” Int. J. RF Microwave CAE, vol. 11, no. 1, pp. 48–54, Jan. 2001. [2] A. Riddle, “High performance parallel coupled microstrip filters,” in IEEE MTT-S Int. Microwave Symp. Dig., 1988, pp. 427–430. [3] J.-T. Kuo, W.-H. Hsu, and W.-T. Huang, “Parallel coupled microstrip filters with suppression of harmonic response,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 10, pp. 383–385, Oct. 2002. [4] F.-R. Yang, K.-P. Ma, Y. Qian, and T. Itoh, “A uniplanar compact photonic-bandgap (UC-PBG) structure and its applications for microwave circuits,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 8, pp. 1509–1514, Aug. 1999. [5] T. Lopetegi, M. A. G. Laso, F. Falcone, F. Martin, J. Bonache, J. Garcia, L. Pérez-Cuevas, M. Sorolla, and M. Guglielmi, “Microstrip ‘wiggly-line’ bandpass filters with multispurious rejection,” IEEE Microw. Wireless Compn. Lett., vol. 14, no. 11, pp. 531–533, Nov. 2004. [6] S. B. Cohn, “Parallel-coupled trsnsmission-line-resonator filters,” IRE Trans. Microw. Theory Tech., vol. MTT-6, no. 2, pp. 223–231, Apr. 1958. [7] Zeland Software, Inc., IE3D Simulator ver. 10.2, 2004.

1378

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

[8] M. Kirschning, R. H. Jansen, and N. H. L. Koster, “Accurate model for open end effect of microstrip lines,” Electron. Lett., vol. 17, no. 3, pp. 123–126, Feb. 1981. [9] G. I. Zysman and A. K. Johnson, “Coupled transmission line networks in an inhomogeneous dielectric medium,” IEEE Trans. Microw. Theory Tech., vol. MTT-17, no. 10, pp. 753–759, Oct. 1969. [10] J. D. Rhodes and R. Levy, “Design of general manifold multiplexers,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 2, pp. 111–123, Feb. 1979. [11] R. G. Egri, A. E. Williams, and A. E. Atia, “A contiguous-band multiplexer design,” in IEEE MTT-S Int. Microw. Symp. Dig., May 1983, vol. 83, pp. 86–88. [12] M. Guglielmi, “Simple CAD procedure for microwave filters and multiplexers,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 7, pp. 1347–1352, Jul. 1994. [13] L. Accatino and M. Mongiardo, “Hybrid circuit-full-wave computer-aided design of a manifold multiplexers without tuning elements,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 9, pp. 2044–2047, Sep. 2002. [14] D. Rubin and D. Saul, “Millimeter wave MIC bandpass filters and multiplexers,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1978, vol. 78, pp. 208–210. [15] Applied Wave Res. Inc., Microwave Office ver. 6.03, Jun. 2004.

Seungpyo Hong (S’04) received the B.S. and M.S degrees in electronic engineering from Yonsei University, Seoul, Korea, in 1991 and 1993, respectively, and is currently working toward the Ph.D. degree in electrical engineering at Texas A&M University, College Station, TX. From 1993 to 2000, he was a Researcher with LG Information and Communications Ltd., Seoul, Korea where he developed base station controllers for CDMA cellular systems. His research interests are passive and active microwave circuits and RF system integrations.

Kai Chang (S’75–M’76–SM’85–F’91) received the B.S.E.E. degree from the National Taiwan University, Taipei, Taiwan, R.O.C., in 1970, the M.S. degree from the State University of New York at Stony Brook in 1972, and the Ph.D. degree from the University of Michigan, Ann Arbor, in 1976. From 1972 to 1976, he was a Research Assistant with the Microwave Solid-State Circuits Group, Cooley Electronics Laboratory, University of Michigan. From 1976 to 1978, he was employed by Shared Applications, Inc., Ann Arbor, where he worked in computer simulation of microwave circuits and microwave tubes. From 1978 to 1981, he was with the Electron Dynamics Division, Hughes Aircraft Company, Torrance, CA, where he was involved in the research and development of millimeter-wave solid-state devices and circuits, power combiners, oscillators, and transmitters. From 1981 to 1985, he was with TRW Electronics and Defense, Redondo Beach, CA, as a Section Head, developing state-of-the-art millimeter-wave integrated circuits and subsystems including mixers, voltage-controlled oscillators, transmitters, amplifiers, modulators, upconverters, switches, multipliers, receivers, and transceivers. He joined the Electrical Engineering Department, Texas A&M University, College Station, in August 1985 as an Associate Professor and was promoted to a Professor in 1988. In January 1990, he was appointed the E-Systems Endowed Professor of Electrical Engineering. His current interests are in microwave and millimeter-wave devices and circuits, microwave integrated circuits, integrated antennas, wide-band and active antennas, phased arrays, microwave power transmission, and microwave optical interactions. He has authored and coauthored several books, including Microwave Solid-State Circuits and Applications (Wiley, 1994), Microwave Ring Circuits and Antennas (Wiley, 1996, second edition 2004), Integrated Active Antennas and Spatial Power Combining (Wiley, 1996), RF and Microwave Wireless Systems (Wiley, 2000), and RF and Microwave Circuit and Component Design for Wireless Systems (Wiley, 2002). He served as the editor of the four-volume Handbook of Microwave and Optical Components (Wiley, 1989 and 1990, second edition, 2003), and the editor for the Wiley Encyclopedia of RF and Microwave Engineering (Wiley, 2005, six volumes). He is the editor of the Microwave and Optical Technology Letters and the Wiley Book Series in Microwave and Optical Engineering (over 65 books published). He has published over 450 papers and many book chapters in the areas of microwave and millimeter-wave devices, circuits, and antennas. He has graduated over 20 Ph.D. students and over 30 M.S. students. Dr. Chang has served as the Technical Committee member and Session Chair for the IEEE Microwave Theory and Techniques Society and the IEEE Antennas and Propagation Society and many international conferences. He was the Vice General Chair for the 2002 IEEE International Symposium on Antenna and Propagation. He was the recipient of the Special Achievement Award from TRW in 1984, the Halliburton Professor Award in 1988, the Distinguished Teaching Award in 1989, the Distinguished Research Award in 1992, and the TEES Fellow Award in 1996 from the Texas A&M University.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

1379

Comparisons Between Serpentine and Flat Spiral Delay Lines on Transient Reflection/Transmission Waveforms and Eye Diagrams Wei-Da Guo, Guang-Hwa Shiue, Chien-Min Lin, Member, IEEE, and Ruey-Beei Wu, Senior Member, IEEE

Abstract—In contrast to the commonly employed single-ended delay lines, the employment of differential signaling may alleviate the occurrence of crosstalk and improve the signal integrity. This paper qualitatively investigates the time-domain reflection (TDR) and time-domain transmission (TDT) waveforms for the single-ended and differential delay lines with the serpentine and flat spiral routing schemes. A numerical formula is then proposed to quantitatively predict the voltage levels of the saturated near-end and far-end propagating crosstalk noises among the sections of differential delay lines. Signal waveforms and eye diagrams of the four basic routing schemes are obtained by HSPICE simulations, demonstrating that the combination of differential signaling and flat spiral layouts can exhibit the best delay-line performance. Furthermore, both the TDR and TDT measurements for differential delay lines are performed to validate the exactitude of proposed analyses. Index Terms—Crosstalk, differential delay line, eye diagram, flat spiral, laddering wave, serpentine, signal integrity, time-domain reflection (TDR), time-domain transmission (TDT).

I. INTRODUCTION S THE cycle time of computer systems falls into the subnanosecond regime, the fraction of cycle time to accommodate the clock skew for the synchronization of clock signal among the logic gates has risen. While several approaches have been proposed to minimize the clock skew, the delay lines are usually employed in the critical nets of a printed circuit board (PCB), for example, the serpentine or flat spiral routing schemes, as depicted in Fig. 1. Intuitively, the total time delay should be proportional to the total length of the delay line. However, the crosstalk noise induced by those closely packed transmission-line sections may cause a drastic deterioration in the total time delay and even result in the false switching of logic gates, especially for the serpentine delay line [1], [2]. Being dependent on the difference in the signal level on the paired lines, the differential circuits are relatively insensitive to noises such as the ground bounce that may exist on the power

A

Manuscript received April 1, 2005; revised December 13, 2005. This work was supported in part by the National Science Council, R.O.C., under Grant NSC 91-2213-E-002-109, by the Ministry of Education under Grant 93B-40053, and by TSMC under Grant 93-FS-B072. W.-D. Guo, G.-H. Shiue, and R.-B. Wu are with the Department of Electrical Engineering and Graduate Institute of Communication Engineering, National Taiwan University, Taipei, Taiwan 10617, R.O.C. (e-mail: [email protected]; [email protected]; [email protected]). C.-M. Lin is with the Packaging Core Competence Department, Advanced Assembly Division, Taiwan Semiconductor Manufacturing Company Ltd., HsinChu, Taiwan 30077, R.O.C. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2002.871913

Fig. 1. Two typical routing schemes for the delay line. (a) Serpentine routing scheme. (b) Flat spiral routing scheme.

and/or ground plane and to the common-mode signals that may appear equally on each line. Moreover, the differential signals are somewhat immune from the electromagnetic interference (EMI) and crosstalk noise. Therefore, the differential signaling gradually becomes a common routing scheme in the PCB layout design rather than the single-ended signaling. Until now, it has been widely applied in high-speed digital systems, such as for the serial ATA and USB 2.0, and a typical example is PCI Express interconnect. The signaling characterization of differential delay lines in both the serpentine and flat spiral schemes is investigated in this paper [3]. By using a simple trace model and extending the mechanism to the differential form, the responded time-domain reflection/time-domain transmission (TDR/TDT) waveforms of delay lines are qualitatively explained in Section II. A numerical formula derived by the concept of the pair-to-pair coupling is used to quantitatively predict the level of crosstalk noise on the differential delay lines. Sections III and IV thereof present the simulated TDR/TDT waveforms and eye diagrams of four delay lines, which have the cross sections as depicted in Fig. 2. The magnitudes of crosstalk noise under the single-ended and differential signaling conditions are compared as well. The routing scheme, the number of sections, the spacing between two sections, the loss of material, and the bit period of signals are also identified to comprehend the major parameters affecting the eye diagrams. The measurement results and their comparisons are presented in Section V to validate the accuracy of proposed analyses. Finally, the conclusions are drawn in Section VI. II. CROSSTALK-INDUCED NOISES AT TDT AND TDR FOR DELAY LINES First, consider that the single-ended delay lines shown in Fig. 1 are matched at both ends and a ramp pulse of rise time is launched at the sending end of the delay lines. It is known that the near-end crosstalk among the sections of a single-ended serpentine delay line accumulates in phase and

0018-9480/$20.00 © 2006 IEEE

1380

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 2. Cross-sectional view of the delay lines in reference to Fig. 1. (a) Configuration of the single-ended lines. (b) Configuration of the differential-pair lines.

Fig. 3. Time diagrams of TDR far-end crosstalk noise (Xtalk) due to the adjacent coupling in both delay lines as depicted in Fig. 1. (a) Serpentine. (b) Flat spiral.

appears as a laddering wave on the TDT time diagram [1]. The employment of single-ended flat spiral layout patterns has the ability to evenly spread the crosstalk noise in time and avoids the crosstalk penalty at the receiving end [2]. If the transmission line lies in an inhomogeneous space, such as a microstrip line, the capacitive and inductive coupling waves do not cancel and the far-end noise is present. This will result in significant noises at the sending end. For example, consider a single-ended serpentine delay line with time delay for each section. The far-end crosstalk at the instant of due to the adjacent coupling will be induced at the far-end of section II when the main signal propagates to the right-hand side of section I, as depicted in Fig. 1. It reaches the sending end at , as marked by a dot in the row “1R” of Fig. 3(a). After the main signal travels down to the left-hand side of section II, denoted by “2L”, it induces two adjacent crosstalk noises at the near end while : one is at section I, which directly appears at the sending end; the other is at section III, which requires another to arrive at the sending end and thus appears at . Similarly, the other crosstalk noises will be induced as the main signal travels down all sections to the receiver, but they arrive at the sending end in the different time. Therefore, no matter how many sections are on the delay line, the crosstalk noises are uniformly distributed in time and the magnitude should be equal to , where means the magnitude of far-end crosstalk among the neighboring sections. On the other hand, for a single-ended flat spiral delay line, the far-end crosstalk induced by the main signal at “1R” must travel five sections to reach the sending end, that is, it will present at the sending end at , as marked by a dot in the row

Fig. 4. Top and side views of the two-pair differential coupled lines. (a) Top view. (b) Side view.

Fig. 5. Graphical configuration of simulation method used in HSPICE.

TABLE I CROSSTALK NOISE LEVELS OF THE SEVEN-PAIR DIFFERENTIAL SERPENTINE DELAY LINES

“1R” of Fig. 3(b). Then, the main signal propagates down to the left-hand side of section VI at and induces two far-end crosstalk pulses at the near-ends of sections V and VII. The two pulses require the additional and , respectively,

GUO et al.: COMPARISONS BETWEEN SERPENTINE AND FLAT SPIRAL DELAY LINES

1381

Fig. 6. Simulated TDR/T waveforms of the single-ended delay lines Fig. 8. Comparison of the simulated TDR/T waveforms among the differential serpentine, flat spiral, and extended flat spiral delay lines.

Fig. 9. Design graph of the TDT crosstalk noise versus the physical dimension of single-ended delay lines. Fig. 7. Simulated TDR/T waveforms of the differential delay lines.

TABLE II CROSSTALK LEVEL OF THE SERPENTINE DELAY LINES

to reach the sending end. They altogether form a pulse in the TDR time diagram at and , as distinguished by the dot marks in the row “6L” of Fig. 3(b). This process continues until the main signal finally arrives at the receiving end. Before the arrival, it has induced six pulses due to the adjacent coupling. Although being induced at the different instants, all of them get to the sending end at the same time and accumulate to appear as a large downward pulse of magnitude on the TDR waveform. The more the number of sections on the delay line, the more significantly the crosstalk distorts the TDR waveform. Consequently, the employment of a flat spiral layout pattern does have the ability to avoid the crosstalk penalty at the

Fig. 10. Design graph of the TDT crosstalk noise versus the physical dimension of differential delay lines.

receiving end, but its far-end crosstalk will accumulate at the sending end to cause an aggravated crosstalk as observed on the TDR waveform.

1382

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 11. TDT eye diagrams of the four delay lines. (a) Single-ended serpentine delay line. (b) Single-ended flat spiral delay line. (c) Differential serpentine delay line. (d) Differential flat spiral delay line.

III. CROSSTALK-INDUCED MECHANISM OF DIFFERENTIAL DELAY LINES As for the differential signaling, the positive and negative signals will finally integrate to form a new signal driving the next stage of a computer system. Hence, by using the concept of pair-to-pair coupling, the crosstalk-induced mechanism of single-ended delay lines can be exactly applied to the differential delay lines. Furthermore, as the propagating signals on differential delay lines are positive-and-negative alternate, the crosstalk noise will be reduced more greatly than that of using a single-ended delay line. Under the assumption of weak coupling in the coupled transmission lines, the main signal in the active line is rarely influenced by the presence of the crosstalk noise. Then, with respect to the input voltage, , the voltage magnitudes of saturated near-end and far-end crosstalk levels in the quiet line can be respectively formulated as [4]

(1)

is the mutual inductance, is the self-inductance, where is the mutual capacitance, is the self-capacitance, is the line delay, and is the rise time. For the differential pairs of a four-conductor system with all of the ends (#1, #2, #3, and #4) matched as depicted in Fig. 4, the capacitance matrix equation is given by [5]

(2)

Fig. 12. TDT eye diagrams of delay lines with S = 0:8 mm but varying number of sections. (a) Single-ended serpentine delay line. (b) Differential serpentine delay line.

GUO et al.: COMPARISONS BETWEEN SERPENTINE AND FLAT SPIRAL DELAY LINES

1383

Fig. 14. TDT eye diagrams of the lossless differential delay lines. (a) Differential serpentine delay line. (b) Differential flat spiral delay line.

IV. SIMULATED WAVEFORMS AND DESIGN GRAPHS

Fig. 13. TDT eye diagrams of delay lines with five sections but varying section spacings. (a) Single-ended serpentine delay line. (b) Differential serpentine delay line.

Consider the conductors #1 and #2 are driven by the differential signaling with and , while the conductors #3 and #4 form another pair. By a simple calculation, the selfcapacitance and the mutual capacitance . Similarly, the self-inductance and the mutual inductance . Inserting both the self and mutual capacitances and inductances into (1), the amount of crosstalk can be easily calculated. Considering the seven-pair differential serpentine delay lines as depicted in Fig. 1(a), the cross-sectional view in Fig. 2(b) with mil, mil, mil, and mil is applied. The driver and load resistances are chosen while the rise time of the source is ps. For simplicity, as shown in Fig. 5, the ends of two adjacent sections are connected to each other by an “ideal short” line because its influence on the simulated waveforms is not significant. Moreover, the above quantitative analysis uses the first two differential pairs here to acquire the approximate pair-to-pair capacitance and inductance. The levels of the first voltage drop at the sending end and the highest ladder before the arrival of the main signal at the receiving end can then be derived and listed in Table I, respectively. It is found that the two values agree well with those simulated by HSPICE.

Consider the single-ended and differential delay lines as depicted in Fig. 1 with the cross sections shown in Fig. 2, respectively. The physical dimensions are chosen as mm, mm, mm, and mm while the driving source is a ramp pulse that reaches the steady state of unit voltage after a rise time ps. At both the near and far ends, the simulated TDR and TDT waveforms of the single-ended serpentine and flat spiral delay lines are compared in Fig. 6. The full-wave simulation results based on the finite integration technique is presented in this figure for validity as well [7]. For a single-ended serpentine delay line, the voltage drops on the TDR waveform behaves as explained before, and the laddering wave on the TDT waveform advances the arrival time of the main signal. Despite some time shift incurred from the neglect of the discontinuities at the edges of all coupled transmission lines, the trend of TDR and TDT waveforms between HSPICE and full-wave simulation is similar. The layout design in use of a flat spiral line can reduce the crosstalk penalty on the TDT waveform but will incur much deeper voltage drops on the TDR waveform. Moreover, there is also a little difference in the total time delay, and the substrate loss may lower the level of voltage drops on the TDR waveform. For the differential delay lines, the transient responses of positive-and-negative alternate signals are summed to form a new graph, as presented in Fig. 7. The waveforms between the single-ended and differential delay lines are alike; nonetheless, the differential delay-line design achieves much better signal integrity than does the single-ended line. In addition, the level of crosstalk noise is greatly reduced so that the time delay of a

1384

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 15. TDT eye diagrams for the varying bit period with the rise time of 50 ps. (a) Bit period = 1 ns for differential serpentine delay line. (b) Bit period = 1 ns for differential flat spiral delay line. (c) Bit period = 0:5 ns for differential serpentine delay line. (d) Bit period = 0:5 ns for differential flat spiral delay line. (e) Bit period = 0:25 ns for differential serpentine delay line. (f) Bit period = 0:25 ns for differential flat spiral delay line.

main signal is almost the same as that of a straight-line prototype. The observation and comparison of waveforms between the single-ended and differential delay-line designs are listed in Table II accordingly. However, if the section length of differential pairs is getting shorter and the number of sections is getting larger, the realization of the flat spiral pattern in Fig. 1(b) will become more difficult. A new routing scheme is then proposed to improve this drawback, as exemplified in Fig. 8. After comparing the simulated waveforms, it is demonstrated that the utilization of the extended flat spiral patterns could not only strengthen the feasibility of physical layout but also be a compromise between the serpentine and flat spiral schemes. Although the quantitative analysis for evaluating the magnitude of TDT crosstalk noise for both the single-ended and differential signaling is presented, it may be time-consuming to repeat the process once the layout of delay lines is redesigned. Therefore, it is useful to give the two design graphs of TDT

crosstalk noise versus the dimension for single-ended and differential delay lines. As shown in Figs. 9 and 10, the coupling degree of TDT crosstalk noise is normalized by the number of sections ( ) and the input signal ( ) for the sake of generality. It can also be found that the crosstalk noise induced by the differential signaling is less sensitive to the change of dimension than that of the single-ended signaling. V. COMPARISON OF TDT EYE DIAGRAMS Owing to the capability in the crosstalk reduction by the differential signaling, there is no significant difference in the time delay of a main signal between both the differential delay lines. Nevertheless, the magnitude of TDT crosstalk noise still influences the noise margin of digital signals. In HSPICE simulation for eye diagrams, the pseudorandom incident signal is specified with rise time 50 ps, bit period 500 ps (2 Gb/s), and voltage swing 0 1 V. Recalling the four routing schemes as depicted in Fig. 1 with the cross-sectional views in Fig. 2, the simulated

GUO et al.: COMPARISONS BETWEEN SERPENTINE AND FLAT SPIRAL DELAY LINES

1385

Fig. 16. Comparison between the simulated and measured waveforms of differential serpentine delay lines. (a) TDR waveforms. (b) TDT waveforms.

Fig. 17. Comparison between the simulated and measured waveforms of differential flat spiral delay lines. (a) TDR waveforms. (b) TDT waveforms.

results of TDT eye diagrams are shown in Fig. 11. It is apparent that the eye opening and the jitter of Fig. 11(d) is the best due to the great ability of crosstalk reduction in the differential signaling and the spreading effect of crosstalk noise in the flat spiral scheme. As for the number of sections, which affects the accumulation of crosstalk, the TDT eye diagrams corresponding to the singleended and differential delay lines are investigated in Fig. 12, respectively. It can be found how significantly the crosstalk deteriorates the eye opening for the single-ended serpentine delay line. The employment of differential signaling can relieve this problem significantly. Moreover, Fig. 13 presents the TDT eye diagrams with the spacing between adjacent sections as a parameter, which affects the coupling strength. It can be seen that the eye openings are better if the spacing is larger. Furthermore, from the shape of the eye openings, the digital signal propagating on the single-ended serpentine delay line may have a greater probability of causing the error functioning of logic gates. When the conductor and substrate loss are not taken into consideration, the simulated eye diagrams are shown in Fig. 14. All of the eye openings are similar to those of the lossy cases in reference to Fig. 11(c) and (d). This reveals that the lossy effect

of transmission lines is not a key factor in affecting these eye openings. In contrast, the bit period of a signal plays an important role. As for the varying bit periods with the fixed rise time of 50 ps, the simulated eye diagrams of delay lines are shown in Fig. 15. Note that the smaller the bit period, the worse the eye opening. VI. EXPERIMENTAL VERIFICATION The comparison of simulated and measured waveforms for the single-ended delay lines with the serpentine and flat spiral pattern has been demonstrated in [1] and [2]. As for the fivesection differential serpentine and flat spiral delay lines having the cross section with mm, mm, mm, mm, substrate material of , and loss tangent , the experimental verification performed on the time domain reflectometer TEK/CSA8000 is presented. With both the source and load resistances at 50 , the launching voltage source is drawn out of the reflectometer for the HSPICE simulation. As compared in Figs. 16 and 17, it is evident that the simulated waveforms agree well with the measured ones except at the rising edges of TDT signals. The deviations found in

1386

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 18. Simulated eye diagrams of the five-section differential delay lines. (a) Differential serpentine delay line. (b) Differential flat spiral delay line.

Fig. 19. Measured eye diagrams of the five-section differential delay lines. (a) Differential serpentine delay line. (b) Differential flat spiral delay line.

HSPICE simulations are attributed to the negligence of additional high-frequency loss on the coaxial cable and the skin-effect resistance and equivalent capacitance and inductance near the corners of delay lines. Furthermore, the measured waveforms are imported into the time-domain simulator IConnect [8] to obtain the individual eye diagrams in comparison with the simulated data by HSPICE. It is found that the consistency is good in reference to Figs. 18 and 19. Although the slight discrepancy exists, the results acquired by the trace model, quantitative analysis, simulation, and measurement have justified the presence of crosstalk noise on the differential delay lines. Accordingly, the investigation in this paper shows that the resultant TDR and TDT waveforms of differential delay lines suffer from the less signal distortion and delay penalty than those of the single-ended delay lines. VII. CONCLUSION On the single-ended serpentine delay line, the magnitude of the laddering wave may grow up to a significant level before the arrival of the main signal. In use of the single-ended flat spiral routing scheme for delay-line designs, the crosstalk penalty on the TDT waveform can be greatly alleviated but with the deteriorated TDR waveform as a tradeoff. This paper extends the laddering wave analysis to the differential signals and proposes an extended flat spiral pattern to assure the routing feasibility

in the layout designs. It is found that the physical mechanism of differential delay lines can be treated as that of single-ended delay lines if the concept of pair-to-pair coupling is introduced. The magnitude of TDR and TDT crosstalk noise can be easily calculated by the derived formula or design graphs furthermore. As demonstrated on the HSPICE simulations, the differential signaling can significantly reduce the crosstalk noise on both TDR and TDT waveforms against those in use of the singleended signaling. The combination of the flat spiral routing and differential signaling can further improve the signal integrity to obtain the best eye openings. In addition, the number of sections, the spacing between adjacent sections, and the bit period of a signal are major parameters in determining the signal integrity and should be carefully considered in the design of delay lines. REFERENCES [1] R. B. Wu and F. L. Chao, “Laddering wave in serpentine delay line,” IEEE Trans. Comp., Pkg., Manuf. Technol., B, vol. 18, no. 4, pp. 644–650, Nov. 1995. [2] ——, “Flat spiral delay line design with minimum crosstalk penalty,” IEEE Trans. Comp., Pkg., Manuf. Technol., B, vol. 19, no. 2, pp. 397–402, May 1996. [3] W. D. Guo, G. H. Shiue, and R. B. Wu, “Comparison between flat spiral and serpentine differential delay lines on TDR and TDT,” in Proc. IEEE 13th Topical Meeting Elect. Perform. Electro. Packag., Oct. 2004, pp. 147–150. [4] S. H. Hall, G. W. Hall, and J. A. McCall, High-Speed Digital System Design, A Handbook of Interconnect Theory and Design Practices. Hoboken, NJ: Wiley, 2000, ch. 3, p. 48.

GUO et al.: COMPARISONS BETWEEN SERPENTINE AND FLAT SPIRAL DELAY LINES

[5] W. T. Weeks, “Calculation of coefficients of capacitance of multi-conductor transmission lines in the presence of a dielectric interface,” IEEE Trans. Microw. Theory Tech., vol. MTT-18, no. 1, pp. 35–43, Jan. 1970. [6] B. J. Rubin and B. Singh, “Study of meander line delay in circuit boards,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 9, pp. 1452–1460, Sep. 2000. [7] “CST Microwave Studio Manual” Computer Simulation Technology, Germany, 2003 [Online]. Available: www.cst.com [8] IConnect TDA Systems, Inc. [Online]. Available: www.tdasystems.com Wei-Da Guo was born in Taoyuan, Taiwan, R.O.C., on September 25, 1981. He received the B.S. degree in communication engineering from the Chiao Tung University, Hsinchu, Taiwan, R.O.C., in 2003, and is currently working toward the M.S. and Ph.D. degrees in communication engineering at National Taiwan University, Taipei, Taiwan, R.O.C. His research interests include computational electromagnetics and signal/power integrity issues in the design of high-speed digital systems.

Guang-Hwa Shiue was born in Tainan, Taiwan, R.O.C., in 1969. He received the B.S. and M.S. degrees in electrical engineering from National Taiwan University of Science and Technology, Taipei, Taiwan, R.O.C., in 1995 and 1997, respectively, and the Ph.D. degree in communication engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 2006. He is presently a Teacher with the Electronics Department, Jin Wen Institute of Technology, Taipei, Taiwan, R.O.C. His areas of interest include numerical techniques in electromagnetics, microwave planar circuits, signal/power integrity and electromagnetic interference for high-speed digital systems, and electrical characterization of system-in-package.

1387

Chien-Min Lin (M’92) received the B.S. degree in physics from National Tsing Hua University, Hsinchu, Taiwan, R.O.C., the M.S. degree in electrical engineering from National Taiwan University, Taipei, Taiwan, R.O.C., and the Ph.D. degree in electrical engineering from The University of Washington, Seattle. He was with IBM for the xSeries server development and Intel for the advanced platform design. Since January 2004, he has been with Taiwan Semiconductor Manufacturing Company Ltd., as a Technical Manager with the Packaging Core Department of the Advanced Assembly Division. He has been working on computational electromagnetics for the design applications of microwave device and rough surface scattering, signal integrity analysis for high-speed interconnect, and electrical characterization of system-in-package.

Ruey-Beei Wu (M’91–SM’97) received the B.S.E.E. and Ph.D. degrees from National Taiwan University, Taipei, Taiwan, R.O.C., in 1979 and 1985, respectively. In 1982, he joined the faculty of the Department of Electrical Engineering, National Taiwan University, where he is currently a Professor. He is also with the Graduate Institute of Communications Engineering, National Taiwan University, which was established in 1997. From March 1986 to February 1987, he was a Visiting Scholar with IBM, East Fishkill, NY. From August 1994 to July 1995, he was with the Electrical Engineering Department, University of California at Los Angeles. He was also appointed Director of the National Center for High-Performance Computing (1998–2000) and has served as Director of Planning and Evaluation Division since November 2002, both under the National Science Council. His areas of interest include computational electromagnetics, transmission line and waveguide discontinuities, microwave and millimeter-wave planar circuits, and interconnection modeling for computer packaging.

1388

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Analyses of Elliptical Coplanar Coupled Waveguides and Coplanar Coupled Waveguides With Finite Ground Width Mehmet Duyar, Volkan Akan, Student Member, IEEE, Erdem Yazgan, Member, IEEE, and Mehmet Bayrak

Abstract—In this paper, the quasi-TEM characteristic parameters of elliptical coplanar coupled waveguides and coupled coplanar waveguide with a finite ground width configuration are presented and analyzed. Computer-aided design (CAD)-oriented fast, simple, and accurate analytic formulas are derived by using conformal mapping techniques, which provide satisfactory accuracy at microwave frequencies and lead to closed-form analytical solutions suitable for CAD software packages. The results for the odd- and even-mode characteristic impedance, effective dielectric constant, and coupling coefficient have been computed by these formulas. Good agreement between the present results and published results [1] is observed. For the planar case, simulations have also been undertaken with Sonnet electromagnetic-circuit solver software. The computed results agree well with those of the simulation ones. Index Terms—Computer-aided design (CAD) oriented, conformal mapping, coplanar coupled waveguides (CCPWs), elliptical CCPWs.

I. INTRODUCTION HERE IS a growing interest in nonplanar transmission lines over the last two decades. These transmission lines used for different nonconventional surfaces are especially applied in aircraft, missiles, and mobile communication applications in order to feed printed antennas wrapped around a nonplanar surface like an elliptical or a cylindrical surface, as mentioned in [2]. It is possible to construct cylindrical and/or elliptical transmission lines using flexible dielectric materials. Various coplanar coupled transmission lines are also employed widely in many practical applications such as directional couplers, filters, phase shifters, matching networks, and other components in monolithic microwave integrated circuits (MMICs). To date, there are some publications about the planar, cylindrical, and elliptical coupled transmission lines in the literature [1], [3]–[9], but to the best of the authors’ knowledge, no significant investigation on elliptical coplanar coupled waveguides (CCPWs) has been reported in the literature.

T

Manuscript received April 26, 2005. This work was supported by the Scientific and Technological Research Council of Turkey (TÜB.TAK) under Grant 105 E 022. M. Duyar is with the Ni˘gde Industry and Trade Governer Department, 51100 Ni˘gde, Turkey (e-mail: [email protected]). V. Akan and E. Yazgan are with the Department of Electrical and Electronics Engineering, Hacettepe University, 06800 Beytepe Ankara, Turkey (e-mail: [email protected]). M. Bayrak is with the Faculty of Engineering, Department of Electrical and Electronics Engineering, Selçuk University, Konya, Turkey. Digital Object Identifier 10.1109/TMTT.2006.871354

Fig. 1. Cross-sectional view of CCPW with finite substrate thickness and finite ground-plane width.

The CCPWs with infinite substrate thickness and ground plane width was analyzed by Wen [3], and Cheng [10] using the conformal mapping method (CMM) also analyzed the same transmission line with finite substrate thickness and an infinite ground plane. The CCPW was analyzed by Hatsuda [11] using the finite-difference method and also by Kitazawa and Mittra [12] using the spectral-domain method. In addition, the cylindrical CCPW has been investigated in [1]. In this paper, elliptical CCPWs and CCPWs with finite ground width configuration will be described and analyzed using the CMM since this technique offers fast and efficient results to calculate the parameters of these lines for many microwave design applications. As is well known, the quasi-static approach is valid when the operating frequency is not high, but the quasi-TEM parameters of CCPWs are not very sensitive to the operating frequency. This case is also shown in comparisons between the obtained results using CMM and simulation results of Sonnet (Sonnet Software is a registered trademark of Sonnet Software Inc., Liverpool, NY). There is good agreement between the results obtained in this study for the odd- and even-mode characteristic impedance, effective dielectric constant, and coupling coefficient computed by these formulas and the given results in [1] for the cylindrical case. For the planar case, simulations have also been done with Sonnet. When compared, it is seen that the computed results agreed well with those of Sonnet’s simulation results. II. ANALYSIS OF CCPW WITH FINITE SUBSTRATE THICKNESS AND FINITE GROUND-PLANE WIDTH The cross-sectional view of a CCPW with finite substrate thickness and finite ground-plane width is shown in Fig. 1. For simplification of analysis, the dielectric substrate is assumed

0018-9480/$20.00 © 2006 IEEE

DUYAR et al.: ANALYSES OF ELLIPTICAL CCPWs AND CCPWs WITH FINITE GROUND WIDTH

1389

Fig. 2. Conformal transformation steps to calculate the air capacitance for: (a)–(c) even mode and (d)–(f) odd mode.

to be an isotropic and lossless medium. Metallic strips are also assumed to be infinitely thin and perfectly conducting. As seen in Fig. 1, the widths of two coupled strips are ( – ), the spacing between these strips is , the widths of ground planes are ( – ), and the spacing between the ground plane and strip with is ( – ). The thickness of the dielectric substrate is relative permittivity . In this study, the interfaces between the air–dielectric where the metallic strips have been located are assumed as a magnetic wall. The even- and the odd-mode per unit length capacitances of the coupler are calculated using the CMM to determine electrical parameters. Based on the partial capacitance approximation, the overall capacitances per unit length of the structure for each mode is equal to the sum of the capacitance in Region I (free space) and Region II (dielectric , layer). The capacitances for Region I are and for Region II, the capacitances are , , , and where and denotes the free-space capacitance and dielectric layer capacitance, respectively. The overall capacitance per unit length is equal to the sum of the line capacitance per unit length in free space when the dielectric and the line capacitance per unit length is replaced by air is obtained when assuming that the electric field is confined , in a dielectric of thickness and relative permittivity as mentioned in [10].

Fig. 3. Conformal transformation steps to calculate the dielectric capacitance for: (a) and (b)–(d) even mode and (a), (b), (e), and (f) odd mode.

transformation steps [13] shown in Figs. 2(a)–(c) and 3(a)–(d). Capacitance relations for free space can then be written as (1) (2) (3) where

(4) In the above equations, and are both the complete elliptic integrals of first kind where denotes modulus and . The dielectric layer capacitance for the even mode is

(5)

A. Even Mode For the even mode, a magnetic wall located at the center of the line) is assumed. In order to express the evenstructure ( , , mode capacitance, the partial capacitances can be obtained using a sequence of conformal and

where

(6)

1390

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

and

(7a)

(7b) Following this, , lowing relations:

, and

can be found using the fol-

(8a) (8b) (8c) with being the incomplete elliptic integral of the first kind in Jacobi’s notation. Equations (8a)–(c) can be derived using the approximate formulations in [14]. and Based on (1)–(8), the effective dielectric constant of the CCPW for the even mode characteristic impedance are written as (9) and (10) respectively, where expressed as

Fig. 4. Cross section of elliptical CCPW and cylindrical CCPW. (a) Elliptical CCPW. (b) Cylindrical CCPW after transformation.

and the capacitance of the dielectric layer is

is the propagation velocity that can be (15) (11)

where the modulus are

and is the velocity of light in free space. B. Odd Mode An electric wall located at the center of the structure ( line) is assumed for the odd mode, as seen in Fig. 1. As is similar to the even-mode case in order to determine the odd-mode ca, , and pacitance, the partial capacitances can be evaluated using a sequence of conformal transformation steps shown in Figs. 2(d)–(f) and 3(a), (b), (e), and (f). Capacitance relations for free space can then be written as (12)

(16)

(17) The general parameters required for calculating the odd-mode air capacitance and dielectric layer capacitance are expressed as : follows using subscript where (18a)

(13) (18b) (14)

(18c)

DUYAR et al.: ANALYSES OF ELLIPTICAL CCPWs AND CCPWs WITH FINITE GROUND WIDTH

Fig. 5. Variation of Z and Z of elliptical CCPW versus for defined dimensional parameters. (a) For (a2 a1)=a1 = 0:4. (b) For (a2 a1)=a1 = 0:8.

0

The relations of

and

0

Fig. 6. Variation of " a )=a = 0:4. (a) For (

1391

and "

0 ) = 10

of elliptical CCPW versus . (b) For ( ) = 30 .

0

for (a

0

are written as (20b) (19a)

(20c) (20d) (20e)

where , , , and are stated in (7a) and (7b) and and , the following (8a)–(8c). Lastly, in order to find equations are used:

Equations (18a)–(18c) and (20a)–(20e) can be obtained using the approximate formulations given in [14]. and Using (12)–(20), the effective dielectric constant of the CCPW for the odd mode characteristic impedance can be written as

(20a)

(21)

(19b)

1392

Fig. 7. Variation of " a )=a = 0:8. (a) For (

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

and "

0 ) = 10

of elliptical CCPW versus . (b) For ( ) = 30 .

0

for (a

0

and

(22) respectively, where can be expressed as

Fig. 8. Variation of K a )=a = 0:4. (b) For (a

versus

0 a )=a

for elliptical CCPW. (a) For (a

= 0:8.

0

As realized, the quasi-static TEM parameters of the CCPW with finite substrate thickness and finite ground-plane width have been presented for both the even and the odd mode here. In Section III, the elliptical CCPW will be analyzed by means of using the CMM.

is the propagation velocity, which III. ELLIPTICAL CCPW

(23) where is the velocity of light in free space. Using (10) and (22) obtained in Sections II-A and B, the coupling coefficient is given by

(24)

The cross section of the elliptical CCPW is given in Fig. 4(a). As shown in this figure, there are two confocal ellipses. The semimajor and semiminor axes of these ellipses are , , and , respectively. The spacing between the strips is and the angle subtended by the arc strips is . The gap between the strip and the ground plane is also . The relative dielectric constant is . The focal distance of the ellipses is defined as

(25)

DUYAR et al.: ANALYSES OF ELLIPTICAL CCPWs AND CCPWs WITH FINITE GROUND WIDTH

1393

TABLE I COMPARISONS OF THE OBTAINED RESULTS IN THIS STUDY WITH THE RESULTS OF SONNET SIMULATION FOR PLANAR CASE

The elliptical CCPW is mapped to a cylindrical CCPW shown in Fig. 4(b) by using transformation . The dimensional parameters of the circular CCPW are given by

earlier in Fig. 1. The dimensional parameters of the obtained last structure are written as

(26) (27a) Using the transformation function , the cylindrical CCPW is then mapped to the planar structure given

(27b)

1394

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

TABLE II COMPARISONS OF THE OBTAINED RESULTS IN THIS STUDY WITH THE RESULTS OF [10]

The quasi-static TEM parameters of the elliptical CCPW can be obtained easily by combining (27) with (1)–(11) and (12)–(23) for the even and odd modes, respectively. By obtaining the quasi-static TEM parameters for the mentioned structures (in Sections II and III), theoretical analyses have been completed here. In Section IV, numerical results will be put forward using the expressions obtained in Sections II and III. IV. NUMERICAL RESULTS Here, numerical results will be presented. In addition, the accuracy of this study will be verified for the planar case using Sonnet’s simulations results. For different changing dimensional parameters of the elliptical CCPW, the variation of , (for both even and odd modes) and are investigated in Fig. 5–8. As seen in Fig. 5, slightly decreases, as increases from 5 to 40 . However, as increases, also increases significantly. Furthermore, and increases, while decreases. Another effectual factor on is the eccentricity of the first ellipse (eccentricity can be defined for the ellipses as ). Thus, as seen in Fig. 5, when decreases, and both increases. Moreover, when , ellipses degenerate to cylindrical circles. This case is seen as overlapping of the results of the cylindrical CCPW and elliptical CCPW with each other. This overlapping also indicates the analytical verification of this study. The ratio affects slightly. In Figs. 6 and 7, the variation of and are shown according to different dimensional parameters of the structure. As increases, and decrease. and also decrease, while increases. Decreasing value of decreases and considerably. An increase in the ratio causes a corresponding increase in the values of and . Lastly, the variation of is seen in Fig. 8 according to the different dimensional parameters. decreases as increases. However, increases as increases and while the ratio increases, the coupling coefficient decreases. In Table I, the computed results are compared with the results of Sonnet in order to demonstrate the accuracy of this study. These comparisons have been done for different values of strip and ground plane widths, dielectric thickness, and different frequency values in Sonnet. All analyses using Sonnet’s simulations presented in this paper are performed with a linear

frequency sweep option. According to Table I, maximum relative error is 2.40% for odd-mode characteristic impedance, 2.14% for even-mode characteristic impedance, 0.49% for oddmode effective dielectric constant, and 1.49% for even-mode effective dielectric constant. Therefore, it should be strongly emphasized that good agreement between the presented results and simulation results is observed. In these comparisons, it is also seen that there is very small variation in the values up to 21 GHz and the values for both the even and the odd modes. In addition, in Table II, for the planar structure that has quite wide ground widths (assumed infinite ground widths), comparisons between the results of this study and the ones obtained by using the method presented in [10] are shown. In these comparisons, the maximum relative errors are observed as 0.24% for odd-mode characteristic impedance, 0.41% for even-mode characteristic impedance, 0.50% for odd-mode effective dielectric constant, 0.48% for even-mode effective dielectric constant, and 1.36% for coupling coefficient. Thus, it can be easily exposed that the results obtained in both methods (by using the method proposed in this study and the method used in [10]) are very similar to each other. Owing to these observations, it can be proposed that the quasi-static TEM parameter expressions can be accurately and easily applied at microwave frequencies. V. CONCLUSION In this paper, an elliptical CCPW and CCPW with a finite ground width configuration have been described and studied using the CMM. In the analysis, conformal transformations have been expressed step by step in Sections II and III for those coupled lines. The variations of quasi-static TEM parameters with respect to the dimensional parameters of planar and elliptical structures proposed in this study were then investigated in length in Section IV. Furthermore, it was clearly seen that the work undertaken in this paper was well supported with Sonnet’s simulations results. Another crucial point of this study is the presentation of the fast and accurate expressions for the above-mentioned transmission lines. The analytical expressions reported in this study can promptly be used for microwave applications in CAD tools without needing any lengthy numerical modeling techniques. REFERENCES [1] C. Karpuz, M. Duyar, and A. Görür, “Analysis of coplanar-coupled lines on a cylindrical substrate,” Microw. Opt. Technol. Lett., vol. 27, no. 3, pp. 187–190, Nov. 2000.

DUYAR et al.: ANALYSES OF ELLIPTICAL CCPWs AND CCPWs WITH FINITE GROUND WIDTH

[2] N. Dib and A. Omar, “Dispersion analysis of multilayer cylindrical transmission lines containing magnetized ferrite substrates,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 7, pp. 1730–1736, Jul. 2002. [3] C. P. Wen, “Coplanar-waveguide directional couplers,” IEEE Trans. Microw. Theory Tech., vol. MTT-18, no. 6, pp. 318–322, Jun. 1970. [4] B. N. Das and K. V. S. V. R. Prasad, “Even- and odd-mode impedances of coupled elliptic art strips,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 11, pp. 2689–2694, Nov. 1984. [5] K. K. Joshi and B. N. Das, “Analysis of elliptic and cylindrical striplines using Laplace’s equation,” IEEE Trans. Microw. Theory Tech., vol. MTT-28, no. 4, pp. 381–386, Apr. 1980. [6] F. Medina and M. Horno, “Spectral and variational analysis of generalized cylindrical elliptical strip and microstrip lines,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 9, pp. 1287–1293, Sep. 1990. [7] D. Homentcovschi, G. Ghione, C. Naldi, and R. Oprea, “Analytic determination of the capacitance matrix of planar or cylindrical multiconductor lines on multilayered substrates,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 2, pp. 363–373, Feb. 1995. [8] C. J. Reddy and M. D. Deshpande, “Analysis of coupled cylindrical striplines filled with multilayered dielectrics,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 9, pp. 1301–1310, Sep. 1988. [9] D. Homentcovschi, “A cylindrical multiconductor stripline-like microstrip transmission line,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 3, pp. 497–503, Mar. 1989. [10] K.-K. Cheng, “Analysis and synthesis of coplanar coupled lines on substrates of finite thickness,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 4, pp. 636–639, Apr. 1996. [11] T. Hatsuda, “Computation of coplanar-type strip-line characteristics by relaxation method and its application to microwave circuits,” IEEE Trans. Microw. Theory Tech., vol. MTT-23, no. 10, pp. 795–802, Oct. 1975. [12] T. Kitawaza and R. Mittra, “Quasistatic characteristics of asymmetrical coupled coplanar-type transmission lines,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 9, pp. 771–778, Sep. 1985. [13] G. Ghione and C. U. Naldi, “Coplanar waveguides for MMIC applications: Effect of upper shielding, conductor backing, finite extent ground planes, and line to line coupling,” IEEE Trans. Microw. Theory Tech., vol. MTT-35, no. 3, pp. 260–267, Mar. 1987. [14] K.-K. Cheng and I. D. Robertson, “Quasi-TEM study of microshield lines with practical cavity sidewall profiles,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 12, pp. 2689–2694, Dec. 1995. Mehmet Duyar was born in Nizip, Gaziantep, Turkey. He received the B.S. degree from Erciyes University, Kayseri, Turkey, in 1992, and the M.S. degree from Ni˘gde University, Ni˘gde, Turkey, in 1999. From 1992 to 1996, he was with HES Hacilar Electric Industry and Trade Inc. From 1996 to 2002, he was a Research Assistant with the Aksaray Engineering Faculty, Ni˘gde University. Since 2002, he has been an Engineer with the Ni˘gde Industry and Trade Governer Department. His research interests

1395

are the modeling of various microwave integrated circuits (MICs) and MMIC elements for computer-aided design (CAD) programs, especially in planar and nonplanar transmission lines.

Volkan Akan (S’05) was born in Konya, Turkey, in 1979. He received the B.S. and M.S. degrees in electronics engineering from Hacettepe University, Ankara, Turkey in 2001 and 2004, respectively, and is currently working toward Ph.D. degree at Hacettepe University. Since 2001, he has been a Research Assistant with the Department of Electrical and Electronics Engineering, Hacettepe University. His main research areas are microwave planar and nonplanar circuits, CAD-oriented monolithic microwave integrated circuit (M)MIC circuit design and analytical and numerical electromagnetic analysis.

Erdem Yazgan (M’91) received the B.S. and M.S. degrees from the Middle East Technical University, Ankara, Turkey, in 1971 and 1973, respectively, and the Ph.D. degree from Hacettepe University, Ankara, Turkey, in 1980, all in electrical engineering. Since 1990, she has been a Professor with the Department of Electrical Engineering, Hacettepe University. In 1989, she was a Visiting Professor with Essex University, Essex, U.K. In 1994, she was with the Electroscience Laboratory, The Ohio State University, Columbus. Her research interests include HF propagation, low altitude radar systems, mobile communications, MICs, reflector and microstrip antennas, Gaussian beam solutions, conformal mapping, and medical electronics.

Mehmet Bayrak received the B.Eng., M.Eng., and Ph.D. degrees from Sheffield University, Sheffield, U.K., in 1969, 1971, and 1974, respectively, all related to electrical engineering. From 1975 to 1982, he was an Assistant Professor with Hacettepe University, Ankara, Turkey. In 1988, he was a Full Professor with Selçuk University, Konya, Turkey. Since 1988, he has been the Head of the Electrical–Electronics Engineering Department, Engineering Faculty, Selçuk University. From 1999 to 2001, he was also the Dean of the Engineering Faculty, Yüzüncü Yıl University, Van, Turkey. His research interests mainly cover microwave theory and techniques, transmission lines at high frequencies, electromagnetic fields, and basic electronic circuits.

1396

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Power and Efficiency Enhancement of 3G Multicarrier Amplifiers Using Digital Signal Processing With Experimental Validation Mohamed Helaoui, Student Member, IEEE, Slim Boumaiza, Member, IEEE, Adel Ghazel, Senior Member, IEEE, and Fadhel M. Ghannouchi, Senior Member, IEEE

Abstract—This paper proposes a digital signal-processing-based approach suitable for the performance optimization of third-generation (3G) amplifiers in terms of spectrum and power. A peak-to-average power ratio (PAPR) reduction method, which is coding and modulation independent, based on peak clipping and digital filtering techniques, is proposed. Moreover, the multibranch memory polynomial pre-distorter identified with an optimized recursive least square technique was efficiently implemented in a digital signal processor. The cascade of the proposed PAPR reduction technique with the memory pre-distorter results in a substantial enhancement of the power amplifier (PA) output linear power and efficiency, while still meeting the 3G partnership project standard requirements. An experimental validation carried out on a 90-W laterally diffused metal–oxide–semiconductor PA, which was fed with a wide-band code-division multiple-access signal, led to a 4-dB rise in output mean linear power accompanied with 60% increase in its power-added efficiency. Index Terms—Digital signal processing, multicarrier clipping, nonlinear power amplifier (PA), peak-to-average power ratio (PAPR) reduction, predistortion.

I. INTRODUCTION HE proliferation of video and real-time applications in communication systems implies an inordinate increase of transmission data rates in a relatively limited spectral resource. Accordingly, complex modulations schemes and multiple access techniques, such as 64-point quadrature amplitude modulation (64-QAM), orthogonal frequency division multiplex (OFDM), and code division multiple access (CDMA), were proposed to optimize the spectral efficiency. These advanced modulation and access techniques result in highly varying envelope signals that have high peak-to-average power ratio (PAPR) values. This imposes stringent constraints on the linearity of the transmitter’s power amplifier (PA). Thus, the PA should operate

T

Manuscript received June 22, 2005; revised October 27, 2005. This work was supported by the Informatics Circle of Research Excellence, by the National Sciences and Engineering Research Council of Canada, and by the Canada Research Chairs. M. Helaoui, S. Boumaiza, and F. M. Ghannouchi are with the Intelligent RF Radio Laboratory, Electrical and Computer Engineering Department, University of Calgary, Calgary, AB, Canada T3N 1N4 (e-mail: [email protected]; [email protected]; [email protected]). A. Ghazel is with the MEDIATRON Research Laboratory, Physics, Electronics, and Propagation Department, École Supérieure des Communications de Tunis, Ariana 2083, Tunisia (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.871238

in its linear region to avoid distortion and quality degradation of the RF signal as a rise in the error vector magnitude (EVM) value; and, a large backoff should be considered to guarantee linear operation of the PA. However, this domino effect leads to power-efficiency degradation and a significant increase in cooling and running costs. Consequently, the reduction of the required backoff allows for an advantageous increase in the effective linear power of a given PA. In the literature, one can distinguish several approaches for moving the operation region of the PA toward the high efficient area while keeping an acceptable linearity. Among these approaches, PA linearization techniques such as feed forward, feedback, and predistortion have been the research focus of numerous academic and industrial laboratories [1]–[3] in the last decade. Baseband digital predistortion (DPD) linearizers [4]–[7] are particularly currently of interest since they benefit from the high-speed digital signal-processing implementation in field programmable gate arrays (FPGAs) and digital signal processors (DSPs). The DPD function, which consists of the inverse response of the PA, compensates for its nonlinearity so that the overall response of the cascade (DPD-PA) is linear. Furthermore, given that peaks in CDMA and OFDM signals rarely appear, the PAPR reduction approach represents an interesting way for enhancing the efficiency of the PA [8]–[14]. The fundamental idea behind PAPR reduction methods is clipping or reducing the signal magnitude when it exceeds a certain threshold. This operation unavoidably causes an undesirable spectrum regrowth and signal leakage into adjacent channels. For the multicarrier case, this leads to inter-carrier interference, resulting in unrecoverable signal quality degradation in each carrier. This paper proposes a concurrent application of the peak power clipping method, which is appropriate for multicarrier applications, and DPD on the baseband signal to substantially increase the effective linear power range of third-generation (3G) PAs/transmitters. Section II presents a PAPR reduction algorithm based on a clipping and filter shaping technique suitable for multicarrier applications. Subsequently, the structure of the DPD with memory, along with its parameter identification, is explained. The implementation details of the two preprocessing functions are revealed, and the experimental results in terms of adjacent channel power ratio (ACPR), EVM, and peak code domain error (PCDE) are presented in Section IV.

0018-9480/$20.00 © 2006 IEEE

HELAOUI et al.: POWER AND EFFICIENCY ENHANCEMENT OF 3G MULTICARRIER AMPLIFIERS

1397

II. PAPR REDUCTION A. Single-Carrier Signal Case In the literature, one can distinguish numerous PAPR reduction techniques. Coding [11], tone reservation [12], selective mapping [13], and active constellation extension [14] require access and modification of the modulation and coding and, consequently, are standard dependant. Clipping [8] and clipping and filtering [9], [10] techniques are simpler and more general since they can be applied to each standard and for different modulation types. However, the PAPR reduction for these methods is achieved at a cost of in-band and out-of-band noise. This can be tolerated when the signal quality continues to meet the standard requirement in terms of EVM and ACPR. For the clipping method [8], the magnitude of the signal is compared to the threshold value in order to calculate a scaling factor. This scaling factor is then used to clip the in-phase (I) and quadrature (Q) components of the baseband signal. An LPF reduces the out-of-band radiation caused by the clipping operation. Clipping and filtering [9], [10] eliminates the signal peaks by adding canceling pulses. In this study, a hard clipping algorithm followed by a waveshaper, which consists of a pre-synthesized finite impulse response (FIR) filter, are implemented as stated in [15]. The following equation expresses the hard clipping function:

Fig. 1. Measured spectrum of the input, clipped, and clipped and filtered signals.

Fig. 2. Clipping multicarrier signals.

(1) where and denote the signal magnitude at the clipper input and output, respectively. represents the desired threshold value. The clipping factor is defined as the ratio of the maximum output voltage over the maximum clipper input voltage

(2) A clipping factor fixed at 55% results in an increase of the EVM to 7% and a reduction in the PAPR value from 8.03 to 3 dB for wide-band code-division multiple-access (WCDMA) signals. The ACPR value decreases drastically, as shown in Fig. 1; therefore, a 128-tap FIR low-pass filter (LPF) was included in order to reduce the level of the out-of-band emission and to consequently enhance the ACPR, as shown in Fig. 1. The LPF has passband and cutoff frequencies equal to 1.93 and 2.5 MHz, respectively. Its in-band and out-of-band rejection are 0.01 and 100 dB, respectively. The resulting signal admits a PAPR of 5.77 dB, while the EVM is limited to 7.5%. Thus, one can shift the operation point of the PA by almost 2.3 dB toward its power efficient and saturation region. B. Multicarrier Signal Case Reducing the PAPR of a multicarrier signal is more complicated, since out-of-band distortion located between the different carriers cannot be filtered out by a simple FIR filter. In the literature, different solutions based on the phase shift between carriers [16], [17] were proposed to overcome the problem of

the noise introduced by clipping. These methods are based on shifting each carrier by a phase value to reduce the PAPR of the composite signal. The carriers’ phase values can be set randomly, and the optimal values are determined iteratively [16] or directly calculated [17]. These techniques require access to the baseband information of each carrier, which is not always available from the modulating circuits. Alternatively, a PAPR reduction method based on hard clipping and a multistage filtering, which needs the composite baseband multicarrier signal as an input, is proposed. It is well known that signal clipping introduces out-of-band distortions, as well as in-band noise. As shown in Section II-A, out-of-band distortion can be eliminated by applying an LPF. In the case of WCDMA, the ACPR requirements are more stringent than those related to the EVM metric and dominated by in-band distortion; therefore, PAPR reduction is considered as a simple and efficient solution to reduce the backoff in single-carrier WCDMA signals. However, the generalization of this concept to multicarrier WCDMA signals is not straightforward due to the difficulty in eliminating the out-of-band distortion, which causes interference between adjacent carriers. To overcome this problem, a more elaborate PAPR reduction scheme is proposed, as shown in Fig. 2. The composite complex envelope of a multicarrier signal is clipped to the specified threshold. The signal is then down-converted to the baseband frequency of each carrier. For each carrier, the corresponding down-converted signal is filtered using an LPF, which eliminates the adjacent carriers and out-of-band distortion. The LPF that is used is identical to the one used in the single-carrier case. Thus, after combining the different filtered carriers, the resulting signal has a lower PAPR value than the unclipped one. This technique guarantees PAPR

1398

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

TABLE I DIFFERENT CLIPPING-TYPE PERFORMANCES FOR A WCDMA THREE-CARRIER SIGNAL

Fig. 3. Measured clipped spectrum of multicarrier signal.

Fig. 4. CCDF function of the original and clipped two-carrier signals.

the clipping level is set at 45%, and the baseband filter used in Section II-A is kept the same. It can be seen that the PAPR of the two-carrier signal is reduced from 10.8 to 8 dB. For the three-carrier signal, the PAPR is reduced from 11 to 7.7 dB after clipping and filtering operations. In order to demonstrate the superiority of the enhanced PAPR reduction algorithm, the EVM of a three-carrier WCDMA signal is calculated with the clipping threshold fixed at 45% for both cases, as shown in Table I. It is found that the PAPR value obtained after clipping and filtering each carrier (Case 2) is higher than the one obtained with the enhanced PAPR algorithm (Case 1). In addition, the latter offers better signal quality (EVM) in comparison to the previous one, as shown in Table I. This is predictable since unnecessary clipping is achieved in Case 2, when the carriers’ peaks do not correspond to the composite signal peak. III. BASEBAND DPD A. Memoryless DPD As mentioned above, the predistortion technique is based on synthesizing a nonlinear function, which is complementary to that of the PA. Two methods are used for the implementation of such a technique, namely the lookup table (LUT) and polynomial function. In the latter method, complex envelopes of the pre-distorter input and output signals can be related as follows:

Fig. 5. CCDF function of the original and clipped three-carrier signals.

(3) reduction, while keeping good ACPR performance and acceptable signal quality. Fig. 3 shows the spectrum of the PAPR reduced signals for the following two cases. Case 1) The clipping is applied for the composite multicarrier signal, and then each carrier is filtered separately and summed at the end. Case 2) The clipping function followed by filtering is applied to each carrier separately, and then all carriers are summed. In the second case, the distortion between the carriers is increased by approximately 30 dB relative to the first case. Figs. 4 and 5 show the complementary cumulative distribution functions (CCDFs) of the original and clipped signal for the two- and three-carrier signals, respectively. In both cases,

is the polynomial order of the pre-distorter nonlinearity. Both polynomial function coefficients and correction parameters, used for the LUT implementation, have to be determined based on the PA characterization results. Given the nonmonotonic AM/AM characteristic of the highly nonlinear class-AB amplifier, which is currently employed in 3G base stations, a LUT-based configuration was chosen to avoid the high-order and complex polynomial function that would be needed in such a context. Accurate characterization of the PA is, therefore, needed for the deduction of the LUT entries. A test bench was developed to record the baseband components (I and Q) at the input and the output of the amplifier fed with 3G signals. The path delay between both signals is estimated and compensated

HELAOUI et al.: POWER AND EFFICIENCY ENHANCEMENT OF 3G MULTICARRIER AMPLIFIERS

1399

Fig. 6. Synthesized AM/AM and AM/PM of the pre-distorter.

for according to equations given in [18]. The AM/AM and AM/PM LUT of the PA are then deduced and inverted to obtain the AM/AM and AM/PM LUT of the pre-distorter. A 90-W peak-envelop-power three-stage PA (2110–2170 MHz) was used in this study. The AM/AM and AM/PM measured characteristics of the device-under-test were used to deduce those of the corresponding pre-distorter, as shown in Fig. 6. B. DPD With Memory When dealing with wide-band signals, the PA power response is no longer constant over time. The power gain for a given instant power value depends on previous signal values. It can be seen as a nonlinear system with memory. Such behavior can be attributed to: 1) the frequency response variation of the PA over the whole bandwidth; 2) the frequency response of the biasing circuits [19], [20]; 3) trap effects; and 4) thermal effects. Neither the polynomial model, nor the LUT model described above can compensate for the memory effects. Thus, a memory model should be involved. Much research is related to this topic, and it reports upon different models to compensate for the memory effect. In [21], a model using the Volterra series is presented to describe the PA response. The Volterra model is suitable to model the nonlinear power response and the memory effects in the PA. Its only constraint is complexity since all the interactions between products are taken into account, given as follows by (4):

Fig. 7. Multibranch pre-distorter block diagram.

presents a considerable memory effect. The multibranch model, introduced by Kim et al. [24] and revisited by Raich et al. [25], is another particular case of the Volterra model that neglects the hybrid products in the Volterra-model equation. It can be seen as a filter, where each tap is a polynomial function of the input signal (Fig. 7). In this study, this model will be used to compensate for the dynamic nonlinear PA’s response since it offers a good compromise between precision and complexity. The pre-distorter function can be written as

(5) where and are the digital complex envelope signal at designate the pre-distorter input and output, respectively. the polynomial coefficients of the th filter tap. and are the maximum polynomial order and memory depth, respectively. C. Identification of the Memory Polynomial Model The multibranch model allows for the reduction of the conumber of unknown coefficients, from . efficients in the Volterra series model to This reduces the complexity for the coefficient extraction algorithms. Generally, the least square (LS) method is applied for the model’s identification of coefficients. This algorithm is based on inverting a complex matrix using singular value decomposition (SVD), and has a calculation complexity pro. Equation (5) could be rewritten in matrix portional to format as follows:

(4) where represents the model’s memory depth. Consequently, its implementation needs many resources and the coefficients’ identification is not straightforward. A Hammerstein model is introduced in [22] and [23] as a simpler solution than the Volterra model, and is considered as a particular case of the Volterra model. However, this solution is shown to be inefficient in describing the PA behavior when it

(6) where , , and are shown in the equation at the bottom of the following page and

1400

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

TABLE II RECURSIVE ALGORITHMS PERFORMANCES FOR IDENTIFYING THE PRE-DISTORTER COEFFICIENTS

algorithms. In addition, it has the fastest convergence, as shown in Table II. While the RLS algorithm needs 200 iterations to converge, its required convergence time is not greater than the LS nonrecursive algorithm. In fact, the LS algorithm waits for approximately 8000 data samples before starting their one iteration process. The RLS algorithm processes recursively these data by a block of for each iteration. This training is achieved once when the PA is turned on. Moreover, the RLS algorithm allows for the reduction of calculation complexity that becomes without loss of the convergence time and proportional to error performance.

The coefficients of are determined using a least squared optimization procedure and are calculated as follows:

IV. IMPLEMENTATION AND RESULTS A. Implementation Platform

(7) where is the pseudoinverse matrix of calculated by means of the SVD algorithm implemented into the DSP. To reduce the calculation complexity induced by the SVD algorithm, a recursive algorithm is applied to extract the pre-distorter coefficients. Table II summarizes the simulation results for different recursive algorithms: least mean squared (LMS), normalized least mean squared (nLMS) and recursive least square (RLS). A comparison is made between these algorithms and the LS. The residual mean error is calculated by the following formula:

(8) where represents the difference between the instantaneous and the desired output . Indeed, to pre-distorter output extract the pre-distorter parameter, the recursive algorithm is fed . with the stored baseband envelope of the PA output Therefore, the desired algorithm output should be the stored , and is given by baseband PA input

(9)

It is shown that the residual error for the RLS algorithm gives the smallest error value when compared to the LMS and nLMS

The PAPR reduction algorithm and the pre-distorter algorithm are implemented with parameters and fixed at 3 and 12, respectively. These values are chosen large enough to get acceptable ACPR performance. The hybrid platform used for implementation is composed of an FPGA board and a DSP. It also contains two digital-to-analog converters (DACs) operating at 165 Ms/s and a fast analog-to-digital converter (ADC) operating at 210 Ms/s. The capture of the feedback signal (PA output) is performed by means of a low-IF receiver architecture in order to reduce the loop distortions and, consequently, enhance the predistortion quality; therefore, a down-converter is used to translate the feedback signal around 30.720 MHz. The down-converter is composed of a cascade of a mixer, a bandpass filter for image-rejection purposes, and a variable gain amplifier (VGA) that is used to adjust the signal power to the ADC dynamic range. The IF signal is then digitized by the high-speed ADC. The I/Q demodulation is performed inside the FPGA side to avoid imbalance and leakage distortions introduced by the analog demodulator. The amplification stage is composed of a cascade of three amplifiers from Freescale Inc., Austin, TX. The first driver is MHPA21010 (gain dB, dB dBm). The second driver is MRF21045 (14.9 dB, 47 dBm). The PA is MRF21085 (13.6 dB, 50 dBm). As shown in Fig. 8, the PAPR reduction algorithm is implemented on the FPGA device. The clipped and filtered signal feeds the multibranch memory pre-distorter, which is implemented on the FPGA board and controlled by the DSP. In fact, the predistortion function is synthesized by the DSP board, which collects the data from the FPGA memory and extracts the pre-distorter parameters and then updates the predistortion block on the FPGA. This block adjusts the I and Q signals at

HELAOUI et al.: POWER AND EFFICIENCY ENHANCEMENT OF 3G MULTICARRIER AMPLIFIERS

1401

Fig. 8. Implementation schematic of the PAPR reduction and predistortion algorithms cascade.

Fig. 10. Measured output spectrum of the transmitter with and without predis. tortion when driven with the clipped and filtered signal Cl

Fig. 9. Imbalance in IMD3 products caused by memory effect.

Fig. 11. Measured ACPR enhancement performances for clipping and predistortion techniques.

( = 55%)

the output of the PAPR reduction block, and then feeds the processed envelope to the two DACs. B. Memory Effect Detection To demonstrate that the utilized amplifier exhibits memory effects, a varying spacing two-tone test is carried out. The frequency spacing between the two tones is varied and both left and right third-order intermodulation distortion (IMD3) products are measured. Fig. 9 shows IMD3 products imbalance and their level variation with frequency spacing, which become significant at 2 MHz. A difference of 14 dB is registered at a frequency spacing of 10 MHz. This is attributed to the nonconstant frequency response at the envelope and second harmonic frequencies since the variation of the carrier frequency response does not exceed 0.5 dB for a 20-MHz bandwidth. C. Single-Carrier Results Fig. 10 shows the output spectrum of the transmitter for three cases, which are: 1) without predistortion; 2) with memoryless LUT predistortion; and 3) with multibranch predistortion with memory when driven with the clipped and pre-equalized signal. These spectrums are measured for an output power equal to 42.5 dBm. Based on Fig. 11, it can be seen that the combination of the peak power clipping, waveform shaping, and predistortion techniques leads to an increase in the linear output power of approximately 4 dB when compared to the maximum linear power obtained with the original WCDMA signal. A maximum output mean power equal to 44.5 dBm is reached while keeping the

Fig. 12. Measured PA efficiency versus mean output power.

ACPR level lower than 45 dBc. For larger backoff values, the ACPR is kept around 61 dBc, up to an output power value equal to 42 dBm. At this operating point, the impact of the PAPR reduction technique on the linearized PA is the reduction of the ACPR by 9 dB. Moreover, from Fig. 12, one can distinguish that the amplifier power efficiency rises up to 24% for the maximum output mean power in the case of clipped, filtered, and linearized signal (44.5 dBm). This power efficiency is 19% for an operation point corresponding to the maximum output mean power for the nonclipped and linearized case (42.5 dBm). Only 15% power efficiency can be achieved in the nonlinearized and nonclipped case when operating at the maximum output mean power (40.5 dBm). The digital circuit’s contribution to the dc power consumption (10 W) is minor when compared to the PA’s dc power consumption (105 W), and it leads to a slight reduction of the power-added efficiency (PAE) by 2% (from 24% to 22%). Fig. 13 shows that the clipping operation introduces in-band signal degradation that is not eliminated by filtering. This can

1402

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 13. Measured EVM enhancement performances for clipping and predistortion techniques. Fig. 14. Measured output spectrum of the transmitter with and without predistortion for a two-carrier signal.

be seen in the EVM increase from 1% to 7% in the cases of linearly amplified nonclipped and clipped signals, respectively. The PA nonlinearity introduces additional in-band signal degradation that is compensated by applying predistortion. This linearization technique allows for a reduction of the EVM from 5% to 2% for a nonclipped signal for an output mean power equal to 42.5 dBm, and from 11.5% to 8.2% for the case of clipped signal for an output mean power equal to 44.5 dBm. These values of EVM still meet the standard requirements. The overall performance obtained with the combination of peak clipping, waveform shaping, and predistortion is superior to what has been reported in the available literature using techniques based on similar concepts [3]. In fact, the improvement in the measured ACPR ( 51 dBc) when increasing the effective linear power by 4 dB for class-AB LDMOS with a WCDMA signal is a significant achievement compared to the ACPR performance in [3] ( 45 dBc) when increasing the effective linear power by 3.7 dB. D. Multicarrier Results In order to illustrate the impact of memory effects on signal quality and the importance of the multibranch pre-distorter, twoand three-carrier signals are used. The PAPR reduction method, described in Section II, is applied to each type of signal with a clipping factor equal to 45%. The PAPR values of the clipped signals are 8 and 7.7 dB for two- and three-carrier signals, respectively. At the output of the clipper, a predistortion function is applied. In both cases, memoryless LUT predistortion and multibranch predistortion with memory are considered. Fig. 14 shows the spectrum of the PA output signal when applying LUT predistortion (memoryless), multibranch predistortion with memory, and no predistortion to a two-carrier signal. Fig. 15 shows the same three cases for three-carrier signals. It is important to highlight the considerable residual out-of-band noise when applying a memoryless predistortion. This residual noise increases with the signal bandwidth since it is mainly caused by memory effects. Table III shows the performances in terms of signal quality and channel leakage. The signal quality is measured using two metrics: the EVM and PCDE. The ACPR values of adjacent and alternate channels are used for quantifying the channel leakage performance. When using a memoryless LUT pre-distorter, the EVM values are enhanced from 10.1% to 9.4% for the two-carrier signal and from 8.6% to 7.8% for the three-carrier signal.

Fig. 15. Measured output spectrum of the transmitter with and without predistortion for a three-carrier signal.

TABLE III SIGNAL QUALITY ENHANCEMENT BY CASCADING CLIPPING AND PREDISTORTION FOR MULTICARRIER SIGNALS

The PCDE is also enhanced by predistortion and its value passes from 34.4 to 36.1 dB for the two-carrier signal and from 36.5 to 38.1 dB for the three-carrier signal. The residual

HELAOUI et al.: POWER AND EFFICIENCY ENHANCEMENT OF 3G MULTICARRIER AMPLIFIERS

in-band error is caused by the clipping operation and cannot be corrected. The memory pre-distorter keeps the same values of EVM and PCDE as the LUT. The memory effects are observed more in the out-of-band region. In fact, the ACPR performance obtained with a multibranch predistortion is better than the one obtained with a memoryless model. For example, the ACPR for adjacent channel is reduced from 37.1 to 52.1 dB when applying the LUT predistortion and to 58.9 dB when using a multibranch linearizer. V. CONCLUSION This paper has proposed that DSP algorithms be used to boost the 3G PA’s output power and efficiency performances. A modulation and coding independent PAPR reduction method, based on clipping the composite signal and filtering each carrier, has been proposed, and it was found to be more suitable for multicarrier signals. It allowed for PAPR reductions by more than 3 dB for two- and three-carrier WCDMA signals. The cascade of the latter to a memory multibranch pre-distorter permits the compensation for the PA nonlinearity and memory effects. The experimental validation of the proposed approach led to a significant increase (equal to 4 dB) in the maximum output mean power, while meeting the 3GPP standard requirements in terms of ACPR, EVM, and PCDE. This was also accompanied by power-efficiency enhancement equal to 60%. ACKNOWLEDGMENT The authors would like to acknowledge O. Hammi and T. Liu, both with the Department of Electrical and Computer Engineering, University of Calgary, Calgary, AB, Canada, for providing technical and software support during measurements and C. Heys, Calgary, AB, Canada, for proofreading this paper’s manuscript. REFERENCES [1] S. P. Stapleton and F. C. Costescu, “An adaptive predistorter for power amplifier based on adjacent channel emissions,” IEEE Trans. Veh. Technol., vol. 41, no. 2, pp. 49–56, Feb. 1992. [2] E. G. Jeckeln, F. Beauregard, M. A. Sawan, and F. M. Ghannouchi, “Adaptive baseband/RF predistorter for power amplifiers through instantaneous AM-AM and AM-PM characterization using digital receivers,” in IEEE MTT-S Int. Microw. Symp. Dig., Boston, MA, Jun. 2000, vol. 1, pp. 489–492. [3] R. Sperlich, Y. Park, G. Copeland, and J. S. Kenney, “Power amplifier linearization with digital pre-distortion and crest factor reduction,” in IEEE MTT-S Int. Microw. Symp. Dig., Fort Worth, TX, Jun. 2004, vol. 2, pp. 669–672. [4] J. K. Cavers, “Amplifier linearization using a digital predistorter with fast adaptation and low memory requirements,” IEEE Trans. Veh. Technol., vol. 39, no. 4, pp. 374–382, Nov. 1990. [5] D. S. Hilborn, S. P. Stapleton, and J. K. Cavers, “An adaptative direct conversion transmitter,” IEEE Trans. Veh. Technol., vol. 43, no. 2, pp. 223–233, May 1994. [6] M. Faulkner and M. Johansson, “Adaptative linearization using predistortion: Experimental results,” IEEE Trans. Veh. Technol., vol. 43, no. 2, pp. 323–332, May 1994. [7] J. De Mingo and A. Valdovinos, “Performance of a new digital baseband predistorter using calibration memory,” IEEE Trans. Veh. Technol., vol. 50, no. 4, pp. 1169–1176, Jul. 2001. [8] G. Hill and M. Faulkner, “Comparison of low complexity clipping algorithms for OFDM,” in 13th IEEE Int. Pers. Indoor Mobile Radio Commun. Symp, Lisbon, Sep. 2002, vol. 1, pp. 227–231.

1403

[9] R. van Nee and A. de Wild, “Reducing the peak-to-average power ratio of OFDM,” in 48th IEEE Veh. Technol. Conf., Ottawa, ON, Canada, May 1998, vol. 3, pp. 2072–2076. [10] M. Pauli and P. Kuchenbecker, “On the reduction of the out-of-band radiation of OFDM-signals,” in IEEE Int. Commun. Conf., Atlanta, GA, Jun. 1998, vol. 3, pp. 1304–1308. [11] K. G. Paterson and V. Tarokh, “On the existence and construction of good codes with low peak-to-average power ratios,” IEEE Trans. Inf. Theory, vol. 46, no. 6, pp. 1974–1987, Sep. 2000. [12] J. Tellado, “Peak to average power reduction for multicarrier modulation,” Ph.D. dissertation, Dept. Elect. Eng., Stanford Univ., Stanford, CA, 2000. [13] H. Breiling, S. H. Müller-Weinfurtner, and J. B. Huber, “SLM peakpower reduction without explicit side information,” IEEE Commun. Lett., vol. 5, no. 6, pp. 239–241, Jun. 2001. [14] B. S. Krongold and D. L. Jones, “PAR reduction in OFDM via active constellation extension,” IEEE Trans. Broadcast., vol. 49, no. 3, pp. 258–268, Sep. 2003. [15] M. Helaoui, S. Boumaiza, A. Ghazel, and F. M. Ghannouchi, “On the RF/DSP design-for-efficiency of OFDM transmitters,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 7, pp. 2355–2361, Jul. 2005. [16] H. Nikookar and K. S. Lidsheim, “Random phase updating algorithm for OFDM transmission with low PAPR,” IEEE Trans. Broadcast., vol. 48, no. 2, pp. 123–128, Jun. 2002. [17] V. Tarokh and H. Jafrakhani, “On the computation and reduction of the peak-to-average power ratio in multicarrier communications,” IEEE Trans. Commun., vol. 48, no. 1, pp. 37–44, Jan. 2000. [18] T. Liu, S. Boumaiza, and F. M. Ghannouchi, “De-embedding static nonlinearities and accurately identifying and modeling memory effects in wide-band RF transmitters,” IEEE Trans. Microw. Theory Tech., to be published. [19] W. Bosch and G. Gatti, “Measurement and simulation of memory effects in predistortion linearizers,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 12, pp. 1885–1890, Dec. 1989. [20] J. H. K. Vuolevi, T. Rahkonen, and J. P. A. Mannien, “Measurement technique for characterizing memory effects in RF power amplifier,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 8, pp. 1383–1389, Aug. 2001. [21] C. Eun and E. J. Powers, “A new Volterra predistorter based on the indirect learning architecture,” IEEE Trans. Signal Process., vol. 45, no. 1, pp. 223–227, Jan. 1997. [22] C. J. Clark, G. Chrisikos, M. S. Muha, A. A. Moulthrop, and C. P. Silva, “Time-domain envelope measurement technique with application to wide-band power amplifier modeling,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 2531–2540, Dec. 1998. [23] L. Ding, R. Raich, and G. T. Zhou, “A Hammerstein predistortion linearization design based on the indirect learning architecture,” in IEEE Int. Acoust., Speech, Signal Process. Conf., Orlando, FL, May 2002, vol. 3, pp. 2689–2692. [24] J. Kim and K. Konstantinou, “Digital predistortion of wide-band signals based on power amplifier model with memory,” Electron. Lett., vol. 37, no. 23, pp. 1417–1418, Nov. 2001. [25] R. Raich, H. Qian, and G. Tong Zhou, “Orthogonal polynomials for power amplifier modeling and predistorter design,” IEEE Trans. Veh. Technol., vol. 53, no. 5, pp. 1468–1479, Sep. 2004.

Mohamed Helaoui (S’06) received his B.Eng. degree in communications and M.Sc.A. degree from the École Supérieure des Communications de Tunis, Tunis, Tunisia, in 2002 and 2003, respectively, and is currently working toward the Ph.D. degree at the University of Calgary, Calgary, AB, Canada. In 2002, he was a student member with the MEDIATRON Research Laboratory, École Supérieure des Communications de Tunis, and a Visiting Student with the System Design Group Research Laboratory, École Nationale Supérieure des Telecommunications de Paris. From 2003 to 2004, he was with the Polygrames Research Center, École Polytechnique de Montreal. In 2005, he joined the Intelligent RF Radio Laboratory, University of Calgary. His current research interests are DSP, PA predistortion, power efficiency enhancement for wireless transmitters, and 3G/fourth-generation (4G) transmitter optimization.

1404

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Slim Boumaiza (S’00–M’04) received the B.Eng. degree in electrical engineering from the École Nationale d’Ingénieurs de Tunis, Tunis, Tunisia, in 1997, and the M.S. and Ph.D. degrees from the École Polytechnique de Montréal, Montréal, QC, Canada, in 1999 and 2004. In May 2005, he joined the Electrical Engineering Department, University of Calgary, Calgary, AB, Canada, as an Assistant Professor and faculty member of the Intelligent RF Radio Laboratory. His research interests are in the general areas of RF/microwave and millimeter components and systems for broad-band wireless and satellite communications. His specific current interests include RF/DSP mixed design of intelligent RF transmitters, design, characterization, modeling and linearization of high-power RF amplifiers, and adaptive DSP.

Adel Ghazel (M’97–SM’01) received the E.E. and M.S. degrees in systems analysis and digital processing and Ph.D. degree in electrical engineering from the Ecole Nationale d’Ingénieurs de Tunis (ENIT), Tunis, Tunisia, in 1990, 1990, and 1996, respectively, and the Habilitation degree in communication and information technologies from Ecole Supérieure des Communications (SUP’COM) de Tunis, Ariana, Tunisia, in 2002. From 1990 to 1992, he was a Specialist Engineer with the Tunisia Engineering and Industrial Construction Company, where he was involved with design and field supervision of industrial instrumentation installation. In 1993, he joined the Ecole Supérieure des Postes et des Télécommunications de Tunis, where he was an Assistant Professor and then an Associate Professor of telecommunications. In 1999, he became the Head of the Department of Electronics and Propagation, and in 2002, a Professor with the Ecole Supérieure des Communications (SUP’COM). Since 1998, he has been working with the Software and Systems Technology Division, Analog Devices Inc., Boston, MA, where he is involved with research and development projects related to power line communication circuits and networks. He is also a founder and Technical Manager of the Research and Development Center for Embedded Systems Technology since September 2001. His current research interests include very large scale integration (VLSI)

and DSP circuits, algorithms, and architectures for telecommunications. He has authored or coauthored several journal papers and numerous conference contributions and technical reports. He is a reviewer for several international journals and transactions. Dr. Ghazel has been session chairman and member of Technical and Steering Committees of national and international conferences and symposia. He is a committee member and referee for evaluating new startup projects to be supported. He was the recipient (along with his research team) of the 2002 Tunisian President Award of Research in Telecommunications presented by the Ecole Supérieure des Communications (SUP’COM) de Tunis.

Fadhel M. Ghannouchi (S’84–M’88–SM’93) received the B.Eng. degree in engineering physics and the M.S. and Ph.D. degrees in electrical engineering from the École Polytechnique de Montréal, Montréal, QC, Canada, in 1983, 1984, and 1987, respectively. He is currently an iCORE Professor with the Intelligent RF Radio Laboratory, Electrical and Computer Engineering Department, University of Calgary, Calgary, AB, Canada, and Tier-I Canada Research Chair in Intelligent RF Radio Technology. From 1984 to 2005, he was a Professor with the Department of Electrical Engineering, École Polytechnique de Montréal. He has taught microwave theory and techniques and RF communications systems. He held several invited positions at several academic and research institutions in Europe, North America, Japan, and North Africa. He has provided consulting services to numerous microwave and wireless communications companies. He is also the founder of AmpliX Inc., Montréal, QC, Canada, a company that offers linearization products and services to wireless and satellite communication equipment manufacturers. His research interests are in the areas of microwave instrumentation and measurements, nonlinear modeling of microwave devices and communications systems, design of power- and spectrum-efficient microwave amplification systems, and design of intelligent RF transceivers for wireless communications. He has authored or coauthored approximately 300 publications. He holds seven patents. Dr. Ghannouchi is a Registered Professional Engineer in the Province of Quebec, Canada. He has served on the Technical Committees of several international conferences and symposiums.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

1405

Design of New Three-Line Balun and Its Implementation Using Multilayer Configuration Byoung Hwa Lee, Dong Seok Park, Sang Soo Park, and Min Cheol Park

Abstract—This paper proposes a new balun that is composed of three coupled quarter-wavelength lines. The proposed balun is optimized by using design of experiments to achieve a maximum bandwidth. After the optimization, it is transformed into the balun consisting of a pair of coupled quarter-wavelength lines in connection with an uncoupled quarter-wavelength line. The design method and its implemented results using a multilayer configuration are presented. It is shown that this new balun can be made more compact, providing good performances over the wide frequency range. Therefore, the balun developed here is applicable to many wireless and mobile communication systems. The design equation for a given set of balun impedances at unbalanced and balanced ports is derived from an equivalent circuit of the proposed balun. To demonstrate the feasibility and validity of the design equation, the size 2012 multilayer ceramic chip baluns with three different balun impedances, which operated in the 2.4-GHz industrial–scientific–medical band frequency, are designed and fabricated by the use of low-temperature co-fired ceramic technology. According to the measured results, the maximum insertion loss is 0.81 dB, the maximum in-band phase imbalance is within 7 , and the maximum in-band amplitude imbalance is less than 0.7 dB. Index Terms—Baluns, design of experiments (DOE), low-temperature co-fired ceramic (LTCC), multilayer ceramic (MLC).

I. INTRODUCTION ANY WIRELESS and mobile communication systems often require a balun, which transforms a balanced transmission signal to an unbalanced transmission signal, and vice versa. As these systems advance toward more compact system architectures, it is required to develop smaller and smaller size baluns with better and better performance over the wide frequency range. To meet these requirements, a large number of balun configurations have been reported in literature for applications in a low-temperature co-fired ceramic (LTCC)-multilayer ceramic (MLC) chip [1]–[7] and microwave integrated circuit (MIC) [8]–[20]. Among them, a planar version of a Marchand balun has been adopted for a long time due to its planar structure and good amplitude and phase balance characteristics over the wide frequency band. The planar Marchand balun consists of two sections, and each section is composed of two coupled quarter-wavelength lines. It may be realized using planar transmission lines such as microstrip lines or striplines. Each microstrip line or stripline may

M

Manuscript received July 11, 2005; revised October 27, 2005 and December 29, 2005. The authors are with the Computer-Aided Engineering Group, Research and Development Center, Samsung Electro-Mechanics Company Ltd., Suwon 443743, Korea (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.871242

be implemented as meander-shaped line [16], [19] or spiralshaped line [1], [4], [5], [17], [20] for the size reduction of balun structure. In order to save more space on the substrate, the resonance method [4]–[6] and the stepped impedance method [3] are proposed. Using these methods, it is possible to shrink the physical length of a quarter-wavelength coupled line in the planar Marchand balun. Though various Marchand-type baluns have been intensively studied for their size reduction, three-line baluns [8]–[10] may have the advantage of using a lesser number of quarter-wavelength lines over Marchand-type baluns composed of four quarter-wavelength lines. However, as in the case of Marchand-type baluns, one or both of the balanced signal lines of these balun, which are the lines connected directly to the balanced ports in the balun, must be shorted to GND at the operating frequency. This may be the disadvantage because such a balun circuit topology demands an extra capacitor to make the balanced signal lines RF shorted to GND when used for applications in an LTCC-MLC chip. Many of the RF integrated circuits (RFICs) for modern wireless and mobile communication systems such as wireless local area network (LAN) and Bluetooth modules require a dc voltage to be applied to a chip balun to drive a power amplifier inside an RFIC through the balanced signal lines. The dc voltage source for such cases cannot be directly applied to the RF shorted port of the balun because it is commonly connected together to the RFIC power pin to drive other devices inside RFIC, and the leakage RF signals from the balun may disrupt the system performance through the power network. Therefore, an RF choke to block the RF signals should be placed adjacent to the balun. Consequently, these three-line baluns generally require the additional capacitor to make the balanced signal lines RF shorted to GND for the balun performance. In this paper, we propose a new three-line balun that may be more compact than Marchand-type baluns and more suitable for applications in an LTCC-MLC chip than conventional three-line baluns. The proposed balun is composed of three coupled quarter-wavelength lines, as in the case of [8]–[10], and each line can be easily implemented as a spiral line or meander line for further size reduction. In addition, any of the balanced signal lines is not shorted to GND. Therefore, the balun presented in this paper may be attractive for modern wireless LAN and Bluetooth modules. The design equations are presented for a given set of balun impedances at unbalanced and balanced ports and verified by the high-frequency circuit simulation results, which also shows this three coupled line balun may be used for compact size applications despite its relatively narrow bandwidth. This paper presents the first application of a design of experiments (DOE) using the high-frequency circuit simulations for the proposed balun to have a maximum bandwidth.

0018-9480/$20.00 © 2006 IEEE

1406

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 1. General configuration of the equivalent circuit of the proposed threeline balun.

By using a DOE, it is easily identified which design factors are more significant for a bandwidth and how they interact with each other [17]. In other words, the proposed balun can be optimized by a DOE for a maximum bandwidth. As a result of the DOE, the proposed balun is finally optimized into the balun consisting of a pair of coupled quarter-wavelength lines in connection with an uncoupled quarter-wavelength line. To demonstrate the validity of the proposed balun and the feasibility of the optimized design equations, MLC baluns with three different impedances at balanced ports, which operated in the 2.4-GHz industrial–scientific–medical (ISM) band frequency, are designed and fabricated using LTCC technology. Each balun’s unbalanced port impedance versus balanced port impedance is chosen to be 50 : 25 , 50 : 50 , and 50 : 100 , respectively. Spiral-shaped lines are used to shorten the physical length of the quarter-wavelength line. Measured results for the designed MLC baluns show that the proposed balun provides good performance over the wide bandwidth despite its relatively simple structure and easy implementation. II. DERIVATION OF DESIGN EQUATION The balun provides balanced outputs to load termination from an unbalanced input with source impedance and vice versa. In general, the impedance and are different. Thus, it also needs to transform impedance between the source and load impedance. Fig. 1 shows the general configuration of the equivalent circuit for the proposed three-line balun. There are a total of six variables (characteristic impedances of lines and couplings between lines) to fix the characteristics of the circuit. The equations for the circuit in Fig. 1 to operate as a balun can be derived, which show the relationships between variables for a given set of port impedances. When all the lines are a quarter-wavelength with the voltages and currents defined at ports 1, 2, and 3, the impedance matrix of the circuit in Fig. 1 can be expressed as follows:

Fig. 2. Circuit model of the proposed three-line balun for high-frequency circuit simulation.

where denotes the velocity of TEM-mode wave propagation in the medium surrounding the line, while the Maxwellian capacitance matrix defined in [22] can be given by

(4) where ( , and ) denotes electrostatic capacitance per unit length between conductor line and ground planes, and ( , and ) denotes electrostatic capacitance per unit length between conductor lines and . For the impedance and , the relationship between the and matrix in [23] can be generalized as the following matrix equation: (5) where

and

are given by (6)

(7) The matrix is then transferred to the follows:

matrix using (5) as

(1) (2) (3)

(8)

LEE et al.: DESIGN OF NEW THREE-LINE BALUN AND ITS IMPLEMENTATION USING MULTILAYER CONFIGURATION

1407

Fig. 3. Circuit simulation results of the proposed balun. (a) S -parameters. (b) Phase imbalance characteristics.

where

TABLE I FULL FACTORIAL DOE TABLE

(9) 10) (11) (12) (13) (14) The characteristics of baluns can be expressed in terms of the reflection coefficient and the sum of and [9]. Therefore, using (9)–(14), the equivalent circuit in Fig. 1 can behave like a balun when (15) (16) Therefore, when both (15) and (16) are satisfied, the design equation for optimal balun performance can be represented as follows: (17) or

(18) is expressed in terms of the TEM-mode wave propwhere agation velocity and defined in (4) as follows:

(19) Consequently, the equivalent circuit in Fig. 1, which is composed of three coupled quarter-wavelength lines, can have ideal balun properties under conditions satisfying (17) or (18). This can be easily verified using the high-frequency circuit simulation. The circuit model for the simulation is shown in Fig. 2. As

an example, when each unbalanced and balanced port impedances and are chosen to be 50 : 50 , , , , , , and can be selected to be 100, 100, 26.12, 26.12, 100, and 100 , respectively. These impedance values satisfy (17). The calculated impedances ( , , , , , and ), the electrical length , and the operating frequency GHz are used as input variables of a transmission-line model. As shown in the simulation results in Fig. 3, the proposed balun can behave as a balun when (17) or (18) is satisfied and it may be used for compact size applications despite its relatively narrow bandwidth. It is easily noted that, for a given set of balun impedances at unbalanced and balanced ports, and , the solution to (17) or (18) is not unique. For example, when and are 50 , there exist many combinations of and to satisfy (17) or (18). The high-frequency circuit simulation results using the circuit model in Fig. 2 inform us that a different choice of and or and results in a different bandwidth. In addition, and also determines a bandwidth, though they are not included in (17) or (18).

1408

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 4. Full factorial DOE results. (a) Main effect plots. (b) Interaction plots.

III. BALUN OPTIMIZATION FOR A MAXIMUM BANDWIDTH To achieve a wide-band balun performance, it is required to investigate the condition for the proposed balun to have a maximum , , , bandwidth by the choice of the values of , , and within the given ranges of these values. bandwidth dB may be a good indicator of the balun bandwidth [9]. Therefore, needs to be represented as a function of defined in (19) and electrical length . Using the equivalent circuit of Fig. 1 and conditions of (15) and (16) for optimal balun performance, can be given by

Fig. 5. Equivalent circuit of the optimized balun to have a maximum bandwidth.

(20) where

(21)

(22) To calculate to 0.1

bandwidth

Fig. 6. Circuit model of the optimized balun for high-frequency circuit simulation.

dB , equating Equation (23) can then be solved for and ( , ) to give (24) and (25) shown at the bottom of this page. Therefore, bandwidth can be represented as follows:

(23)

bandwidth

(26)

(24) (25)

LEE et al.: DESIGN OF NEW THREE-LINE BALUN AND ITS IMPLEMENTATION USING MULTILAYER CONFIGURATION

1409

Fig. 7. Circuit simulation results of the designed balun. (a) S -parameters. (b) Phase imbalance characteristics.

where is the center frequency of the designed balun. As shown in (23)–(26), it may be very hard to find a maximum bandwidth condition analytically. In this case, the DOE using bandwidth or the high-frequency circuit simulation can be the efficient way. Here, the DOE technique is applied to find out this maximum bandwidth condition with minimal computational overhead. It can provide a thorough understanding of all of the design factors involved in the bandwidth of the proposed balun, and identify which are more significant, which are not significant at all, and how they interact with each other. The DOE is carried out using the high-frequency circuit simulations of the circuit model shown in Fig. 2 with the tool for the DOE, i.e., MINITAB (MINITAB Inc., State College, PA). It is assumed that both and are 50 . As shown in the DOE in Table I, the total number of factors are four, which are chosen to be , , , and , and they are set to be two levels, which are 10 and 100 , respectively. Therefore, a total of 16 circuit simulations are performed for the full factorial DOE. The impedances and are automatically calculated using (17) for the given impedances of and , respectively. bandwidth dB is used as an output variable. The main effect plots and interaction plots provided by the full factorial DOE are shown in Fig. 4. The main effect plots show that as , , , and increases, the bandwidth increases. Comparing the steepness of slope for each plot, is also the most significant factor to determine the bandwidth of the proposed balun, and is the least. According to the interaction plots, the best choices for a maximum bandwidth is to maximize and or and at the same time. To maximize and may be the easier choice because it can be easily achieved by shielding lines 1 and 2 from line 3. The equivalent circuit for this case is shown in Fig. 5, and its design equation can then be given by

(27) As a result of the DOE, the proposed balun is finally optimized into the balun consisting of a half-wavelength line, which

Fig. 8. Final 3-D layout of the 50- : 50- balun. The dielectric constant of the ceramic sheet is 5.6 and the dielectric loss tangent is 0.003. All conductors embedded in ceramic are silver. (The dashed line represents the vertical connection between lines 2 and 3 via an external electrode.)

Fig. 9. Fabricated MLC chip balun.

consists of lines 2 and 3, and the quarter-wavelength line (line 1) coupled with line 2. In particular, line 3 acts as a phase inverter and, thus, it makes the phase balance between balanced outputs 180 . In addition, it is discovered that the optimized balun becomes the planar version of the type 4C balun in the inverted

1410

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 10. Measured and simulated results of the fabricated MLC 50- : 50- balun. (a) S -parameters. (b) Phase imbalance characteristics. (c) Magnitude imbalance characteristics.

baluns [21] when line 2 in the balun is perfectly isolated from GND. Similarly, when and are selected to be input factors and set to be 10 and 100 in the DOE, and are then calculated using (18) for the given impedances of and . The full factorial DOE for this case shows the exact main effect plots and interaction plots in Fig. 4 with the exception of replacing and by and . As described to obtain the design equation(27) of the equivalent circuit in Fig. 5, maximum bandwidth can be easily achieved by isolating lines 1 and 3 from line 2. The design equation for this case can be expressed by

(28) IV. DESIGN EXAMPLE To demonstrate the feasibility of design equations, MLC chip baluns operated in the 2.4–2.5-GHz ISM band frequency are designed and fabricated by the use of LTCC technology. The size of tthe designed and fabricated balun

is 2.0 mm 1.25 mm 0.95 mm. Each unbalanced port impedance versus balanced port impedance is chosen to be 50 : 25 , 50 : 50 , and 50 : 100 , respectively. Baluns are fabricated by using an MLC with a relative dielectric constant and loss tangent of 5.6 and 0.003, respectively. All conductors embedded in ceramic are silver. For compactness, each line is spiral shaped and implemented on each individual ceramic layer. Also, top and bottom GND layers are incorporated for internal shielding. For a maximum bandwidth, the equivalent circuit in Fig. 5 is used. Consequently, another GND layer is used for isolating lines 1 and 2 from line 3. It is inserted between lines 1 and 3 in this paper. The required impedance values for the design of the MLC balun can be obtained by utilizing the following steps. Firstly, is uniquely determined from (27) at a given unbalanced and balanced port impedances and . Secondly, the realizable minimum impedance of may be chosen. It is generally required that a balun is implemented using the predetermined process capability in the very limited space. Accordingly, the impedance of is minimized to obtain possibly large impedances of and because it is the least significant factor to determine the bandwidth of the proposed balun.

LEE et al.: DESIGN OF NEW THREE-LINE BALUN AND ITS IMPLEMENTATION USING MULTILAYER CONFIGURATION

1411

Fig. 11. Measured and simulated results of the fabricated MLC 50- : 25- balun. (a) S -parameters. (b) Phase imbalance characteristics. (c) Magnitude imbalance characteristics.

Finally, a pair of and is chosen among many realizable pairs of impedances and to satisfy (27). By choosing the possibly maximum impedance of , gets toward and a larger bandwidth can be achieved. To check the validity of the calculated impedances, the highfrequency circuit simulation is carried out using the equivalent circuit in Fig. 5. Fig. 6 shows the circuit model for the simulation. The calculated impedances ( , , , and ), the electrical length and the operating frequency GHz are used as input variables of a transmission-line model. The calculated impedance values can be translated into fabricable physical dimensions by utilizing following steps. Firstly, linewidth, spacing and physical length are basically determined by the area and effective dielectric constant of the LTCC substrate. For simplicity, each quarter-wavelength line is implemented on each individual ceramic layer, as previously stated. Using ADS Momentum (Agilent Technologies, Palo Alto, CA), each line can be efficiently simulated and designed for its electrical length to be 90 . Secondly, the distance between the line 1 and ground is calculated from in (19). Since has already been chosen to have a realizable minimum value, this

distance is the minimum ceramic layer thickness that the fabrication processes allow. Thirdly, the distance between lines 1 and 2 is given by in (19) in the same way. Finally, the distances of lines 2 and 3 from the ground are also determined from and using (19). Once all initial physical dimensions are determined, the electrical characteristics of the complete layout should be examined using the full three-dimensional (3-D) electromagnetic simulation because parasitic couplings may have an effect on the electrical performance of the balun. In general, manual tuning is applied to obtain a balun with some reasonable electrical characteristics. As an example, when each unbalanced and balanced port impedances and are chosen to be 50 : 50 , is 35.4 . , , and are also selected to be 300, 31.6, and 50 , respectively. The circuit simulation results using the calculated values are shown in Fig. 7. As expected, each magnitude of and is 3 dB over the ISM band frequency and the phase imbalance between balanced outputs is 0 . bandwidth dB is also as wide as 1.82 GHz. Fig. 8 shows the final 3-D layout of the 50- : 50- balun designed by using the full 3-D electromagnetic simulation. The designed linewidth and line spacing of each spiral line are both

1412

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 12. Measured and simulated results of the fabricated MLC 50- : 100- balun. (a) S -parameters. (b) Phase imbalance characteristics. (c) Magnitude imbalance characteristics.

80 m. Minimum 25- m-thick ceramic sheets and 10- m-thick conductor patterns are used. Fig. 9 presents a photograph of a fabricated MLC chip balun. The impedance values of , , , and are also calculated for 50 : 25 and 50 : 100 baluns. For the 50- : 25- balun, the designed impedance values of , , , and are 25, 600, 24, and 50 , respectively. For the 50- : 100- balun, the impedance values of , , , and are chosen to be 50, 450, 45, and 50 , respectively. V. MEASUREMENT METHODS AND RESULTS Network analyzers with two ports can be used for the characterization of a balun. A measurement technique using them has been explained in detail [20]. However, this measurement usually requires some complicated processes such as repeated two-port measurements until all port measurements are finished, measuring reflection coefficients for loads to terminate idle ports, and calculations for obtaining the final -parameters. After finishing these processes, all balun characteristics are calculated from the final -parameters using the equations of insertion loss, return loss, amplitude imbalance, and phase

imbalance in [20]. As many modern components tend to have more than two ports and differential ports, there has been a strong demand for measuring these devices efficiently. Therefore, many network analyzers have been introduced for the efficient measurements. One of them is Agilent ENA(E5071A) [24]. This has three or four test port measurement capability. It also has the built-in functions to provide differential -parameters without needing to make any external calculations and to mathematically convert measured results from 50- test port impedance to user-defined port impedance. Consequently, it is very suitable for the characterization of baluns. In this paper, all fabricated baluns are measured using this instrument. Both the measured and full-wave electromagnetic simulation results are compared in Fig. 10. Although the overall measured results show good agreement with the simulated ones, minor discrepancy is observed due to the fabrication error. The measured insertion loss is also higher than the simulated result because the conductor surface roughness is not considered in the simulation. In spite of the gap between the measured and simulated results, the proposed balun shows the great performances. According to the measured results, the insertion loss is 0.8 dB, the amplitude imbalance at the balanced output ports is

LEE et al.: DESIGN OF NEW THREE-LINE BALUN AND ITS IMPLEMENTATION USING MULTILAYER CONFIGURATION

within 0.1 dB, and the phase imbalance is less than 5 over the 2.4–2.5-GHz ISM band frequency. Figs. 11 and 12 also show the measured and simulated results for 50- : 25- and 50- : 100- baluns, respectively. For a 50- : 25- balun, the insertion loss is 0.81 dB, the amplitude imbalance at the balanced output ports is within 0.7 dB, and the phase imbalance is less than 7 over the 2.4–2.5-GHz ISM band frequency. For a 50- : 100- balun, the insertion loss is 0.75 dB, the amplitude imbalance at the balanced output ports is within 0.1 dB, and the phase imbalance is less than 4 over the 2.4–2.5-GHz ISM band frequency. VI. CONCLUSION This paper has proposed a new balun that is composed of three coupled quarter-wavelength lines. An equivalent circuit and the design equation of the proposed balun have been presented. The proposed balun is easily optimized for a maximum bandwidth by using the DOE technique. After the optimization, it is transformed into the balun consisting of a pair of coupled quarter-wavelength lines in connection with an uncoupled quarter-wavelength line. Using the design equation of the optimized balun, a design procedure for implementing this threeline balun as a multilayer configuration has been suggested. This design procedure has been verified by fabricating MLC baluns operated in the 2.4–2.5-GHz ISM band frequency. The experimental results have shown that the new balun can be made more compact, providing good performances over the wide frequency range. This balun is highly applicable to many wireless and mobile communication systems. ACKNOWLEDGMENT The authors would like to thank S. J. Park and B. S. Lim, both of the Chip Device Division, Samsung Electro-Mechanics Company, Suwon, Korea, for their technical support in the fabrication of the MLC chip baluns. REFERENCES [1] Y. Fujiki, H. Mandai, and T. Morikawa, “Chip type spiral broadside coupled directional couplers and baluns using low temperature co-fired ceramic,” in Electron. Compon. Technol. Conf., 1999, pp. 105–110. [2] D. W. Lew, J. S. Park, D. Ahn, N. K. Kang, C. S. Yoo, and J. B. Lim, “A design of the ceramic chip balun using the multilayer configuration,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 1, pp. 220–224, Jan. 2001. [3] C. W. Tang, J. W. Sheen, and C. Y. Chang, “Chip-type LTCC-MLC baluns using the stepped impedance method,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2342–2349, Dec. 2001. [4] C. W. Tang and C. Y. Chang, “A semi-lumped balun fabricated by low temperature co-fired ceramic,” in IEEE MTT-S Int. Microw. Symp. Dig., 2002, pp. 2201–2204. [5] ——, “LTCC-MLC chip-type balun realized by LC resonance method,” Electron. Lett., vol. 38, pp. 519–520, 2002. [6] ——, “Using buried capacitor in LTCC-MLC balun,” Electron. Lett., vol. 38, pp. 801–803, 2002. [7] I. Gavela, M. A. Falagan, and H. Fluhr, “A small size LTCC balun for wireless applications,” in 34th Eur. Microw. Conf., 2004, pp. 373–376. [8] C. M. Tsai and K. C. Gupta, “CAD procedures for planar re-entrant type couplers and three-line baluns,” in IEEE MTT-S Int. Microw. Symp. Dig., 1993, pp. 1013–1016. [9] C. S. Cho and K. C. Gupta, “A new design procedure for single-layer and two-layer three-line baluns,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 2514–2519, Dec. 1998.

1413

[10] I. Toyoda, M. Hirano, and T. Tokumitsu, “Three-dimensional MMIC and its application: An ultra-wideband miniature balun,” IEICE Trans. Electron., vol. E78-C, pp. 919–924, 1995. [11] K. S. Ang and I. D. Robertson, “Analysis and design of impedancetransforming planar Marchand baluns,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 2, pp. 402–406, Feb. 2001. [12] K. S. Ang, Y. C. Leong, and C. H. Lee, “Multisection impedance-transforming coupled-line baluns,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 536–541, Feb. 2003. [13] B. P. Kumar and G. R. Branner, “Optimized design of unique miniaturized planar baluns for wireless applications,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 3, pp. 134–136, Mar. 2003. [14] K. S. Ang, Y. C. Leong, and C. H. Lee, “Analysis and design of miniaturized lumped-distributed impedance-transforming baluns,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 1009–1017, Mar. 2003. [15] M. Chongcheawchamnan, C. Y. Ng, K. Bandudej, A. Worapishet, and I. D. Robertson, “On miniaturization isolation network of an all-ports matched impedance-transforming Marchand balun,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 7, pp. 281–283, Jul. 2003. [16] Y. J. Ko, J. Y. Park, J. H. Ryu, K. H. Lee, and J. U. Bu, “A miniaturised LTCC multi-layered front-end module for dual band WLAN (802.11a/b/g) applications,” in IEEE MTT-S Int. Microw. Symp. Dig., 2004, pp. 563–566. [17] D. Staiculescu, N. Bushyager, A. Obatoyinbo, L. J. Martin, and M. M. Tentzeris, “Design and optimization of 3-D compact stripline and microstrip Bluetooth/WLAN balun architectures using the design of experiments technique,” IEEE Trans. Antennas Propag., vol. 53, no. 5, pp. 1805–1812, May 2005. [18] M. C. Tsai, “A new compact wide-band balun,” in IEEE Microw. Millimeter Wave Monolithic Circuits Symp. Dig., 1993, pp. 123–125. [19] K. Nishikawa, I. Toyoda, and T. Tokumitsu, “Compact and broad-band three-dimensional MMIC balun,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 1, pp. 96–98, Jan. 1999. [20] Y. J. Yoon, Y. Lu, R. C. Frye, M. Y. Lau, P. R. Smith, L. Ahlquist, and D. P. Kossives, “Design and characterization of multilayer spiral transmission-line baluns,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 2, pp. 1841–1847, Sep. 1999. [21] R. C. Johnson and H. Jasik, Antenna Engineering Handbook, 2nd ed. New York: McGraw-Hill, 1984, pp. 43.23–43.27. [22] D. W. Kammler, “Calculation of characteristic admittances and coupling coefficients for strip transmission lines,” IEEE Trans. Microw. Theory Tech., vol. MTT-16, no. 11, pp. 925–937, Nov. 1968. [23] D. M. Pozar, Microwave Engineering. New York: Wiley, 1998, pp. 196–199. [24] “Agilent E5070A/E5071A ENA Series RF Network Analyzers User’s Guide,” 2nd ed. Agilent Technol., Palo Alto, CA, 2002.

Byoung Hwa Lee was born in Taejeon, Korea, in 1969. He received the B.Eng. degree from Inha University, Incheon, Korea, in 1993, and the Ph.D. degree from Imperial College, London, U.K., in 1997. In 1997, he joined Samsung Electro-Mechanics Company Ltd., Suwon, Korea, as a Research Engineer involved in microwave passive component design. He is currently a Principal Research Engineer with Samsung Electro-Mechanics Company Ltd. His research interests include design, analysis, and measurement of microwave passive components.

Dong Seok Park was born in Seoul, Korea, in 1967. He received the B.Eng. degree from Yonsei University, Seoul, Korea, in 1993. In 1994, he joined the Samsung Electro-Mechanics Company Ltd., Suwon, Korea, as a Research Engineer involved in magnetic sensor development. Since 2000, he has been involved in microwave passive component development. He is currently a Senior Research Engineer with the Samsung Electro-Mechanics Company Ltd. His research interests include design, analysis, and measurement of microwave passive components.

1414

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Sang Soo Park was born in Seoul, Korea, in 1972. He received the B.S. degree in electrical engineering from the University of Suwon, Suwon, Korea, in 1998, and the M.S. degree in electrical engineering from Chung Ang University, Seoul, Korea, in 2000. Since 2000, he has been with the Samsung ElectroMechanics Company Ltd., Suwon, Korea, as a Research Engineer involved in microwave component design. He is currently an Assistant Research Engineer with the Samsung Electro-Mechanics Company Ltd. His research interests include design, analysis, and measurement of microwave passive components.

Min Cheol Park was born in Kwangju, Korea, in 1973. He received the B.S. and M.S. degrees in electrical engineering from Sungkyunkwan University, Suwon, Korea, in 1998 and 2001, respectively. Since 2001, he has been with the Samsung ElectroMechanics Company Ltd., Suwon, Korea, as a Research Engineer involved in microwave component design. He is currently an Assistant Research Engineer with the Samsung Electro-Mechanics Company Ltd. His research interests include design, analysis, and measurement of microwave passive components.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

1415

A Digital Dispersive Matching Network for SAW Devices in Chirp Transform Spectrometers Geronimo Luis Villanueva, Paul Hartogh, and Leonhard M. Reindl, Member, IEEE

Abstract—The performance of a chirp transform spectrometer can be significantly improved through a digital dispersive matching network. In this paper, we present the development of a new design, the adaptive digital chirp processor (ADCP), which generates an expander chirp signal with dispersive characteristics matching those of the surface acoustic wave filter behaving as a compressor/ convolver. This matching signal (i.e., the chirp) is generated by a digital direct synthesizer and is then bandpass filtered, level regulated, up-converted, and frequency tripled in order to achieve the required bandwidth of 430 MHz. The design of the matching network and the signal processing of the resulting dispersive signal demanded a rational and optimum combination of different technologies, such as quadrature modulation, high-performance spectral filtering, ultra-stable frequency sources, and a theoretical analysis of the circuit design by using a numerical model of the ADCP. Index Terms—Chirp transform spectrometer (CTS), digital direct synthesis, dispersive delay lines, dispersive matching network, frequency multiplication, microwave heterodyne spectroscopy, surface acoustic wave (SAW) filters.

I. INTRODUCTION URFACE acoustic wave (SAW) devices have been used for decades in different applications, from radar systems and wireless products to spectrometers [1]–[5]. An interdigital transducer (IDT) converts the electromagnetic RF input signal into an acoustic wave propagating on the surface of the SAW device. These waves can be tapped and controlled along the propagation path and since the propagation velocity is much smaller than the speed of light , SAW devices are very attractive for use as delay lines and filters. In addition, these dispersive properties can be arranged and coded and can be used as an identification technique [8]. In the early 1960s, while developing new tools for radar systems, Klauder et al. [9] demonstrated that the pulse compression can be used to perform Fourier transforms. Subsequently, Darlington [10] showed in detail how the method could be applied to

S

Manuscript received August 1, 2005; revised November 19, 2005. This work was supported by Max-Planck-Gesellschaft. G. L. Villanueva was with the Department of Planetary Sciences, Max-Planck-Institute for Solar System Research, D-37191 Katlenburg-Lindau, Germany. He is now with the Department of Extraterrestrial Physics, National Aeronautics and Space Administration (NASA) Goddard-Space-Flight Center, Greenbelt, MD 20771 USA. (e-mail: [email protected]). P. Hartogh is with the Department of Planetary Sciences, Max-Planck-Institute for Solar System Research, D-37191 Katlenburg-Lindau, Germany (e-mail: [email protected]). L. M. Reindl is with the Department of Electrical Measurement Technology, Institute of Microsystem Technology, D-79110 Freiburg, Germany (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.871244

frequency measurements, and currently, Darlington’s system is called the chirp transform spectrometer (CTS). This technique was later extended for microwave spectroscopy of the atmosphere by Hartogh and Hartmann [11], Hartogh et al. [12], and Hartogh and Osterscheck [13]. Heterodyne spectrometers observing the vertical distribution of ozone (142 GHz) [14]–[16] and water vapor (22 GHz) [17]–[19] in the stratosphere and mesosphere are almost in permanent operation since the early 1990s and have provided valuable geophysical data (e.g., [20]–[23]). Since vertical profiles of atmospheric species are retrieved from the exact molecular line shapes, the measurement accuracy of the CTS backends has been one of the crucial points in the data analysis. Diverse CTS backends have been flown more than 50 times during the European Artic Stratospheric Ozone Experiment (EASOE) and Microwave Atmospheric Sounder cross calibration flights [24], [25] using the D-CMET jet airplane of the DLR (German Aerospace Center). During these flights, the CTS provided the first airborne sub-millimeter spectra of hydrochloric acid (625 GHz) and chlorine monoxide (649 GHz) [26]. Since the mid-1990s, CTS backends have been used for ground-based sub-millimeter observations of comets [27] and planets [28]. The CTS is also the first microwave spectrometer backend that has ever been used in a deep-space mission. Since March 2004, the Microwave Spectrometer for the Rosetta Orbiter (MIRO) is in space and will investigate the atmosphere of Comet Chyruymov Gerasimenko in 2014. The high-resolution spectrometer of the German Receiver for astronomy at terahertz frequency (GREAT) on board the Stratospheric Observatory For Infrared Astronomy (SOFIA) [6], [7] will be the first application of a CTS backend using the ADCP. The CTS backend can be readily used for the study of a wider range of topics in modern astrophysics from questions about comets, planetary atmospheres, and the interstellar medium in the galaxy to investigations related to the early universe. In a CTS, two SAW devices are connected in an expander–compressor scheme, where the expander generates the chirp and the compressor convolves (with complex conjugate transfer function) it [1]. The expander signal is a chirp signal or a linearly frequency modulated signal, and can be obtained from the impulse response of the SAW device or through arbitrary wave generation. The mixing of the input signal with this expander signal and the posteriori convolution by the compressor results in a signal that contains the spectral distribution of the input signal in the time domain. Two alternative arrangements of the expander–compressor scheme must be distinguished: one in which the time duration of the pre-multiplier chirp signal (the expander chirp) is short compared to the impulse response

0018-9480/$20.00 © 2006 IEEE

1416

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 1. Sketch describing the principle of the CTS. The input signal shown in the lower left corner is mixed with a time/frequency dispersive element (the expander) and is later compressed by a second dispersive element (the compressor). The output r (t) of the setup is a signal where its time distribution is related to the frequency distribution of the input signal s(t).

of the convolving filter (the compressor), the defined M(s)–C(l) arrangement, and the one in which the pre-multiplying chirp duration is long, the M(l)–C(s) arrangement [1], [6]. The notation used is M denoting multiplication, C denoting convolution, (l) denoting long-duration chirp, and (s) denoting short duration chirp. A full transform Fourier transform also requires a symmetric operation (M–C–M, or C–M–C arrangements), however, on the study of the power spectrum of stationary signals (being the case of submillimeter spectroscopy), the M(l)–C(s) arrangement (also called the “sliding” transform) provides the same result. In the M(l)–C(s) arrangement (see Fig. 1), the largest time bandwidth product chirp waveform is used only as a multiplying signal and, in consequence, techniques such as frequency multiplication and digital generation, may be used to achieve the required waveform parameters. Several operational features distinguish the SAW processors for performing a real-time frequency-time transform with analog components. Especially in contrast with digital correlators and fast Fourier transform (FFT) processors, the CTSs are particularly suitable for space/airborne applications offering high spectral resolution, relatively low power consumption, and low weight. In addition, the almost uninterrupted data set obtained since more than a decade with a CTS instrument at the Arctic Lidar Observatory for Middle Atmosphere Research (ALOMAR) Observatory, Andoya Island, Norway [12]–[14] demonstrated the high reliability of this type of instrument. The performance of the SAW processor is, however, restricted in terms of operational bandwidth and dynamic range due to the high insertion losses of the SAW expander filter ( 40 dB). In addition, microde formations in the SAW devices and imperfections during the manufacturing process result in deviations from the ideal quadratic phase response, leading to a reduction in the ideally expected spectral performance.

In order to tackle the above-mentioned limitations, we studied and developed a new design called the adaptive digital chirp processor (ADCP), which digitally generates the expander signal with dispersive characteristics matching those of the SAW filter behaving as the compressor/convolver. This dispersive matching network provides not only high spectral resolution, but also a higher flexibility in the definition of the expander’s bandwidth and, herewith, the instrument’s operational bandwidth. Furthermore, the fact that the expander signal is no longer generated by an impulse response of the SAW device leads to a remarkable improvement in the signal-to-noise ratio (SNR) of the expander waveform, which would ultimately result in an increase of the linear dynamic range of the spectrometer. The contents of this paper are organized following the path of the digitally generated expander signal. In Section II, we present the design and development of the ADCP processor, including aspects referred to the digital generator, the up-conversion through quadrature modulation, and the handling of the aliases signals. Section III gives an overview of the different approaches for controlling and monitoring the dispersive properties of the generated waveform. We discuss the benefits and drawbacks of a variable dispersive matching compared to a constant chirp rate approach for a different level of mismatch and expander/compressor asymmetries. Section IV discusses the different signal-processing techniques used to transform the digitally generated signal into a matching signal. This includes high-performance spectral filtering with microstrip structures and frequency multiplication. A characterization of the new ADCP processor, as part of a prototype spectrometer with an operational bandwidth of 215 MHz, is described and given in Section V. A brief conclusion then follows in Section VI. II. GENERATION OF THE MATCHING DISPERSIVE SIGNAL The dispersive expander signal is generated by a set of two direct digital synthesis (DDS) devices (see Fig. 2), which are monitored and controlled by embedded microcontrollers. The CTS needs two expander generators due to intrinsic properties of the chirp transform with a duty cycle of only 50% for each expander–compressor arrangement. This means that each arrangement takes a time of (expansion time) to perform a transformation; however, it produces only half the time of meaningful spectral information (compression time). For that purpose, the two arrangements are combined through a commutator with a switching period of . The core of the DDS device is a digital phase accumulator, which combined with digital-to-analog converters (DACs), and allows production of digitally synthesized analog signals. The controlled output phase, and herewith amplitude and frequency of the generated signal, is regulated by the phase increment and the periodicity of the accumulation. For the generation of the chirp signal, the quadratic phase growth is achieved with a second accumulator, i.e., a frequency accumulator. At each time step, the frequency increases monotonically following the accumulation rate or, in this case, the chirp rate. The dispersive properties of the expander chirp are then described by the chirp-rate coefficient, and are internally represented in the digital generator as a binary sequence. Each SAW

VILLANUEVA et al.: DIGITAL DISPERSIVE MATCHING NETWORK FOR SAW DEVICES IN CTSs

Fig. 2. Description of the main blocks involved in a DDS system. The system accumulates phase with a defined phase increment parameter at a rate set by f . The phase increment depends on n t and increases quadratically with time, leading to a linear increment of frequency (chirp signal). The resulting phase numerical values are converted to amplitudes and later converted to analog signals with the use of DACs. The length of the expander signal is defined by N T e= t, with T e being the expansion time.

1

=

(ph )

1

device has a matching sequence, an -bit differential phase coefficient , which defines the phase increment per step of the generated signal. The matching sequence is obtained from the compressor’s complex conjugate chirp rate and can be written as [6]

(1) is the binary resolution of the digital sequence and is the SAW compressor’s chirp rate, is the frequency multiplication factor, and is the frequency of the sampling function. The factor refers to the process of frequency expansion, which is needed for increasing the digital bandwidth into the expander required bandwidth of 2 the compressor’s. The digital chirp rate together with the starting frequency , the output power , and the expansion time or chirp length are the main parameters that describe the properties of the expander chirp and are represented by binary registers in the core of the DDS device. The first three parameters are transferred into the phase accumulator unit of the DDS through its parallel interface, while is defined by external update signals. These signals, represented by successive impulsive signals, are generated by the time generator (part of the data-acquisition electronics). is set by the time separation between two successive impulses, while each signal can be considered as a stop–start command. The CTS presented here was developed based on SAW devices having a compression time of 22 s, a bandwidth of 215 MHz, and, therefore, a time–bandwidth product of 4730. This defined the instrument’s specifications, with an operational bandwidth of MHz MHz), a conversion time of s s , and a dynamic range higher than 40 dB. This will require that the ADCP’s expander signal has an SNR higher than 40 dB and, if consider a multiplication factor of 3, a digitally chirp signal with a bandwidth of 143 MHz . The digitally generated chirp can be seen as a train of analog electric signals spaced by a time with the amplitude defined by the instantaneous phase value derived from the DDS’s phase accumulator. The region of the sampled spectrum where the signal can be later reconstructed is , the where

1417

Nyquist limit. At the Nyquist limit, the attenuation introduced by the sampling process is in the order of 4 dB (relative to a synthesized continuum signal), while the spurious is superposed in this limit. These spurious signals, the so called “aliases” of the sampled signal, point out two considerations, which are: 1) the given energy to produce a defined spectrum is undesirably spread and 2) these extra components add a practical constraint when defining the operational frequency since they should be distant from the main component in order to be easily filtered out. In addition, there is a second effect related to the digital generation of the expander signal: the quantization of the amplitudes. In order to quantize a sample value, this value is rounded to the nearest allowed finite value by the DAC. This effect, also known as quantization distortion, produces extra spurious components of the generated signal. A higher number of finite values would mean a finer amplitude resolution and, thus, a smaller quantization distortion. In the case of the generated signal not using all of the available DAC dynamic range, the quantization distortion would become more significant, resulting in a worsening of the signal-to-quantization-noise ratio (SNqR). The 8-bit DACs used by the ADCP define an SNqR at full scale of 49.92 dB. A nonlinear performance of the DAC will induce distortions leading to the production of higher harmonic multiples of the generated frequency. As the DAC is combined with a time discrete process, the Nyquist criteria applies, meaning that these unwanted spurious components are mapped directly onto the first Nyquist region, the spectral region where the desired generated signal is located. The reduction of the influence of this effect to the overall performance of the ADCP processor is of extreme complexity. A possible approach to this matter is, by identifying from the DAC dynamic range, the region where the nonlinearity features are not so significant. However, this will also result in a reduction of the SnqR, and directly impacts the dynamic range of the instrument. In order to minimize the process associated with the synthesis of our broad bandwidth signal, we oversampled it with a system clock of 350 MHz, taking the DDS devices to their limits of the operational frequency (300 MHz, was their certified maximum frequency). This resulted in a higher purity of the higher harmonics of the chirp signal, and simplified the filtering of the aliases signals. The 143-MHz bandwidth signal was generated from 7 to 150 MHz, with the aliased signals of the second Nyquist region starting at 200 MHz and finishing at 343 MHz Nevertheless, the relative strength of the aliases for the 200-MHz component was still high ( 5.3 dB). The suppression of these strong aliased signals demanded high-performance spectral filtering and, for that, low-pass filters from the Cauer family of the fourth order were designed, in order to reduce these spurious components up to 45 dB (see Fig. 3). The order of the filter was defined based on a compromise between filter complexity and aliases suppression (45 dB), and the stopband limit was defined to be comparable to the insertion loss of the SAW device (40–43 dB). The filtered signal is then level regulated and fed into the modulators for its up-conversion (see Fig. 4). The digital generation process will be additionally constrained by the purity of the system clock. A frequency drift

1418

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 3. Antialisasing Cauer low-pass filter of fourth order. The transmission scattering parameter (S 21) is plotted with a bold line, the reflection parameter (S 11) with a dashed–dotted line, and the sampled spectral envelope with a dashed line.

Fig. 5. CTS block diagram. The incoming signal is split and sent to the downconversion mixers. The timing generator defines the starting moment of the transform, which produces a chirp signal coming from the chirp generator. This signal is filtered, tripled, and sent to be mixed with the incoming RF signal. The mixing product is convolved by the SAW compressor and, according to a precise timing scheme, is then combined with the other branch.

Fig. 4. ADCP processor scheme description. The core of the ADCP processor, the DDS device, is controlled by a fast microcontroller required to produce the desired chirp rate. The phase purity of the resulting signals is mainly defined by the sampling signal and the time jitter. Consequently, the ultra-stable system clock is located near the DDS devices. The digitally generated signal is filtered and fed into the quadrature modulators.

of the system clock directly affects the frequency scale of the spectrometer . We, therefore, use a temperature-controlled high-stability oscillator (higher than 0.5 ppm), which represents less than one-tenth of a channel at 2.1 GHz. In addition, any impurities in the system clock will produce distortions of the sampling rate and, therefore, distortions of the outcoming signal. These variations in the system clock, normally defined as time jitter, are caused mainly by two effects, which are: 1) thermal noise, which is omnipresent and implicates that whatever circuit is used to generate the system clock will always exhibit some finite amount of timing jitter due to thermal noise and 2) electromagnetic interference. The second effect can also be defined as coupled noise, as it is acquired by unwanted electromagnetic coupling with external and/or inboard sources, e.g., power lines and nearby radio transmitters, or inboard sources such as local oscillators (LOs) and clock generators. The level of influence of this effect strongly depends on the shielding of the digital generator, mainly from the onboard circuitry. In our case, the digital generators and its

ultra-stable time source share the same circuit with the strong signals used to up-convert the generated chirp. Proper shielding is a difficult issue, more so if the different devices share the same electrical ground. The solution to this issue was to use multiple ground layers and to physically locate the digital generators at a distant location (5 cm) from the LOs, achieving more than 53-dB isolation. The main restriction defining the lower boundary for the generation of digital signals is based on the fact that this signal is later up-converted. The lower generated frequency defines the distance from the up-converter carrier and the image signal. In the up-conversion process, the resulting spectrum would be composed by the carrier and the two sidebands. Since it is expected to produce a signal with a bandwidth of 143 MHz (see instrument’s layout in Fig. 5) and the maximum achievable frequency is 150 MHz, this leads to a lower boundary of 7 MHz for the chirp signal. Considering a modulation index of unity, this would mean that, at 7 MHz from the up-converted signal, a twice stronger signal (the carrier) is located, while an unwanted mirror with the same energy is located at MHz. The filtering of these unwanted harmonics is unfeasible, and would constrain the maximum achievable bandwidth. A solution to this matter was obtained by quadrature modulation, which, thanks to the use of conjugate modulation components, achieves a suppression of the carrier and the mirror. The convenience of this

VILLANUEVA et al.: DIGITAL DISPERSIVE MATCHING NETWORK FOR SAW DEVICES IN CTSs

1419

modulation method is clear, as it allows generating signals up to the dc limit. The complexity in the implementation of this method is the fact that the RF signal should be delayed by 90 . This process is unachievable for wide-band signals, and the alternative is by initially generating both signals (0 and 90 ). For that purpose, each DDS device provides the feature of generating the two phase-orthogonal components. III. CONTROL SYSTEM The two embedded controllers, which control and monitor the ADCP processor, also provide interfaces for remote-controlling and programming. The possibility of having the computing features of a desktop computer in a single device is of great advantage, especially when strong constraints in both space and power consumption exists. The control sequence is integrated in a unique specific program (stored in nonvolatile memory) that becomes the kernel of the controller. This kernel handles the following processes: 1) management of the interfaces for remote control; 2) controlling of the chirp generators and their time/frequency-dispersive characteristics; 3) setting and monitoring of the LO involved in the up-conversion of the expander chirp. The embedded system contains three memory banks, which are: 1) the program memory bank where the kernel is installed; 2) the volatile memory bank (RAM) where the internal registers and working data are stored; and 3) a nonvolatile EEPROM memory bank, which is designed for storing permanent values, as is the case for the ADCP’s control parameters. The main remote-control interface of the ADCP’s controllers is the standard RS-232 connection, where commands are given in a predefined format. All the desired actions and controlled devices are seen from the remote controller as registers in a memory bank of 256 positions (1-B addressable). The interface bank is organized in controllers and devices, the first 128 positions provide access to the first controller, which controls the DDS-A and the up-conversion oscillator, while the last 128 positions are defined for the second controller with the DDS-B. The communication with the phase-locked loop (PLL) device required the development of several layers of interfaces , since the structure of the remote-control link is very different from the PLL’s programming interface. The translation between the 8-bit address, the 8-bit data, and the two-wire remote interface with the 2-bit address, 22-bit data, and four-wire PLL interface was achieved through the implementation of cyclical buffers. The digital chirp generator provides the possibility of producing every desired dispersive signal, especially those designed to correct dispersive deviations. This correction can be achieved through the dynamic update of the chirp rate of the chirp signal during expansion. In order to quantify the benefits and drawbacks of this method, we firstly analyzed and quantified the dispersive deviations present in the expander–compressor scheme. For that, we measured the optimum chirp rate (OCR) at different regions of the bandwidth (see Fig. 6). This measurement consists of varying the chirp rate until an optimum compression of the signal is obtained. This is performed for different input frequencies. Three expander–com-

Fig. 6. Measurements of the OCR considering the three schemes: 1) ADCP+ SAW1, 2) ADCP+SAW2, and 3) AWG+SAW1. The plotted results are ob-

tained for different input frequencies and, thus, different spectral regions of the expander. The digital signal represents the raw-expander signal before up-conversion and frequency multiplication. The two different SAW compressors were selected based on their phase deviation rms error, which is 4.98 for SAW1 and 2.54 for SAW2.

pressor schemes were implemented for the measurements, two with different SAW compressors and one with an arbitrary wave generator (AWG) behaving as an expander. The comparative measurement between the two different SAW devices (compressors) was used to characterize the dispersive properties of the digitally generated expander with the ADCP, while the measurement with the AWG for the retrieval of the effects induced when generating with higher oversampling rates (the AWG can be clocked up to 700 mega-samples). Fig. 6 shows the pattern of the dispersive distortion taking place in the expander-compressor scheme. The three arrangements show the same evolution, meaning that the distortion could be related to a common issue related to the digital expander and/or to the SAW compressors. Assuming that the only difference between the ADCP processor and the AWG is the sampling frequency, we could then infer that an oversampling of the digital expander would lead to lower variations of the OCR and, thus, less dispersive distortion. The generated signal with the AWG is at 0.42 the Nyquist limit, while the ADCP’s signal is at 0.86. This difference directly affects the phase properties of the signal since the number of sample points per period is reduced. In the case of the differences observed between SAW1 and SAW2, this could be related to the dispersive properties of the SAW compressors since the SAW device with higher phase deviation shows greater OCR variations. The monotonic evolution of the OCR with frequency can be explained by two phenomena, which are: 1) phase deviations introduced by frequency filters, cables, and active components, which are especially frequency dependent, adding extra dispersive features to the processed signal; 2) the

1420

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

digitally generated signal is more sensitive to the phase truncation effect at higher frequencies and could produce a frequency increasing dispersive distortion similar to the pattern observed in the OCR measurement; and 3) phase deviations introduced by the compressor SAW devices. The dynamic modification of the chirp rate can be achieved by a precise synchronization between the dispersive correction commands and the chirp-start signal. The 20-MHz clock of the controller allows 5 million operations per second and, thus, 220 computational operations per expansion time s. Considering that each chirp-rate correction demands a minimum of 40 operations, a total of five dispersive corrections can be performed. The implementation of this feature in the controller was extremely complex due to the superposition of numerous events arriving at the device. The selection of the dispersive corrections also demanded complex measurements and were strongly dependent on the SAW devices used for compression. In order to properly evaluate the resulting spectra for the fixed and variable chirp-rate approach, we measured the ratio between the energy located in the main lobe ( ) versus the energy of the ten strongest sidelobes ( ) (2)

Fig. 7. Measurements of the response of the expander–compressor scheme for different input frequencies. The measurements consider a fixed chirp rate, which is optimized according to the dispersive properties of the SAW compressor. (top) Envelope measurements of the sinc response for five different input frequencies. (bottom left) compression rate (S=A) measurements retrieved from the amplitude measurements. (bottom right) variations of the energy located in the main ). lobe (S=S

(3)

is the spectral representation of the input signal in the where time domain and is the compression bandwidth . Other properties of were also retrieved such as the energy transfer fluctuations and the symmetry characteristics. In the case of a constant chirp rate, the properties of the response for the digital expander–compressor are encouraging, as shown in Fig. 7, since the results show a high level of compression and only 0.8 dB of signal variations for the complete bandwidth. The compression-rate pattern can be correlated with the sinc response of the digital sampling process and, therefore, the further the signal is generated from the Nyquist limit, the higher the phase quality and, thus, the compression rate. On the other hand, the measurements for the variable chirp rate in Fig. 8 show that the compression rate ( ) and the ratio were not significantly improved. Asymmetries in the sidelobes distribution in the amplitude response can also be observed. These are introduced by the compression of an expander with multiple dispersive rates. We can then conclude that the method of dynamically varying the chirp rate could be of a great advantage in setups with higher dispersive distortion. However, in our case, where the distortion is reasonable small (lower than 200 ppm), a fixed chirp-rate setup is the most suitable solution providing superior symmetry in the sidelobes distribution and high compression rates. IV. RF SIGNAL PROCESSING The frequency scheme of the instrument is critical. The location of each signal in both domains (frequency and time) will

Fig. 8. Multifrequency measurement of the sinc response for an expander–compressor scheme with dynamic adaptive chirp rate.

directly impact the instrument’s dynamic range and spectral response (resolution, linearity, and operational bandwidth). Proper filtering will then define if the new design is or is not valid. There are many filter synthesis techniques, and we will try here to briefly describe which techniques were valid for our case and how these were implemented.

VILLANUEVA et al.: DIGITAL DISPERSIVE MATCHING NETWORK FOR SAW DEVICES IN CTSs

Fig. 9. Dispersive chirp signal with an expansion bandwidth of 134 MHz is upconverted through quadrature modulation to the 483–617-MHz range. The SNR at the output of the ADCP is 22 dB, while this value is significantly improved to 42 dB with the pre-multiplying bandpass filter.

The 143-MHz bandwidth signal generated by the ADCP is, prior to the frequency multiplication process, filtered with an RF bandpass filter centered at 550 MHz. This filtering removes three undesired interference components from the digital chirp, which are: 1) high inter-modulation products introduced during the up-conversion process; 2) low-frequency interference generated by nearby devices in the electronic board; and 3) the residual dispersive image signal induced with the quadrature modulation. The sideband and LO suppression were in the order of 32 dB, which is consistent with the modulator specifications as measured by the manufacturer; however, this additional filtering led to a 40-dB suppression of these mirrored components, and resulted in an increase of more than 20 dB in the SNR of the digitally generated expander signal (see Fig. 9). A high SNR in this stage of the spectrometer’s signal processing is an important issue since the frequency multiplication is achieved by strongly amplifying the signal (more than 40 dB) in order to enhance the nonlinear behavior of the amplifiers. There are several methods for frequency multiplication. Nevertheless, all of them are mostly based on phase synchronized systems. This method is valid for sinusoidal sources, where the phase evolves following a constant pattern defined by the frequency. In the case of the expander signal, where the signal follows a dispersive manner, the multiplication process needs to be addressed by an amplitude effect and not by a phase synchronization process. A suitable solution is the harmonic generation through the production of additional Fourier elements with a nonlinear device. Three types of nonlinear devices have been successfully used for harmonic generation, which are: 1) ironcore inductances; 2) diodes; and 3) nonlinear amplifiers. Since iron-core inductances have a nonlinear relation between magnetizing current and magnetic flux, a properly designed inductance driven by a current generator is a good source of voltage

1421

Fig. 10. Frequency multiplied chirp signal. The main component, shown here in compression, leads to the controlled generation of higher harmonics. The multiplication process was optimized in order to enhance the third harmonics in detriment of the unwanted second and fourth harmonics.

harmonics. However, the fact that they are often low-impedance devices requiring considerable driving power makes them inadvisable for use in our multiplication scheme. Diodes or contact rectifiers provide a useful alternative, as they can be used in various combinations to obtain waveforms with harmonic content. The harmonic generation in diodes is especially sensitive to the input level and, therefore, its control adds extra complexity and less reliability, representing only a solution for very particular situations. An amplifier in compression, on the other hand, provides the greatest flexibility since the regulation of the input level gives fine control of the spurious distribution. In order to select the amplifiers involved in the multiplication scheme, we performed measurements on diverse amplifiers in their compression regime for different input levels, and retrieved the most optimum input level. The measurements were performed for a sine-wave input and a wide-band signal, considering the same spectral region that is planned for use in the CTS arrangement. The selection of the amplifiers was based on their 1-dB compression point and their third-order intercept point (IP3), both describing nonlinear effects present on the transfer function. The best solution to this matter was a proper combination of two amplifiers in compression. Firstly, a good spurious generator with low IP3 ( 11.4 dBm) and low 1-dB compression point ( dB dBm, and secondly a high output amplifier and dB dBm). The results of this setup for the up-converted digital chirp are shown in Fig. 10, and portray the proper generation of the third harmonics component. The also generated and undesired main and odd components (first, second, and fourth) are filtered with a high-performance RF bandpass filter (1.45–1.85 GHz) developed with microstrip technologies. The microstrip implementation at these frequencies is the most suitable from the manufacturing point-of-view,

1422

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

but it also represents a more complex study of the electromagnetic field since it has TM and TE field distribution. However, at a frequency of 6 GHz or lower, the axial - and -fields are small enough that the propagation mode can be approximated to TEM, hence, the name “quasi-TEM” applies. This approximation is expressed by empirical reformulations of the TEM propagation equations. The filter was implemented following a hairpin disposition [29]–[32] with coupled lines behaving as filtering elements. Based on quasi-TEM models described in [33] and [34], a mathematical sheet was organized for the calculation of the waveguide parameters “ ” (width) and “ ” (separation) of the coupled lines. A material with high dielectric constant was selected in order to maximize the propagation constant and, therefore, minimize and the filter size. The 1.45–1.85-GHz post-filtering filter and the 2.0–2.2-GHz input filter were developed using this technique with structure sizes no bigger then 25 50 mm . The complete microstrip structures were first: 1) simulated with Microwave Office software, which is an integrated fullwave electromagnetic simulation and optimization package for the analysis and design of three-dimensional microwave structures and 2) manufactured with a laser photoplotter for the mask and used a galvanic process for the chemical treatment. Even though the manufacturing process was performed with a highresolution laser photoplotter (1400 dpi), deviations from the simulated values were found. These were mainly due to material ( ) and microscopic imperfections introduced while plotting and also during the chemical treatment. The measured results were fitted to the simulated values by a proper modification of and of the dispersive medium in order to create a bridge between measurements and simulations. The filter was regenerated once the dispersive properties of the material were corrected, obtaining remarkable agreement between measurements and simulations. Since the filtering is mainly based on coupling of resonating waves, higher multiples of the resonating waves also accommodate in the structure and, therefore, they are not strongly filtered. This effect is attenuated by using low-frequency amplifiers GHz in the signal-processing chain. The filtered 1.45–1.85-GHz signal is then mixed with the input signal and delivered to the compressor SAW filter for its compression. At the output of the SAW device, the spectral information of the input signal is represented in the time domain with a repetition rate of 22 s for an input bandwidth of 215 MHz. V. MEASUREMENTS AND RESULTS We have obtained excellent test results in spectral response and instrument’s linearity with the new development of a digital dispersive matching network for SAW devices discussed here. The spectral resolution is one of the most important characteristics of the CTS and represents a design parameter. For the retrieval of the instrument’s spectral resolution, the response of the spectrometer to a sine-wave input was measured at different input frequencies. The relative half-width half-maximum (HWHM) to the right and left was obtained from the measurements and, herewith, the full-width half-maximum (FWHM) was derived. Fig. 11 shows the measured average FWHM to be 41.68 kHz. This value is particularly close to the

Fig. 11. Measurement of spectrometer’s spectral resolution derived from the left and right HWHM. The location of the maximum and the neighbor half maximum points are retrieved from the high resolution data of 3.6 kHz.

Fig. 12. Dynamic-range measurement showing the relation between input power in dBm and output power in counts per cycle. The measurement was performed considering a white-noise source between 2.0–2.2 GHz as input signal.

maximum achievable spectral resolution (41.06 kHz) of the expander–compressor arrangement, which is defined by the compressor’s chirp length (see derivation in [6]). Furthermore, the high SNR of the expander chirp generated with the ADCP led to a significant increase of the instrument’s linearity. The measured dynamic range, which describes the region in which the input is linearly related to the output, is also remarkable high: 18 dB considering a 0.1-dB compression point and 30 dB for 1-dB compression point, as shown in Fig. 12. VI. CONCLUSIONS On the development of CTSs, the critical issue is the optimum matching between the dispersive properties of the expander signal and the one of the compressor device. The implementation of this based only on SAW devices is, however, restricted in terms of spectral performance, operational bandwidth, and dynamic range due to the high insertion losses by the SAW expander filter. With the development of the ADCP,

VILLANUEVA et al.: DIGITAL DISPERSIVE MATCHING NETWORK FOR SAW DEVICES IN CTSs

which substitutes for the SAW expander, we obtained remarkable spectral performance and instrument’s linearity response. The successfully tested and fully operational new instrument is, therefore, an optimum choice for high-resolution spectroscopy. Further development of the design will be focused on increasing the operational bandwidth and achieving higher levels of integration of the RF unit. ACKNOWLEDGMENT The authors would like to thank their colleagues at the MaxPlanck-Institute for Solar System Research, Lindau, Germany, Dr. C. Jarchow, E. Steinmetz, and P. Börner, for numerous stimulating discussions, experimental hardware, and software support. REFERENCES [1] M. A. Jack, P. M. Grant, and J. H. Collins, “The theory, design, and applications of surface acoustic wave Fourier-transform processors,” Proc. IEEE, vol. 68, no. 4, pp. 450–468, Apr. 1980. [2] L. Reindl, C. W. Ruppel, A. Kirmayr, and N. Stockhausen, “Radiorequestable passive SAW water-content sensor,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 4, pp. 803–808, Apr. 2001. [3] L. Reindl, C. W. Ruppel, S. Berek, U. Knauer, M. Vossiek, P. Heide, and L. Oreans, IEEE Trans. Microw. Theory Tech., vol. 49, no. 4, pp. 787–794, Apr. 2001. [4] D. P. Morgan, Surface-Wave Devices for Signal Processing. Amsterdam, The Netherlands: Elsevier, 1985, pp. 213–280. [5] L. Reindl, I. Shrena, H. Richter, and R. Peter, “High precision wireless measurement of temperature by using surface acoustic waves sensors,” in Proc. IEEE Freq. Contr. Symp., May 4–8, 2003, pp. 935–941. [6] G. L. Villanueva, “The high resolution spectrometer for SOFIAGREAT: Instrumentation, atmospheric modeling and observations,” Ph.D. dissertation, Fac. Appl. Sci., Freiburg Univ., Freiburg, Germany, 2004. [7] G. L. Villanueva, P. Hartogh, and L. Reindl, “Microwave technologies on SOFIA’s high resolution spectrometer,” in Proc. 33rd Eur. Microw. Assembly, 2003, pp. 547–550. [8] L. Reindl, “SAW-based radio tag and sensor systems,” in Proc. Int. New Piezoelect. Mater. High Performance Acous. Wave Devices Conf., 2002, pp. 71–78. [9] J. R. Klauder, A. C. Price, S. Darlington, and W. J. Albersheim, “The theory and design of chirp radars,” Bell Syst. Tech. J., vol. 39, pp. 745–808, 1960. [10] S. Darlington, “Demodulation of wide band low-power FM signals,” Bell Syst. Tech. J., vol. 43, pp. 339–374, 1964. [11] P. Hartogh and G. K. Hartmann, “A high-resolution chirp transform spectrometer for microwave measurements,” Meas. Sci. Technol., pp. 592–595, 1990. [12] P. Hartogh, G. K. Hartmann, and G. Heimesaat, The use of chirptransform spectrometers for real time Fourier transform of stochastic signals Max-Planck-Institut für Aeronomie, Lindau, Germany, MPAE Rep. MPAE-T-66-89-03, 1989. [13] P. Hartogh and K. Osterscheck, “A fast and high-resolution chirp transform spectrometer for atmospheric remote sensing from space,” in IEEE Geosci. Remote Sens. Symp., Helsinki, Finland, 1991, vol. II, pp. 979–982. [14] P. Hartogh and C. Jarchow, “Millimeter wave detection of mesospheric ozone using a high resolution chirp transform spectrometer backend,” in Proc. IGARSS’94, Pasadena, CA, 1994, vol. III, pp. 3–5. [15] P. Hartogh and C. Jarchow, “Groundbased microwave detection of middle atmospheric ozone,” in Global Process Monitoring and Remote Sensing of Ocean and Sea Ice, ser. EUROPTO 2586. Bellingham: SPIE, 1995, pp. 206–214. [16] P. Hartogh, “The MPAE dual channel heterodyne spectrometer,” in Proc. 2nd ESA Millim. Wave Technol. Applicat.: Antennas, Circuits Syst. Workshop, Espoo, Finland, 1998, pp. 127–130, ESA WPP-149. [17] P. Hartogh and C. Jarchow, “Groundbased detection of middle atmospheric water vapor,” in Global Process Monitoring and Remote Sensing of Ocean and Sea Ice, ser. EUROPTO 2586. Bellingham: SPIE, 1995, pp. 188–195.

1423

[18] P. Hartogh, “High resolution chirp transform spectrometer for middle atmospheric microwave sounding,” in Satellite Remote Sensing of Clouds and the Atmosphere II, London, U.K., 1998, vol. 3220, pp. 115–124. [19] P. Hartogh, The MPAE ground-based microwave spectrometer (WASPAM): Stratospheric processes and their role in climate (a project of the WMO/ICSU/IOC World Climate Research Programme): SPARC assessment of upper tropospheric and stratospheric water vapor SPARC, Verrieres le Buisson, France, 2000, vol. WCRP-113, pp. 45–46. [20] C. Seele and P. Hartogh, “Water vapor of the polar middle atmosphere: Annual variation and summer mesosphere conditions as observed by ground-based microwave spectroscopy,” Geophys. Res. Lett., vol. 26, no. 11, pp. 1517–1520, 1999. [21] ——, “A case study on middle atmospheric water vapor transport during the February 1998 stratospheric warming,” Geophys. Res. Lett., vol. 27, no. 20, pp. 3309–3312, 2000. [22] U. von Zahn, G. Baumgarten, U. Berger, J. Fiedler, and P. Hartogh, “Noctilucent clouds and the mesospheric water vapor: The past decade,” Atmos. Chem. Phys., vol. 4, pp. 2449–2464, 2004. [23] P. Hartogh, C. Jarchow, G. R. Sonnemann, and M. Grygalashvyly, “On the spatiotemporal behavior of ozone within the upper mesosphere/ mesopause region under nearly polar night conditions,” J. Geophys. Res., vol. 109, 2004. [24] T. Wehr, S. Crewell, K. Künzi, J. Langen, H. Nett, J. Urban, and P. Hartogh, “Remote sensing of ClO and HCl over northern Scandinavia in Winter 1992 with an airborne submillimeter radiometer,” J. Geophys. Res., vol. 100, pp. 20 957–20 968, 1995. [25] D. G. Feist, C. P. Aellig, N. Kämpfer, P. M. Solomon, J. W. Barrett, S. Zoonematkermani, P. Hartogh, C. Jarchow, and J. W. Waters, “Validation of stratospheric ClO measurements from the millimeter-wave atmospheric sounder (MAS),” J. Geophys. Res., vol. 105, no. D7, pp. 9053–9062, 2000. [26] S. Crewell, K. Künzi, H. Nett, T. Wehr, and P. Hartogh, “Aircraft measurements of CLO and HCL during EASOE 1991/92,” Geophys. Res. Lett., vol. 21, pp. 1267–1270, 1994. [27] M. D. Hofstadter, P. Hartogh, J. P. McMullin, E. N. Martin, C. Jarchow, and W. Peters, “A search for variability in the HCN to H2CO ratio on comet Hale–Bopp,” Earth, Moon, Planets, vol. 78, pp. 53–61, 1999. [28] P. Hartogh, “Present and future chirp transform spectrometers for microwave remote sensing,” in Sensors, Systems and Next-Generation Satellites, H. Fujisada, Ed. Bellingham, WA: SPIE, 1997, vol. 3221, pp. 328–339. [29] F. C. Ronde and S. Shammas, “MIC band filters using open-ring resonators,” in Proc. 4th Eur. Microw. Conf., 1974, pp. 531–535. [30] I. Wolff and V. K. Tripathi, “The microstrip open-ring resonator,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 1, pp. 102–107, Jan. 1984. [31] S. Y. Lee and C. M. Tsai, “New cross-coupled filter design using improved hairpin resonators,” IEEE Trans. Microw. Theory Tech, vol. 48, no. 12, pp. 2482–2490, Dec. 2000. [32] R. N. Martins and H. Abdalla, “Techniques yield tiny hairpin-line resonators filters, microwaves and RF,” Microw. RF Mag., 1999, Art. 9356. [33] L. Lascari, Microwave filters, impedance-matching networks, and coupling structures, Public release. [Online]. Available: tools.rfdude.com, 2001 [34] R. Mongia, P. Bhartia, and I. J. Bahl, RF and Microwave Coupled-Line Circuits. Norwood, MA: Artech House, 1999. Geronimo Luis Villanueva, received the Diploma degree in telecommunications engineering from the University of Mendoza, Mendoza, Argentina, in 2001, and the Ph.D. degree in applied sciences from Freiburg University, Freiburg, Germany, in 2004. During his Diploma studies, he investigated the terrestrial atmosphere through microwave radiometric observations of stratospheric ozone and tropospheric water vapor. In 2001, he joined the Max-Planck-Institute for Solar System Research (former Aeronomy, MPAE), where he performed his doctoral research on the development of a high-resolution spectrometer for the Stratospheric Observatory for Infrared Astronomy (SOFIA). The instrument is based on the principle of frequency-time transformation by temporal convolution of acoustic waves, or “Chirp Transform Spectrometer,” and uses the innovative technique of digital dispersive matching. He was also involved in the development of a Martian general circulation model (GCM)—a fully nonlinear, global, and three-dimensional hydrodynamic Eulerian gridpoint model—for the study of the Martian atmosphere through the analysis of the forcing and tracing circulation of atmospheric chemical constituents. He is currently a Research Associate

1424

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

with the Department of Extraterrestrial Physics, National Aeronautics and Space Administration (NASA) Goddard-Space-Flight Center, Greenbelt, MD. His main area of research is high-resolution molecular spectroscopy on planets and comets. He is also involved with the search for biomarkers (i.e., methane and formaldehyde) on Mars.

Paul Hartogh, received the Dipl. Phys. degree und Dr. rer nat. degree from the University of Göttingen, Göttingen, Germany, in 1985 and 1989 respectively. Since 1990, he has been a Staff Member with the Max-Planck-Institute for Aeronomy (MPAE) [now the Max-Planck-Institute for Solar System Research (MPS)], Katlenburg-Lindau, Germany. He pioneered the development of chirp transform spectrometers for microwave remote sensing applications and is Co-Investigator of numerous projects applying microwave heterodyne spectroscopy in the millimeter- and submillimeter-wave range, e.g., the Microwave Atmospheric Sounder (MAS) on the ATLAS 1-3 missions, the Microwave Instrument for the Rosetta Orbiter (MIRO), the Heterodyne Instrument for the Far Infrared (HIFI) on the Herschel Space Observatory, and the German Receiver for Astronomy at Terahertz frequencies (GREAT) on the Stratospheric Observatory for Infrared Astronomy. He has authored or coauthored approximately 70 papers. He is an editor of Atmospheric Chemistry and Physics and Advances in Geosciences. He is interested in chemistry and physics of atmospheres in the solar system (planets, moons, and comets) with some focus on the terrestrial planets and works on modeling and analysis/interpretation of atmospheric data derived from microwave measurements. He continues the development of high-performance chirp transform spectrometers for space applications aiming at integrated low-power designs with multigigahertz bandwidth. Dr. Hartogh is member of the International Commission on Planetary Atmospheres and their Evolution (ICPAE) and the ALOMAR Scientific Advisory Committee (ASAC).

Leonhard M. Reindl (M’93), received the Dipl. Phys. degree from the Technical University of Munich, Munich, Germany, in 1985, and the Dr. sc. techn. degree from the University of Technology Vienna, Vienna, Austria, in 1997. From 1985 to 1999, he was a member of the Micro Acoustics Group, Siemens Corporate Technology Department, Munich, Germany, where he was engaged in research and development on surface-acoustic wave (SAW) convolvers, dispersive and tapped delay lines, ID tags, and wireless passive SAW sensors. From 1999 to 2003, he was a University Lecturer for communication and microwave techniques with the Institute of Electrical Information Technology, Clausthal University of Technology. In May 2003, he became a Full Professor with the Laboratory for Electrical Instrumentation, Institute for Micro System Technology (IMTEK), Albert-Ludwigs-University of Freiburg, Freiburg, Germany. His research interests include wireless sensor and ID systems, SAW devices and materials, as well as microwave communication systems based on SAW devices. He has authored or coauthored approximately 130 papers. He holds 35 patents on SAW devices and wireless passive sensor systems. Dr. Reindl is an elected Administrative Committee (AdCom) member of the IEEE Ultrasonics, Ferroelectrics, and Frequency Control Society, vice-chair of the Technical Program Committee of the IEEE Frequency Control Symposium, and Technical Program Committee (TPC) member of the IEEE Sonics and Ultrasonics Symposium. He is also engaged in technical committees of the German VDE/ITG Association and serves as general chairman for the German conference “Sensors and Measurement Systems 2006.”

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

1425

Compensation Method for a Nonlinear Amplifier Using the Gain Expansion Phenomenon in a Doherty Amplifier Hyeong Tae Jeong, Student Member, IEEE, Ik Soo Chang, Member, IEEE, and Chul Dong Kim, Member, IEEE

Abstract—This paper proposes a new predistortion method using the gain expansion phenomenon in a Doherty amplifier. In the proposed structure, the drive stage Doherty amplifier, which has a gain expansion characteristic, precedes the final stage Doherty amplifier. The gain expansion characteristic compensates for the gain compression of the final stage amplifier and the overall linearity is improved based on an increase in the total output 1 dB compression point. Using a behavioral model, we simulated the effect of increasing the 1 dB point to the adjacent channel power ratio (ACPR) of the CDMA2000 signal. The experimental results show that the overall output 1 dB compression point is improved by 1.5 dB and the ACPR for the one-carrier CDMA2000 signal is improved by 8.5 dB. In addition, we tested a power amplifier with a four-carrier WCDMA signal to verify the validity of this configuration for wide-band applications. Index Terms—AM–AM distortion, Doherty amplifier, gain expansion, predistortion (PD).

I. INTRODUCTION INEARIZATION techniques for modern wireless communication systems are required to meet spectral emission standards. There are several techniques for power amplifier (PA) linearization, e.g., feedforward, digital predistortion (PD), and RF PD [1]. Feedforward and digital PD show excellent intermodulation distortion (IMD) suppression performance and are used as practical solutions in the industry. However, they suffer from high cost and complexity. The RF PD technique shows moderate cancellation results, but has the benefits of low cost and simplicity [2]. This technique can be combined with another linearization technique to improve overall linearity in some applications. There are various configurations for RF PD [3]. The basic operating principle of PD is that it provides an inverse function to the nonlinear amplifier to cancel the distortion effect in the final stage PA. In most configurations, the PD function is implemented in an extra block using additional nonlinear components. Of the various PD methods, one approach uses a drive amplifier as a PD block. In this method, the PA nonlinearity is cancelled by a cascaded drive amplifier without an additional PD

L

Manuscript received July 25, 2005; revised September 29, 2005. H. T. Jeong and I. S. Chang are with the Department of Electronic Engineering, Sogang University, Seoul 100-611, Korea (e-mail: [email protected]; [email protected]). C. D. Kim is with Sewon Teletech Inc., Kyungki 431-804, Korea (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.871917

Fig. 1. Drive stage of the Doherty amplifier.

block [4]–[7]. This technique is based on the gain expansion phenomenon in the drive amplifier and has the benefit that there is no gain reduction caused by a lossy PD block. In order to obtain the gain expansion characteristic, one solution is to use the bias dependency of the amplifier gain [4] for which a two-stage PA using InGaP/GaAs heterojunction bipolar transistors (HBTs) was developed and the IMD of the driver stage cancels that of the final stage under a specific bias condition. However, the improved linearity in [4] was investigated using a simulation only. The other solution is reported in [5] in which the first stage amplifier is biased in the class-B mode. The first stage is used to generate third-order intermodulation products, which are added to the input signal of the second stage in order to cancel the IMD at its output. Another solution is suggested in [6] and [7], which propose a novel amplifier structure for the gain expansion characteristic. In [6], an active predistorter based on a cascode field-effect transistor (FET) structure is used to compensate for the gain compression characteristic of the final stage PA. In [7], an SiGe BiCMOS variable-gain amplifier is used as a cascaded PD block. In this paper, we propose a new linearization method for a PA using a Doherty amplifier as a gain expansive amplifier. Recently, the primary focus of Doherty amplifiers has been on efficiency enhancement [8], [9]. By contrast, this paper takes a somewhat different approach from previous aspects of efficiency enhancement in Doherty amplifiers. The PA linearization proposed here is based on the gain expansion phenomenon in the Doherty PA, which is passed over in previous applications. The proposed method has the advantages of easiness in realization, simplicity, and suitability for wide-band linearization. II. GAIN EXPANSION PHENOMENON IN A DOHERTY AMPLIFIER Here, we analyze the gain expansion phenomenon in a Doherty amplifier. The Doherty amplifier in Fig. 1 consists of a carrier and a peak amplifier. Identical transistors (Fujitsu’s

0018-9480/$20.00 © 2006 IEEE

1426

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 2. Simplified model of the Doherty amplifier. Fig. 4. Simulated current and gain plot versus gate bias of peak amplifier.

Doherty amplifier versus the gate bias of the peak amplifier. Notice the gain expansion phenomenon around the transition point. The expansion characteristic depends on the amount of current supplied from the peak amplifier, which is determined by the transition point. As the bias voltage increases, the gain plots in Fig. 4 have different expansion results. Using this phenomenon, we can control the degree of gain expansion in the PD block and compensate for the nonlinearity in the final stage amplifier. III. SIMULATION RESULT USING A BEHAVIORAL MODEL

Fig. 3. Plot of the current contribution of each amplifier to the output load.

GaAs FET FLL351ME) are used for the carrier and peak amplifiers in this embodiment. The transistor of the carrier amplifier operates in class-AB bias and the peak amplifier operates in class C in order to get the load modulation effect [10]. Fig. 2 shows a simplified model of the Doherty amplifier. Both the carrier and peak amplifiers are modeled as voltage-controlled current sources with a quarter-wavelength impedance inverter. The output parasitic components in the transistor, matching network, and offset line are expressed as one ideal impedance transformer. Fig. 3 shows the output current plot of each amplifier in the Doherty amplifier. This graph is useful for understanding the operation of the Doherty amplifier. Below the transition point, the current source of the peak amplifier supplies no current and it starts contributing auxiliary current to the output load as the input power increases over the transition level. The transition point is controlled by the gate bias voltage of the peak amplifier. In this plot, the maximum current of the peak amplifier is lower than the carrier amplifier because the output capacity of a class-C amplifier is reduced from that of a class AB amplifier [10]. To investigate the gain expansion phenomenon, we simulated the currents of the carrier and peak amplifiers using Agilent’s ADS 1.3. Fig. 4 is a simulation result for the Doherty amplifier in Fig. 1. As shown in Fig. 4, the transition point varies with the gate bias voltage of the peak amplifier. In addition to current plots, we also show the overall gain characteristic of the

In this paper, we propose a new PD method using the gain expansion of the drive amplifier. As stated previously, the gain expansion of the drive stage compensates for the gain compression characteristic of the final stage PA. This compensation results in an increase of the level in the overall amplifier. The effect of increasing on the overall linearity using a behavioral model is investigated here. The behavioral model presented here is described by a memoryless model. Memoryless models, such as a power series, are often adequate for representing nonlinearities. Such models are simpler to apply and have a lower computational burden. We can approximate the memoryless PA input–output relationship using a power series, where the coefficients are real, in order to consider only AM–AM distortion. For simplicity of simulation, we only model the nonlinear PA with odd-order coefficients because odd terms are the most important, as they produce IMD in-band and adjacent to the desired signal. In order to obtain the behavioral model, we measured real amplifiers consisting of an -band multistage hybrid PA using Fujitsu’s FLL351ME as the first stage amplifier, an inter-stage gain boosting block, and Agere’s AGR21030 for the final stage amplifier. This amplifier is tested using single-tone measurements. Fig. 5 shows the block diagram of the measured amplifier. Fig. 5(a) is a reference amplifier, which was constructed using a linear drive amplifier and a final stage Doherty amplifier. Fig. 5(b) is the proposed structure in which the gain expansive drive stage, which is shown in Section II, precedes the same final stage amplifier, as in Fig. 5(a). Fig. 6 compares the AM–AM characteristics of the reference and predistorted amplifiers, which are shown in Fig. 5. Note that this figure shows both measured and modeled results. The

JEONG et al.: COMPENSATION METHOD FOR NONLINEAR AMPLIFIER USING GAIN EXPANSION PHENOMENON IN DOHERTY AMPLIFIER

1427

Fig. 7. Simulated power spectrum for the CDMA2000 signal (P out = 39:7 dBm). Fig. 5. Block diagrams of measured amplifier. (a) Reference amplifier. (b) Predistorted amplifier.

Fig. 8. Simulated CCDF plots for the CDMA2000 signal.

Fig. 6. Amplifier AM–AM characteristic (measurement and modeling).

model in Fig. 6 is based on a power series using a curve-fitting algorithm and the order of the power series is considered up to the seventh order. Compared with the reference amplifier, the gain characteristic of the proposed structure shows better linearity due to the gain expansion phenomenon of the drive amplifier stage. In order to simulate the effect of increasing on linearity, we used a forward-link CDMA2000 standard for the baseband signal, where the physical channel configuration is based on Radio Configuration 3 with Spreading Rate 1 [11]. The multiple code channels of the baseband signal are composed of a nine-channel direct sequence (DS) signal with pilot (Walsh 0), paging at 9.6 kb/s (Walsh 1), sync at 1.2 kb/s (Walsh 32), and traffic channels consisting of two fundamentals at 9.6 kb/s (Walsh 8 and 9) and four supplementals at 19.2 kb/s (Walsh 17–20). Fig. 7 shows the simulated the power spectrum of each case in Fig. 5. This simulation was performed using Agilent’s ADS 1.3. We demonstrate the output spectrum of each amplifier at an

output power of 39.7 dBm. Compared with the reference amplifier, the adjacent channel power ratio (ACPR) of the predistorted amplifier is improved by 6.2 dB, which is due to the gain compensation by the drive amplifier stage. Fig. 8 shows the simulated complementary cumulative distribution function (CCDF). The CCDF curves show how far and how often a signal exceeds the average power. As the power level of the output signal exceeds the compression point, the CCDF curve starts being clipped, which indicates how often the amplified signal is compressed [12]. As shown in Fig. 8, the CCDF result of the predistorted amplifier is less clipped compared with the data from the reference amplifier. The peak-toaverage-power ratio (PAPR), where the peak power level is defined at a probability of 0.001%, is 8 dB in the reference and 8.8 dB in the predistorted amplifier. IV. IMPLEMENTATION AND EXPERIMENTAL RESULTS Fig. 9 shows a photograph of our implantation, which is designed through the following procedures. First, we implemented the final stage Doherty amplifier and measured the AM–AM characteristic. Second, we calculated the optimal gain characteristic of a drive stage amplifier for the linearization of the final stage amplifier. Next, we selected appropriate transistor for the

1428

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 11. Measured ACPR at a 885-kHz offset frequency with the CDMA2000 signal. Fig. 9. Implemented amplifier.

Fig. 10. Measured gain of each block (normalized).

drive stage and implement a drive stage Doherty amplifier. We measure the gain characteristic of the drive stage Doherty amplifier under various bias condition of the peak amplifier and finally decided the best bias point, which showed the gain characteristic similar to the optimal gain calculated in the previous procedure. Fig. 10 shows the measured gain characteristic of the amplifier in Fig. 9 with a 2.14-GHz single-tone continuous-wave (CW) signal. To investigate the contribution of the gain expansive amplifier, we measured the gain of each block over the dynamic power range. As the gain of the final stage amplifier starts to be compressed, the drive stage Doherty amplifier begins to expand, and compensates for the overall linearity. As a result, the output of the entire amplifier increases by 1.5 dB. In order to verify the linearization effect due to increasing output , both amplifiers are tested with a digitally modulated signal. Fig. 11 shows the measured ACPR at an 885-kHz offset frequency with a single-carrier CDMA2000 signal. Due to the PD by the gain expansive amplifier, the maximum ACPR is improved by 8.5 dB at 39 dBm, of which the power spectrum is shown in Fig. 12. Fig. 13 show the measured CCDF plot with a single-carrier CDMA2000 signal. The CCDF plot of the original input signal is also shown, and it has a 9.7-dB PAPR. Due to

Fig. 12. Measured power spectrums for the CDMA2000 signal (P out = 39 dBm).

Fig. 13. Measured CCDF plots for the CDMA2000 signal. (a) Reference amplifier. (b) Predistorted amplifier.

the amplifier AM–AM distortion, the CCDF plot of the amplifier is more clipped than the plot of the input signal. Fig. 13(a) shows the CCDF plot of the reference amplifier at 39 dBm. Due to PA compression, the PAPR is reduced by 1.2 dB. Fig. 13(b) shows the CCDF plot of the predistorted amplifier at the same output level of 39 dBm. The PAPR of the predistorted amplifier increases by 0.7 dB compared with the PAPR of the reference amplifier. In addition to linearity, the efficiency of the proposed

JEONG et al.: COMPENSATION METHOD FOR NONLINEAR AMPLIFIER USING GAIN EXPANSION PHENOMENON IN DOHERTY AMPLIFIER

1429

in the preceding drive amplifier. We propose a Doherty amplifier as a gain expansive drive amplifier. The linearization effect of this configuration is verified through a behavioral model with Agilent’s ADS 1.3. The experimental results show that the overall increases and linearity is improved in the CDMA2000 single-carrier applications and the 20-MHz four-carrier WCDMA application. In this paper, it has been assumed that the nonlinearity of PA is memoryless. This assumption is valid when the AM–AM distortion is dominant source of the nonlinearity. However, most PAs not only have the AM–AM distortion, but also the AM–PM distortion due to the memory effect. Even if the effect of the AM–PM is negligible, we expect that further study about the AM–PM effect and the related compensation method may result in an additional improvement of the PA linearity. Fig. 14. Measured efficiencies with a single-carrier CDMA2000 signal.

REFERENCES

Fig. 15. Measured power spectrums for the four-carrier WCDMA signal (P out = 37 dBm).

structure also increases. Fig. 14 shows the overall efficiency of each amplifier of Fig. 5 with the single-carrier CDMA2000 signal. It is confirmed that the overall efficiency of the proposed structure is improved due to the efficiency enhancement of the Doherty amplifier configuration in the drive stage. Finally, we tested the amplifier with a multicarrier input signal to demonstrate the capability for wide-band application of the proposed method. Fig. 15 shows the 20-MHz four-carrier WCDMA signal test results, where each carrier is a test model 1 with 64 dedicated physical channel (DPCH) channels and has an occupied bandwidth of 5 MHz. The PA is tested at 37 dBm and the experimental results show a 9.7-dB adjacent channel leakage ratio (ACLR) improvement at a 5-MHz offset frequency. Through the experiments shown in Fig. 15, we confirmed that the proposed linearization scheme performs well for the wide-band applications. V. CONCLUSION In this paper, we have proposed a nonlinear amplifier compensation method based on the gain expansion phenomenon

[1] F. H. Raab, P. Asbeck, S. Cripps, P. B. Kenington, Z. B. Popovic, N. Pothecary, J. F. Sevic, and N. O. Sokal, “Power amplifier and transmitters for RF and microwave,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 814–826, Mar. 2002. [2] J. S. Kenney, Y. Park, and W. Woo, “Advanced architecture for predistortion linearization of RF power amplifiers,” in IEEE Power Amplifiers Top. Workshop, La Jolla, CA, Sep. 2002, pp. 5–6. [3] P. B. Kenington, High Linearity RF Power Amplifiers. Norwood, MA: Artech House, 1999. [4] H. Tomohisa, M. Noriaki, F. Mahahiro, and H. Hikaru, “PAE enhancement by intermodulation cancellation in an InGaP/GaAs HBT twostage power amplifier MMIC for W-CDMA,” in IEEE GaAs IC Symp. Dig., Baltimore, MD, Oct. 2001, pp. 75–78. [5] S. Dardenne, C. Duvanaud, F. Robin, F. Huin, J.-M. Pailot, and R. Allam, “Cancellation of third order intermodulation currents in a twostage amplifier topology,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, Jun. 2003, vol. 1, pp. A193–A196. [6] J. Kim, M.-S. Jeon, J. Lee, and Y. Kwon, “A new active predistorter with high gain and programmable gain and phase characteristics using cascode-FET structure,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 11, pp. 489–497, Feb. 2002. [7] J.-H. Tsai and T.-W. Huang, “A novel SiGe BiCMOS variable-gain active predistorter using current steering topology,” in IEEE RFIC Symp. Dig., Fort Worth, TX, Jun. 2004, pp. 559–562. [8] M. Iwamoto, A. Williams, P.-F. Chen, A. G. Metzger, L. E. Larson, and P. M. Asbeck, “An extended Doherty amplifier with high efficiency over a wide power range,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2472–2479, Dec. 2001. [9] N. Srirattana, A. Raghavan, D. Heo, P. E. Allen, and J. Laskar, “Analysis and design of a high-efficiency multistage Doherty power amplifier for wireless communications,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 852–860, Mar. 2002. [10] S. C. Cripps, RF Power Amplifier for Wireless Communication. Norwood, MA: Artech House, 1999. [11] Physical Layer Standard for CDMA2000 Spread Spectrum System, 3GPP2 C.S0002-C, May 2002. [12] Characterizing digitally modulated signals with CCDF Agilent Technol., Palo Alto, CA, 5968-6875E, 2000.

Hyeong Tae Jeong (S’05) received the B.S., M.S., and Ph.D. degrees in electronic engineering from Sogang University, Seoul, Korea, in 1995, 1997, and 2006, respectively. His research interests include linearization and efficiency enhancements of RF PAs.

1430

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Ik Soo Chang (M’79) received the B.S., M.S., and Ph.D. degrees from Seoul National University, Seoul, Korea, in 1967, 1970 and 1982, respectively, all in electronic engineering. Since 1977, he has been a Professor with the Department of Electronic Engineering, Sogang University, Seoul, Korea. He possesses over 20 years of experience in RF and microwave circuits design.

Chul Dong Kim (M’78) received the B.S. degree in electronic engineering from Seoul National University, Seoul, Korea, in 1971, and the Ph.D. degree from the University of Wisconsin–Madison, in 1985. He is the President and Chief Executive Office (CEO) of Sewon Teletech Inc., Kyungki, Korea, a company that specializes in RF PAs.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

1431

Measurement of Frequency-Dependent Equivalent Width of Substrate Integrated Waveguide Chao-Hsiung Tseng, Member, IEEE, and Tah-Hsiung Chu, Member, IEEE

Abstract—In this paper, a method is developed to measure the frequency-dependent equivalent width (FDEW) of the substrate integrated waveguide (SIW). Based on the deembedding concept, the formulas of the measurement procedures are derived, and then the measured equivalent width corresponding to each frequency is applied to the transmission/reflection method to acquire the substrate dielectric constant. The measurement method is experimentally verified over the frequency range from 26 to 40 GHz. The measured FDEW of the SIW is compared with that calculated by the empirical equation. Furthermore, the results of the measured dielectric constant are shown to be in reasonable agreement with those measured by the ring resonator method. It demonstrates that the developed method is an effective measurement approach to characterizing the SIW. Index Terms—Calibration, dielectric measurements, microwave measurement, substrate integrated waveguides (SIWs).

I. INTRODUCTION UBSTRATE integrated waveguide (SIW), also called laminated waveguide [1] or post-wall waveguide [2], is a synthetic rectangular waveguide formed by the top and bottom metal plates of a dielectric slab and two sidewalls of metallic via-holes. Unlike the metallic waveguide components with bulky size and high manufacturing cost, the SIW can be fabricated on the printed circuit boards (PCBs) or the low-temperature co-fired ceramics (LTCCs) to reduce size, weight, and cost. As the SIWs are operated in the modes, they preserve the characteristics of conventional rectangular waveguides [3], and the propagation energy of modes is almost confined in the substrate. Therefore, the SIWs have a higher factor [4] and lower loss than other planar guided-wave structures such as microstrip lines and coplanar waveguides (CPWs). For millimeter-wave range applications, the SIW is an attractive guided-wave structure to realize circuit components and subsystems. Hence, one can find a number of devices implemented using SIWs, such as bent and Tee structures [1], sixport junctions [5], waveguide filters [6]–[8], oscillators [9], and waveguide slot array antennas [10], [11]. In addition, since transitions to other guided-wave structures [4], [12]–[15] are well developed, using the SIW technique also takes advantage of

S

Manuscript received July 22, 2005; revised December 13, 2005. This work was supported by the National Science Council of Taiwan, R.O.C., under Grant NSC 94-2752-E-002-004-PAE and Grant NSC 93-2213-E-002-005. C.-H. Tseng is with the Department of Electrical Engineering, University of California at Los Angeles, Los Angeles, CA 90032 USA, on leave from the Department of Electrical Engineering, National Taiwan University, Taipei, Taiwan 106, R.O.C. (e-mail: [email protected]). T.-H. Chu is with the Department of Electrical Engineering, National Taiwan University, Taipei, Taiwan 106, R.O.C. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.871245

easily integrating millimeter-wave passive and active components on a single substrate as a compact and high-performance subsystem. In order to investigate the guided-wave properties of the SIW, numerical algorithms of finite difference frequency domain [16] and method of moments [17] have been adopted to simulate its characteristics. In addition, based on the combination of the multimode calibration method and the commercial full-wave simulator, the method in [3] can solve the eigenvalue problems to calculate its propagation constant. Therefore, the SIW can be equivalent to a metallic rectangular waveguide with a constant equivalent width by fitting the curve of the propagation constant. To simplify the SIW related circuit design, the empirical equations [3], [18] are given to calculate a constant equivalent width for the -mode passband. In other words, the equivalent width calculated from empirical equations is frequency independent. It may have limitations in the millimeter-wave range. Since the two sidewalls of the SIW are formed by via-holes, the shorter guided wavelength, operated at the higher frequency, leads to more loss leaked from the space between two adjacent via-holes. Therefore, the equivalent width should be a function of frequency with a wider equivalent waveguide width for a higher frequency. In this paper, a method is developed to measure the frequency-dependent equivalent width (FDEW) of the SIW in the -mode passband. The measurement procedures firstly extract the propagation constant from two SIWs with different lengths using the self-calibration algorithm [19], [20]. Meanwhile, changing the distance of two via-hole sidewalls, two SIWs with different lengths are used to obtain the other set of propagation constants. The FDEW of the SIW can then be calculated from these two sets of propagation constants using the formulation presented in Section II. Furthermore, based on the transmission/reflection (T/R) method [21], [22], the measured FDEW can be exploited to calculate the substrate complex dielectric constant. The measured results are compared with those measured by the ring resonator method [23], [24] and the open resonator method [25]. Measured results of the complex propagation constant, FDEW, and dielectric constant of the SIW using the developed method are shown in Section III. II. MEASUREMENT METHOD A. Propagation Constant of the SIW Based on the multiline calibration method [19], the propagation constant of the SIW can be measured by two SIWs with different lengths shown in Fig. 1. The length difference between these two SIWs is properly chosen to be approximately

0018-9480/$20.00 © 2006 IEEE

1432

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 2. Two SIWs with sidewall distances of: (a) a and (b) a equivalent metallic waveguides.

+  , and their

Fig. 1. Two SIWs with length difference `.

, where is an integer and is the guided wavelength of the SIW. For the measurement, the bilateral ends of the SIWs are linked with two SIW-to-microstrip transitions [13] to connect to the vector network analyzer (VNA). The measured results of two SIWs can be represented as (1) (2) and are transmission parameter (or -paramwhere eter) matrices converted from the measured -parameters of two SIWs using the formula

(3)

Note that, in (6), after performing a natural logarithm of , the imaginary part of the result has to be first unwrapped, then the principal value in the general solution of can be used to calculate the phase constant . The formulation in this section is in the single-mode case, i.e., mode. It can be extended to the multimode case with the multimode calibration technique [26], and then (5) can be rearranged as [3] (8) The multimode complex propagation constant tained by solving this eigenvalue problem.

can be ob-

B. FDEW of the SIW

(4)

As with the SIW shown in Fig. 2(a), the two via-hole sidewalls are fabricated on the substrate with the thickness , and are separated by the distance . It can be equivalent to a metallic sidewall and dielectric-filled waveguide with the FDEW and height . The phase constant can be measured using the procedures described in Section II-A and then used to find the guided wavelength of the equivalent metallic sidewall waveguide as

where is the complex propagation constant of the SIW. From (1) and (2), one can obtain an eigenvalue equation as

(9)

include the characteristics of the SIW, the Matrices and SIW-to-microstrip transition, and the microstrip feed line. represents the propagation characteristic of the SIW of length , and its -parameter matrix is given as

(5) Since

is a diagonal matrix, two eigenvalues and of equal the diagonal elements of , namely, and . Therefore, the complex propagation constant be-

are the passband and cutoff wavenumbers, rewhere and spectively. As the substrate is nonmagnetic material, namely, relative permeability , and the waveguide is operated in the mode, one can get

comes (10) (6) where tively.

and are the attenuation and phase constant, respecis the average of the two eigenvalues as (7)

and (11) where is the operating frequency, is the relative dielectric constant of the substrate, and is the velocity of light. Substi-

TSENG AND CHU: MEASUREMENT OF FDEW OF SIW

1433

tuting (10) and (11) into (9) and performing proper algebraic manipulation, (9) can be written as (12) For the other metallic sidewall waveguide with FDEW shown in Fig. 2(b), (12) can be rewritten as

,

(13) . The corresponding phase constant and where guided wavelength are then and , respectively. As the two SIWs shown in Fig. 2 are fabricated on the same substrate and are operated at the same frequency, namely, and in (12) are the same as those in (13), the variables and can be eliminated by dividing (12) to (13) as Fig. 3. Two pairs of SIWs with different distances between two via-hole sidewalls: (a) a and (b) a  for the measurement of the propagation constant, FDEW, and dielectric constant of the substrate.

+

(14)

By replacing and can then obtain

by

and

, respectively, one

where is the free-space wavelength and is the cutoff wavelength of the waveguide. With and , (17) can be rewritten as

(15)

(18)

where and are the phase constants corresponding to the SIWs with the FDEWs and , respectively, and they can be measured by the procedures in Section II-A. Note that although the operating frequency is eliminated in (14), the variables , , , and in (14) imply frequency dependence. As and are substituted into (15), a quartic equation is given as

For measuring the low-loss substrate sample, using the T/R method easily leads to the multiple reflection effects on the interfaces between the air and substrate. In order to reduce the measurement perturbation and uncertainty caused by multireflection, in [20], the two dielectric-filled waveguides with different lengths are used to measure the dielectric constant with the multiline calibration technique. The measured propagation constant is then used to calculate the dielectric constant. The similar measurement procedures are also suitable to determine the complex dielectric constant of the SIW substrate. For the nonmagnetic substrate and replacing by (11), (18) then becomes

(16) In (16), is calculated from the measured phase constants and , and is the known increment of the distance between two via-hole sidewalls. Note that should be properly chosen to give overlapping -mode passbands of the two SIWs given in Fig. 2. Therefore, the variable in (16) can be solved to give four roots including a real, an imaginary, and a pair of the complex conjugate roots. Since is the FDEW of the SIW, the real root is the reasonable solution. C. Dielectric Constant of the SIW Substrate The FDEW of the SIW determined by the procedures in Section II-B can be exploited to measure the dielectric constant of the SIW substrate. In the T/R method [21], [22], the material sample with length is inserted into an air-filled rectangular waveguide, and then the transmission coefficient of the substrate sample can be measured using the deembedding technique. One can relate the complex dielectric constant to the transmission coefficient by (17)

(19) where and are the FDEW and the corresponding complex propagation constant of the SIW, respectively. They can be measured by the method in Sections II-A and B. The measurement procedures of the FDEW and the dielectric constant of the SIW are summarized as follows. 1) Two pairs of SIWs shown in Fig. 3(a) and (b) with the respective distances between two via-hole sidewalls and are designed and fabricated. As shown in Fig. 3(a) or (b), the length difference between two SIWs in each pair is properly chosen about the corresponding guided wavelength multiplied by the factor . 2) Following the procedures in Section II-A, the two SIWs shown in Fig. 3(a) can be used to obtain one set of the complex propagation constant . The other

1434

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

set is extracted from the two SIWs shown in Fig. 3(b). 3) Calculate from the measured phase constants and and then substitute with the known increment into (16). The quartic equation can be solved to obtain the FDEW of the SIW. 4) Combine and obtained from 2) and 3) with (19) to determine the dielectric constant of the SIW. III. EXPERIMENTAL RESULTS A. Design Parameters The measurement method described in Section II is experimentally validated over the -band (26–40 GHz). To cover this -mode passband, the internal width of the SIW, namely, the distance between two inside edges of two via-hole sidewalls, shown in Fig. 1, is approximately decided from the dimension of the WR-28 standard waveguide divided by the square root of the dielectric constant of the SIW substrate. The SIWs used in the measurement are fabricated on the Rogers RO4003 substrate ( at 10 GHz) with thickness mil and covered with 0.5-oz copper. The internal width is then selected as 160 mil and the guided wavelength is approximately 245 mil at 33 GHz. Two pairs of the SIWs illustrated in Fig. 3(a) and (b) with respective center-to-center distances between two via-hole sidewalls, mil and mil are implemented as shown in Fig. 4(a). According to the schematic shown in Fig. 1, two sidewalls of the SIWs are formed by single-layer metallic via-holes whose diameter is 15 mil and separation is 30 mil. The length difference between two SIWs for each pair is 300 mil (approximately at 33 GHz). In order to measure the -parameters using Cascade Sumit 9000 probe station with two ground–signal–ground (GSG) probes, the bilateral ends of the SIWs are connected via 50- microstrip lines with width mil, and then microstrip-to-waveguide transitions [13] with CPW pads. The linear tapered microstrip line acts as a broad-band transition to transfer energy from microstrip to the SIW with low transmission loss over the -band. The length of the microstrip taper is 200 mil, and the widths are 72 and 88 mil for the pairs and , respectively. The other set of the SIWs with double-layer metallic viaholes are fabricated as shown in Fig. 4(b). They are used to evaluate the effectiveness of measuring the FDEW of the complex sidewall structures. The detailed schematic is illustrated in Fig. 4(c). Besides 45-mil via-separation , 15-mil layer separation , and 540-mil length difference (approximately at 33 GHz), all other parameters are the same as the SIWs with single-layer via-holes. B. Measured Results of the SIW Characteristics and FDEW The -parameters of the SIWs shown in Fig. 4(a) are measured by an HP 8510C VNA with on-wafer short, open, load, thru (SOLT) calibration over the frequency range from 26 to 40 GHz with 101 frequency points. The measured -parameters are then converted to the -parameters by (3). Applying the formulas in Section II-A, one can extract two sets of the complex propagation constants and corresponding to the pairs

Fig. 4. Two pairs of the SIWs with: (a) single-layer via-holes and (b) doublelayer via-holes with (c) the detailed schematic.

and of the SIWs, respectively. Fig. 5(a) shows the measured results of the attenuation constants and extracted from two pairs of SIWs of Fig. 4(a). The measured results of the phase constants and are also shown in Fig. 5(b) and then used to calculate the guided wavelength and shown in Fig. 6. Following the formulas in Section II, should be firstly calculated from and , and then substituted into (16) with the known increment . The FDEW of the SIW shown in Fig. 7 is acquired by solving the quartic equation (16). For comparison, the equivalent width calculated using the empirical equation of [3, eq. (9)] is also depicted in Fig. 7. Over the frequency range, the empirical equation gives a constant equivalent width. The FDEW measured in this study is shown to be wider as the operating frequency increases. It is because the SIW operated at higher frequency has more fields leaking from the space between two adjacent via-holes. For broad-band and millimeter-wave applications, the FDEW can then provide more accuracy information in the circuit design. In addition, based on the measurement procedures in Section II, two pairs of the SIW with double-layer via-holes shown in Fig. 4(b) are also used to acquire its FDEW given in Fig. 7. The FDEW of double-layer via-holes is shown to increase more rapidly than that of the SIW with single-layer via-holes. As shown in Fig. 4(c), since the separation of two adjacent via-holes

TSENG AND CHU: MEASUREMENT OF FDEW OF SIW

1435

Fig. 7. Measured FDEW of the SIW with single- and double-layer via-holes in comparison with the equivalent width calculated by the empirical equation of [3, eq. (9)].

Fig. 8. Schematic of the microstrip ring resonator for the dielectric-constant measurement. Fig. 5. Measured results of: (a) attenuation constant and (b) phase constant extracted from two pairs of SIWs of Fig. 4(a).

measurement method in Section II-A carries the ability of removing systematic errors of the VNA. In order to obtain more accurate measurement results as shown in this paper, the SOLT calibration is first performed to remove the systematic errors of the VNA, and then the formulas in Section II-A are used to deembed the effects of feeding microstrip lines, transitions, and a portion of the SIW. C. Measured Results of the Substrate Dielectric Constant

Fig. 6. Guided wavelength calculated from the measured phase constants.

in the inner layer is larger than that of the single-layer case, in the higher frequency, the FDEW then becomes wider due to more field leaked from the inner-layer via-holes. However, the outer-layer via-holes act as obstacles to prevent the leakage from radiating out of the SIW. Note that the -parameters of the SIWs can be measured without using the SOLT calibration of the VNA because the

Based on the T/R method, the measurement procedures of the complex dielectric constant of the SIW substrate slab are developed in Section II. In order to evaluate the measured results, the conventional ring resonator method [23], [24] is adopted to measure the dielectric constant of the Rogers RO4003 substrate for comparison. Fig. 8 shows the schematic of the microstrip ring resonator with the ring radius (from the center of the ring to the midline of the microstrip line). The width of the microstrip line and the gap between the ring and feed lines are represented as and , respectively. The ends of two feed lines are connected with the CPW pads for on-wafer measurement. To accurately measure the th order of the resonant frequency, the VNA is calibrated with the settings of 801 frequency points and 128 average factors. The measured results of two ring resonators with and mil are given in Table I. Note that only the resonant frequencies in the range from 26 to 40 GHz are listed for the comparison with the results acquired using T/R method.

1436

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

TABLE I MEASURED RESULTS USING RING RESONATOR METHOD

also demonstrates the effectiveness of the measurement method in Section II to measure the FDEW of the SIW. Fig. 9(b) shows the loss tangent calculated from the ratio of the imaginary part and real part of the measured complex dielectric constant. For comparison, the measured results of the loss tangent using the open resonator method are also given in Fig. 9(b). Note the results obtained by the method proposed in this paper include the losses contributed from the dielectric, conductor, and radiation. Hence, they are larger than the dielectric losses measured by the open resonator method. In addition, it is observable that the radiation loss can be effectively reduced by adding the outer layer of via-holes. IV. CONCLUSION In this paper, a method has been developed to measure the FDEW of the SIW. Based on the developed deembedding concept, two sets of the complex propagation constants have been extracted by measuring two pairs of the SIWs with different distances between two via-hole sidewalls. Substituting the measured propagation constants into a quartic equation, the FDEW can be calculated and further applied to measure the substrate dielectric constant of the SIW. The measured FDEWs of the SIWs with single- and double-layer via-holes have been presented and compared with the equivalent width calculated by the empirical equation. They are also adopted to acquire the substrate dielectric constant using the T/R method. The measured results using the T/R method with the measured FDEW are shown to be in reasonable agreement with those using the ring resonator method. It then demonstrates that the developed method is an effective approach to acquiring the measured FDEW of the SIW over the operating frequency range. In addition, the developed method can determine the dielectric constant of the substrate of the SIW in the millimeter-wave range. Performing the measurement procedures given in this paper accompanies achieving the complex propagation constant, guided wavelength, FDEW, and substrate dielectric constant. Therefore, the developed method is an effective measurement approach to characterizing the SIW, and can be applied to measure the characteristics of the other guided-wave structures. ACKNOWLEDGMENT

Fig. 9. Measured results of: (a) the dielectric constant and (b) the loss tangent.

In the formulation of Section II, the complex propagation constant and the FDEW are two critical parameters to determine the complex dielectric constant . Substituting the measured and obtained from Section III-B into (19), the real part of are calculated shown in Fig. 9(a). This figure presents both calculated results using the FDEW of the single- and double-layer via-holes. The measured results using the method proposed in this paper are shown in reasonable agreement with those using the ring resonator method and the open resonator method [25]. However, as shown in Fig. 9(a), the equivalent width calculated using the empirical equation of [3 eq. (9)] is not suitable to calculate the substrate dielectric constant. From the measured results of the dielectric constant, it

The authors would like to thank W. Huang, Material Research Laboratory (MRL), Industrial Technology Research Institute (ITRI), Hsinchu, Taiwan, R.O.C., for the substrate measurement using the open resonator method (Project D341AD5110). REFERENCES [1] H. Uchimura, T. Takenoshita, and M. Fujii, “Development of a laminated waveguide,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 2438–2443, Dec. 1998. [2] J. Hirokawa and M. Ando, “Efficiency of 76-GHz post-wall waveguide-fed parallel-plate slot arrays,” IEEE Trans. Antennas Propag., vol. 48, no. 11, pp. 1742–1745, Nov. 2000. [3] F. Xu and K. Wu, “Guided-wave and leakage characteristics of substrate integrated waveguide,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 66–73, Jan. 2005. [4] D. Deslandes and K. Wu, “Integrated transition of coplanar to rectangular waveguides,” in IEEE MTT-S Int. Microw. Symp. Dig., 2001, pp. 619–622.

TSENG AND CHU: MEASUREMENT OF FDEW OF SIW

[5] X. Xu, R. G. Bosisio, and K. Wu, “A new six-port junction based on substrate integrated waveguide technology,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 7, pp. 2267–2273, Jul. 2005. [6] D. Deslandes and K. Wu, “Single-substrate integration techniques of planar circuits and waveguide filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 593–596, Feb. 2003. [7] J. A. Ruiz-Cruz, M. A. E. Sabbagh, K. A. Zaki, J. M. Rebollar, and Y. Zhang, “Canonical ridge waveguide filters in LTCC or metallic resonators,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 174–182, Jan. 2005. [8] Z. C. Hao, W. Hong, X. P. Chen, J. X. Chen, K. Wu, and T. J. Cui, “Multilayered substrate integrated waveguide (MSIW) elliptic filter,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 2, pp. 95–97, Feb. 2005. [9] Y. Cassivi and K. Wu, “Low cost microwave oscillator using substrate integrated waveguide cavity,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 2, pp. 48–50, Feb. 2003. [10] L. Yan, W. Hong, G. Hua, J. Chen, K. Wu, and T. J. Cui, “Simulation and experiment on SIW slot array antennas,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 9, pp. 446–448, Sep. 2004. [11] S. Yamamoto, J. Hirokawa, and M. Ando, “A beam switching slot array with a 4-way Butler matrix installed in a single layer post-wall waveguide,” in IEEE AP-S Int. Symp. Dig., 2002, pp. 138–141. [12] Y. Huang and K. L. Wu, “A broad-band LTCC integrated transition of laminated waveguide to air-filled waveguide for millimeter-wave applications,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 5, pp. 1613–1617, May 2003. [13] D. Deslandes and K. Wu, “Integrated microstrip and rectangular waveguide in planar form,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 2, pp. 68–70, Feb. 2001. [14] ——, “Analysis and design of current probe transition from grounded coplanar to substrate integrated rectangular waveguides,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 8, pp. 2487–2494, Aug. 2005. [15] T. Kai, J. Hirokawa, and M. Ando, “A stepped post-wall waveguide with aperture interface to standard waveguide,” in IEEE AP-S Int. Symp. Dig., 2004, pp. 1527–1530. [16] F. Xu, Y. Zhang, W. Hong, K. Wu, and T. J. Cui, “Finite-difference frequency-domain algorithm for modeling guided-wave properties of substrate integrated waveguide,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 11, pp. 2221–2227, Nov. 2003. [17] J. Hirokawa and M. Ando, “Single-layer feed waveguide consisting of posts for plane TEM wave excitation in parallel plates,” IEEE Trans. Antennas Propag., vol. 46, no. 5, pp. 625–630, May 1998. [18] Y. Cassivi, L. Perregrini, P. Arcioni, M. Bressan, K. Wu, and G. Conciauro, “Dispersion characteristics of substrate integrated rectangular waveguide,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 9, pp. 333–335, Sep. 2002. [19] R. B. Marks, “A multiline method of network analyzer calibration,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 7, pp. 1205–1215, Jul. 1991. [20] M. D. Janezic and J. A. Jargon, “Complex permittivity determination from propagation constant measurements,” IEEE Microw. Guided Wave Lett., vol. 9, no. 2, pp. 76–78, Feb. 1999. [21] Measuring dielectric constant with the HP 8510 network analyzer Hewlett-Packard, Santa Rosa, CA, Product note 8510-3, 1985. [22] W. B. Weir, “Automatic measurement of complex dielectric constant and permeability at microwave frequency,” Proc. IEEE, vol. 62, no. 1, pp. 33–36, Jan. 1974.

1437

[23] G. Zou, H. Grönqvist, J. P. Starski, and J. Liu, “Characterization of liquid crystal polymer for high frequency system-in-a-package applications,” IEEE Trans. Adv. Packag., vol. 25, no. 4, pp. 503–508, Nov. 2002. [24] D. C. Thompson, O. Tantot, H. Jallageas, G. E. Ponchak, M. M. Tentzeris, and J. Papapolymerou, “Characterization of liquid crystal polymer (LCP) material and transmission lines on LCP substrates from 30 to 110 GHz,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1343–1352, Apr. 2004. [25] B. Komiyama, M. Kiyokawa, and T. Matsui, “Open resonator for precision dielectric measurements in the 100 GHz band,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 10, pp. 1792–1796, Oct. 1991. [26] C. Seguinot, P. Kennis, J. F. Legier, F. Huret, E. Paleczny, and L. Hayden, “Multimode TRL—A new concept in microwave measurements: Theory and experimental verification,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 5, pp. 536–542, May 1998.

Chao-Hsiung Tseng (S’03–M’05) was born in Miaoli, Taiwan, R.O.C., in 1974. He graduated in electronic engineering from the National Taipei Institute of Technology, Taipei, Taiwan, R.O.C., in 1994, and received the M.S. and Ph.D. degrees in communication engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 1999 and 2004, respectively. From 1999 to 2000, he was an Associate Microwave Researcher with the Center for Measurement Standards, Industrial Technology Research Institute, Hsinchu, R.O.C. From 2001 to 2002, he was a Teaching Assistant with the Department of Electrical Engineering, National Taiwan University, where he became a Post-Doctoral Research Fellow in 2004. He is currently a Visiting Scholar with the Department of Electrical Engineering, University of California at Los Angeles (UCLA). His research interests include left-handed metamaterials, microwave measurements and calibration techniques, and microwave-imaging systems and techniques.

Tah-Hsiung Chu (M’87) received the B.S. degree from National Taiwan University, Taipei, Taiwan, R.O.C., in 1976 and the M.S. and Ph.D. degrees from the University of Pennsylvania, Philadelphia, in 1980 and 1983, respectively, all in electrical engineering. From 1983 to 1986, he was a Member of Technical Staff with the Microwave Technology Center, RCA David Sarnoff Research Center, Princeton, NJ. Since 1986, he has been on the Faculty of the Department of Electrical Engineering, National Taiwan University, where he is currently a Professor of electrical engineering. His research interests include microwave-imaging systems and techniques, microwave circuits and subsystems, microwave measurements, and calibration techniques.

1438

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Subwavelength-Resolution Microwave Tomography Using Wire Grid Models and Enhanced Regularization Techniques Badr Omrane, Jean-Jacques Laurin, Senior Member, IEEE, and Yves Goussard, Member, IEEE

Abstract—This paper presents a new approach to handle the nonlinear microwave tomography equations for dielectric materials. The electromagnetic tomography equations are rewritten in the framework of an equivalent wire grid model loaded with resistors and capacitors to represent the permittivity and conductivity of the material. The validation of the model is performed using near-field measurements at a frequency of 2.45 GHz on devices under test made of dielectric plates of various shapes surrounded by an unbounded free-space medium. The reconstruction algorithm is based on the contrast source inversion (CSI) technique. Here, we introduce an enhanced version of the CSI cost function by adding extra regularization terms; in addition, minimization is carried out using a logarithmic barrier constraint in order to avoid nonphysical permittivity and conductivity values. The distributions of those physical properties retrieved with experimental data, for nonhomogeneous dielectric structures of known composition, are in good agreement with the expected ones. The imaging resolution is limited by the density of the wire grid meshing. Index Terms—Conjugate gradient, logarithmic barrier, microwave tomography, near-field measurements, reconstruction algorithm, regularization.

I. INTRODUCTION ICROWAVE tomography (MT) has been the subject of a renewed interest over the past decade. It is seen as a complement to imaging techniques such as X-ray computed tomography and magnetic resonance imaging. In the area of nondestructive testing, a great accuracy in material property estimation has been recently achieved [1]–[3]. In the medical field, MT has shown encouraging results for real body imaging [1]–[3]. With respect to standard imaging techniques, the major advantages of MT are the relatively small size and low cost of the equipment and, for medical applications, the nonionizing property of the radiations which makes MT virtually noninvasive. However, several difficulties must be overcome in order to obtain adequate results; an important one is the choice of the operating frequency: higher frequencies produce a better spatial resolution at the expense of a higher attenuation through the medium under test. Therefore, a tradeoff between resolution and sensitivity has to be made. One possibility is to use a low frequency in order to benefit

M

Manuscript received July 24, 2005; revised November 15, 2005. B. Omrane is with the Department of Electrical and Computer Engineering, University of Victoria, Victoria, BC, Canada V8W 3P. J.-J. Laurin and Y. Goussard are with the Electrical Engineering Department, École Polytechnique, Montreal, QC, Canada H3C 3A7. Digital Object Identifier 10.1109/TMTT.2006.871355

from lower signal losses and instrumentation cost and to compensate for the loss of resolution by probing the diffracted field very close to the medium under test. This approach is used in the present study, and field measurements are taken at a distance of from the scattering body, i.e., within the reactive region. At this distance, the invisible range of the wavenumber spectrum has a strong contribution. Thus, accurate measurements should contain enough information to lead to significantly enhanced resolution. On the other hand, such a short distance imposes demanding requirements on the positioning accuracy of the probing system, the disturbance of the scatterer by the near-field probe, and the spatial resolution of the probe. Also, since we are trying to recover features in the imaged body that are now comparable to or smaller in size than the wavelength, geometrical optics approximations are no longer valid and a full wave formulation of the scattering problem must be considered. Our method is based on a loaded three-dimensional (3-D) wire mesh model of the structure under test, as proposed in [4], in which material properties are represented by embedded distributed resistors and capacitors. An advantage of this formulation is its easy integration with the equivalent electric or magnetic current near-field techniques whose capabilities have been demonstrated in the characterization of electrically small antennas [5]. Another important difficulty lies in the ill-posed nature of the tomography equations. Several methods have been proposed to address this problem [6]–[13]. The contrast source inversion (CSI) [6] and the multiplicative regularization CSI (MR-CSI) [1] have produced interesting results in the reconstruction of physical properties. This method has therefore been adopted as a starting point in this paper. Unfortunately, as discussed above, the experimental limitations associated with close field probing introduce disturbances in the measurement data, which in turn may lead to nonsensical estimates of the device under test (DUT) physical properties such as negative permittivities or conductivities. In order to correct for these deficiencies, CSI was modified by the addition of extra regularization terms. Moreover, the cost function was enhanced by the introduction of constraints on isotropy so as to ensure the recovery of physically meaningful material properties. In a first attempt to validate the proposed near-field-based tomography method experimentally, tests were carried out with simple DUTs consisting of thin nonhomogenous dielectric plates. This allowed the use of a two-dimensional (2-D) discretization with a reduced number of unknowns and illumination sources.

0018-9480/$20.00 © 2006 IEEE

OMRANE et al.: SUBWAVELENGTH-RESOLUTION MT USING WIRE GRID MODELS

1439

where the contrast

is defined as

(3) and

(4) where denotes the Green function in domain . Equation (2) gives the amount of scattering of the incident field due to the DUT. The formulation in terms of equivalent currents allows us to use the Green function for homogeneous free space. This is, of course, a great advantage in terms of mathematical complexity. The total field inside domain can be written as [1]

Fig. 1. Test bench for near-field measurements.

II. DIRECT PROBLEM Our general goal is to estimate the complex permittivity distribution of an inhomogeneous object illuminated by an incident electric field, from measurements of the scattered field. As an example, the experimental setup used in this study is schematically depicted in Fig. 1. In a preliminary step, we must solve the direct problem, i.e., derive the expression of the scattered field as a function of the incident field and of the permittivity distribution of the object. Let denote the incident electric field and let represent a domain containing the unknown medium characterized by its complex permittivity , while the homogeneous background medium extends over domain . Without loss of generality, we assume that the unknown object is represented by a real permittivity and an effective conductivity , both of which are space-variant. Similarly, the uniform background medium is characterized by constant and known permittivity and conductivity . An equivalent situation can be considered in which the whole space is filled with the background material and supplemented with an equivalent polarization current source expressed as

(1) where is the total electrical field inside medium . This equivalent current density produces scattered fields in domain which are dependent on the physical characteristics of the object. Based on this equivalent current formulation, the scattered field in domain can be expressed as [1]

(2)

(5) where is the Green function evaluated in . Equations (2) and (5) are linear with respect to and can be written in discretized form, i.e., as matrix equations ([1], [6]), as follows: (6) (7) is discretized in cells and that Assuming that domain is evaluated at points, then, we have that and are column vectors of size , is a diagonal matrix of size , is a matrix of size , and is a full square matrix of size . In reality, should be multiplied by 1, 2, or 3, depending of the number of field components considered, which relates to the geometry of the problem (e.g. one-dimensional (1-D), 2-D, or 3-D). From here on, (6) and (7) will be respectively referred to as the data equation and the system equation. III. WIRE-GRID MODEL OF THE SCATTERER The above system of equations does model the direct problem. However, it cannot be used directly to estimate the electrical characteristics of the DUT, for two reasons: 1) most commonly available probes do not provide the scattered field but the corresponding voltage and 2) in order to reduce the ill-posedness of the estimation problem, it is desirable to reduce the number of degrees of freedom of by using an appropriate model. Here, derivation of relations equivalent to (6) and (7) is carried out in the same manner as for near-field antenna characterization with equivalent current methods [5]: the DUT is represented by a distribution of filamentary currents flowing on a mesh; the distribution is based upon a wire-grid model with embedded lumped loads consisting of resistors and capacitors whose values are set to obtain equivalence with the properties of domains and . Since this method—which has

1440

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

been used before to model microwave heating—is presented in detail in [4] and [14], only a brief overview is given here. Assuming a 3-D discretization of the solution domain into cubic cells of lattice constant , the volume polarization current can be approximated by filamentary currents flowing on a 3-D cubic mesh of lattice constant . The currents on the illuminated structure are obtained with the thin-wire Richmond–Tilston method of moments (MoM) code [15], [16] using piecewise sinusoidal basis and testing functions. Filaments in the lattice are perfectly conducting wires. Lumped loads are inserted at each end of the wire segments to simulate the properties of the medium. These loads consist of parallel networks. Since the background properties and are known, the and values for a cell comprised in the DUT region are given by [4] (8) (9) Slightly different formulas are used for segments on edges or [14]. With such a loading, the diagonal vertices of domain element of the impedance matrix used in the method of moment are augmented with terms . Since the unknown medium is inhomogeneous, each must be adjusted according to the local material properties at the position of the loaded wire segment in space. The MoM equation to solve becomes [17], [18] (10) is a vector of voltages representing the excitation of where the incident field on the wire basis functions immersed in an unbounded and homogeneous material of properties and . The terms of this vector are computed in the MoM code. is the mutual impedance matrix between all the wire basis functions forming the 3-D mesh in the same medium. is a vector of unknowns whose elements are the coefficients of the basis functions. is a diagonal matrix containing loads inserted on each basis function. In a typical direct MoM problem, only is unknown. The wire-grid modeling technique described above, used in [4] and [14] to address direct problems, will now be extended in the framework of the data (6) and system (7) equations used in tomography. In this case, both and are unknown. As such, (10) can be seen as equivalent to (7), but cast into a thin-wire model framework. In order to find the quantity of interest , a second equation is required. This equation should be equivalent to (6) and establish a relationship between the fields in the solution domain and field measurements taken outside . Such a relationship is used in an implementation of the equivalent current method (ECM) used in antenna characterization. The formulation used in [19] and [20] is based on a thin wire discretization of the equivalent sources and uses the same type of basis functions as those leading to (10). In the context of the ECM, we can write

source of equivalent currents. In the problem at hand, these currents are the polarization currents induced by the incident field in the DUT. Of course, in a real measurement, the probe receives both the incident and the scattered field. The first contribution can be obtained by first measuring the induced probe voltages in the absence of the scatterer. They can then be subtracted from the voltages measured when both the incident and scattered fields are present. Here, vector is the same as in (10) and is made up of the coefficients of the unknown induced current distribution. In (11), plays the same role as matrix in (6). The mamatrix trix term represents the voltage induced at the terminals of the near-field probe in position by basis function activated with a unit (1 amp) current. Again, each term of can be calculated with an MoM code, assuming that the probe can also be modeled accurately by thin wire segments. Before proceeding to the solution of our wire-grid-based tomography in Section IV, practical implementation issues should be discussed. In (10), the open circuit voltage can only be calculated if is known accurately. In practice, is generated by an illuminating source (e.g., a horn antenna) for which the near field is only known approximately. Consequently, a thorough characterization of is required prior to solving the system of equations. An approach similar to the one presented in [21] can be used. In our experiments, was characterized with the same near-field probe used for the measurements of . The probe was an electrically short dipole . Assuming a uniform along the probe and a triangular current distribution along its arms, we can write (12) where is a unit vector pointing in the dipole current’s direction and is the voltage recorded by the probe. Using a dense grid of measurements, it is possible to obtain a good representation of and compute the open circuit voltages by numerical integration. The radius of the segments in the wire-grid model was set according to the equal area rule [22], [23], which showed good results for surface modeling using wire-grid models. The maximum segment length used was , where denotes the wavelength in the background medium. Finally, we should mention that, in the validation examples presented in Section V, we used thin 3-D models with noncubic cells having dimensions and . For thin DUTs exposed to incident -field perpendicular to the direction, it can be assumed that the polarization current in the 3-D wire grid is much smaller than and . For the thin plate models that will be considered in Section V, it was then assumed that only and polarization currents were present. Therefore, the wire-grid meshing had no segment in the direction. In this case, equations (8) and (9) become (13)

(11)

(14)

is a vector of voltages recorded by a near-field In (11), probe scanned over a set of positions in the neighborhood of the

The resulting model for a regular cell (not centered on the DUT boundaries) is shown in Fig. 2.

OMRANE et al.: SUBWAVELENGTH-RESOLUTION MT USING WIRE GRID MODELS

1441

Fig. 3. Detailed view of a 2-D node in the wire-grid model with lumped loads representing the contrast of physical properties. Fig. 2. RC loading for a cell of thin material in a wire-grid model.

IV. INVERSE PROBLEM We now focus on the inverse problem, i.e., estimating the impedance diagonal matrix which characterizes the unknown medium from the measurement vector and the tomography equations (10) and (11). Such a task may seem rather straightforward. In a first step, one may solve (11) for with a least-squares method by using the probe measurements only. Then, using this solution and rewriting the product as , where is a column vector formed by the diagonal matrix , we can then rewrite (10) as , which can then be solved for . Unfortunately, we found this procedure highly sensitive to noise affecting the measurement data, which is a consequence of the ill-posed nature of the tomography equations [13]. In order to overcome this difficulty, we used an approach similar to the one presented in [6], which proved to be efficient. However, the approach was adapted to the formulation of the tomography equations given in (10) and (11) and was enhanced so as to improve convergence toward a physically meaningful solution. The method is derived hereafter. Let and denote the residues associated with the data equation and the system equations, respectively. We have

and are selected so that the two where weighting factors terms in (17) are of of the same order of magnitude. Therefore, reasonable choices for the weighting factors are (18)

(19) Note that in (19) is a function of the unknown quantity . This difficulty will be addressed later. At this point, it should be underlined that minimizing (17) instead of solving (10) and (11) in two steps does not really address the ill-posed nature of the tomography equations. In order to reduce the sensitivity of the solution to observation noise and obtain meaningful solutions, additional constraints should be introduced. Here, this is achieved in two ways. First, a term which penalizes large oscillations in the estimated current vector is added to the objective function defined in (17), in a manner similar to Tikhonov regularization commonly used for solving linear ill-posed inverse problems [1], [12], [24]. Therefore, the modified objective function now reads (20)

(15) (16) where

; is the number of illuminations and . Following the approach proposed in [6] for the CSI, our goal is to minimize a weighted sum of the squared norm of the residues with respect to and . The corresponding objective function can therefore be written as

(17)

denotes the first difference matrix operator and where where is a weighting factor referred to as the regularization parameter. Second, we account for physical constraints that affect the unknown quantities to be estimated. Here, we use the fact that any estimated permittivity values must be greater than or equal to the permittivity of vacuum, whereas conductivity values must always be positive or zero. In addition, it is assumed that the DUT is isotropic, so that unknowns and on a node associated with currents flowing along and must be equal. As seen in Fig. 3, anisotropy could be allowed in the wire-grid model by letting and on loads belonging to orthogonal segments connected to the same physical point.

1442

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Finally, the optimization problem corresponding to estimation of and under the constraints mentioned above can be formulated as (21) (22) (23) (24) (25) and are, respectively, the physical where properties along and . means the imaginary part and means the real part. The constraints are written in terms of load admittance. Here, is a column vector formed with the diagonal elements of matrix . Equations (22)–(25) ensure that the admittance is always in the feasible set defined by positives admittances and the isotropy criteria. We now describe the technique proposed for minimizing (21) under constraints (22)–(25). Regardless of constraints (22)–(25), the solution to (21) cannot be expressed in closed form. Therefore, objective function must be minimized using a numerical technique. Here, we select an iterative, descent-type algorithm for two major reasons. First, such techniques present an interesting tradeoff between the convergence speed, implementation difficulties, and quality of the results (for example, see [6]). The specific algorithm is presented further onward. Second, such techniques provide easy ways of evaluating weighting factor and regularization parameter as well as implementing constraints (22)–(25) without explicit computation of any Lagrange parameter. More specifically, constraints (22) and (23) are enforced using a logarithmic barrier function [25]–[28] acting as a boundary between the physical and nonphysical admittances. Similarly, constraints (24) and (25) are enforced using a quadratic penalty function [25]. In both cases, the technique essentially consists of adding extra penalty terms to the objective function in order to make sure that the constraints are fulfilled asymptotically. However, one should notice that isotropy can be built into the model by setting one permittivity and one conductivity for each node of Fig. 3, instead of for each segment. This reduces the number of unknown admittances, but the size of matrix is not reduced, since we still need to embed one lumped load on each of the orthogonal segments crossing each node. The respective expressions of the objective functions without (26) and with (27) built-in isotropy are

(26)

where is the index of the iterations and where quantities that are function of unknowns and are now indexed by . is the residue of the system equation evaluated as , where is a real matrix mapping admittances associated with nodes into segment admittances . is the number of nodes in the wire-grid model. represents the unit row vector and is the barrier parameter weighting the constraint penalty terms. At the beginning of the iterative process, is set to a high value to drive the solution away from the forbidden area. As iterations go along, goes toward 0 and the relative weight of the quadratic penalization grows stronger. This leads to a path of strictly feasible points called the central path (CP). The decrease rate of must be chosen carefully in order to avoid admittances outside set . Matrices and are used to separate vector into its components and , respectively, associated with the and directions (28) (29) For reasons related to computational efficiency, which will be discussed in Section VI, we chose to use objective function (26) in the remainder of this study. The value of the regularization factor could be determined empirically by trial and error. However, in order to avoid a potentially lengthy and tedious process, we assume that the appropriate regularization levels on are essentially similar in the complete problem (26) and in the partial problem defined by (11). Therefore, we first solve the partial problem of (11) using the same penalty term as in (26), i.e.,

(30)

in which the value of regularization parameter is determined with a generalized cross-validation (GVC) technique [29]. Then, appropriate weighting is applied to in order to determine the value of as follows: (31) and this value is used throughout the iterations. In order to solve (26), we use essentially the same procedure as the one proposed in [1] for CSI which showed great efficiency; it consists of minimizing alternatively with respect to and , with each partial minimization being carried out by one iteration of a conjugate gradient algorithm. Note that this approach allows us to evaluate weighting factor by using the current estimate of the admittances. The current update formulas can be written as (32)

(27)

(33)

OMRANE et al.: SUBWAVELENGTH-RESOLUTION MT USING WIRE GRID MODELS

with the Polak–Ribière conjugate direction

1443

is the residue (16) at and . In the above where equations, is defined as and as . The gradient for the equality constraint is given by (34) (43)

is the descent direction and is the step length where toward the minimum of (26). The complex gradient vector with respect to takes the following form:

and the gradient of the logarithmic barrier can be expressed as

(44) (35) where superscripts and , respectively, denote the conjugate transpose and the transpose operators. The step length is evaluated directly by setting to 0, which leads to

(36)

Similarly, the admittance update formulas can be written as

(45)

where is the total number of unknowns. Unfortunately, the step size cannot be expressed in closed form, and a line search method must be used to determine its value. In order to obtain appropriate convergence properties, it is preferable for the line search method to satisfy the strong Wolfe conditions [25]. However, local convexity of (26) must be verified first. The function and logarithmic barrier used in (26) are known to be convex, but a complete proof remains mandatory by evaluating the Hessian’s eigenvalues. The Hessian is defined as

(37) (38) with the Polak–Ribière conjugate direction

(39)

We define the voltage on each load as

(40) and the complex gradient vector with respect to pressed as

can be ex-

(46) (41) where the gradient of the original cost function takes the following form:

where superscript denotes the complex conjugate operator. The proof of convexity has been done numerically by using the initial condition defined later in this paper. To ensure a sufficient decrease of (26), the Armijo conditions [25] require that

(42)

(47)

1444

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

where . This condition may produce very small step sizes, which slows down the convergence significantly. In order to avoid this problem, we used the curvature condition [25] defined as

TABLE I SUMMARY OF THE NUMERICAL PROCESS FOR WIRE-GRID MODELS

(48) . was set to [25] and where was chosen empirically to allow a sufficient decrease without crossing the boundary of . The strong Wolfe conditions are evaluated iteratively until a step length satisfies both (47) and (48). Normally, the step length is initialized with a value of 1 and then decreases at each internal iteration. This does not guaranty a solution inside for too long a step. To avoid this problem, the initial step size for (47) and (48) was set to a very small value and then increased at each internal iteration. However, this procedure is computationally intensive, and one way to reduce the number of internal iterations is to increase the step length by a large factor. In our experiments, a value of 2 was found to be satisfactory. As far as the initial conditions are concerned, the starting current is chosen to minimize in (17) as follows:

(49) Once the initial current is known, the starting admittance is chosen to minimize in (17) as

(50)

where corrects any negative admittance. Equations (48) and (49) are useless if the initial barrier parameter is too large, because it will drive the initial starting point far away from (49) and (50). To find a which allows us to start near (49) and (50), we use the fact that (41) must satisfy the first-order Karush–Kuhn–Tucker necessary conditions (KKT) [25]–[27] (51) The roots of (51) are given by (52), shown at the bottom of this page. Choosing the minimum value of (52) ensures starting not far in the domain, so less iterations are necessary to

achieve convergence. Before decreasing the barrier parameter, must reach convergence for a given . At the beginning, many iterations are required to allow the initial starting point to come close to the CP before decreasing . However, as , the number of iterations needed for convergence becomes smaller. Finally, the numerical procedure used to minimize the objective function in (26) is summarized in Table I. Function divides by and returns the remainder. This algorithm shows the optimization process for (26). It is interesting to notice that plays an important part in the optimization process. At the beginning, this factor is set to a high value to let (26) come close to the central path for a given , but, when , it is set to a small value to allow (22) and (23) to vanish. In our reconstruction process, we set for the first 60 iterations and for the remaining iterations. V. NUMERICAL AND EXPERIMENTAL RESULTS A. Validation of the Equivalent Electrical Model and of the Inversion Technique A series of experiments were carried out to validate the equivalent electric network model based on a wire grid for microwave tomography and to assess the robustness of the inversion process in the presence of noise. This validation was solely based on simulated near-field measurements for the wire-grid model of a dielectric plate, for the geometry of the experimental setup presented in Fig. 1. In these simulations, we used a half-wavelength dipole antenna as a source of illumination instead of the

(52)

OMRANE et al.: SUBWAVELENGTH-RESOLUTION MT USING WIRE GRID MODELS

Fig. 4. Thin dielectric plate (shaded) modeled with an equivalent wire grid.

horn antenna used in the experiments. Of course, appropriate vectors were used en each case. Two illuminations were considered, i.e., with the dipole oriented in the and directions, respectively. The probe scanned a area in the plane while the dimensions of the grid area for the model was , as shown in Fig. 4. The length of each grid cell was set to . Black dots in the figures indicate the locations of the lumped loads on the wire grid, and segments between dots are supporting the current basis functions. Four sets of 1275 measurements were taken to cover the two cases of illumination and the two probe polarizations, while the number of basis functions was set to 278. The simulated DUT was a -thick piece with and S/m surrounded by vacuum . We used a test frequency of 2.45 GHz in all of the simulations and experiments. This model was tested in order to assess the reconstruction of both permittivity and conductivity profiles by our optimization process. The DUT in Fig. 4 represents a case where actual DUT boundaries (e.g., right and top edges) accidentally overlap with wire segments and nodes of the model. Physically, the material properties are not uniquely defined on the boundaries. Furthermore, in (13) and (14), relating the material properties to the estimated admittances, it is assumed that the nodes are not located on a vertex. Different expressions, given in [4] and [14], should be used for loading admittances parallel and perpendicular to a vertex. Of course, this is not possible without knowing the location of the vertices, which will always be the case in inverse problems unless a priori information is available. In our formulation, the isotropy criteria (24) and (25) rule that the lumped admittances along and at any node must converge to the same value. Therefore, it is important to examine the case of on-boundary nodes depicted in Fig. 4 in order to determine the impact of our criteria on the resolution of the reconstructed material property distributions. In order to evaluate the robustness of the reconstruction algorithm, Gaussian noise was added to the simulated probe voltages and . Results from the reconstruction algorithm applied to the DUT represented in Fig. 4 are shown in Fig. 5 for cases with and without penalty term . A dotted frame was added to indicate the positions of the actual DUT boundaries. In the and maps, the actual shape of the DUT is clearly visible for signal-to-noise-ratio (SNR) values of 30 dB and

1445

higher. The smoothing effect of the penalty term is noticeable on the and maps for the two cases with higher noise levels. When the SNR falls below 20 dB, the quality of the reconstructed object is severely degraded so that identification of details such as edges and corners becomes impossible. This can be better appreciated in Fig. 6, which shows and along the axis for different SNRs with and without penalty term . The figure also illustrates that the values of and stabilize near the expected ones within one or two mesh cells from the boundaries. Correction of this limited resolution would require decreasing , which would increase the computation time. When , strong spatial variations of estimated currents are permitted, so high values of and for SNR dB can be found anywhere within the modeled area. The smoothing term has an obvious enhancement of both effect of the and reconstruction for noisy data. In all cases, no negative and values were found, which confirms the effectiveness of the logarithmic barrier. For each SNR, the values of are shown in Table II. A steady increase of with the noise level can be observed, as expected. The mean square errors (MSEs) on (53) and (54) are reported in Table III. In order to isolate the noise-induced error and not to include errors due to the limited resolution, the MSE was evaluated using the noiseless reconstruction results as the reference. It can be seen that error reduction due to regularization becomes more apparent as the noise level increases MSE

(53)

MSE

(54)

The equivalent electric network model for microwave tomography based on wire grids shows good simulations accuracy in the modeling of thin dielectric plates. The errors on permittivity estimation are concentrated within one cell from the boundaries and appear to be only dependent on the wire-grid meshing size. Regarding the case where model nodes fall directly on the DUT boundaries, a noteworthy effect visible on the low-noise cases in Fig. 5 is the erosion of one complete DUT cell along the whole edges of the reconstructed distributions. On the edge cells not coincident with boundary nodes (left and bottom), there is a smoother transition, and the predicted properties are between those of the DUT and of the background media. In all cases, the robustness of the inversion process in the presence of noise is demonstrated, and the logarithmic barrier gives physically meaningful and . The reconstruction process for both experimental and numerical results was performed on a Pentium 4 1.8-GHz PC with Matlab code. The convergence of the numerical process is reached when the following criteria are satisfied:

and (55)

1446

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 5. Reconstruction of the " and  distributions in the presence of noise for the model shown in Fig. 4, with and without penalty term

kL I k .

Fig. 6. DUT cross section along the x axis (y = 0). (a) Permittivity profile. (b) Conductivity profile. The case SNR = 20 dB and  = 0 is represented by (o). The case SNR = 20 dB and  = 0 is represented by ( ). The case SNR = 50 dB and  = 0 is represented by ( ). The case SNR = 50 dB and  = 0 is represented by ( ). The boundaries of the DUT are represented by ( ) and arrows.

3

6

}

00

TABLE II REGULARIZATION PARAMETER FOR EACH SNR

Fig. 7 depicts the evolution of computing time and convergence as a function of the number of iterations for the DUT considered above. Results are presented for the two objective functions [see (26) and (27)]. In Fig. 7(a), it can be noticed that the objective function with built-in isotropy [see (27)] requires about twice the time as the function implementing penal-

6

TABLE III MSE FOR " AND  FOR THE DUT OF FIG. 4

OMRANE et al.: SUBWAVELENGTH-RESOLUTION MT USING WIRE GRID MODELS

1447

Fig. 8. Near-field test bench.

Fig. 9. Permittivity profile from experimental data for the first thin plate. The represented area is the area covered by the wire-grid meshing of dimensions 7=5 .

2

Fig. 7. Computing performance for objective functions (26) (solid line) and (27) (dashed line). (a) Computation time and (b) convergence of the objective function. The results are for the DUT depicted in Fig. 4. The model uses 278 and 161 unknowns for fuctions (26) and (27), respectively, and 5100 measurement points in both cases.

ization of anisotropy [see (26)]. The average convergence time in both cases strongly depends on the computation according to the inexact line search method satisfying conditions (47) and (48). The number of iterations to retrieve the correct changes for each , which results in nonlinear curves in Fig. 7(a). However, in Fig. 7(b), the objective function (27) seems to have a slightly better convergence than (26) does, but this does not translate into lower convergence time. B. Validation With Experimental Data The measurement setup consisted of a planar near-field test bench. A pyramidal horn antenna operated at 2.45 GHz acted as the illuminator. Only two illumination conditions were considered: one with the plane of the antenna parallel to plane

and the other one with the plane parallel to the plane . The axis was perpendicular to the aperture of the antenna and to the dielectric slabs used as DUTs. The horn aperture is at distance of from the DUT. A short dipole of length was connected to a Marchand balun [30] and acted as an -field probe. The probe scanned a plane at a height of above the DUT. For each illumination condition, the probe was used to measure both and . A schematic representation of the test bench is shown in Fig. 8. The probe voltage was assumed to be proportional to the -parameter measured by the vector network analyzer (VNA). Our experimental validations were performed with dielectric plates of various shapes. The DUT material was a -thick piece of Duroid with and , which yields an effective conductivity of S/m. The DUT was surrounded by air . The first dielectric plate was identical in shape to the one presented in Fig. 4. The reconstruction results from our experimental data are represented in Fig. 9. Good agreement with the expected profiles can be noticed within a one-cell resolution limit. It should be noted that all DUTs mentioned in this section were fabricated with low-loss dielectric materials. Consequently, the retrieved conductivity maps have little interest and were not reported here. In all of the experimental cases presented, the retrieved conductivity values varied between 0

1448

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 10. DUT consisting of two media.

Fig. 12. Three-media inhomogeneous DUT.

Fig. 11. Permittivity profile from experimental data for the second DUT. The represented area correspond to the wire-grid meshing of dimensions 7=5 .

Fig. 13. Permittivity profile from experimental data for the third DUT. The represented area corresponds to the wire-grid meshing of dimensions 7=5 .

and 5 10 S/m, which yields a maximum loss tangent of 0.0016. The second DUT was similar to the first one, but with a window of material removed in the center, as illustrated in Fig. 10. The reconstruction results are presented in Fig. 11. With this DUT, it is more obvious that the small number of unknowns plays an important role in the blurring occurring near the boundaries. Of course, the expected profile could be made visible by increasing the number of basis functions, but this would increase the computation load. The results shown in Fig. 11 confirm that blurring is essentially localized within one cell of the mesh around the DUT boundaries, regardless of the size of the DUT. Therefore, it appears that the resolution of the reconstructed object is not limited by the use of a long wavelength (12.2 cm), but simply by the size of the model cells. Finally, a DUT comprising air and two dielectric media is shown in Fig. 12. It is essentially the same as the previous DUT except for the center window, which is now filled with a material with properties and . The purpose of this example is to validate the accuracy of the process in presence of a higher permittivity contrast. The reconstruction results from experimental data are shown in Fig. 13. For , the estimated values are quite close to the actual ones (2.33). However, the estimated values for are significantly

lower than the actual ones in spite of a satisfactory convergence of the optimization procedure. Two reasons can justify this result. First, with a high contrast, the contribution of the inner part is small due to loading by the external part of of the DUT to the object. With our wire-grid model, this comes down to saying that the equivalent capacitance of a low capacitance and a high capacitance connected in series is always dominated by the lower value. That is, the higher capacitances have less influence on the scattered field and should therefore be more difficult to retrieve accurately. Second, as noticed in the previous example, the third medium is not modeled with a sufficiently high number of unknowns: only one basis function along and is completely included in this medium. In spite of the resulting poor resolution, the error on the permittivity in the inner region is on the order of 9% , and the image allows a clear identification of this small central region.

2

2

VI. CONCLUSION In this paper, the implementation of a microwave tomography technique aiming at subwavelength resolution imaging of the permittivity distribution in nonhomogeneous isotropic dielectric bodies was investigated. No a priori assumptions were made on the strength of the permittivity contrasts. Consequently, no

OMRANE et al.: SUBWAVELENGTH-RESOLUTION MT USING WIRE GRID MODELS

approximation or linearization (e.g., Born approximation) of the tomography equations was considered. The tomography equations were cast in the formulation of wire-grid modeling of the polarization currents, which had proved its efficiency in the scattering analysis of nonhomogeneous 3-D bodies [14]. As well, wire models were conveniently used to implement equivalent currents in the antenna near-field characterization [20]. The use of wire-grid models in tomography is very convenient, since it allows computations of near-field interactions between polarization currents and the probes to be made in the well-established thin-wire method of moment formalism [16]. In the solution of the inverse problem, the paper proposes a regularization scheme using two new terms to account for the physics of the body under test. First, using wire models in which material properties are implemented with lumped loads on wire segments having three possible orientations may lead to retrieval of unexpected anisotropic permittivities. This can be avoided by associating the loads with nodes instead of segments. This approach, for which detailed results have not been presented in this paper, was also implemented. It led to fewer unknowns but also to increased computation time in the complex vector gradient evaluation used in the conjugate gradient solution. Consequently, a model with loads associated with segments was retained, but a new penalty term was included in the cost function to prevent anisotropy. Second, a penalty in the form of a logarithmic barrier was implemented to prevent negative permittivity and conductivity values. The performance of the implemented regularization technique and wire-grid models was validated through simulations and measurements. For this validation, only thin dielectric plates were considered as bodies under test in order to simplify computations. It was found that regularization improved the reconstruction accuracy in the presence of noise on the measurements. The introduction of isotropic and positive and constraints ruled out any nonsensical physical parameter values, but added more complexity to the optimization problem. The algorithm presented deals with those difficulties and offers good results. The reconstruction algorithm showed limited accuracy near the boundaries between two media. The occurrence of discontinuities in material properties along a boundary was efficiently handled by the equality (isotropy) constraint, and the loss of accuracy was limited to only one cell. This problem can be alleviated by increasing the number of basis functions. In the examples shown here, the meshing resolution was set to . The values of permittivity contrast recovered were 1.33 and 4.6, compared to expected values of 1.33 and 5.15, with air as a background medium. Other reasons for resolution limitation include the finite size of the field probe and the concentration of the continuously distributed polarization currents into thin wire segments. Although the probe size is taken into account in our formulation, these effects have not been investigated and should be addressed in future work. The results presented in this paper were achieved with planar near-field scans on thin DUTs. The case of thick DUTs, that are possibly nonhomogeneous in the direction, has not been addressed. More work is required to determine appropriate illumination and field-scanning schemes for this more complex case.

1449

REFERENCES [1] A. Abubakar, P. M. van den Berg, and J. J. Mallorqui, “Imaging of biomedical data using a multiplicative regularized contract source inversion method,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 7, pp. 1761–1771, Jul. 2002. [2] A. Joisel, J. Mallorqui, A. Broquetas, J. M. Geffrin, N. Joachimowicz, M. V. Lossera, L. Jofre, and J.-C. Bolomey, “Microwave imaging techniques for biomedical applications,” in Proc. IMTC’99 Instrum. Meas. Technol. Conf., Venice, Italy, 1999, pp. 1591–1596. [3] A. E. Bulyshev, A. E. Souvorov, S. Y. Semenov, V. G. Posukh, and Y. E. Sirov, “Three-dimensional vector microwave tomography: theory and computational experiments,” Inverse Problems, vol. 20, pp. 1239–1259, 2004. [4] T. D. Pham, “Wire grid modeling for microwave heating and thermal runaway,” M.A.Sc. thesis, Dept. Elect. Eng., Univ. of Toronto, Toronto, ON, Canada, 1990. [5] J.-J. Laurin, J.F. Zürcher, and F. Gardiol, “Near-field diagnostics of small printed antennas using the equivalent magnetic current approach,” IEEE Trans. Antennas Propag., vol. 49, no. 5, pp. 814–828, May 2001. [6] P. M. van den Berg and A. Abubakar, “Inverse scattering and its application to medical imaging and subsurface sensing,” Radio Sci. Bull., no. 303, pp. 13–26, Dec. 2002. [7] A. Franchois, A. Joisel, C. Pichot, and J.-C. Bolomey, “Quantitative microwave imaging with a 2.45 GHz planar microwave camera,” IEEE Trans. Med. Imag., vol. 17, no. 8, pp. 550–560, Aug. 1998. [8] N. Joachimowicz, J. Mallorqui, J.-C. Bolomey, and A. Broquetas, “Convergence and stability assessment of Newton–Kantorovich reconstruction algorithms for microwave tomography,” IEEE Trans. Med. Imag., vol. 17, no. 8, pp. 562–570, Aug. 1998. [9] N. Joachimowicz, C. Pichot, and J.-P. Hugonin, “Inverse scattering: an iterative numerical method for electromagnetic imaging,” IEEE Trans. Antennas Propag., vol. 39, no. 12, pp. 1742–1752, Dec. 1991. [10] Z. Q. Zhang and Q. H. Liu, “Two nonlinear inverse methods for electromagnetic induction measurements,” IEEE Trans. Geosci. Remote Sens., vol. 39, no. 6, pp. 1331–1339, Jun. 2001. [11] I. T. Rekanos, M. S. Efraimidou, and T. D. Tsiboukis, “Microwave imaging: Inversion of scattered near-field measurements,” IEEE Trans. Magn., vol. 37, no. 5, pp. 3294–3297, Sep. 2001. [12] I. T. Rekanos, T. V. Yioultsis, and T. D. Tsiboukis, “Inverse scattering using the finite-element method and a nonlinear optimization technique,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 3, pp. 336–344, Mar. 1999. [13] K. Belkebir, R. E. Kleinman, and C. Pichot, “Microwave imaging—location and shape reconstruction from multifrequency scattering data,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 4, pp. 469–476, Apr. 1997. [14] A. M. Chung and K. G. Balmain, “Tray-shape effect in a computation model of microwave heating,” Can. J. Elect. Eng., vol. 20, pp. 173–178, Dec. 1995. [15] M. A. Tilston and K. G. Balmain, “A multiradius, reciprocal implementation of the thin-wire moment method,” IEEE Trans. Antennas Propag., vol. 38, no. 10, pp. 1636–1644, Oct. 1990. [16] ——, “On the suppression of asymmetric artifacts arising in an implementation of the thin-wire method of moments,” IEEE Trans. Antennas Propag., vol. 38, no. 2, pp. 281–285, Feb. 1990. [17] C. A. Balanis, Advanced Engineering Electromagnetic. New York: Wiley, 1989. [18] W. L. Stutzmann and G. A. Thiele, Antenna Theory and Design. New York: Wiley, 1998. [19] Z. Ouardirhi, “Technique expérimentale de mesure en champ proche pour calcul du champ lointain d’éléments rayonnants filaire,” M.A.Sc. thesis, Dept. Elect. Eng., École Polytechnique de Montréal, Montreal, QC, Canada, 2000. [20] Z. Ouardirhi and J. J. Laurin, “Near-field characterization of low directivity wire antennas,” in Proc. URSI EMTS Int. Symp. Electromagn. Theory, Victoria, BC, Canada, May 2000, pp. 27–31. [21] S. D. Harrah, K. T. Ng, and T. E. Batchman, “Voltage response and field reconstruction for a miniature field Probe in a spatially nonuniform electric Field,” IEEE Trans. Instrum. Meas, vol. 39, no. 1, pp. 27–31, Feb. 1990. [22] A. C. Ludwig, “Wire grid modeling of surfaces,” IEEE Trans. Antennas Propag., vol. AP-35, no. 9, pp. 1045–1048, Sep. 1987. [23] J. T. Mayhan, “Characteristic modes and wire grid modeling,” IEEE Trans. Antennas Propag., vol. 38, no. 4, pp. 457–469, Apr. 1990.

1450

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

[24] A. N. Tikhonov and V. Y. Arsenin, Solution of Ill-Posed Problems. Washington, DC: V.H. Winston, 1977. [25] J. Nocedal and S. J. Wright, Numerical Optimization. Berlin, Germany: Springer-Verlag, 1999, Springer Series in Operations Research. [26] S. G. Nash and A. Sofer, Linear and Nonlinear Programming. New York: McGraw-Hill, 1996. [27] R. Fletcher, Pratical Methods of Optimization, 2nd ed. New York: Wiley, 1987. [28] C. A. Johnson, J. Seidel, and A. Sofer, “Interior-point methodology for 3-D PET reconstruction,” IEEE Trans. Med. Imag., vol. 19, no. 4, pp. 271–285, Apr. 2000. [29] G. H. Golub and M. Heath, “Generalized cross-validation as a method for choosing a good ridge parameter,” Techometrics, vol. 21, pp. 215–223, May 1979. [30] R. A. Elasoued, J. J. Laurin, and Y. Goussard, “Design and characterization of a broadband near-field probe,” in Proc. Int. Symp. Antennas Tech. Appl. Electromagn. (ANTEM), Ottawa, ON, Canada, Jul. 2004, pp. 451–455.

Badr Omrane received the B.Eng. and M.A.Sc degrees in electrical engineering from École Polytechnique, Montreal, QC, Canada, in 2002 and 2005, respectively, and is currently working toward the Ph.D. degree in nanotechnology at the University of Victoria, Victoria, BC, Canada. In 2005, he joined the Poly-Grames Research Center, École Polytechnique, where he was an Associate Researcher in microwave tomography.

Jean-Jacques Laurin (S’87–M’91–SM’98) was born in Le Gardeur, QC, Canada, in 1959. He received the B.Eng. degree in engineering physics from École Polytechnique, Montreal, QC, Canada, in 1983, and the M.A.Sc and Ph.D. degrees in electrical engineering from the University of Toronto, Toronto, ON, Canada, in 1986 and 1991, respectively. In 1991, he joined the Poly-Grames Research Center, École Polytechnique, where he is currently a Professor. His research interests are in the areas of antenna design and modeling, near-field antenna measurement techniques, microwave tomography, and electromagnetic compatibility.

Yves Goussard (M’89) was born in Paris, France, in 1957. He graduated from the École Nationale Supérieure de Techniques Avancées in 1980 and received the Docteur-Ingénieur and Ph.D. degrees from the Université de Paris-Sud, Orsay, France, in 1983 and 1989, respectively. From 1983 to 1985, he was a Visiting Scholar with the Electrical Engineering and Computer Science Department, University of California, Berkeley. In 1985, he was appointed as a Chargé de Recherche with CNRS, Gif-sur-Yvette, France, and, in 1992, he joined the Biomedical Engineering Institute and the Electrical Engineering Department, École Polytechnique, Montreal, QC, Canada, where he is now a Full Professor. After some work on nonlinear system identification and modeling, his interests moved toward ill-posed problems in signal and image processing.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

1451

Left-Handed Electromagnetic Properties of Split-Ring Resonator and Wire Loaded Transmission Line in a Fin-Line Technology Thibaut Decoopman, Aurélien Marteau, Eric Lheurette, Olivier Vanbésien, and Didier Lippens

Abstract—We report on experiments carried out on a backward transmission line, which consists of a fin-line periodically loaded by split-ring resonators and shunt wires. We first demonstrate the lefthanded character of these frequency-selective transmission lines via: 1) dispersion diagram calculations which have been compared to the frequency dependence of measured scattering parameters; 2) the tracking of the phase fronts; and 3) the phase offset between two lines of various lengths. The effective refraction index found experimentally was approximately 4. Taking benefit of the use of a closed electromagnetic system and successful matching, the losses are especially analyzed. The ratio between incident and absorbed energy is 65% for a three-cell prototype and reaches 91% for ten cells. Index Terms—Fin line, left-handed (LH) materials, metamaterials.

I. INTRODUCTION ETAMERIALS are now attracting much interest with the prospect to synthesize artificial electromagnetic (EM) media of a negative refractive index [1]. As a consequence, the refraction will be negative at the interface separating two media with a positive and negative index, respectively [2]. The propagation of the wave is also backward in the negative refractive index material. These artificial structures are termed “left-handed (LH) materials,” as it was proposed by Veselago [3]. With respect to the techniques for fabricating them, there are several routes. The first solution is based on double-negative metallic periodic arrays, which consist of the so-called split-ring resonators (SRRs) proposed by Pendry et al. and of wires [4], [5]. The second solution makes use of an EM propagation structure loaded periodically by lumped shunt inductance and series capacitance [6], [7]. In both cases, the EM propagation of waves is backward in the sense that the phase fronts are moving opposite to the direction of energy (the wave vector and the Poynting vector are antiparallel). From the dispersion

M

Manuscript received September 5, 2005; revised December 22, 2005. This work was supported by the European project TELEMAC. This work was supported in part by the European Space Agency under fin-line technology. T. Decoopman was with the Institut d’Electronique de Microélectronique et de Nanotechnologies du Nord, Université des Sciences et Technologies de Lille, 59652 Villeneuve d’Ascq Cedex, France. He is now with Astrium Velizy France, Vélizy 78140, France. A. Marteau, E. Lheurette, O. Vanbésien, and D. Lippens are with the Institut d’Electronique de Microélectronique et de Nanotechnologies du Nord, Université des Sciences et Technologies de Lille, 59652 Villeneuve d’Ascq Cedex, France (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.871356

characteristic point-of-view, this means that the phase and group velocities are of opposite sign. In this paper, we report on experimental characterization of a novel backward structure whose modeling was published in [8]. The main goal is to experimentally demonstrate the LH character of the propagation along this line and to extract a negative effective refraction index. The losses, which are of major concern in the filtering and phase shifting applications, are also more specially addressed. Thus, based on four-port vectorial network analysis, we unambiguously demonstrate that the EM propagation for an -band prototype, fabricated in a printed circuit board (PCB) technology for the planar circuit, exhibits an LH behavior around 12 GHz. With respect to the overall losses, we first solve the problem of spurious reflection losses by the design and fabrication of a proper tapering section based on a previous work [9]. We then show that the intrinsic losses are dramatically increased as a function of the resonator number leading to some tradeoff in terms of performances. In Section II, we outlined the guidelines for designing the structures by considering separately the periodic structures that permit us to synthesize the negative permittivity and permeability media. In a first stage, the dispersion characteristics in the passbands and the evanescent lengths in the forbidden bands are computed. Section III deals with the network vectorial measurements with main emphasis on a parametric study as a function of resonator number and, thus, transmission-line lengths. Excellent agreement is found between calculated and measured data with singularities around the resonance frequencies. II. DESIGN AND FABRICATION Basically, this study began by following the proposal published in [10], which makes use of a hollow waveguide designed below the cutoff frequency in order to synthesize a negative permittivity. SRRs, placed in the -plane of the waveguide, were used for the fabrication of a negative permeability media. The main drawback of the aforementioned structure is the coupling of the EM wave to the sub cutoff waveguide. Therefore, in [10], insertion losses, attributed to reflection losses, as high as 15 dB was measured irrelevant of the number of resonators. Conventional tapering section could, in principle, be designed in order to avoid such mode mismatching, but at the detriment of the simplicity afforded by the sub cutoff waveguide scheme. In order to face this difficulty, it was decided to operate in a propagating mode for the hollow, which facilitates mode matching with the requirement to synthesize a negative permittivity. It is now well

0018-9480/$20.00 © 2006 IEEE

1452

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 1. Schematic of the unit cell of an LH transmission line in a fin-line technology. Unit cell length a = 3:3 mm; single SRR dimensions b = 2:62 mm, c = 0:250 mm, and w = 0:2 m. Wire width l = 0:2 mm. Gap of the slot 0.2 mm.

Fig. 3. Frequency dependence of the guided wavelength for a conventional fin line and for the LH section.

Fig. 2. Dispersion diagram of the unit cell.

Fig. 4. Scattering parameters S (solid line) and S (dashed lines) calculated by full-wave analysis for a five-cell transmission line, including metal and dielectric losses. Inset shows zoom in maximum transmission.

known that a negative permittivity can be achieved via a wire array with a high-pass filter frequency response. The waveguide counterpart of this scheme is a transmission line loaded by shunt narrow wires. At this stage, the high similarity between the solution can be recognized, which takes advantages of transmission lines loaded by shunt inductances (which corresponds to narrow wires) and series capacitance. However, in order to fabricate a negative permeability medium, we have preferred to use SRRs in the same way as proposed in [11] in a coplanar waveguide technology with SRRs patterned on the backside of the substrate. By combining these guidelines, we obtained the basic cell displayed in Fig. 1. A uniplanar fin line is periodically loaded by 0.2-mm-wide metal strip and SRRs whose relevant dimensions are given in the caption of Fig. 1. The resonance frequency of the SRR is targeted in the -band, namely, around 10 GHz. The line is periodically loaded by this basic scheme, notably varying the number of resonators. The band diagram of the unit cell with a single SRR is reported in Fig. 2 for real and imaginary vectors. Further details about the numerical procedure, used for calculating these dispersion characteristics, can be found in [8]. On the

basis of the calculations, one can expect that the structure is LH between 11.5–12.5 GHz and exhibits a forbidden gap between 12.5–17.5 GHz. A full transmission is recovered above 17.5 GHz, which corresponds to the plasma frequency of the wire array. Beyond the anomalous properties outlined in Section I based on the reversal of the vector with respect to the Poynting vector, the high dispersive nature of the loaded line with respect to the bare fin line with inversion of the curvature in the band structure and, thus, an increase of wavelength at increasing frequency can be noted (Fig. 3). It is also possible to perform simulations of the EM propagation assuming a finite line length. In practice, the simulations were conducted by full-wave analysis with Ansoft’s commercial software High Frequency Structure Simulator (HFSS). An example of results that can be achieved with this simulation tool is given in Fig. 4 with the plot of the frequency dependence of scattering parameters. For these calculations, metallic and dielectric losses have been included with a copper conductivity of 5.8 10 S/m, while loss tangent of the substrate is 9 10 . The maximum of transmission is close to 2 dB over the LH frequency band. The relevant frequency characteristics are in

DECOOPMAN et al.: LH EM PROPERTIES OF SRR AND WIRE LOADED TRANSMISSION LINE IN FIN-LINE TECHNOLOGY

1453

Fig. 5. View of the X -band prototype. Fig. 6. View of the SRR linear array patterned on the back side of the Duroid substrate.

agreement with the dispersion features, while a high rejection is noted in the forbidden gap. From the application viewpoint, this opens the way of high-performance filters taking advantage of artificial magnetism through a C-shaped metal pattern, as was already pointed out in previous publications [11], [12]. Perhaps more important is the LH character of the propagation that can be demonstrated from ab-initio simulations, namely, with the tracking of the phase fronts as a function of time. The calculations, using an HFSS code, are performed in the frequency domain. However, an idea of phase moving can be obtained via initial phase shift of the EM wave impinging onto the device and mapping of the EM fields. [8, Fig. 5] is a numerical demonstration of the existence of a backward wave in the fin-line-based device. By mapping the electric field in a plane perpendicular to the substrate and for a different phase shift at the input, a reversal of the vector was pointed out at the interface between the conventional fin-line section and the central one where an LH feature is expected. For prototyping of the fin-line transmission line, the slot has a width of 200 m, which corresponds to a characteristic impedance of approximately 120 . Therefore, in order to minimize as far as possible the return loss, avoiding by this way standing wave within the active region and also to measure accurately the intrinsic losses, the LH-based section was clad with two tapering sections whose design principle was published elsewhere [9]. In short, mode matching is achieved via slightly corrugated tapers whose optimization was performed via a homemade code. Such an optimization yields a return loss better than 20 dB over the bandwidth of interest. At this stage, a prototype including right-handed (RH) access and taper sections and LH transmission structures was fabricated in a printed circuit board (PCB) technology for the planar circuits, while the hollow waveguides were realized using conventional copper machining techniques. Figs. 5 and 6 show two views of the completed device. The dielectric substrate is made from RT Duroid with a relative permittivity of 2.2 and a loss tangent of 9 10 . The metal patterns, notably the C-shaped resonators, are made of copper with a thickness of 17 m and were patterned by mechanical milling. Five types of prototypes have been fabricated and subsequently assessed by vectorial network analysis.

Fig. 7. Frequency dependence of the insertion loss (S ) for a five-cell prototype; calculated data (dotted lines), experimental data (solid line).

III. EXPERIMENTAL RESULTS AND ANALYSIS Measurement of scattering parameters was conducted on an Agilent HP 8510 vectorial network analyzer. A Calset was established including the coaxial-waveguide transitions necessary to RF probe the structure. Fig. 7 gives the variations of the scattering parameters, which were measured and calculated between 10–18 GHz for a five-cell prototype. The agreement between modeling and experiment is good, particularly for the frequency band where an LH behavior was predicted between 11.6–12.5 GHz. With respect to the magnitude of , the insertion loss that was measured is a little bit degraded with respect to the calculated ones and could infer a higher value of resistivity than that assumed in the simulation. The features in the transmission window, where the permittivity and permeability are negative simultaneously, are remarkably reproduced in the experiment with a weak ripple. The insertion loss over a frequency band of 10% are close to 4 dB, which compare favorably to the results published thus far in the literature [13], [14]. Fig. 8 displays the variations against frequency of the scattering parameter . Again, a satisfactorily agreement is found between modeling and experiment with a return loss on average better than 10 dB,

1454

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 8. Comparison of experimental (solid line) and calculated (dotted line) variations of S as a function of frequency for a five-cell prototype. Inset shows a zoom in the LH window.

Fig. 10. Comparison of loss level as a function of the number of unit cell.

Fig. 11. Measured frequency dependence of the insertion loss (S ) for a one-, three-, and five-cell prototype. Fig. 9. Intrinsic losses versus frequency, which is computed from (1) for five unit cells.

which is especially close to the resonant frequency of the C-shaped resonators around 12 GHz. Therefore, the origin of the loss has to be further clarified and, to this aim, we plotted in Fig. 9 the loss contribution, which can be computed from (1) as follows:

(1) Beyond the excellent agreement in the frequency variations of the intrinsic losses , the key result is that more than 50% of the EM wave is lost in the LH transmission line. Assessment of this first conclusion with respect to the loss level can be found from a parametric study of versus the number of resonator and, hence, the length of the LH transmission line. The results of this study are summarized in Fig. 10. It can be noticed that the loss level is dramatically influenced by the number of unit cell. Therefore, the overall loss level reaches 10 dB (90% of the loss due to absorption) for a ten-cell prototype. It will reach 15 dB for a 20-cell device. As demonstrated before, the loss stems from the resonant nature of the C-shaped patterns. Therefore, for each curve, the loss peaks at

a frequency close to the resonant frequency of SRRs depending also on the quality factor of each resonator. Discrimination between the two arrays can be performed by the characterization of prototypes consisting of only wire or SRR arrays. In this case of single negative media, the waves are evanescent in the frequency band where either the effective permittivity or permeability is negative. Under this condition, a stopband is expected. This permits us to have further information about the quality of the resonance. Figs. 11 and 12 show the variation versus frequency of the scattering parameter and of the intrinsic loss term calculated by means of (1). Here, the number of SRRs was varied between 1–5. As expected, instead of a passband, measured for double negative media (see, for instance, Fig. 8), the frequency dependence of the transmitted wave shows a stopband with two corner frequencies at 11.5 and 13 GHz, respectively. From the metamaterial point-of-view, these two frequencies correspond to the resonance frequency of the SRR and to the plasma magnetic frequency of the SRR array. From Fig. 13, it can be seen that the intrinsic losses peaks at these two characteristics frequencies, which is in agreement with simple considerations in connection with an enhancement of losses in a coupled resonator system. This also shows that it could be difficult in practical applications to avoid these intrinsic losses notably by operating much closer to the plasma

DECOOPMAN et al.: LH EM PROPERTIES OF SRR AND WIRE LOADED TRANSMISSION LINE IN FIN-LINE TECHNOLOGY

1455

Fig. 12. Intrinsic losses versus frequency for one-, three-, and five-unit cells. Fig. 14. Phase-shift offset between two lines of ten- and five-unit cells, respectively.

Fig. 13. Study of the various loss contributions for a five-cell prototype.

frequency . This solution was sometimes proposed on the sole argument of a dramatic increase of the imaginary part of the permeability in the vicinity of . Therefore, the argument, which proposes to operate a little bit away from the resonant frequencies of the SRRs, does not hold. It remains to better understand the origin of losses with the possibility to have dielectric and metallic losses. In Fig. 13, we compare the frequency variation of the losses for three cases, i.e., dielectric, metallic, and overall losses. The metallic losses are the dominant contribution and are related to the high current flowing in the C-shaped loop at the resonance. Before concluding, it remains to experimentally demonstrate the left-handedness character of the EM propagation. Some evidence was already obtained via the comparison of band structure and transmission spectrum, but a direct experimental verification is still lacking. As already pointed out in [15], an elegant means to show a reversal in the vector direction is to use the phase offset between two lines of different lengths. Under this condition, in the frequency window where the EM waves can propagate, the phase offset is positive if the propagation is backward and negative for conventional lines. Fig. 14 gives the phase offset between two lines, respectively, of ten- and five-unit cells as a function of frequency. It can be seen that is positive in

Fig. 15. Extracted effective refraction index, simulated data (dotted line), measured data (solid line).

the frequency band where the permittivity and permeability are negative simultaneously, while it is negative or vanishing elsewhere. From the phase offset , it is also possible to extract the values of the effective refraction index using (2) as follows:

(2) is the wavelength in free space, and In this expression, is the difference of length between the two lines. Fig. 15 shows the results of this extraction procedure using the simulation and experimental data. In the LH window, is negative with a maximum value of 4 obtained at 11.7 GHz. Again a good agreement between the extracted value from full-wave simulations and measurements is obtained. IV. CONCLUSION Taking advantage of a closed EM system where the propagation properties can be fully characterized, we have assessed the EM properties of a novel backward transmission line based

1456

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

on a fin-line technology. The LH character of the transmission was demonstrated experimentally with potential application in terms of negative phase shift and inversion of the frequency wavelength relationship (negative curvature in the dispersion diagram). Special attention has to be paid to the loss level resulting from the resonant nature of C-shaped patterns. It is shown by calculating the various loss terms that the high current flowing in the resonant particle are the key contribution. It was also emphasized that a few SRRs are generally sufficient to achieve a highly dispersive EM behavior with unprecedented properties. As a consequence, a tradeoff has to be found with a limited number of cells. In this study, the choice of five cells was a good compromise between a reasonable loss level and the achievement of significant phase-shift effects. Another mean to satisfy the tradeoff between the performance of the LH transmission line notably in terms of bandwidth that is narrow ( 10% here) and the negative index properties would be to decrease the factor of the resonators. The asymptotic limit in this decrease would be the transmission-line approach, which, for instance, consists of loading a one-dimensional (1-D) propagation medium with series capacitance and shunt inductance. Thus, in a recent publication, we have shown that such an approach, in the terahertz region [16], permits to work with a factor around 5–10 times smaller than the one achieved in the present study for an LH transmission line. With respect to the applications and despite that the fact that here we are using a 1-D system, whereas two-dimensional (2-D) and three-dimensional (3-D) prototypes are already demonstrated for negative refraction, many applications can be foreseen taking profit of the reversal of the phase velocity shown here. One can find in [17] high payoff directions with potential for many years using linear LH transmission lines integrated in composite transmission lines, phase shifters, and multibranch devices, which have been developed thus far with an RH scheme [18]. Also, it seems that the structures shown here could be suitable for nonlinear soliton/shock-wave nonlinear transmission lines. At last it is believed that the concept used here can be generalized to tunable devices notably through the use of ferroelectrics films, which exhibit voltage-controlled permittivity. ACKNOWLEDGMENT The authors would like to thank J. Carbonell, University of Valencia, Valencia, Spain, and F. Martin and M. Bonache, both with the University Autonoma of Barcelona, Barcelona, Spain. This study was also a part of the research program of the Institut de Recherche sur les Composants logiciels et matériels pour l’Information et la Communication Avancée (IRCICA) Institute, Villeneuve d’Ascq, France. REFERENCES [1] D. R. Smith, W. J. Padilla, D. C. Vier, S. C. Nemat-Nasser, and S. Schultz, “Composite medium with simultaneous negative permeability and permittivity,” Phys. Rev. Lett., vol. 84, no. 18, pp. 4184–4187, May 1, 2000. [2] C. G. Parazolli, R. B. Greegor, K. Li, B. E. C. Koltenbah, and M. Tanielan, “Experimental verification and simulation of negative index of refraction using Snell’s law,” Phys. Rev. Lett., vol. 90, no. 10, pp. 107 401.1–107 401.4, Mar. 2003.

[3] V. G. Veselago, “The electrodynamics of substances with simultaneously negative values of " and ,” Sov. Phys.—Usp., vol. 10, no. 4, pp. 509–514, 1968. [4] J. B. Pendry, A. J. Holden, W. J. Stewart, and I. Youngs, “Extremely low frequency plasmons in metallic meso structures,” Phys. Rev. Lett., vol. 76, pp. 4773–4776, Jun. 1996. [5] J. B. Pendry, A. J. Holden, D. J. Robbins, and W. J. Stewart, “Magnetism from conductors and enhanced nonlinear phenomena,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 11, pp. 2075–2084, Nov. 1999. [6] A. Grbic and G. V. Eleftheriades, “Experimental verification of backward-wave radiation from a negative refractive index metamaterial,” J. Appl. Phys., vol. 92, no. 10, pp. 5930–5935, Nov. 2002. [7] L. Liu, C. Caloz, C. C. Chang, and T. Itoh, “Forward coupling phenomena between artificial left-handed transmission lines,” J. Appl. Phys., vol. 92, no. 9, pp. 5560–5565, Nov. 2002. [8] T. Decoopman, O. Vanbesien, and D. Lippens, “Demonstration of a backward wave in a single split ring resonator and wire loaded fin line,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 11, pp. 507–509, Nov. 2004. [9] T. Decoopman, X. Melique, O. Vanbésien, and D. Lippens, “A taper filtering finline at millimeter wavelengths for broad-band harmonic multiplication,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 5, pp. 172–174, May 2003. [10] R. Marqués, J. Martel, F. Mesa, and F. Medina, “Left-handed media simulation and transmission of EM waves in subwavelength split ring resonator loaded metallic waveguides,” Phys. Rev. Lett., vol. 89, no. 18, pp. 183 901.1–183 901.4, Oct. 2002. [11] F. Martin, J. Bonache, F. Falcone, M. Sorolla, and R. Marqués, “Split ring resonator-based left-handed coplanar waveguide,” Appl. Phys. Lett., vol. 83, no. 22, pp. 4652–4654, Dec. 1, 2003. [12] J. Bonache, F. Martin, F. Falcone, J. Garcia-Garcia, I. Gill, T. Lopetegi, M. A. G. Laso, R. Marqués, F. Medina, and M. Sorolla, “Compact coplanar waveguide bandpass filter at the S -band,” Microw. Opt. Technol. Lett., vol. 46, no. 1, pp. 33–35, Jul. 2005. [13] S. Hrabar, J. Bartolic, and Z. Sipus, “Waveguide miniaturization using uniaxial negative permeability metamaterial,” IEEE Trans. Antennas Propag., vol. 53, no. 1, pp. 110–119, Jan. 2005. [14] I. A. Eshrah, A. A. Kishk, A. B. Yakovlev, and A. W. Glisson, “Evanescent rectangular waveguide with corrugated walls: A composite right/ left-handed metaguide,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 12–17, 2005, pp. 1745–1748. [15] O. F. Siddiqui, M. Mojahedi, and G. V. Eleftheriades, “Periodically loaded transmission line with effective negative refractive index and negative group velocity,” IEEE Trans. Antennas Propag., vol. 51, no. 10, pp. 2619–2625, Oct. 2003. [16] T. Crépin, J. F. Lampin, T. Decoopman, X. Mélique, L. Desplanque, and D. Lippens, “Experimental evidence of backward waves on terahertz left-handed transmission lines,” Appl. Phys. Lett., vol. 87, 2005, 104105. [17] A. Lai, T. Itoh, and C. Caloz, “Composite right/left-handed transmission line metamaterials,” IEEE Micro, vol. 5, no. 3, pp. 34–50, Sep. 2004. [18] J.-M. Duchamp, P. Ferrari, M. Fernandez, A. Jrad, X. Melique, T. Junwu, S. Arscott, D. Lippens, and R. G. Harrison, “Comparison of fully distributed and periodically loaded nonlinear transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pt. 1, pp. 1105–1116, Apr. 2003.

Thibaut Decoopman received the Electrical Engineer degree from the Institut Supérieur d’Electronique du Nord (ISEN), Lille, France, in 2001, and the Master degree from the Institut d’Electronique de Microélectronique et de Nanotechnologies du Nord (IEMN), Université des Sciences et Technologies de Lille, Lille, France, in 2001. He then joined the Quantum Opto and Micro Electronic Device Group (DOME) Group, IEMN. He is currently with Astrium Velizy France, Vélizy, France. His research concerns ultra-broad-band multipliers aimed at operating in the upper spectrum of millimeter wavelengths and on metamaterials. He is mainly involved in simulation issues in close connection with fabrication.

DECOOPMAN et al.: LH EM PROPERTIES OF SRR AND WIRE LOADED TRANSMISSION LINE IN FIN-LINE TECHNOLOGY

Aurélien Marteau was born in Saint Jean d’Angely, France, in 1979. He received the Engineer degree from the Ecole Nationale Supérieure d’Ingénieurs de Limoges (ENSIL), Limoges, France, in 2003, the Master degree from the DEA Télécommunications Hautes Fréquences et Optiques, University of Limoges, Limoges, France, in 2003, and is currently working toward the Ph.D. degree at the Institut d’Electronique, de Microélectronique et de Nanotechnologie (IEMN), Université des Sciences et Technologies de Lille (USTL), Lille, France. His current interests concern the modeling of complex EM structures including double-negative media and their characterization.

Eric Lheurette received the Ph.D. degree in microwave electronics from the Université des Sciences et Technologies de Lille, Lille, France, in 1996. His doctoral thesis concerned the technology of resonant tunnelling devices and, more generally, of heterostructure devices. Following a post-doctoral position with the Institut d’Electronique de Microélectronique et de Nanotechnologies du Nord (IEMN), Université des Sciences et Technologies de Lille, with main emphasis on EM simulation, he became an Assistant Professor with the University of Rouen. In September 2003, he joined the Quantum Opto and Micro Electronic Device Group (DOME) Group, IEMN, as an Assistant Professor with the prospect to further develop the DOME group’s terahertz technology program. His current interests concern nonlinear electronics and electromagnetism of complex propagation media.

1457

Olivier Vanbésien was born in Armentières, France, on November 11, 1964. He received the Engineer degree from the Institut Supérieur d’Electronique du Nord (ISEN), Lille, France, in 1987, and the Ph.D. degree (third-cycle thesis) in quantum devices from the Université des Sciences et Technologies de Lille, Lille, France, in 1991. He then joined the High Frequency Department, Institut d’Electronique, de Microélectronique et de Nanotechnologie (IEMN), as a Chargé de Recherches CNRS. In November 2000, he became a Professor of electronics with the Université des Sciences et Technologies de Lille. His current interests concern metamaterials and photonic crystals, exploring both dielectric and metallic routes for applications of abnormal refraction from the terahertz region up to optics.

Didier Lippens received the Master of Science degree in electronics engineering, Ph.D. degree, and Doctor ès Sciences degree from the Université des Sciences et Technologies de Lille, Lille, France, in 1975, 1978, and 1984, respectively. From 1980 to 1981, he was a Research Engineer with Thomson CSF. He led the Quantum and Terahertz Devices Team until 2001 He currently heads the Quantum Opto and Micro Electronic Device Group (DOME), Institut d’Electronique de Microélectronique et de Nanotechnologie (IEMN), Université des Sciences et Technologies de Lille. He is currently a Professor of electrical engineering with the Université des Sciences et Technologies de Lille, where his main interests are nanotechnology and nanosciences. He has been involved with molecular dynamics in liquid crystals and with semiconductors physics, and is currently more involved with nonlinear electronics and opto-electronics along with electromagnetism in artificial media. He has undertaken pioneering research on resonant tunnelling devices and, more generally, on heterostructure semiconductor devices. His current interests are terahertz sources, most notably quantum cascade lasers (QCLs), photomixers and heterostructure barrier varactors, photonic bandgaps, and metamaterials-based passive and active devices.

1458

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Wide-Band Predistortion Linearization for Externally Modulated Long-Haul Analog Fiber-Optic Links Vincent J. Urick, Member, IEEE, Matthew S. Rogge, Member, IEEE, Patrick F. Knapp, Lee Swingen, and Frank Bucholtz, Member, IEEE

Abstract—We analyze the performance of a custom wide-band predistortion linearizer designed to operate in conjunction with an externally modulated analog photonic link. In addition, we propose an extended spurious-free dynamic-range (SFDR) specification for analog photonic links employing linearization techniques. The benefits of predistortion linearization for analog fiber links limited by optical amplifier noise are demonstrated and a 6–12-GHz 20-km analog link is shown to achieve a SFDR of 108.4 dB Hz2 3 , a 6-dB increase relative to the uncompensated link. Index Terms—Microwave photonics, predistortion linearization, spurious-free dynamic range (SFDR).

I. INTRODUCTION NALOG fiber-optic links with lengths greater than a few kilometers have significant applications such as antenna remoting and true-time-delay lines. These applications require higher spurious-free dynamic range (SFDR) and instantaneous bandwidth than the typical commercial system. Previously, we have demonstrated high-performance long-haul hybrid fiber-optic links capable of supporting analog and digital modulation formats [1], [2]. For these links, the SFDR was limited by noise due to optical amplification and the highest SFDR achieved over a transmission distance of 110 km was 103 dB Hz . In these experiments, the linearity of the link was determined by the modulation process and the noise floor was dominated by amplified spontaneous emission beating with the optical carrier over the RF range of interest. Optical amplification in such links is a necessity due to the high link loss, and to further increase the SFDR, the nonlinear nature of the modulation process must be mitigated. Numerous linearization techniques have been proposed for analog fiber-optic links. Here, we class the most common techniques as: 1) predistortion linearization; 2) feed-forward linearization; and 3) novel modulator design. Predistortion linearization has been demonstrated for analog links employing electrooptic Mach–Zehnder modulators (MZMs) [3], [4], integrated electroabsorption modulators [5], and directly modulated lasers [6]. Similarly, feed-forward linearization has been implemented in directly modulated [7] and externally modulated [8], [9] analog links. While novel modulation techniques have

A

Manuscript received August 11, 2005; revised December 7, 2005. This work was supported by the U.S. Department of Defense. V. J. Urick, M. S. Rogge, L. Swingen, and F. Bucholtz are with the Naval Research Laboratory, Washington DC, 20375 USA (e-mail: [email protected]. mil). P. F. Knapp is with SFA Inc., Crofton, MD 21114 USA. Digital Object Identifier 10.1109/TMTT.2006.871357

certainly been suggested [10], [11], there has yet to be a demonstration of a truly linear device. That is, there is no example of an implementation of linear electrical–optical–electrical conversion. Here, we concentrate only on predistortion linearization of MZMs because: 1) electrooptic MZM technology is approaching 100 -GHz bandwidth and is readily available at 40 GHz and 2) an MZM biased at quadrature suppresses second-order distortion. In addition, we note that feed-forward techniques require various stages that must be integrated into existing systems, whereas a predistorter can simply be attached to the input RF port of a deployed system. For these reasons, we argue that, of the above discussed techniques, predistortion linearization of an externally modulated link employing an electrooptic MZM is the best immediate solution for increasing the linearity of a wide-band analog link, strongly noting that a truly linear modulation technique is more desirable. In this paper, we report on the evaluation of a particular predistortion technique as applied to a low- LiNbO MZM. The custom predistorter was designed to improve the linearity of an MZM–photodiode pair over the 6–12-GHz octave, one of the highest frequency ranges reported to date. In addition, the predistorter is demonstrated in conjunction with a dispersion-managed and stimulated Brillouin-scattering mitigated long-haul analog fiber-optic link. Previous study of predistortion linearization was primarily at low frequencies (around or below 1 GHz) and concerned mainly short transmission distances. We discuss the analysis of predistortion linearization for analog-link applications in Section II, along with its limitations. Section III demonstrates the predistorter’s utility in a 20-km high-performance analog link, realizing a 6-dB increase in the SFDR as compared to the uncompensated link. We then conclude with a summary of this study in Section IV. II. LINEARIZER PERFORMANCE Here, we analyze the performance of a particular predistortion linearization technique. Using methods described elsewhere [3], [12], a custom predistortion linearizer was designed to work in conjunction with a Covega low- LiNbO MZM. The system was designed to operate over the 6–12-GHz octave. The predistorter itself can be treated as a black box with gain and noise factor that is inserted between the signal source and MZM. The predistorter is designed to increase the linearity and, hence, the SFDR of a link. It is common practice to cite suppression of intermodulation distortion (IMD) and here we cite an increase in output-referenced third-order intercept point (OIP3) relative to the unlinearized system as the figure-of-merit. However, as described in Section III, a change in OIP3 (i.e., a change in IMD) is not necessarily an

0018-9480/$20.00 © 2006 IEEE

URICK et al.: WIDE-BAND PREDISTORTION LINEARIZATION FOR EXTERNALLY MODULATED LONG-HAUL ANALOG FIBER-OPTIC LINKS

1459

linearized and unlinearized versions, but the linearized link also sees the predistorter gain and noise factor. Therefore, is different for the two links and is given by

Fig. 1. Schematic for analyzing the linearized and unlinearized links. MZM: Mach–Zehnder modulator. PD: photodiode.

(4) accurate metric for link performance. Nonetheless, for this predistorter, the gain was 28 dB, the noise figure was 3 dB, and the measured increase in OIP3 was 8.9 dB. Given these parameters, the performance of the device in a general photonic link can be determined using well-known equations. As shown in Fig. 1, we examine the link performance under a simple two-tone test for both linearized and unlinearized versions. The system comprises a continuous wave (CW) laser, the Covega MZM biased at quadrature, and a p-i-n photodiode (direct detection). We are ultimately interested in the effect of the predistorter on the SFDR of the link. The two-tone single-octave SFDR is defined as

(1) where is the total noise power spectral density at the output of the link. The OIP3 for the unlinearized link is given by [13] (2) is the dc photocurrent and is the impedance at where the output. As noted earlier, we treat the predistorter as a device that increases the OIP3 relative to (2) such that the OIP3 for the linearized link is simply where is the change in OIP3 due to the linearizer. Given these equations, must be calculated for each link to determine the linearized and unlinearized SFDRs. Here, we assume that there is no optical amplification in the link and that fiber nonlinearity effects are negligible, saving the discussion pertaining to longhaul links for Section III. There are, therefore, four terms that contribute to the total link output noise power spectral density, which are: 1) input thermal noise ; 2) output thermal noise ; 3) source laser intensity noise ; and 4) shot noise . The latter three terms are the same for the linearized and unlinearized link and are given by

(3) is the Boltzman’s constant, is the temperature, is where the electronic charge constant, and is the laser relative intensity noise (RIN) spectral density. The input thermal noise contribution is amplified by the photonic link gain for both the

where is the half-wave voltage of the MZM, is the impedance at the input, and the superscripts and denote unlinearized and linearized, respectively. Using (1)–(4) with , the total SFDR for each link can then be calculated. We carry out the SFDR calculation at 9 GHz, where the measured V, assuming a laser RIN of 165 dBc/Hz (a typical RIN for a semiconductor distributed feedback (DFB) laser) and that . For the calculation, the total SFDR is calculated as is the SFDR for each limiting noise source. Fig. 2 shows the calculated SFDR as a function of received photocurrent for both links. Fig. 2(a) shows that the SFDR for the unlinearized link is limited by shot noise for photocurrents from approximately 1 to 3 mA and by laser RIN for photocurrents in excess of 40 mA. Laser RIN sets an upper limit of 114 dB Hz in the unlinearized case. As seen in Fig. 2(b), the situation is different for the linearized link, where the SFDR is limited by input thermal noise for photocurrents above 10 mA and shot noise determines the SFDR only below 1 mA. The calculated SFDR for both links are compared directly in Fig. 3(a) and (b). Fig. 3(a) shows that the predistorter provides improved SFDR below 20 mA, but it will degrade the SFDR, as compared to the unlinearized link at all higher photocurrents. (Note that Fig. 2 corresponds to a particular value V. In general, a family of curves exists for different ’s [14].) For high-power analog links, a laser with negligible RIN is desirable, as can be achieved with solid-state glass and fiber lasers. In Fig. 3(b), we compare the SFDR for both links excluding laser RIN. Note that, for low laser RIN, the linearized link outperforms the uncompensated link only at low photocurrents. That is, the unlinearized SFDR is limited by shot noise at all photocurrents while the linearized SFDR is limited by input thermal noise above a 7-mA photocurrent due to the large predistorter gain. These examples illustrate the utility of (1)–(4) in evaluating a particular predistorter, noting that , , and are not generally independent. In the above analysis, we did not consider a link employing optical amplification. In Section III, we present experimental results from a link employing an erbium-doped fiber amplifier (EDFA). We will show that, in analog links employing optical amplification, the SFDR for the linearized link can be limited by signal-spontaneous beat noise, and it is in these applications that the predistorter is most useful. We will also write the equation for output electrical noise power spectral density due to signalspontaneous beating, noting that it can be used to expand the analysis above to give the SFDR as a function of EFDA gain and noise factor.

1460

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

0

Fig. 2. Calculated SFDR as function of photocurrent with 165-dBc/Hz laser RIN for: (a) the unlinearized link and (b) the linearized link, showing the limiting factors in each case.

Fig. 3. Calculated SFDR for both the linearized (solid) and unlinearized (dashed) links with: (a) laser RIN = 165 dBc/Hz and (b) no laser RIN.

0

III. LINEARIZED 20-km LINK We evaluated the predistorter experimentally in a head-tohead comparison of an unlinearized and linearized high-performance 20-km link. First, the design of the link is described, and then measured results are presented. In order to analyze the link, we utilize an analytical expression for the signal-spontaneous beat noise power spectral density and the signal-spontaneous-limited SFDR. A. Link Design While the predistorter was designed to operate in the 6–12-GHz octave, we designed a 20-km photonic link that supports a wider bandwidth. The link schematic is shown in Fig. 4 and consists of the Covega MZM, 20 km of fiber, and an EDFA at the output to compensate for link loss. The transmitter is a DFB semiconductor laser at 1551 nm (JDS 938/600) with RIN of approximately 165 dBc/Hz, and the photodetector

Fig. 4. Schematic for the 20-km link. MZM: Mach–Zehnder modulator. EDFA: Erbium-doped fiber amplifier. PD: photodetector.

for the link is a Discovery Semiconductor DSC-30S with over 18-GHz bandwidth (6-dB RF loss, 0.8-A/W responsivity). To mitigate the effects of chromatic dispersion, the link was constructed from a combination of positive and negative dispersion fiber such that the net dispersion at the output of the link was low. The fiber configuration is shown in Fig. 5. The first dispersion null in the RF response was measured at approximately 46 GHz, from which the normalized RF response for the fiber can be calculated [15], [16]. The RF

URICK et al.: WIDE-BAND PREDISTORTION LINEARIZATION FOR EXTERNALLY MODULATED LONG-HAUL ANALOG FIBER-OPTIC LINKS

1461

Fig. 5. Fiber arrangement for the 20-km link. The arrows represent optical isolators, (+): positive-dispersion fiber, ( ) negative-dispersion fiber.

0

Fig. 8. Gain as a function of frequency for the linearized (black line) and unlinearized (gray line) link.

Fig. 6. Normalized RF response for the 20-km fiber span (solid line) and that for 20 km of SMF-28 (dashed) line.

should suffer no penalties due to SBS over the 6–12-GHz range with a launch power well under 13 dBm. For single-channel transmission, these two mitigation techniques assure that the fiber itself will not affect the SFDR for either the linearized or unlinearized link over the 6–12-GHz octave. As will be seen, the noise due to the insertion of the EDFA dominates the total noise for both links. B. Measured Results

Fig. 7. Measured optical response for the fiber. Depicted is optical output power (circles) and backscattered optical power (triangles) as a function of optical input power.

response for the fiber is shown in Fig. 6 and compared to that for 20 km of SMF-28. The 3-dB bandwidth is greater than 30 GHz and there is no appreciable chromatic dispersion penalty in the 6–12-GHz band. The stimulated Brillouin scattering (SBS) frequencies for telecommunication fiber typically fall within the 6–12-GHz band (that for the fiber used here is near 10.5 GHz) and special care must be taken to ensure the SBS is suppressed for this link. Owing to the fact that the two fiber types have SBS frequencies that are separated by 50 MHz, an SBS threshold of 13 dBm is achieved by splicing them in alternating 2.3-, 3.125-, and 4.575-km sections [1], [17], [18]. The measured optical response for the fiber is shown in Fig. 7, demonstrating the 13-dBm SBS threshold. Therefore, the link

To compare the linearized link to the unlinearized version, the RF gain, RF noise figure, and SFDR were measured. For both links, the optical launch power after the MZM was 6 dBm (well below the SBS threshold), which put the EDFA into compression with an optical gain of 11 dB and a noise figure of 7.4 dB. These parameters resulted in a dc signal photocurrent of 9 mA at the detector for both links. However, because of the front-end gain of the linearizer, the RF gain for each link differed, as shown in Fig. 8, which depicts link gain as a function of modulation frequency with and without the linearizer. The unlinearized gain is due primarily to the frequency response of the MZM with some minor contribution from the detector at high frequencies. For the linearized link, the frequency response of the linearizer also contributes to the shape of the gain curve. Since the linearizer is designed to operate over the 6–12-GHz range, we evaluate the remaining parameters at the center of this band, noting that they scale by the gain over the band. The measured gain for the unlinearized link at 9 GHz is 13 dB compared to 15 dB for the linearized link, owing to the 28-dB gain of the linearizer. The measured is 148 dBm/Hz for both links, dominated by signal-spontaneous beat noise. The fact that is equivalent for both links allows for the additional gain and increased OIP3 in the linearized link to be fully exploited in terms of decreased noise figure and increased SFDR. Assuming a thermal-noise-limited input to the link, the RF noise figure is dB dBm/Hz dB . This gives an unlinearized noise figure at 9 GHz of 39 dB as compared to 11 dB for the linearized link, a 28-dB improvement due to linearizer gain. The SFDR at 9 GHz was measured using a two-tone test at 9.011 and 9.000 GHz. Fig. 9 shows the fundamental power and third-order intermodulation

1462

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 9. Two-tone measurement results for the linearized and unlinearized links. Shown are the fundamental (circles) and third-order IMD (squares) levels for the linearized link resulting in an OIP3 of 5.7 dBm. The fundamental (diamonds) and intermodulation (triangles) levels for the linearized link give an OIP3 of 14.6 dBm. Given that the noise floor is 148 dBm/Hz for both links, these for the measured results yield a calculated SFDR of 102.5 and 108.4 dB Hz unlinearized and linearized links, respectively.

0

1

that, above 100-MHz noise bandwidth, the SFDR is essentially equal for both links. It must be stressed that for any photonic link employing linearization, a plot such as Fig. 10 is really the figure-of-merit and the performance cannot be captured by a single metric. That is, the traditionally employed method of extrapolating the IMD curve to determine an OIP3 is not valid for a nonlinear IMD curve. In addition, specifying an increase in IMD suppression is not necessarily a sufficient metric for a predistorter. Nonetheless, the linearizer evaluated here does increase performance up to approximately 100-MHz noise bandwidth, noting that many signal-processing applications operate at tens of megahertz in bandwidth. That is, the linearized link is quite useful for covering the 6–12-GHz band optically if post-detection RF channelization is employed. In addition to the extended SFDR definition for analog links using predistortion linearization, we have presented (1)–(4) to provide a basis for evaluating a given predistorter with some gain, noise factor, and OIP3 increase. We have shown for links without optical amplification and using the particular predistorter evaluated here that input thermal noise due to the linearizer gain will dominate the total output noise. However, we have also shown by measurement that noise due to optical amplification was dominant for the 20-km linearized link. Since this may not be true in general for an arbitrary long-haul link, we write the analytical expression for the signal-spontaneous noise at 1550 nm as [1]

(5) where is the detector responsivity, is Plank’s constant, is the optical carrier frequency, is the cascaded optical gain, is the cascaded optical noise factor, and with being the optical loss before the first optical amplifier. Equation (5) can then be used in conjunction with (1)–(4) to analyze an arbitrary predistorter for an optically amplified link by replacing with . Fig. 10. SFDR as a function of noise bandwidth for the linearized (circles) and unlinearized (triangles) links.

power as a function of input power for both the linearized and unlinearized links. Note that the linearizer significantly changes the shape of the intermodulation curve. Extrapolating the linear sections of both curves gives dBm and dBm. Using these definitions of OIP3 and the measured , the linearizer increases the SFDR of the link from 102.5 to 108.4 dB Hz . C. Discussion The definition of SFDR (1) assumes that the IMD curve is a straight line with slope of three from which the SFDR can be calculated in decibels for any noise bandwidth. It was this definition that we employed to arrive at the result that the linearized link increases the SFDR by 6 dB. Since the linearized IMD curve is certainly not linear, in Fig. 10 we plot the SFDR as a function of noise bandwidth for both links. Note

IV. SUMMARY AND CONCLUSIONS A high-performance 6–12-GHz 20-km analog photonic link using predistortion linearization has been investigated. The linearized link achieved an SFDR of 108.4 dB in a 1-Hz bandwidth with an RF gain of 15 dB and RF noise figure of 11 dB, which are all excellent results for 20-km transmission. The utility of predistortion linearization in photonic links was shown to depend heavily on the characteristics of the predistorter. However, for long-haul links dominated by noise due to optical amplification, the contribution of amplified input thermal noise due to the predistorter can be negligible. While this is the case for the link demonstrated here, we note for this example of predistortion linearization that the improvement in SFDR is a function of noise bandwidth. As this will most likely be the case for any linearization technique, we stress that a single metric, such as suppression of IMD, cannot fully describe a particular linearization module. We have also provided the equations and analysis techniques required to characterize a predistortion linearization technique, given its gain, noise factor, and increase in OIP3.

URICK et al.: WIDE-BAND PREDISTORTION LINEARIZATION FOR EXTERNALLY MODULATED LONG-HAUL ANALOG FIBER-OPTIC LINKS

ACKNOWLEDGMENT The authors wish to acknowledge A. Katz, J. MacDonald, and M. Kuback, all with Linear Photonics LLC, Hamilton, NJ, for important technical discussions concerning the predistortion linearizer used in these experiments, which they designed and fabricated. REFERENCES [1] E. E. Funk, V. J. Urick, S. J. Strutz, J. L. Dexter, and K. J. Williams, “110 km 256-QAM digital microwave over fiber link,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, Jun. 2003, pp. 269–272. [2] V. J. Urick, J. X. Qiu, J. L. Dexter, K. J. Williams, F. Bucholtz, and C. McDermitt, “Giga-symbol-per-second 16-QAM transmission over a single-channel Raman-amplified 100 km link,” in OFC Tech. Dig., Los Angeles, CA, Mar. 2004, Paper ThM2. [3] A. Katz, W. Jemison, M. Kubak, and J. Dragone, “Improved radio over fiber performance using predistortion linearization,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, Jun. 2003, pp. 1403–1406. [4] V. Magoon and B. Jalali, “Electronic linearization and bias control for externally modulated fiber optic link,” in IEEE Int. Microw. Photon. Meeting, Oxford, U.K., Sep. 2000, pp. 145–147. [5] G. C. Wilson, T. H. Wood, M. Gans, J. L. Zyskind, J. W. Sulhoff, J. E. Johnson, T. Tanbun-Ek, and P. A. Morton, “Predistortion of electroabsorption modulators for analog CATV systems at 1.55 m,” J. Lightw. Technol., vol. 15, no. 9, pp. 1654–1662, Sep. 1997. [6] L. Roselli, V. Borgioni, F. Zepparelli, F. Ambrosi, M. Comez, P. Faccin, and A. Casini, “Analog laser predistortion for multiservice radio-over-fiber systems,” J. Lightw. Technol., vol. 21, no. 5, pp. 1211–1223, May 2003. [7] T. Ismail, C. P. Liu, J. E. Mitchell, and A. J. Seeds, “Interchannel distortion suppression for broad-band wireless over fiber transmission using feed-forward linearized DFB laser,” in IEEE Int. Microw. Photon. Meeting, Oct. 2004, pp. 229–232. [8] R. Sadhwani, J. Basak, and B. Jalali, “Adaptive electronic linearization of fiber optic links,” in OFC Tech. Dig., Atlanta, GA, Apr. 2003, pp. 477–479. [9] Y. Chiu, B. Jalali, S. Garner, and W. Steier, “Broad-band electronic linearizer for externally modulated analog fiber-optic links,” IEEE Photon. Technol. Lett., vol. 11, no. 1, pp. 48–50, Jan. 1999. [10] B. B. Dingel, “Ultra-linear, broad-band optical modulator for high performance analog fiber link system,” in IEEE Int. Microw. Photon. Meeting, Oct. 2004, pp. 241–244. [11] L. A. Johansson, Y. A. Akulova, G. A. Fish, and L. A. Coldren, “Linearized modulator for monolithically integrated photonic devices,” in IEEE Int. Microw. Photon. Meeting, Oct. 2004, pp. 233–236. [12] J. A. MacDonald, M. V. Kuback, and A. Katz, “Wideband dynamic range improvement if microwave photonic links,” presented at the Avion., Fiber-Optics, Photon. Conf., Minneapolis, Sep. 2005, Paper ThB3, unpublished. [13] B. H. Kolner and D. W. Dolfi, “Intermodulation distortion and compression in an integrated electrooptic modulator,” Appl. Opt., vol. 26, no. 17, pp. 3676–3680, Sep. 1987. [14] K. J. Williams, L. T. Nichols, and R. D. Esman, “Photodetector nonlinearity limitations on a high-dynamic range 3 GHz fiber optic link,” J. Lightw. Technol., vol. 12, no. 2, pp. 192–199, Feb. 1998. [15] H. Schmuck, “Comparison of optical millimeter-wave system concepts with regard to chromatic dispersion,” Electron. Lett., vol. 31, no. 21, pp. 1848–1849, Oct. 1995. [16] V. J. Urick and F. Bucholtz, “Compensation of arbitrary chromatic dispersion in analog links using a modulation-diversity receiver,” IEEE Photon. Technol. Lett., vol. 17, no. 4, pp. 893–895, Apr. 2005. [17] X. P. Mao, R. W. Tkach, A. R. Chraplyvy, R. M. Jopson, and R. M. Derosier, “Stimulated Brillouin threshold dependence on fiber type and uniformity,” IEEE Photon. Technol. Lett., vol. 4, no. 1, pp. 66–69, Jan. 1992. [18] A. Kobyakov, M. Sauer, and J. E. Hurley, “SBS threshold of segmented fibers,” in OFC Tech. Dig., Anaheim, CA, Mar. 2005, Paper OME5.

1463

Vincent J. Urick (S’05–M’05) was born in Sunbury, PA, on February 27, 1979. He received the B.S. degree (magna cum laude) in physics (with minors in electronics and mathematics) from Bloomsburg University, Bloomsburg, PA, in 2001, the M.S. degree in applied physics from George Mason University, Fairfax, VA, in 2005, and is currently working toward the Ph.D. degree in physics at George Mason University. In 2001, he joined the Naval Research Laboratory, Washington, DC, where he is a Research Physicist with the Photonics Technology Branch, developing microwave and millimeterwave photonics systems, subsystems, and components. His current research interests include photonic link linearization, novel modulation formats, low-noise laser sources, and long-haul analog links. Mr. Urick is a member of the Optical Society of America (OSA), Sigma Pi Sigma, and Phi Kappa Phi. Matthew S. Rogge (M’95) received the B.S. degrees (summa cum laude) in electrical and computer engineering (with a minor in mathematics) from the University of Missouri at Columbia, in 1997, and the M.S. and Ph.D. degrees in electrical engineering from Stanford University, Stanford, CA, in 2002 and 2004, respectively. In 2004, he joined the Microwave Photonics Section, Naval Research Laboratory, Washington, DC, where he is currently involved with microwave and millimeter-wave photonic systems. Dr. Rogge is a member of Alpha Sigma Phi and Eta Kappa Nu. Patrick F. Knapp was born in South Bend, IN, on May 28, 1982. He received the B.S. degree in electrical engineering (with a minor in mathematics) from Syracuse University, Syracuse, NY, in 2004, and is currently working toward the M.S. degree in electrical engineering at the University of Maryland at College Park. In 2004 he joined SFA Inc., Crofton, MD, where he is an Engineer with the Photonics Technology Branch, Naval Research Laboratory, developing microwave and millimeter-wave photonics systems, subsystems, and components. Mr. Knapp is a member of Tau Beta Pi. Lee Swingen received the B.S. degree in electrical engineering from the University of Wisconsin–Madison, in 2001, and is currently working toward the M.S. degree in electrical engineering from George Washington University, Washington, DC. From 2001 to 2004, he was an Electrical Engineer with the Naval Research Laboratory (under contract through SFA Inc.). In 2004, he joined the Naval Research Laboratory, Washington, DC, as an Electrical Engineer with the Photonics Technology Branch, where he designs and develops circuits for microwave photonic applications. Frank Bucholtz (M’81) was born in Detroit, MI, on April 4, 1953. He received the B.S. degree in physics and mathematics from Wayne State University, Detroit, MI, in 1975, and the M.S. and Ph.D. degrees in physics from Brown University, Providence, RI, in 1977 and 1981, respectively. From 1981 to 1983, he was a National Research Council (NRC) Post-Doctoral Research Associate with the Naval Research Laboratory, where he conducted research in the area of ferrimagnetic devices for microwave signal processing. He is currently a member of the Optical Sciences Division, Naval Research Laboratory, Washington, DC. His research interests include fiber-optic sensors, hyperspectral imaging, and analog microwave photonics.

1464

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

A 16-Term Error Model Based on Linear Equations of Voltage and Current Variables Kimmo Silvonen, Ning Hua Zhu, Member, IEEE, and Yu Liu

Abstract—Formulation of a 16-term error model, based on the -matrix and voltage and current variables, is four-port 2 submatrices are each 2 outlined. Matrices , , , and of the complete 4 4 error matrix. The corresponding equations are linear in terms of the error parameters, which simplifies the calibration process. The parallelism with the network analyzer calibration procedures and the requirement of five two-port calibration measurements are stressed. Principles for robust choice of equations are presented. While the formulation is suitable for any network analyzer measurement, it is expected to be a useful alternative for the nonlinear -parameter approach used in intrinsic semiconductor electrical and noise parameter measurements and parasitics’ deembedding.

ABC

D

Index Terms—Calibration, deembedding, error model, 15 term, four-port, network analyzer, parasitic, scattering parameter, 16 term.

I. INTRODUCTION ETHODS FOR deembedding of scattering and noise parameters of a transistor have in the past evolved without much interaction with the network analyzer calibration theory [1]–[7]. The voltage and current variables are often preferred because of their convenience in noise and circuit analysis. Fig. 1 shows the port definition of the often-used -parameter approach [2], [6]

M

Y Y

embedded with an error four-port modeling the Fig. 1. Intrinsic transistor may represent the DUT in a two-port network parasitics. Alternatively, parameter measurement setup.

where are the -parameter quadrants of the error network and and are the incident and reflected voltage waves. By comparing (1) with the 16-term -parameter error model, the parallelism between these two approaches is evident. The resulting matrix equations are also of similar form with the exception of one differently defined term (3) (4) where and are the measured parameters and the internal or actual device-under-test (DUT) or is defined as follows: (5)

(1)

(6) where , , , and are the -parameter 2 2 submatrices ( extrinsic, intrinsic) of the error four-port. The commonly used Speciale formulation for network analyzer calibration [8], [9], with its alternative port numbering, is based on scattering parameters (2)

Manuscript received August 10, 2005. This work was supported in part by the National Natural Science Foundation of China under Grant 60510173, Grant 60536010, and Grant 60506006 and under the National High Technology Development Program. K. Silvonen is with the Circuit Theory Laboratory, Helsinki University of Technology, FI-02015 Helsinki, Finland (e-mail: [email protected]). N. H. Zhu and Y. Liu are with the Institute of Semiconductors, Chinese Academy of Sciences, 100083 Beijing, China (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.871246

The parameters of the error network are found by measuring known calibration standards (dummy test structures). This procedure is rather complicated because (3) and (4) are nonlinear in terms of the unknown error parameters. There are 16 unknown error terms in (1) and (2). One of the error terms can be freely chosen, which leaves 15 terms to be solved. In general, no less than five two-port calibration measurements are required to find all independent error terms. The 16 equations based on four two-port measurements have only a rank of 14. The necessity of at least five two-port standard combinations was noted as early as 1983 by Helton and Speciale [10], although their results were overseen by most researchers. The same conclusion was later found, for example, in [11] and [12]. The purpose of this paper is to show how the network analyzer calibration methods can be adapted to voltage and current formulation, which is directly applicable to, for example, intrinsic transistor noise-parameter analysis. The procedure, presented here, offers an elegant way to find the error terms in closed form using linear equations. Purely mathematically, it would

0018-9480/$20.00 © 2006 IEEE

SILVONEN et al.: 16-TERM ERROR MODEL BASED ON LINEAR EQUATIONS OF VOLTAGE AND CURRENT VARIABLES

be possible to transform an - or -parameter error network to - or -parameter form. One justification of our new approach is to avoid unnecessary transformations between -parameters and other parameter systems in cases where voltage and current are the preferred variables. Modeling of calibration standards, parasitics, and error network in terms of voltage and current is straightforward and user friendly. We expect that our new formulation could serve as a starting point for new innovative calibration approaches. Our method offers a general-purpose framework that allows testing different calibration standards and different equation sets to reduce the effect of measurement and other errors. II. CALIBRATION

There are several ways to define four-port transfer parameters. Here, the matrix is reorganized in the same way as in the wave transmission -parameter approach [8]

(7)

The minus signs are needed to retain the reference directions of the two-port transfer matrix. Submatrices , , , and share the same units with the corresponding -parameters. The internal DUT is now conveniently defined in terms of -parameters

A. Approaches Using -Parameters The -parameter error matrix is usually calibrated using an incomplete set of calibration standards, e.g., only an O(pen) and S(hort) [1], [3]. The outcome of an incomplete calibration may be a nonunique set of error terms, which are inconsistent with other circuits from those used in the calibration process. The inconsistency is, in practice, reduced by correctly “guessing” the structure of the parasitic network. Assuming reciprocity and symmetry are other means of reducing the number of unknown error terms. The -parameter approach has some definitive advantages: simplicity in handling parallel-connected parasitics, and direct relation to voltage and current variables often used in noise analysis. The existence of infinite -parameter values in certain cases, like ideal Short standards, is a drawback. The -parameter approach does not suffer from such singularities, but scattering parameters are sometimes considered inconvenient in circuit analysis. Possibly the most important drawback, shared by both - and -parameters, is the nonlinear equation system, as mentioned earlier. It is possible to find a general solution for the calibration equations also in the 16-term -parameter case, among others by using Kronecker products, but to the authors’ knowledge, complete solution has never been used. Moreover, this kind of solution may be numerically vulnerable if standards are nearly ideal. The method of reference [6] used five two-port calibration standards, T(hru), O–O, S–S, M(atch)–O, O–M, but there were still some approximations done. Increasing the number of calibration measurements from three or four to five does not necessarily enhance the accuracy due to increased number of connections, difficulties in characterizing the test structures, and other sources of error [7]. However, in some situations, complete error networks with full calibration may be preferable. This is especially true if the leakage is strong. B. Linear Formulation Scattering matrix equation (4) can be linearized by formulating the problem partly in -parameters [8], [13]. The error-term determination is straightforward from a set of linear equations. Accordingly, a linear formulation based on four-port -parameters in terms of voltage and current variables is feasible as well.

1465

(8) Substituting (8) into (7) gives (9) (10) Finally, after combining (11) where (12) (13) or using admittance parameters (14) The error network, as well as the standards and DUT are allowed to be nonreciprocal or reciprocal and, thus, active or passive. Simultaneous use of both (13) and (14) is usually required to get a robust solution and to avoid singularities. These equations are first applied to determine the error submatrices , , , and . The calibration standard and its measurement are both assumed known. Both equations are thus linear in terms of the unknown error parameters, and together with five different calibration standards, will produce 5 4 equations. As mentioned earlier, the 4 4 equations from four standards do not allow determining the unknowns unless additional assumptions are made. The five standards can be formed by a Thru line and two-ports containing a Match, an Open, or a Short at each port. The set of equations is homogeneous. By inspecting (13) or (14), it is seen that each submatrix is allowed to be multiplied by a common arbitrarily chosen scaling factor. Keeping numerical robustness in mind, it seems preferable to use one of the parameters of or for scaling. Error parameter is chosen here.

1466

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Assuming low leakage (for simplicity), its definition would be as follows:

(15)

which is clearly nonzero and finite. The error parameters are directly proportional to the scaling factor, but the deembedded parameters or are independent of this choice. Thus, one may simply set . By setting and , the other error terms can be found as a function of [14] using only 14 equations from four or five calibration measurements. The remaining equations might be used for self-calibration, allowing unknown terms in the standards’ - or -matrices. In [15], the scaling factor was solved from the reciprocity condition , where superscript denotes transpose, yet the error model is not restricted to reciprocal structures.

, , , and producing four independent equations (e.g., ). Subscripts 1 and 4 refer to elements and of the corresponding matrix equation. Similarly, subscripts 2 and and , respectively. 3 will refer to matrix elements Other possible singularities are ideal open-circuit and shortcircuit (in -parameters) standards. Double one-port standards are all described by the following -matrix:

(25) For an ideal Short–Short standard, an ideal Open–Open standard, (14) will reduce to

(26) (27) If only

C. Standards and Equations While -parameters always get finite numerical values for any standards, - and -parameters may have singularities. Although calibration standards are often accurately modeled, a robust calibration algorithm should be capable of using ideal standards as well. Otherwise the error sensitivity is apt to increase at least near critical frequencies. First, a zero-length transmission line is studied. Its -parameters are functions of the characteristic impedance and “linelength” (16)

. Similarly, for . Thus, (13) and

like in Short–Match

(28) An ideal Short–Open combination represents a limiting case, where

(29)

This reduces to

(17) (30) (31)

The singularity of the denominator is first removed by multiplying (13) and (14) by diagonal matrix as follows: where (18) (19) (20)

(33)

where (21) (22) If

(32)

, (19) and (20) reduce to (23) (24)

Four independent equations can be chosen as follows: , , , and . For Open–Short standards, and are interchanged and, thus, the remaining equations are , , , and . In [13], a complete listing of the robust standard combinations (Thru, Delay, Short, Open, Match/Load) is given, while different calibration schemes were tested in [16]. The calibration is not possible without a transmission-type standard (Thru, Delay, Attenuator, etc.). There must always be an impedance reference in the form of a Load, Delay line or offset Short/Open: T, S–S, O–O, S–O, O–S is not allowed if Thru, Short, and Open

SILVONEN et al.: 16-TERM ERROR MODEL BASED ON LINEAR EQUATIONS OF VOLTAGE AND CURRENT VARIABLES

TABLE I RECOMMENDED EQUATIONS FOR TYPICAL STANDARDS. 13 DENOTES THE FIRST (1; 1) OR SECOND (1; 2) TERM OF MATRIX EQUATION (13)

1467

III. DEEMBEDDING After , , , and are completely known, the - or -parameters of the unknown internal DUT are found as follows: (36) (37) From (36) and (37), one can see that the arbitrary choice of the scaling parameter does not affect the result here; any coefficient multiplying quadrants , , , and will be cancelled. If - or -parameters of the error network are needed, the error four-port can be converted back using similar equations [18], as in the conversion between corresponding two-ports

(38) (39) (40) Fig. 2. Two halves of the eight-term nonleaky error network in cascade with the internal DUT Z .

are all ideal. All the usable combinations include at least one nonsymmetrical two-port standard (e.g., Short–Open). The choice of equations for the wave-scattering parameter formulation was discussed in [13]. The same combination cannot usually be applied in the -parameter approach. The error sensitivity may vary as different equation combinations are chosen; in some cases, the differences are very large [17]. One might use four equations for Thru and Match standards, three equations for the third standard, and two equations for the two remaining standards. Another useful scheme is to also use three equations for the fourth standard and only one equation for the fifth standard. Recommended equations are listed in Table I. Some experimenting may be required to find the optimum combination in each practical situation (see also Section IV). With typical offset Short and Open standards, there are more possibilities for robust choice of equations. In the (cascaded) eight-term model, where all leakage paths are neglected (Fig. 2), submatrices , , , and become diagonal as follows:

(34) (35)

The same parameter notation as in [13] was used. As commonly known, only three calibration measurements are needed, while just two calibration standards are enough for the symmetrical case.

where denotes the -matrix. Conversions between different two-port parameter systems are given in [19] and [20]. The possible problems with complex port normalization impedances were discussed in [20]. The conversion between -port - and -parameters with arbitrary reference impedances was shown, for example, in [21]

(41) where is a diagonal matrix containing the reference impedances at each port. The normalized - and -parameters are found as follows: (42) (43) where

is a unit matrix. IV. MEASUREMENTS

The new formulation was tested by measuring a laser–diode submount in a wafer–prober station. Network analyzer HP8720D was first calibrated at the coaxial terminals using a full two-port short–open–load–thru (SOLT) calibration with 3.5-mm standards. The on-wafer standards were used to perform the 16-term calibration of the probes. The calculated results were based on the measurements of five standards: nonzero-length Thru, M–M, O–O, M–O, and O–M. The standard and measurement data were directly applied in - and -parameter form. Equations and , together with and , were used for Thru, – were used for M–M, and – were used for O–O. Finally, and were chosen for the two nonsymmetrical standards.

1468

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 3. Measured z

and z

of a laser–diode submount using the normal SOLT calibration (measured) and the current method (calculated). (a) z . (b) z .

The submount was also directly measured by performing the probe-tip calibration with the full two-port SOLT method. The calculated and directly measured results agree well, as shown by Fig. 3. V. CONCLUSION A new formulation, based on voltage and current variables, for the 16-term error model has been developed. This model produces linear equations in terms of the error parameters, which simplifies the calibration process in relation to the widely used -parameter approach. The model has been compared with the corresponding wave scattering parameter ( -parameter) formulation commonly used in network analyzer calibration. Recommendations for a robust choice of equations have been given. Due to the direct relation between - and - or -parameters, the new formulation has the same order of accuracy as the wellknown -parameter procedures. It is also able to use the same combinations of calibration standards. The main difference is the use of voltage and current variables—a convenient alternative for circuit and noise analysis, parasitics’ modeling, etc. ACKNOWLEDGMENT The authors acknowledge Dr. R. A. Speciale, Research and Development Inc., Redondo Beach, CA, who gave additional information concerning the 16-term error model. The authors are also grateful to X. Wang and Dr. L. Xie, both of the Institute of Semiconductors, Chinese Academy of Sciences, Beijing, China, for making the measurements. The authors further thank L. Costa, Circuit Theory Laboratory, Helsinki, Finland. The editors of this TRANSACTIONS and the anonymous reviewers are all thanked for comments on this paper’s manuscript. REFERENCES [1] D. Brubaker and J. Eisenberg, “Measure S -parameters with the TSD technique,” Microw. RF, vol. 24, no. 12, pp. 97–101, 159, Nov. 1985. [2] V. Rizzoli, F. Mastri, and C. Cecchetti, “Computer-aided noise analysis of MESFET and HEMT mixers,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 9, pp. 1401–1410, Sep. 1989. [3] M. C. A. M. Koolen, J. A. M. Geelen, and M. P. J. G. Versleijen, “An improved de-embedding technique for on-wafer high-frequency characterization,” in Proc. IEEE Bipolar/BiCMOS Circuits Technol. Meeting, Sep. 1991, pp. 188–191.

[4] S. Bousnina, C. Falt, P. Mandeville, A. B. Kouki, and F. M. Ghannouchi, “An accurate on-wafer deembedding technique with application to HBT devices characterization,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 2, pp. 420–424, Feb. 2002. [5] R. A. Pucel, W. Struble, R. Hallgren, and U. L. Rohde, “A general noise de-embedding procedure for packaged two-port linear active devices,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 11, pp. 2013–2024, Nov. 1992. [6] Q. Liang, J. D. Cressler, G. Niu, Y. Lu, G. Freeman, D. C. Ahlgren, R. M. Malladi, K. Newton, and D. L. Harame, “A simple four-port parasitic deembedding methodology for high-frequency scattering parameter and noise characterization of SiGe HBTs,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 11, pp. 2165–2174, Nov. 2003. [7] L. F. Tiemeijer, R. J. Havens, A. B. M. Jansman, and Y. Bouttement, “Comparison of the ‘pad–open–short’ and ‘open–short–load’ deembedding techniques for accurate on-wafer RF characterization of highquality passives,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 2, pp. 723–729, Feb. 2005. [8] R. A. Speciale, “A generalization of the TSD network-analyzer calibration procedure, covering n-port scattering-parameter measurements, affected by leakage errors,” IEEE Trans. Microw. Theory Tech., vol. MTT-25, no. 12, pp. 1100–1115, Dec. 1977. [9] J. V. Butler, D. K. Rytting, M. F. Iskander, R. D. Pollard, and M. Vanden Bossche, “16-term error model and calibration procedure for on-wafer network analysis measurements,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 12, pp. 2211–2217, Dec. 1991. [10] J. W. Helton and R. A. Speciale, “A complete and unambiguous solution to the super-TSD multiport-calibration problem,” in IEEE MTT-S Int. Microw. Symp. Dig., Boston, MA, Jun. 1983, pp. 251–252. [11] K. J. Silvonen, “Calibration of 16-term error model,” Electron. Lett., vol. 29, no. 17, pp. 1544–1545, Aug. 1993. [12] H. Heuermann and B. Schiek, “Calibration of network analyser measurements with leakage errors,” Electron. Lett., vol. 30, no. 1, pp. 52–53, Jan. 1994. [13] K. Silvonen, “LMR 16—A self-calibration procedure for a leaky network analyzer,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 7, pp. 1041–1049, Jul. 1997. [14] K. J. Silvonen, “A general approach to network analyzer calibration,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 4, pp. 754–759, Apr. 1992. [15] C. R. Curry, “How to calibrate through balun transformers to accurately measure balanced systems,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 961–965, Mar. 2003. [16] K. Silvonen, New Algorithms for Network Analyzer, Test Fixture and Wafer Prober Calibration, ser. Elect. Eng. 95. Espoo, Finland: Acta Polytech. Scandinavica, 1999. [17] N. H. Zhu, Z. Y. Chen, and Y. L. Wang, “New algorithms of the TSM and TOM methods for calibrating microwave test fixtures,” Microw. Opt. Technol. Lett., vol. 34, pp. 26–31, Jul. 2002. [18] J. A. Branadão Faria, “On the transmission matrix of 2n-port reciprocal networks,” Microw. Opt. Technol. Lett., vol. 33, pp. 151–154, May 2002.

SILVONEN et al.: 16-TERM ERROR MODEL BASED ON LINEAR EQUATIONS OF VOLTAGE AND CURRENT VARIABLES

[19] D. A. Frickey, “Conversions between S; Z; Y ; H; ABC D; and T parameters which are valid for complex source and load impedances,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 2, pp. 205–211, Feb. 1994. [20] R. B. Marks, D. F. Williams, and D. A. Frickey, “Comments on “conversions between S; Z; Y ; H; ABC D; and T parameters which are valid for complex source and load impedances’,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 4, pp. 914–915, Apr. 1995. [21] R. Levy, “Determination of simple equivalent circuits of interacting discontinuities in waveguides or transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 10, pp. 1712–1716, Oct. 2000.

Kimmo Silvonen was born in Koski Hl, Finland, on October 10, 1957. He received the Dipl. Eng. (M. S.) degree, Lic. Sc. (Tech.) degree, and Doctor of Science degree in electrical engineering from the Helsinki University of Technology (TKK), Helsinki, Finland, in 1983, 1988, and 1999, respectively. Since 1979, he has been with the Circuit Theory Laboratory, Helsinki University of Technology, as a Teaching and Research Assistant, Acting Professor of Circuit Theory (1990–1991), and Instructor. He is a Lecturer of electrical and electronics courses. He has authored electrical engineering textbooks. His current microwave-related research interests include scattering-parameter measurements and RF circuit theory.

1469

Ning Hua Zhu (M’92) was born in Guizhou, China, on December 16, 1959. He received the B.S., M.S., and Ph.D. degrees in electronic engineering from the University of Electronic Science and Technology of China, Chengdu, China, in 1982, 1986, and 1990, respectively. From 1990 to 1994, he was with the Electronics Department, Zhongshan University, Guangzhou, China, initially as a Post-Doctoral Fellow, then as an Associate Professor (1992), and then a Full Professor (1994). From 1994 to 1995, he was a Research Fellow with the Department of Electronic Engineering, City University of Hong Kong. From 1996 to 1998, he was with Siemens Corporate Technology, Munich, Germany, as a Guest Scientist (Humboldt Research Fellow), where he was involved with the microwave design and testing of external waveguide modulators and laser modules. He is currently a Professor with the Institute of Semiconductors, Chinese Academy of Sciences, Beijing, China. In 1998, he was involved in the Hundred-Talent Program, Chinese Academy of Sciences (CAS), and selected by the National Natural Science Foundation as a Distinguished Young Scientist. He founded a Joint Photonics Research Laboratory between the Institute of Semiconductors, CAS and the City University of Hong Kong in 1998, and served as Deputy Director. He has authored over 70 journal papers. His research interests are in modeling and characterization of integrated optical waveguides and coplanar transmission lines, optimal design and testing of opto-electronics devices, and optical fiber communications. His research has been supported by the National Natural Science Foundation, the National High Technology Development Program, the Major State Basic Research Program, and the Key Project Program of Chinese Academy of Sciences.

Yu Liu was born in Hunan, China, in 1976. He received the B.S. degree in electronics and electrical engineering from the Beijing Institute of Technology, Beijing, China, in 1998, the M.S. degree in microelectronics and solid-state electronics from the Institute of Semiconductors, Chinese Academy of Sciences, Beijing, China, in 2004, and is currently working toward the Ph.D. degree at the Institute of Semiconductors, Chinese Academy of Sciences. He is currently a Research Assistant with the Institute of Semiconductors, Chinese Academy of Sciences, where he is involved with the packaging design of high-speed laser modules, photodiode modules, and modulator modules.

1470

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Integrated Planar Spatial Power Combiner Lin Li and Ke Wu, Fellow, IEEE

Abstract—A simple low-loss integrated planar spatial power-combining architecture is proposed and studied in this paper. The power-combining structure is realized by transition between a simple oversized microstrip line and parallel multiport planar microstrip lines. The power combiner was simulated with parametric analysis and a working prototype at 25–31 GHz is designed and fabricated with four amplifier units. Measured results show a good agreement with simulated ones and a combining efficiency of 79.5% is achieved at 25 GHz. Index Terms—Amplifier, planar circuit, power combiner and divider, quasi-optical, spatial.

I. INTRODUCTION

ECENTLY, spatial and quasi-optical power-combining technology has been developed rapidly [1]–[3]. Various three-dimensional quasi-optical architectures reported thus far may be classified as either a “tray” or “tile” approach [4]. The essential character of such spatial or quasi-optical power combiners is that all of the amplifier elements operate in parallel in one stage. In this case, the loss is roughly independent of the number of amplifier elements in connection with the stage. This is why the power-combining efficiency of the spatial or quasi-optical architecture can be much better than that of a corporate binary Wilkinson power combiner, as shown in Fig. 1(a), if the number of amplifier elements is large. Nevertheless, spatial and quasi-optical techniques have also experienced problems including insufficient modeling results, difficult packaging issues, complicated mechanical assembling, and large physical size. A majority of spatial and quasi-optical power combiners developed thus far involve three-dimensional circuits that are not easy to handle with respect to both mechanical and electrical aspects. Different from the three-dimensional counterparts, twodimensional planar quasi-optical power combiners have also been studied, most of which were based on lens concepts [5], [6]. In particular, an interesting planar quasi-optical power-combining structure was proposed and demonstrated in [7], where a multimode interference was used to realize the power-combining function. However, those structures may still suffer from such problems such as large physical size, narrow bandwidth, and insufficient modeling.

R

Manuscript received August 26, 2005. This work was supported by the National Science and Engineering Research Council of Canada. The authors are with the Poly-Grames Research Center, Department of Electrical Engineering, Ecole Polytechnique de Montréal, Montréal, QC, Canada H3T 1J4 (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.871360

N

Fig. 1. (a) Wilkinson power divider. (b) -way power divider [8]. (c) Sectorial power divider [9]. (d) Tapered microstrip power divider [10]. (e) Power-divider structure proposed.

In this paper, we propose a new simple scheme for designing a power-combining structure, which is another version of an “integrated planar spatial power combiner,” as shown in Fig. 1(e). The structure we proposed in this paper is different from the -way power divider [8], as shown in Fig. 1(b), and the sectorial power divider [9], as described in Fig. 1(c), because the in-phase multiports of those power dividers cannot be located on a straight plane. Similar structures [10], [11] have used holes that are etched in the middle of the conductor pattern to equalize the signal path lengths from the input port to the output ports. The hole on the tapered line may also cause unwanted higher modes other than TEM mode if the width of the tapered line is large. The simulation of that structure has shown a narrow-band performance and the measurement has shown poor balance in both the phase and amplitude of the output signals [10]. No further applications of that structure have yet been published. Our proposed structure is inspired from optical diffraction theory. The surface current distribution on the structure can be explained with the help of Huygens’s principle [12] as follows. In Fig. 2, when the input port at the left side is excited, the

0018-9480/$20.00 © 2006 IEEE

LI AND WU: INTEGRATED PLANAR SPATIAL POWER COMBINER

1471

Fig. 4. (a) Surface current distribution at 31 GHz generated by ADS’s Momentum when port 1 is excited. (b) Surface current distribution at 31 GHz generated by Momentum when ports 2–5 are excited equally (coherently). (Light area—higher current density; dark area—lower current density).

Fig. 2. Waveform traveling in the structure proposed when the left port is excited.

Fig. 3. Proposed structure for realizing a 1-to-4 power divider/combiner in our case study.

input point is a source. Due to diffraction, the source generates a cylinder wave traveling in the taper. At the end of the taper, all points of the wavefront may be regarded as new sources of wavelets. A plane wave is then formed due to a constructive interference. The structure actually acts as a focus lens. Interestingly, this simple structure can easily be analyzed and explained in a circuit way. This structure presents merits of both binary-circuit-based power combiner and conventional spatial or quasi-optical power combiner. In this proposed structure, the power-dividing/combining functions are simply realized by transition between an oversized microstrip line and a set of parallel multiport microstrip lines. Thus, the entire power combiner remains in the planar form, which can be fabricated with a simple planar circuit technology. No complicated mechanical assembling is needed, and the circuit volume can greatly be reduced. In addition, interconnects with other planar circuits are just straightforward. Another attractive property of this structure is that it can work over a wide frequency bandwidth because the nonresonant structure works with a quasi-TEM mode. II. DESIGN PRINCIPLE In the following, the presentation of the design principle that is simple and straightforward will directly be related to our practical design without loss of generality. Fig. 3 illustrates the geometry of a 1-to-4 novel power divider/combiner that is proposed for operation at 25–31 GHz in our design. The substrate is Duroid 5870 with and thickness mm. The input (left-hand side) is a standard 50- microstrip line with its linewidth mm. The output (right-hand side) presents four parallel standard 50- microstrip lines, which are equally spaced, the space being mm. The width of the

Fig. 5. Current distribution density on surface of the oversized microstrip line.

oversized microstrip line is selected to be mm, whose characteristic impedance is 12.5 , and this value corresponds to 50 divided by 4. If we excite port 1 with an input signal, the signal will pass through the line taper to the oversized microstrip line. The signal is then divided into four parts, each of which appears at one of the four output ports 2, 3, 4, or 5. The surface current distribution at 31 GHz over the structure generated by ADS’s Momentum is shown in Fig. 4(a). Inversely, if the four ports 2–5 are equally (coherently) excited with four signals, they then add up at port 1 as Fig. 4(b) [Fig. 4(b) looks quite similar to Fig. 4(a)]. Since the microstrip line operates in the quasi-TEM mode, the current density profile over the metal surface is mainly flat in the transverse direction, except for the two edges (current density at the two edges is higher than the other parts), as shown in Fig. 5. Thus, the power is almost equally divided into the four output ports. This designed structure operates over the frequency range of 25–31 GHz. In the section of the oversized microstrip line, mm and there are two principal modes, namely, a quasi-TEM mode and a waveguide TE10 mode (with -field polarized perpendicular to the metallization). The quasi-TEM mode is preferred in the design. Fig. 6 describes the electrical field distribution of the TE10 mode over the oversized microstrip line at 31 GHz, which is generated by Ansoft’s High Frequency Structure Simulator (HFSS). In addition, the approximate current distribution density of the TE10 mode on the surface of the oversized microstrip line is shown in Fig. 5. It can be seen that this TE10 mode is just similar to its counterpart in rectangular waveguide. The difference lies in that the distribution profile of electrical field in the oversized microstrip line

1472

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 7. Simulated frequency. Fig. 6. Contour of electrical field distribution of the TE10 mode in the oversized microstrip line (generated by HFSS). (Denser contour represents higher electrical field.)

satisfies a cosine function and it reaches peak at both edges of the conductor and becomes null in the center. This TE10 mode in the oversized microstrip line should be avoided because it can cause an uneven power distribution at the four output ports, which will reduce the power-combining efficiency. This suggests that we should carefully design the taper and the transition from the oversized microstrip line to the multiparallel microstrip lines. In this case, the taper is used to realize the impedance matching and also reduce unwanted higher modes that may propagate in the oversized microstrip line. Therefore, the taper should be made as smoothly as possible. As far as the transition is concerned, the physical location of the output lines is critical in the design. First of all, the location must be made symmetrical, and it is very important to avoid exciting higher modes such as the TE10 mode. Secondly, the spacing of the multiparallel microstrip lines should be arranged as equally as possible. This is because the distribution of current in the transverse direction is approximately flat. The equally spaced multimicrostrip lines will cause a minimum current distortion over the transition. The maximum allowable number of the multiparallel microstrip lines can be determined by the following equation:

(1) is the characteristic impedance of the oversized miin which crostrip line, is the characteristic impedance of an output line in the multiparallel microstrip lines, and denotes the number of multilines. The coupling among the parallel multiport microstrip lines is generally very weak because the quasi-TEM mode is used herewith for the output lines, thus we can neglect it. Although the coupling is relatively low, a large mismatch between the lines and amplifier units in the design should be avoided because the effect of this coupling largely depends on the length of these

S -parameters of an 1-to-4 power divider as a function of

lines and how they are terminated. For simplicity, we just consider two coupled adjacent microstrip lines in the structure of Fig. 3. The adjacent coupling is calculated to be 21.27 dB. In this case, the odd-mode characteristic impedance is 46.38 , and the even-mode characteristic impedance is 55 , which gives . If the power combiner is well designed on the basis of TEM mode properties, the signal at each output port has the equal magnitude and phase, and the multiparallel microstrip lines have even mode excitation. In this case, a single transmission line may be used to represent the whole multilines, that has as its characteristic impedance. Therefore, is approximately equal to and we can consider them as a single transmission line in the case of weak coupling. If the parallel microstrip lines are excited in an odd mode, however, performance of the power combiner will be degraded. Since the odd-mode characteristic impedance of the coupled line will be different from that of the even mode, a mismatch between power amplifiers and multiparallel lines will cause some reflection loss. In addition, the odd mode on the multiparallel lines may yield waveguide modes instead of a quasi-TEM mode in the oversized microstrip line. Over the taper section, some part of energy of the waveguide modes will reflect back to the power amplifiers because the narrow end of the taper behaves as an impasse for the waveguide modes. The other part of energy of the waveguide will be converted to a TEM mode. Thus, the odd mode along the multiparallel microstrip lines will reduce the power-combining efficiency. In our study, ADS’s Momentum was used to simulate the structure in Fig. 3, and simulated results are shown in Fig. 7. From Fig. 7, we can see that the signals distributed into ports 2 and 3 exhibit little difference in both magnitude and phase. Since the structure is symmetrical, and . The difference in amplitude between and is approximately 0.4 dB. It means that the signal input to port 1 is almost equally divided into the four output ports and the amplitude of the signal at each output port is almost a quarter of the input signal at port 1. In Fig. 3, the transition from the oversized microstrip line to the parallel multiport microstrip lines is to accomplish the power-dividing and power-combining functions. An equivalent-

LI AND WU: INTEGRATED PLANAR SPATIAL POWER COMBINER

Fig. 8. Approximate equivalent-circuit model and simulated results of the transition from the oversized microstrip line to the parallel multimicrostrip lines.

circuit model of the transition, as shown in Fig. 8(a), can approximately be presented as a five-port network, as depicted by Fig. 8(b). Since this structure is symmetrical, the circuit model is also symmetrical. The circuit model can effectively be considered as four microstrip steps connected to an oversized microstrip line. In Fig. 8(c) and (d), the -parameters of the circuit model are compared with those simulated on the basis of the EM simulation (ADS’s Momentum). All the -parameters are obtained with respect to reference plane . We can notice that, in Fig. 8(d), and are both greater than 6 dB. In fact, and cannot be greater than 6 dB at the same time. This error is caused by our calibration procedure when we make use of a shift port in the EM simulation. Note that the equivalent-circuit model shown in Fig. 8(b) is approximate and it is used to demonstrate the concept of this kind of planar power-dividing/combining structure. A back-to-back connected 1-to-4 power divider/combiner shown in Fig. 9(a) is fabricated and measured. Measured results compared with simulated results are shown in Fig. 9(b). We can see that the measured -parameters agree with the ones obtained from simulation. The measured magnitude of is from 0.8 to 1.6 dB because the ideal conductor is used in simulation, is approximately 0 dB.

1473

Fig. 9. (a) Back-to-back connected 1-to-4 power divider. (b) Measured S -parameters compared with simulated ones.

If we split the two dividers as shown in Fig. 9(a) and connect four power amplifiers between the two dividers, we can build up a planar power combiner. The maximum potential combining efficiency can be estimated by [1]

(2) Calculated results from (2) for the structure in Fig. 9(a) are shown in Fig. 10. It is shown that combining efficiency around 90% can be achieved within a wide frequency range. The high combining efficiency is due to the low loss of the power-dividing/combining structure in which the oversized microstrip line is used. The loss factors due to metallic loss with different width of microstrip lines versus the width are shown in Fig. 11 (calculated by using Ansoft’s HFSS). It is shown that the oversized microstrip line has less conductor loss than its normal counterpart. III. EXPERIMENTS The structure shown in Fig. 9(a) can be used to realize a power combiner. However, due to the limitation of technology in our laboratories, we cannot place all the biasing capacitors

1474

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 13. Surface current distribution at 31 GHz plotted by ADS’s Momentum. (Light area—higher current density; dark area—lower current density).

Fig. 10. Calculated maximum potential combining efficiency.

Fig. 14. Simulated S -parameters of the 1-to-4 power divider.

Fig. 11. Loss factors versus width of the microstrip line.

Fig. 12. Structure of the 1-to-4 power divider/combiner to be used in the power combiner.

and bonding wires in such a narrow spaced structure. Therefore, a larger structure, which is originally made as a 1-to-8 divider, is designed to realize a four-unit power combiner, as shown in Fig. 12. Port 1 is a 50- microstrip line, and. the characteristic impedance of the oversized microstrip line is 6.25 mm . According to (1), the characteristic impedance of each line should be 25 if we use four microstrip lines as the output ports. Therefore, a quarter-wavelength impedance transformer is used between the 50- and 25- m line (in the structure, the section of 25- m lines are taken out to simplify the structure). The transformer line has impedance of and the width is 1.2 mm. The taper section between the 50- and 6.25- m microstrip line is designed on the basis of a theory of the Dolph–Chebyshev taper [13]. The surface current distribution when port 1 is excited is shown in Fig. 13. Simulated results of the divider are shown in

Fig. 15. Fabricated integrated planar spatial power combiner (the size is 69 1 40 mm ).

Fig. 14. The phase difference between and is from 18 to 1.4 . The amplitude imbalance between and is around 2 dB from 25 to 29 GHz and becomes larger after 29 GHz. Four GaAs monolithic-microwave integrated-circuit (MMIC) amplifiers are used to build up the power combiner. The part number of MMIC amplifiers is HMC283 of the Hittite Microwave Corporation, Chelmsford, MA. It works from 17 to 40 GHz with a gain of 21 dB and a typical (the output power at the 1-dB gain compression point) of 18 dBm. The power combiner fabricated is shown in Fig. 15. Both measured and simulated results are shown in Fig. 16. It is observed that the measured is higher than the simulated one while the measured is lower than the simulated one. The main reason for such discrepancies is that bonding wires connecting the MMIC amplifiers and the microstrip lines are not short enough (0.31 mm was suggested for HMC283,

LI AND WU: INTEGRATED PLANAR SPATIAL POWER COMBINER

1475

planar form, and it is easy to simulate by using the planar electromagnetic (EM) simulation software. The fabrication of this kind of planar power combiner is very easy using a planar circuit technology and no complicated mechanical assembling is needed. Since there is only one single substrate required to integrate several power-amplifier MMICs, the number of MMICs is limited as compared with other quasi-optical power combiners. This single substrate structure is more suitable for use in a planar system to realize a power combiner with a moderate number of units. A larger number of power amplifier units may be realized by means of a multilayered scheme. IV. CONCLUSION Fig. 16. Frequency response of measured S -parameters compared with simulated ones.

Fig. 17. (a) Input power versus output power performance at 25 GHz. (b) -parameters of the power combiner plotted against frequency.

P

but more than 0.5 mm used in our circuit assembling). The input power versus output power at 25 GHz is shown in Fig. 17(a). The point of the power combiner is 23 dBm, meaning that the power-combining efficiency is approximately 79.5%. The -parameters versus frequency are shown in Fig. 17(b). In [1], the combining efficiency of 82.2% has been achieved at 14.75 GHz, using 12 MMICs, and another power combiner using 32 MMICs [2] has reached the combining efficiency of 80% at 10 GHz. There are mainly two factors that lower the power-combining efficiency; one is the loss of the power-dividing/combining structure and the other is the unequal distribution of power on the multiport microstrip lines. In [1] and [2], the power-combining efficiency is mainly determined by the loss in the passive parts and has little relation to the number of MMICs. The predicted power-combining efficiency of this circuit is between 82%–87% from 25 to 31 GHz from (2). The reduction in power-combining efficiency is caused by the inequality of the power distribution on the parallel multiport microstrip lines, which can be improved by more careful design. This power combiner is similar to the tile style described in [4]. Here, we realize the power combining in only one tile. Comparing this structure with a typical tile-style structure [1], we can see that the power-dividing/combining structure in [1] is realized by using parallel multiport fin lines, but in this study, the structure of power-dividing/combining function is realized by multiport microstrip lines. The structure in this study is in a

We have proposed a new simple planar scheme to realize a spatial power-combining/dividing structure that is made by transition between a simple oversized microstrip line and parallel multiport planar microstrip lines. A working prototype of the power combiner at 25–31 GHz has been designed and fabricated with four amplifier MMICs. Measurements show a good agreement with simulations and a combining efficiency of 79.5% with 22-dB gain has been achieved at 25 GHz. This type of planar spatial power combiner can be simulated by using full-wave planar EM simulation software and can be easily fabricated. Such power combiners are in a planar form so it is very convenient to integrate these structures with other planar integrated circuits. A potential problem associated with this kind of power combiner is that there is only one plane on which to place the power-amplifier units so the number of the amplifiers involved in a single planar substrate cannot be very large. A multilayer structure should be employed to increase output power capacity of the power combiner because it can contain a larger number of amplifier units. ACKNOWLEDGMENT The authors would like to thank Ansoft for their donations of the HFSS packages. The authors would also like to thank J. Gauthier for technical assistance and S. Dubé for fabricating the circuits tested. REFERENCES [1] M. Belaid and K. Wu, “Spatial power amplifier using a passive and active TEM waveguide concept,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 684–689, Mar. 2003. [2] P. C. Jia, L. Y. Chen, A. Alexanian, and R. A. York, “Multioctave spatial power combining in oversized coaxial waveguide,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 5, pp. 1355–1360, May 2002. [3] T. Ivanov, A. Balasubramaniyan, and A. Mortazawi, “One- and twostage spatial amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 9, pp. 2138–2143, Sep. 1995. [4] M. P. DeLisio and R. A. York, “Quasi-optical and spatial power combining,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 929–936, Mar. 2002. [5] J. S. H. Schoenberg and Z. B. Popovic, “Planar lens amplifier,” in IEEE MTT-S Int. Microw. Symp. Dig., San Diego, CA, 1994, pp. 429–432. [6] C. W. Hicks, H. S. Hwang, M. B. Steer, J. W. Mink, and J. F. Harvey, “Spatial power combining for two-dimensional structures,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 6, pp. 784–791, Jun. 1998. [7] T. J. Tayag, M. B. Steer, J. F. Harvey, A. B. Yakovlev, and J. Davis, “Spatial power splitting and combining based on the Talbot effect,” IEEE Microw. Guided Wave Lett., vol. 12, no. 1, pp. 9–11, Jan. 2002. [8] W. Yau, J. M. Schellenberg, and Y. C. Shih, “A new -way broad-band planar power combiner/divider,” in IEEE MTT-S Int. Microw. Symp. Dig., 1986, pp. 147–149.

N

1476

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

[9] M. D. Abouzahra and K. C. Gupta, “Multiport power divider-combiner circuits using circular-sector-shaped planar components,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 12, pp. 1747–1751, Dec. 1988. [10] K. W. Eccleston, Q.-C. Sun, and S. P. Yeo, “Tapered microstripline power combiners with co-linear input ports,” Microw. Opt. Technol. Lett., vol. 15, no. 6, pp. 339–342, Aug. 1997. [11] H. Kobeissi and K. Wu, “Design technique and performance assessment of new multi-port multi-hole power divider suitable for M(H)MICs,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 4, pp. 499–505, Apr. 1999. [12] E. Hecht, Optics, 3rd ed. Reading, MA: Addison-Wesley, 1998, pp. 103–104. [13] R. W. Klopfenstein, “A transmission-line taper of improved design,” Proc. IRE, vol. 442, no. 1, pp. 31–35, Jan. 1956. Lin Li received the B.S. degree in electrical engineering and M.S. degree in microwave engineering from the Nanjing University of Science and Technology, Nanjing, China, in 1994 and 1997, respectively, and is currently working toward the Ph.D. degree at the École Polytechnique de Montréal, Montréal, QC, Canada.

Ke Wu (M’87–SM’92–F’01) is a Professor of electrical engineering and the Tier-I Canada Research Chair in Radio-Frequency and Millimeter-Wave Engineering at the École Polytechnique, Montreal, QC, Canada. He was a Visiting or Guest Professor with many universities around the world. He also holds an honorary visiting professorship and a Cheung Kong endowed chair professorship with Southeast University, Nanjing, China, and an honorary professorship at the Nanjing University of Science and Technology, Nanjing, China. He has been the Director of the Poly-Grames Research Center as well as the Founding Director of the Canadian Facility for Advanced Millimeter-wave Engineering (FAME).

He has authored or coauthored over 450 referred papers and several books/book chapters. His current research interests involve substrate ICs, antenna arrays, advanced computer-aided design and modeling techniques, and development of low-cost RF and millimeter-wave transceivers. He is also interested in the modeling and design of microwave photonic circuits and systems. He serves on the Editorial Board of Microwave Journal, Microwave and Optical Technology Letters, and Wiley’s Encyclopedia of RF and Microwave Engineering. He is an Associate Editor of the International Journal of RF and Microwave Computer-Aided Engineering. Dr. Wu is a Fellow of the Canadian Academy of Engineering (CAE) and the Royal Society of Canada (The Canadian Academy of the Sciences and Humanities) and a member of the Electromagnetics Academy, Sigma Xi, and the URSI. He has held many positions in and has served on various international committees, including the vice chairperson of the Technical Program Committee (TPC) for the 1997 Asia–Pacific Microwave Conference, the general co-chair of the 1999 and 2000 SPIE’s International Symposium on Terahertz and Gigahertz Electronics and Photonics, the general chair of the 8th International Microwave and Optical Technology (ISMOT 2001), the TPC Chair of the 2003 IEEE Radio and Wireless Conference (RAWCON 2003), and the general co-chair of the RAWCON 2004. He has served on the Editorial or Review Boards of various technical journals, including the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION, and the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. He served on the 1996 IEEE Admission and Advancement Committee and the Steering Committee for the 1997 joint IEEE Antennas and Propagation Society (AP-S)/URSI International Symposium. He has also served as a TPC member for the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium. He was elected to the Board of Directors of the Canadian Institute for Telecommunication Research (CITR). He is currently the chair of the joint IEEE chapters of MTT-S/AP-S/LEOS in Montreal, QC, Canada, the chapter coordinator for MTT-S Region 7. He is an elected IEEE MTT-S Administrative Committee (AdCom) member for 2006–2009 and serves as the chair of the IEEE MTT-S Transnational Committee. He was the recipient of a URSI Young Scientist Award, the Oliver Lodge Premium Award of the Institute of Electrical Engineer (IEE), U.K., the Asia-Pacific Microwave Prize, the University Research Award ”Prix Poly 1873 pour l’Excellence en Recherche” presented by the École Polytechnique on the occasion of its 125th anniversary, the Urgel-Archambault Prize (the highest honor) in the field of physical sciences, mathematics, and engineering from the French-Canadian Association for the Advancement of Science, and the 2004 Fessenden Medal of the IEEE Canada. In 2002, he was the first recipient of the IEEE MTT-S Outstanding Young Engineer Award.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

1477

Signal Model and Linearization for Nonlinear Chirps in FMCW Radar SAW-ID Tag Request Stefan Scheiblhofer, Student Member, IEEE, Stefan Schuster, Student Member, IEEE, and Andreas Stelzer, Member, IEEE

Abstract—In this paper, we present a frequency-modulated continuous-wave radar interrogation concept, based on direct digital synthesis (DDS), that operates without the commonly necessary high-frequency DDS reference oscillator. As the generated frequency sweeps are nonlinear, standard Fourier transform methods for baseband signal evaluation cannot be applied directly. We show the corresponding signal model, derive a linearization concept on the basis of resampling, and demonstrate the linearization algorithm on simulated data, as well as on real wireless interrogations of surface acoustic-wave sensors.

Fig. 1. Interrogation setup for SAW sensors.

Index Terms—Direct digital synthesis (DDS), frequency-modulated continuous wave (FMCW) radar, linearization, nonlinear chirp.

I. INTRODUCTION EGARDING the wireless interrogation of surface acoustic-wave (SAW)-based devices, such as identification (ID) tags or temperature sensors [1], [2], the use of linear frequency-modulated continuous-wave (LFMCW) or linear frequency-stepped continuous-wave (LFSCW) radar interrogation units is of common practice [3], [4]. Fig. 1 shows the setup of an interrogation unit and a SAW sensor that is equipped with an antenna. The reflector configuration of the SAW tag is read out in the frequency domain using the inverse Fourier transform for the calculation of the individual round-trip delay times (RTDTs), which carry the code or temperature information [4]. Typically, frequency-modulated continuous-wave (FMCW) transmitters are designed to generate agile and highly linear frequency ramps [5] of the form

R

(1)

with being the starting frequency, being the slope of the ramp, and being the sweep duration. The ramp generation usually is derived from a very stable reference oscillator, as the quality of the baseband signal is highly dependent on the linearity of the frequency ramp [6], [7].

for

Fig. 2. Block schematic of the nonlinear chirp synthesizer.

The used direct digital synthesis (DDS)-based frequency synthesizer operates without a separate high-frequency DDS reference oscillator and generates fast, but nonlinear frequency chirps. As a consequence, the output of the homodyne receiver frontend consists of frequency chirps in contrast to the sinusoidal output of linear frequency modulated systems and has to be linearized before Fourier processing. This paper is organized as follows. In Section II, we examine the realized DDS system and derive a closed-form expression for the resulting transmit signal. Section III compares the FMCW radar baseband signal models for linear and generally nonlinear frequency chirps. In Section IV, a linearization scheme by means of resampling is stated and finally applied to measurement data in Section V. II. SIGNAL SYNTHESIS A. Frequency Synthesis

Manuscript received August 25, 2005; revised December 13, 2005. The authors are with the Institute for Communications and Information Engineering, Johannes Kepler University, A-4040 Linz, Austria (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.871361

Fig. 2 shows a block schematic of the realized frequency synthesizer. This specific setup is commonly known as a “fractional divider loop configuration.” Hereby the DDS is used to implement a digitally tunable high-resolution divider in a standard

0018-9480/$20.00 © 2006 IEEE

1478

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 3. Operational principle of the DDS.

phase-locked loop. In this setup, there is no need for a high-frequency DDS reference oscillator, which is usually in the range of several hundred megahertz to gigahertz for optimum DDS performance. The DDS reference clock is derived directly from the RF output signal through division by a frequency divider with ratio . The system reference is a simple quartz oscillator.

Fig. 4. Block diagram of the FMCW reader frontend and SAW tag.

B. Generation of the Nonlinear Sweep The DDS core (see Fig. 3) generates a sinusoidal output signal derived from its frequency reference using a -bitwide phase accumulator. Every clock cycle the phase accumulator is incremented by the value of the frequency tuning word (FTW), mapped to amplitude information via a lookup table, and converted to an analog signal using a digital-to-analog converter. The delta frequency tuning word can be used to modulate the output frequency. The output frequency according to the FTW (for ) calculates to

If the DDS reference would be driven by a fixed frequency oscillator, the FTW sweep would result in a linear frequency chirp at the DDS output. In the current case the DDS reference itself is not fixed, as it is derived from the frequency chirping signal . Therefore, the frequency sweep becomes nonlinear. Combining (4) and (5), we derive an implicit expression for the output frequency

(6)

(2) Therefore, the resulting divider value , including the prescaler value , in the phase-locked loop calculates to

that can be solved, assuming initial zero phase without loss of generality, to

(7)

(3) which yields a closed-loop output frequency of

This nonlinear square-root frequency function can closely be approximated by developing it into an th-order Taylor series (4) being the phase-locked loop reference frequency. with The utilized synthesizer Analog Devices AD9956 [8] offers an automatic frequency sweeping capability. In this mode, the synthesizer sweeps the FTW proportional to the phase of the DDS reference input between a programmable start and stop FTW using the , as shown in Fig. 3. For small frequency increments per DDS reference clocks, this can be linearly modeled by (5) being the start FTW, being the slope of with the function, including the frequency divider of ratio , and being the phase of the closed-loop output signal with frequency .

(8)

with the coefficients , which will be used for the derivation of the linearization scheme. III. SIGNAL MODEL Here, we show the baseband signal model for target interrogation with linear frequency chirps in comparison to the polynomial approximation of nonlinear chirps, both for the case of homodyne reception. For better understanding, Fig. 4 shows a simplified block diagram of the utilized FMCW reader frontend. This homodyne concept uses a 90 -hybrid coupler for transmit–receive separation.

SCHEIBLHOFER et al.: SIGNAL MODEL AND LINEARIZATION FOR NONLINEAR CHIRPS IN FMCW RADAR SAW-ID TAG REQUEST

A. Signal Model for the Linear Chirp

1479

and yields a transmit signal

An LFMCW transmitter generates an interrogation signal, according to (1), of the form (15) (9)

Using binomial coefficients, the phase of the time-delayed receive signal can be written as

being the amplitude, being the starting frequency, with and being the slope of the linear ramp. Regarding a single target, the return signal is a time-delayed version of the transmit signal damped by a factor

(16)

(10)

After mixing with the attenuated and time-delayed return signal from the target and subsequent low-pass filtering, the IF signal results in

where is the target RTDT. Due to the homodyne principle, the IF signal at the mixer output is generated by multiplying transmit and receive signals. Removing the high-frequency sum terms by low-pass filtering yields an IF signal of the form (11) If the RTDT is small compared to the sweep time, with , the term can be omitted in comparison to . The response signals of multiple targets simply superpose, thus a -target setup yields

(17) or noted in permutated order

(18) Interpreted in terms of phase and frequency components,

(12)

being the amplitude of each signal component. The with IF signal, therefore, consists of a sum of cosines with the frequencies

(19) with

(13) As can be seen from (12), the RTDT of the targets can easily be estimated using Fourier transform methods when LFMCW interrogation is used.

The same approach is applicable to the th-order polynomial approximation of the nonlinear frequency waveform (8). The actual phase value —representing the argument of the cosine transmit function—is given by

the function hereby represents the frequency and represents the phase component of the IF signal. It is important to note that, compared to the linear model (12), the frequency in general depends on not only linear, but with higher order terms. However, if the nonlinear frequency course is short-term linear and, hence, does not deviate from a linear course with regard to the RTDT interval remarkably, these higher order terms can be neglected. Therefore, with respect to the given nonlinear frequency course, for short RTDTs compared to the measurement time , becomes approximately independent from as follows:

(14)

(20)

B. General Signal Model for the Nonlinear Chirp

1480

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 5. Frequency measurement of a 300-s up–down chirp.

Fig. 6. FFT spectra of a simulated 50-Hz cosine and a frequency chirp, from 50 to 100 Hz, N = 1000 pts, sampling frequency f = 1000 Hz.

In this case, the frequency term represents a frequency chirp of order and the relationship between RTDT and IF frequency is given by

(21)

with a maximum relative frequency error in the order of 10 . The deviations from the theoretical values result from the slight approximation error due to the quadratic model, as well as the dynamics of the phase-locked loop, especially the loop filter, that impact the frequency response. Assuming the second-order model (22), the IF signal according to (20) is

C. Second-Order Model for the Nonlinear DDS Chirp For the considered ramp parameters—a sweep bandwidth of 100 MHz and a frequency sweep duration of several hundred microseconds—the frequency course can be characterized using a second-order polynomial

(22) being the start frequency, being the slope, and with being the curvature of the frequency ramp, with a high degree of accuracy. Here, and represent the polynomial coefficients and of (8). For verification of model (22), frequency measurements on the prototype system were taken using the technique described in [9]. Fig. 5 presents an agile 300- s upchirp from 2.4 to 2.5 GHz and a downchirp of the same rate generated with the DDS system. The linear course with the ramp’s initial slope is shown to point out the dominating quadratic nature of the DDS ramp. The slope and curvature component of the upchirp have been calculated using linear regression and show very good agreement to the calculated theoretical results and Hz/s Hz/s Hz/s Hz/s

(23)

with a constant phase term . Compared to (12), a quadratic chirped frequency transmit signal generates an IF output signal, which is not of constant frequency anymore. The new relationship between RTDT and IF frequency is given by

(24) Therefore, the IF signal for multiple targets consists of a sum of linear frequency chirps. IV. CHIRP RESAMPLING AND LINEARIZATION The standard fast Fourier transform (FFT) is not suitable for estimating the frequency content of chirped frequency signals, as it basically correlates the signal under test with complex exponential functions of constant frequency. The Fourier transform of a frequency chirp will not contain a sharp peak—as expected for the pure sine wave—but the signal energy will smear over a broader frequency range, dependent on the curvature of the quadratic chirp (22) (see Fig. 6). The main idea for the linearization of a chirp is to interpret it as a time-distorted version of a sinusoid. This can be done in the following two ways.

SCHEIBLHOFER et al.: SIGNAL MODEL AND LINEARIZATION FOR NONLINEAR CHIRPS IN FMCW RADAR SAW-ID TAG REQUEST

1481

If a chirp signal is sampled with sampling intervals proportional to its phase, the resulting discrete dataset represents a pure sinewave. This approach has to be realized in hardware and significantly increases the system complexity. An implementation can be found in [7]. The reverse process samples the chirp with constant sampling frequency and digitally shifts the samples on the timeline afterwards. As in the above case, the time shift of the samples is proportional to the phase of the chirp. After the de-chirping process, the original samples are spaced equidistant in phase—therefore, representing a sinewave—but nonequidistant in time. One possible way to calculate the spectrum of that data is to apply the nonuniform discrete Fourier transform (NDFT) [10] that operates on nonuniform sampled data with sub-FFT performance. Our approach linearizes the data before the transformation, therefore, a standard FFT is applicable. A. Calculation of the Resampling Scheme Considering the linear chirped frequency IF signal with known slope, we need to calculate new sampling instants spaced equidistant in phase. The actual phase of a time-equidistant sampled cosine, respectively, chirp according to the signal models (12) and (23) is given by (25) (26) with and being the sampling intervals. The constant phase terms have been neglected without loss of generality. Calculation of the phase increments per sample for both models yields (27) (28) with being the number where is in the range of 1 to of samples. By setting , we can now determine the series of modified sampling intervals , which results in a complete linearization of the chirped IF signal

(29) hereby is the equidistant sampling interval of the corresponding cosine. Additionally, the sampling instants can be calculated directly by solving (30)

for , which yields the vector of absolute sampling times for to

(31)

Fig. 7. FFT spectra of a 50-Hz cosine and a linearized frequency chirp from 50 to 100 Hz, N = 1000 pts, f = 1000 Hz, L = 100.

It is important to note that the sampling instants do not depend on the target RTDT , thus, this linearization is independent from the target configuration as long as previously made assumptions hold. The same procedure can be used to calculate the resampling scheme for higher order polynomial models (19) even though the corresponding equations might only be solved numerically. B. Interpolation The linearization of the sampled data uses interpolation in a two-stage process. Based on the uniform sampled chirp signal, the samples at the times are to be calculated, as they represent the de-chirped dataset on equidistant sampled points. First, the band-limited real dataset is sinc interpolated by an integer factor [11] that is chosen corresponding to the vector of sampling times. Since most of the calculated sampling instants do not comply with an integer ratio of the original sampling interval, the resultant real data vector of the first step is then interpolated linear in the second step to minimize deviations from the exact value. In Fig. 7, the simulated 50–100-Hz frequency chirp has been linearized with the described method. It shows excellent match with the ideal cosine of 50 Hz. V. MEASUREMENT RESULTS To prove both the signal model (23) and the linearization technique, we took wireless measurements of a seven-digit SAW ID tag using the prototype FMCW system depicted in Fig. 4. The SAW tag is fabricated on LiNbO with alumina metallization and is 6 mm 2 mm in size, with corresponding reflector RTDTs of 0.6–2 s. Fig. 8 illustrates the comparison between FFT evaluation of the raw baseband data and the FFT evaluation of the linearized data. The spectrum of the original chirped IF signal clearly shows the energy smearing effect due to the nonstationary frequency content. According to (24), this spreading effect gets worse for larger RTDTs, as the absolute frequency deviation linearly increases with . Simultaneously, the amplitude decreases as the total peak energy stays constant. After

1482

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

= 801

=

Fig. 8. Spectral response of a seven-digit SAW ID tag N pts and f : MHz, readout distance 0.7 m, effective isotropic radiated power 10 mW, antenna gain 10 dBi.

25

Fig. 10. Spectrogram of the linearized baseband signal.

+

VI. CONCLUSION In this paper, we have presented a DDS-based FMCW radar frontend that generates nonlinear frequency chirps and operates without the commonly used high-frequency DDS reference oscillator. We derived a closed-form expression for the nonlinear frequency course and stated a general baseband signal model for the according polynomial approximation of order . We stated a linearization method that is applicable to short-term linear frequency courses and allows standard FFT methods for signal evaluation to be applied. Finally, the performance of both the radar system and linearization algorithm was shown on real measurement data of a SAW ID tag.

REFERENCES

Fig. 9. Spectrogram of the raw baseband signal.

linearizing the data with the interpolation technique, the spectral energy is reshaped, which results in clear and narrow spectral peaks, as expected for a linear frequency-modulated request signal. The maximum relative frequency deviation compared to an LFMCW request is in the order of 10 , corresponding to 200-ps deviation in time, mainly due to neglecting the higher order terms of in (19). This is negligible compared to the 10-ns spacing of the SAW ID tag’s coding grid. The spectrograms of both raw and resampled data illustrate the effect of the resampling process in the time domain. The light-gray lines represent the IF frequency progression of each target response in time. As the model (23) states, the target frequencies in Fig. 9 rise with increasing measurement time with a slope dependent on the target RTDT. The spectrogram of the linearized data in Fig. 10 shows constant frequencies over the measurement interval that are suitable for FFT evaluation.

[1] A. Pohl, “A review of wireless SAW sensors,” IEEE Trans. Ultrason., Ferroelectr., Freq. Control, vol. 47, no. 2, pp. 317–332, Mar. 2000. [2] F. Schmidt and G. Scholl, “Wireless SAW identification and sensor systems,” in Advances in Surface Acoustic Wave Technology, Systems and Applications, C. Ruppel and T. Fjeldly, Eds. London, U.K.: World Sci., 2001, vol. 2, pp. 277–325. [3] R. Peter and C. S. Hartmann, “Passive long range and high temperature ID systems based on SAW technology,” in Proc. Sensor Conf., Nuremberg, Germany, May 13–15, 2003, vol. 1, pp. 335–340. [4] A. Stelzer, S. Schuster, and S. Scheiblhofer, “Readout unit for wireless SAW sensors and ID-tags,” in Proc. 2nd Int. Acoust. Wave Dev. for Future Mobile Commun. Syst. Symp., Chiba, Japan, Mar. 3–5, 2004, pp. 37–44. [5] T. Musch, I. Rolfes, and B. Schiek, “A highly linear frequency ramp generator based on a fractional divider phase-locked-loop,” IEEE Trans. Instrum. Meas., vol. 48, no. 2, pp. 634–637, Apr. 1999. [6] M. Pichler, A. Stelzer, P. Gulden, and M. Vossiek, “Influence of systematic frequency-sweep nonlinearity on object distance estimation in FMCW/FSCW radar systems,” in Proc. 33rd Eur. Microw. Conf., Munich, Germany, Oct. 6–10, 2003, pp. 1203–1206. [7] M. Vossiek, P. Heide, M. Nalezinski, and V. Mágori, “Novel FMCW radar system concept with adaptive compensation of phase errors,” in Proc. 26th Eur. Microw. Conf., Prague, Czech Republic, Sep. 9–13, 1996, pp. 135–139. [8] AD9956—2.7 GHz DDS-based AgileRF™ synthesizer Analog Devices, Norwood, MA, Datasheet, Rev. A, Sep. 2004 [Online]. Available: http://www.analog.com

SCHEIBLHOFER et al.: SIGNAL MODEL AND LINEARIZATION FOR NONLINEAR CHIRPS IN FMCW RADAR SAW-ID TAG REQUEST

[9] A. Stelzer, K. Ettinger, J. Höftberger, J. Fenk, and R. Weigel, “Fast and accurate ramp generation with a PLL-stabilized 24 GHz SiGe VCO for FMCW and FSCW applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, vol. 2, pp. 893–896. [10] A. Dutt and V. Rokhlin, “Fast Fourier transforms for nonequispaced data,” SIAM J. Sci. Comput., vol. 14, pp. 1368–1393, 1993. [11] R. E. Crochier and L. R. Rabiner, Multirate Digital Signal Processing. Englewood Cliffs, NJ: Prentice-Hall, 1983, pp. 35–39.

Stefan Scheiblhofer (S’03) was born in Linz, Austria, in 1979. He received the M.Sc. degree in mechatronics from Johannes Kepler University, Linz, Austria in 2003, and is currently working toward the Ph.D. degree at the Institute for Communications and Information Engineering, Johannes Kepler University. His primary research interests concern advanced radar system concepts, development of the associated signal-processing algorithms, statistical signal processing, and their application to SAW devices for ID and temperature measurement purposes.

1483

Stefan Schuster (S’03) was born in Linz, Austria, in 1978. He received the Dipl.-Ing. (M.Sc.) degree in mechatronics from Johannes Kepler University Linz, Austria, and is currently working toward the Ph.D. degree at the Institute for Communications and Information Engineering, Johannes Kepler University. His research interests include all types of signal processing, especially focused on radar signal processing, as well as RF system design.

Andreas Stelzer (M’00) was born in Haslach an der Mühl, Austria, in 1968. He received the Diploma Engineer degree in electrical engineering from the Technical University of Vienna, Vienna, Austria, in 1994, and the Dr.techn. degree (Ph.D) in mechatronics (with honors sub auspiciis praesidentis rei publicae) and Habilitation degree from the Johannes Kepler University Linz, Linz, Austria, in 2000 and 2003, respectively. In 1994, he joined Johannes Kepler University, as a University Assistant. Since 2000, he is with the Institute for Communications and Information Engineering, Johannes Kepler University. In 2003, he became an Associate Professor with the Johannes Kepler University. His research focuses on microwave sensors for industrial applications, RF and microwave subsystems, electromagnetic compatibility (EMC) modeling, digital signal processing (DSP), and microcontroller boards, as well as high-resolution evaluation algorithms for sensor signals. Dr. Stelzer is member of the Austrian Engineering Society (OVE). He serves as an associate editor for IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS.

1484

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Iterative Image Reconstruction of Two-Dimensional Scatterers Illuminated by TE Waves Davide Franceschini, Member, IEEE, Massimo Donell, Member, IEEE, Gabriele Franceschini, and Andrea Massa, Member, IEEE

Abstract—The iterative reconstruction of unknown objects from TE-measured scattered field data is presented. The paper investigates the performance of the iterative multiscaling approach (IMSA) in exploiting transverse electric (TE) illuminations. As a matter of fact, in these conditions, the problem turns out to be more complicated than the tranverse magnetic (TM) scalar one in terms of mathematical model as well as computational costs. However, it is expected that more information on the scenario under test can be drawn from scattered data. Therefore, this study is aimed at verifying whether the TE case can provide additional information on the scenario under test (compared to the TM illumination) and how such an enhancement can be suitably exploited by the IMSA for improving the reconstruction accuracy of the retrieval process. Such an analysis will be carried out by means of a set of numerical experiments concerned with dielectric and metallic targets in single- and multiple-objects configurations. Synthetic as well as experimental data will be dealt with. Index Terms—Electromagnetic scattering, inverse problems, microwave imaging, multiresolution technique, transverse electric (TE) illumination.

I. INTRODUCTION HE reconstruction of inaccessible areas has been addressed by employing electromagnetic fields at microwave frequencies in a wide number of applications (see [1]–[4]). The imaging of the unknown targets is generally achieved through a suitable processing of the field data collected away from the scatterers. Moreover, the exploitation of the complex scattering phenomena allows a quantitative description of the dielectric and conductivity distributions of the domain under test. In this framework, two main categories of methodological approaches have been developed. The first class is concerned with approximate techniques, such as the physical optic methods [5], [6] and the Born and Rytov approximations [7], [8]. These approaches simplify the imaging problem by considering some approximations but also limit the range of retrievable profiles. A second class of methods aims at rigorously solving the nonlinear inverse scattering equations, usually by reformulating the original problem into an optimization one [9]–[15]. Such approaches extend the range of retrievable objects, but they also

T

Manuscript received August 25, 2005; revised December 13, 2005. The authors are with the Department of Information and Communication Technology, University of Trento, 38050 Trento, Italy (e-mail: davide. [email protected]; [email protected]; gabriele.franceschini @dit.unitn.it; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.871921

make the reconstruction problem more troublesome and computationally expensive since the arising nonlinearity and ill-posedness have to be suitably addressed. Moreover, although many efforts (see, e.g., [16]–[19]) have been addressed to develop effective methods for three-dimensional (3-D) reconstructions, tomographic configurations are generally considered if possible, since the dimensionality of the problem requires less computational load. Furthermore, the inverse scattering problem is generally simplified by considering suitable illumination conditions. The most widely adopted polarization is the transverse magnetic (TM) one, where the incident electric field is directed along the invariance axis of the cylindrical geometry. Such a situation allows to reformulate the original vectorial problem by means of scalar relations, thus reducing the overall complexity of the mathematical model and the arising computational burden needed for its numerical solution. On the other hand, dealing with a transverse electric (TE) illumination (where the magnetic field is polarized along the axis of the cylinder) requires a more complex mathematical description, since the arising vectorial integral equations present derivatives of both the background Green’s function and the field [20]. Nevertheless, since TM and TE cases are physically uncoupled, TE scattered data are expected to give different information on the scenario under test [21] and maybe a larger amount, since two field components are taken into account. Moreover, as pointed out in [21], the influence of the ill-posedness for TE inverse scattering is lower than that for TM since the Green’s function is more singular in the former case. However, the computational load for exploiting such positive features is unavoidably increased because of the need to solve a vectorial problem characterized by a stronger nonlinearity [22]. As a matter of fact, by considering the same spatial resolution for reconstructing the unknown profile in the investigation domain, the dimension of the space of unknowns turns out to be larger for TE than for the TM case. Thus, retrieval procedures able to effectively deal with large-dimension problems are necessary. The need for high-resolution accuracy in the presence of a limited information content of collectable data [23] has been successfully addressed for TM data by considering multiresolution strategies [24]–[29], which also allows an increasing of the ratio between data and unknowns that are useful for reducing the occurrence of local minima [30]. Therefore, what this paper accomplishes is to efficiently use a multiresolution strategy [i.e., the iterative multiscaling methodology (IMSA)] for TE scattering data and compare the performance to the TM case. This is the first time, to the best of the authors’

0018-9480/$20.00 © 2006 IEEE

FRANCESCHINI et al.: ITERATIVE IMAGE RECONSTRUCTION OF 2-D SCATTERERS ILLUMINATED BY TE WAVES

1485

For two-dimensional (2-D) TM polarization, the electric field is parallel to the invariance axis of the cylindrical target . Thus, (2) reduces to a scalar relation

(3) where

Fig. 1. Problem geometry.

knowledge, that a multiresolution methodology is applied to TE scattered data. The paper is organized as follows. After the mathematical formulation of the inverse scattering problem (Section II), Section III will focus on the implementation details of the multistep reconstruction algorithm in dealing with a transverse electric polarization. Successively, the advantages of the IMSA-TE will be analyzed and discussed in Section IV through a numerical analysis concerned with the reconstruction of dielectric and metallic profiles from both synthetic and experimental data. Finally, some conclusions and future developments will be outlined in Section V. II. MATHEMATICAL FORMULATION Let us consider a set of monochromatic incident electric fields ( , ) at a fixed angular frequency that illuminates, from different angles of incidence, an inhomogeneous object located in a bounded investigation domain lying in free space (Fig. 1). The unknown object is described through the so-called object function given by (1) where is the relative permittivity with respect to the background and is the conductivity of the scatterer. The total electric field , , inside and outside the scatterer satisfies the following integral equation: (2) , is the dyadic Green’s function, where and identifies a point inside the investigation area, while refers to a location of the investigation domain or of the measurement domain external to . Equation (2) is the basic relationship for developing any inversion algorithm based on the integral equation formulation. Moreover, in the following, a tomographic configuration will be assumed [ , where is the axis of symmetry of the scatterer].

, where is the second kind zeroth-order Hankel function and . Because of the nonlinear nature of the problem in hand and its intrinsic ill-posedness, the electromagnetic inversion is performed by looking for a configuration of the unknowns (i.e., and in ) that minimizes the fitting between retrieved and known field samples. For 2-D TE polarization, the problem in hand turns out to be more complicated since it cannot be described in terms of electric-field formulation through a scalar formulation.1 In this case, . The domain integral equation for the electric field vectors is given by

where the spatial differentiation operates on . Starting from this vectorial equation, a TE-based inversion procedure is aimed at retrieving the object function and simultaneously two components of the electric field (i.e., and , ) in . III. MULTISCALING APPROACH FOR THE TE PROBLEM Starting from the mathematical description in terms of data and state equations, the inverse problem is solved by means of the iterative multiscaling procedure. Since the IMSA has been widely described for the TM case [26], [27], in the following, only the main issues concerned with the TE case will be briefly reviewed. In general, the main motivation for adopting a multiresolution methodology lies in the limited information content of the collectable data [23] that does not allow an arbitrary resolution level for the unknowns in the investigation domain. Especially for the TE case, the optimal representation of the unknown has to be efficiently addressed, since such a vectorial problem is characterized by more unknown parameters with respect to the TM scalar one. As a matter of fact, the IMSA defines a suitable discretization of the unknown distributions according to the available data 1In the TE case, the domain integral equation can be formulated as a scalardomain integral equation with the one nonzero magnetic-field component as the unknown field or, in terms of a vector integral equation with the electric-field vector (two nonzero components), as the unknown field. In [20], it has been shown that the single magnetic-field formulation turns out to be inferior to the dual electric-field formulation.

1486

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

[31]. At each step (where is the step index) of the multiscaling process, only a limited number of basis functions is employed for representing the unknowns in the region-of-interest (RoI) where the scatterer is supposed to be located. Iteratively, the same number of basis functions is reallocated in a reduced area that is defined according to the criteria given in [27]. Therefore, the original investigation domain (i.e., ) turns out to be discretized in a nonuniform way since the spatial resolution is step-by-step enhanced only in a limited subdomain of . Such a zooming strategy limits the total number of unknown coefficients (weighting the basis functions), thus allowing a detailed representation of the scenario where necessary. As far as the retrieval of the profile under investigation is concerned, the values of the TE unknowns, i.e. , , and , are obtained by minimizing the following cost function:

where and

is given by (5), shown at the bottom of this page,

(6)

Moreover, and are weighting coefficients related to the th field component; , , and are given by

(7)

(8)

(9) , , and . Moreover, is the first-order Bessel function, is the second kind Hankel function of first order, respectively, and , where is the area of the th discretization subdomain. The minimization of (4) is iteratively performed with a conjugate-gradient procedure. Even though more efficient optimization techniques are currently under study for the TE case and they have been already developed for the TM case [32], the use of a deterministic technique for this analysis is motivated by the need of focusing on the effectiveness of a “pure” IMSA in dealing with TE date neglecting the “overboost” effects as well as the randomness arising from its integration with a stochastic optimizer (which is more effective in avoiding the solution trapped in the local minima of the cost function). Finally, the multistep procedure terminates at when the stability conditions, defined in [27] for the TM case, hold true. where

(4)

(5)

FRANCESCHINI et al.: ITERATIVE IMAGE RECONSTRUCTION OF 2-D SCATTERERS ILLUMINATED BY TE WAVES

Fig. 2. Reconstruction of an off-centered square dielectric cylinder ( = 0:5) under TE illumination (noiseless conditions). (a) Actual profile and reconstructed profile at: (b) p = 1, (c) p = 2, (d) p = 3, and (e) p = P = 4.

1487

Fig. 3. Reconstruction of an off-centered square dielectric cylinder ( = 0:5—noiseless conditions). Results obtained (a) and (b) under TM illumination, (c) and (d) under TE illumination, (e) exploiting the TE component, and (f) the TE component.

IV. NUMERICAL ANALYSIS In this section, illustrative reconstructions will be displayed and discussed in order to assess the effectiveness of the IMSA in dealing with TE data. Three different scenarios will be considered: the case of a single dielectric scatterer (Section IV-A), the case of multiple dielectric scatterers (Section IV-B), and the case of metallic targets in both synthetic and experimental environments (Section IV-C). The results will consist of graylevel maps of the object functions, variations of the multiresolution cost function versus the iteration number , behaviors of some representative error figures versus the signal-to-noise ratio (SNR) characterizing the scattered data, and samples of the cost function along fixed directions in the solution space.

and it has been partitioned in cells. The reconstruction has been carried out exploiting multiview data collected from different directions of illumination by means of receivers located on a circular observation curve of radius . The maps of the retrieved profiles during the multistep reconstruction process are reported in Fig. 2(b)–(e). As can be observed, the retrieved object function evolves from a low-resolution representation [ ; see Fig. 2(b)] to the convergence profile [ ; see Figs. 2(e) and 3(c)–(d)], achieved after steps and characterized by the lowest values of the error figures given in Table I and computed as follows:

A. Single Dielectric Scatterer The first example deals with a homogeneous square dielectric profile of side , which is positioned at , [see Fig. 2(a)]. A square investigation domain in the side has been assumed

(10)

1488

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

TABLE I RECONSTRUCTION OF AN OFF-CENTERED SQUARE DIELECTRIC CYLINDER ( (x; y ) = 0:5 + j 0:0). COMPARISON OF THE ERROR FIGURES FOR THE TM AND THE TE ILLUMINATION

(11)

Fig. 4. Reconstruction of an off-centered square dielectric cylinder ( = 0:5—noiseless conditions). Behavior of the multiresolution cost function at the different steps of the minimization procedure for the TE and TM cases.

(12) where ranges over the whole investigation domain , over the area occupied by the actual scatterers or over the background , and the index indicates the th object lying in the investigation domain. Focusing on such a convergence profile, the numerical values of Table I confirm the effectiveness of the inversion algorithm in exploiting TE data for reconstructing the shape of the object ( , ) as well as its dielectric properties . In order to better appreciate the reconstruction accuracy achieved by the IMSA applied to TE data (even though in noiseless conditions), the same profile has been retrieved using TM data. Since the TE illumination provides two components of the field ( , ) and more information it is expected to be collected on the sensed scenario, an overall imaging enhancement compared to the reconstruction obtained with a TM illumination should be verified. In order to check such a supposition, the result of the IMSA-TM inversion is shown in Fig. 3(a) and (b). As expected, despite the satisfactory reconstruction, the estimated error figures (Table I) are higher than those of the TE experiment. In particular, although it is for a noiseless experiment, the localization accuracy is decreased and the overall estimation of the dielectric distribution worse as indicated by the values of the quantitative error figures: , , and . As far as the tradeoff between enhancement of the reconstruction accuracy and increasing of the computational costs in dealing with a TE illumination is concerned, Fig. 4 gives some indications as to the minimization of the multiresolution cost function at the different steps of the IMSA. The spikes occur when the investigation area is scaled and the supports of the basis functions are redefined. In such points, the minimization of the functional starts with an higher level of resolution. For a comparative analysis, the behavior of the cost function for the TM case is shown as well. As can be noticed, on the one

TABLE II RECONSTRUCTION OF AN OFF-CENTERED SQUARE DIELECTRIC CYLINDER ( (x; y ) = 0:5 + j 0:0). COMPUTATIONAL BURDEN

hand, the TE cost function reaches a lower value and a more accurate fitting with the problem data, thus justifying a better reconstruction. On the other hand, the processing of the TE scattering data requires an additional amount of computational resources (see Table II) in terms of both total number of iterations ( , where is the number of iterations needed to achieve “convergence” at the th step of the multiscaling process) and mean time per iteration ( ms versus ms). Such an increment is related to the presence of two components of the fields that contribute to enlarging the amount of independent achievable data as well as the number of unknowns, which causes a larger memory allocation and processing load. Because of the increased dimension of the solution space and of the use of a deterministic procedure, it is convenient to analyze the “shape” of the IMSA cost function as well as the occurrence of local minima in such a functional. As a matter of fact, the inversion process is performed by minimizing (4); thus, the complexity as well as the reliability of the reconstruction is strongly related to the presence of local minima that represent false solutions of the problem in hand. For illustrative purposes, Fig. 5(a) and (b) shows the plots of the values of the cost function computed in correspondence with an object with the same support of the actual scatterer and varying the value of the object function in the range . By so doing, a particular direction of the solution space is explored, and some indications about the shape of can be inferred. First of all, let us observe that, as expected, the global minimum of the cost function turns out to be .

FRANCESCHINI et al.: ITERATIVE IMAGE RECONSTRUCTION OF 2-D SCATTERERS ILLUMINATED BY TE WAVES

Fig. 6. Reconstruction of three square dielectric scatterers (

1; . . . ; 3). Actual profile.

1489

= 0:5, s =

Fig. 5. Reconstruction of an off-centered square dielectric cylinder = 0:5—noiseless conditions). Behavior of the cost function 8 ( along a direction of the solution space obtained varying the object function in the range 0 <  < 16. (a) TE case. (b) TM case.

As can be noticed, the comparison between TE and TM (concerned with ) points out that the number of local minima for the TE case [see Fig. 5(a)] is slightly inferior to that for the TM case [see Fig. 5(b)]. Moreover, even though the number of views is increased , other minimum points in addition to the global one occur in the TM case [see Fig. 5(b)], while no local minima can be observed along this “direction” of the solution space under TE illumination [see Fig. 5(a)]. Therefore, although such an example cannot be considered as a definitive indication as to the number and occurrence of the local minima (since it considers only a sampling direction in the solution space), it seems to confirm also for the TE illumination a key feature of the IMSA, which is the “reduction” of local minima and, in the first resort/approximation, a certain reason to use a deterministic method as minimizer. Finally, since the TE exploits the information from two independent field polarizations ( — , — ) and it outperforms the TM inversion of dielectric profiles, which considers only a scalar field component ( —TM), it could be interesting to assess the effectiveness of the IMSA procedure when each TE scalar component is individually processed. Toward this end, the inversion process has been carried out by assuming and ( illumi-

= 0:5, s = Fig. 7. Reconstruction of three square dielectric scatterers ( 1; . . . ; 3). Retrieved profiles under (a), (c), and (e) TE illumination and (b), (d), and (f) TM illumination in (a) and (b) noiseless condition, when (c) and (d) SNR= 10 dB, and (e) and (f) when SNR = 5 dB. nation) and and ( illumination) in the cost function (4). The gray-level maps of the retrieved profiles are shown in Fig. 3(e) and (f), respectively. The obtained results highlight that only the simultaneous processing of both of the TE components is needed for obtaining a more faithful reconstruction than for TM inversion. Moreover, it seems to further confirm that TM and TE illuminations give

1490

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

TABLE III THREE SCATTERER CONFIGURATION. ERROR FIGURES FOR THE TE ILLUMINATION

TABLE IV THREE SCATTERER CONFIGURATION. ERROR FIGURES FOR THE TM ILLUMINATION

Fig. 8. Multiple scatterers configuration (SNR = 5 dB). (a) Actual profile and reconstructions achieved under: (b) TE illumination and (c) TM illumination.

TABLE V THREE SCATTERER CONFIGURATION. CONVERGENCE STEP AND TOTAL ITERATIONS NUMBER UNDER TE ILLUMINATION AND TM ILLUMINATION

unrelated data about the scatterer [21] (as a matter of fact, different reconstructions have been obtained with the two different datasets), but also that each TE component supplies different information about the scenario under test. B. Multiple Dielectric Scatterers The second example of the numerical validation considers a more complex scenario where a multiple-scatterers configuration lies. Let us refer to the reference geometry shown in Fig. 6, where three equal square cylinders ( , , in side) located at , , and ( , ) are embedded in a search area that is -sided. The value of the object function in the region occupied by the scatterers is equal to , . The objects have been probed from directions and the scattered fields have been collected at , positions. Moreover, at the first step of the multiscaling process, the

investigation domain has been partitioned in subdomains. For comparison purposes, the reconstruction has been carried out with both TE and TM illuminations. Moreover, for a more exhaustive assessment, also noisy data have been taken into account. Toward this end, the scattered field values have been blurred as in [26] by adding a Gaussian contribution with a zero mean value and identified by a fixed SNR value. Fig. 7 shows in a comparative fashion the achieved results. Pictorially, one can notice a better estimation of the supports of the scatterers when a TE illumination is used. Such an indication is quantitatively confirmed by the values of the error index reported in Tables III and IV. In more detail, when dB, for the TE case, while its range of variations turns out to be higher in correspondence with TM reconstructions . As far as the quantitative imaging is concerned, the total error is lower than 2.6 in the TE configuration whatever the SNR value, while and . Moreover, the clearly indicate a more accurate retrieval of the values of object function in the regions occupied by the scatterers. For completeness, Table V provides some details of the computational burden of the iterative reconstruction processes. When the noise level is negligible, the same conclusions drawn for the single scatterer scenarios hold true and the IMSA-TM turns out to be more effective in reaching the convergence. On the other hand, the convergence rates are quite similar for lower SNRs. However, the IMSA-TE seems to converge faster in strong noise conditions (5 dB) and to enhance the accuracy . This points out the

FRANCESCHINI et al.: ITERATIVE IMAGE RECONSTRUCTION OF 2-D SCATTERERS ILLUMINATED BY TE WAVES

Fig. 9. Multiple scatterers configuration. Reconstruction errors versus SNR. (a) Location errors  (d) External errors  (s ; ).

=12

positive effect of the exploitation of the two field components, especially in critical measurement conditions. To further assess the proposed approach with multiple scatterers and noisy conditions, also evaluating the “discrimination” capabilities of the method, a third experiment concerned with a two-objects configuration (see Fig. 8(a); , ) has been carried out. The scatterers differ in dimenand , while the illuminasions, tion/measurement setup is the same of the previous example. In order to give a complete overview of the obtained results, Fig. 9 shows the behaviors of the error indexes versus the SNR. In general, whatever the noise level, the IMSA-TE yields better inversions both in locating [see Fig. 9(a)] and shaping [see Fig. 9(b)] the scatterers, as well as in quantitatively estimating their dielectric profiles [see Fig. 9(c)]. In particular, the TE-based approach significantly overcomes the TM-based technique when the noise level grows (i.e., dB). In such a situation, the inversion turns out to be more difficult and the IMSA benefits of the enhanced amount of information. Such an improvement can be also pictorially appreciated in Fig. 8, where the gray-levels maps of the dielectric profiles retrieved when dB under TE illumination [see Fig. 8(b)] and TM illumination [see Fig. 8(c)] are reported, respectively. In particular, for such a configuration, the details of the error figures are reported in Table VI.

. (b) Dimensional errors

1491

1

. (c) Internal errors 

.

TABLE VI MULTIPLE SCATTERER CONFIGURATION. ERROR FIGURES FOR THE TE AND TM ILLUMINATION (SNR DB)

=5

TABLE VII MULTIPLE SCATTERER CONFIGURATION. CONVERGENCE STEP AND TOTAL ITERATIONS NUMBERS FOR THE TE CASE AND THE TM ILLUMINATION

As far as the computational burden is concerned, the same conclusions of the previous example can be inferred also for this test case (Table VII).

1492

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 11. Experimental validation against the real data (Dataset “Marseille” [34]). Reconstruction of the rectangular metallic cylinder at the frequency f = 4 GHz when probed with: (a) a TE incident field (rectTE_8f.exp) and (b) a TM incident field (rectTM_cent.exp). (c) Result obtained with the single-step CSI-TE algorithm.

Fig. 10. Reconstruction of an off-centered square metallic cylinder ( = j 89:73). (a) Map of the imaginary part of the reference object function. Reconstruction of the imaginary part of the object function under: (b) TE illumination and (c) TM illumination (SNR = 10 dB).

C. Metallic Targets Finally, the last set of experiments is aimed at evaluating the reconstruction of metallic targets considering both synthetic and real data. Fig. 10(a) shows the reference distribution of the metallic S/m object under test of side and centered at , . As far as the configuration of the experimental setup is concerned, the following parameters have been used: , , and . Moreover, has been partitioned into subdomains. The reconstruction results give different indications on the effectiveness of IMSA-TE versus IMSA-TM in comparison

with those carried out for the dielectric configurations (see Sections IV-A and B). As a matter of fact, the profile under test is generally better imaged when a TM illumination is considered as pointed out by the maps in Fig. 10(b) and (c) dB . Quantitatively, versus , versus , and . However, such a behavior is not surprising since the same difficulties in dealing with a TE illumination of impenetrable obstacles have been encountered also by Ramananjaona et al. [33] using both synthetic and real data. To further analyze such an issue, laboratory-controlled data concerned with the experimental dataset “Marseille” [34] have also been used. In particular, for a comparative study, the scattered data related to a rectangular metallic target (25.4 12.7 mm probed with a TM (rectTM_cent.exp) and a TE (rectTE_8f.exp) incident field have been considered. Because of the limited aspect of the measurement, the whole set of data ( , ) at GHz has been processed, imposing a constraint on the real part of object function and performing a thresholding (as suggested in [35]) to if . The reconstruction exploiting TM scattered data [see Fig. 11(b)] is more accurate. The boundaries of the object are better detected, and the metallic nature of the scatterer is more faithful estimated. Similar observations have been also made in [33] and [36], where different inversion methods were used. For a preliminary comparison between IMSA-TE and another

FRANCESCHINI et al.: ITERATIVE IMAGE RECONSTRUCTION OF 2-D SCATTERERS ILLUMINATED BY TE WAVES

inversion approach, Fig. 11(c) reports the reconstructed profile obtained with the CSI-TE algorithm [36]. The inversion of the experimental data has been carried out considering single frequency measurements GHz for reconstructing an investigation region 17 cm on side. Such single-step strategy achieves a reconstruction in which the dimension of the profile is slightly underestimated, as well as the retrieved value of the imaginary part is greater than (while ). However, for further comparisons with CSI and other different kinds of inversion algorithms, the reader may refer to the papers in [34]. The worse reconstructions with TE data could be related to the measurement setup. As a matter of fact, for the TE case, only one electric field component (i.e., perpendicular to the radial direction) has been measured instead of two orthogonal in the azimuthal plane, as are required by the vectorial nature of the problem inside . Such supposition agrees with other works in literature on the same dataset, but should be further verified for other impenetrable objects or experiments in which the electric field is completely measured. Moreover, problems are expected with the metallic or highcontrast objects when the TE problem is formulated in terms of the electric field. As a matter of fact, it is the electric flux rather than the electric field that is continuous in an inhomogeneous medium, right at the boundary of an object. Unfortunately, enforcing these physics in the IMSA would make the gradient quite nonlinear and involved. V. CONCLUSION An iterative multiresolution method for the reconstruction of unknown scatterers illuminated by TE incident fields has been presented. Such a multiscaling algorithm provides a multistep reconstruction of the scenario under test by focusing on some RoIs adaptively determined by means of the information acquired during the iterative minimization of a suitable multiresolution cost function. The numerical analysis carried out through the paper has shown that the proposed methodology presents very attractive capabilities in imaging single and multiple scatterers and a better reconstruction accuracy compared to the TM illumination when dielectric scatterers are dealt with. An opposite conclusion was drawn when metallic scatterers are probed considering both synthetic and real data. This behavior, even though a more exhaustive analysis would be necessary, suggests the need for extending the IMSA procedure to a hybrid strategy where TM and TE illuminations (when available) are alternatively processed, as proposed in [21]. Moreover, the IMSA-TE has been compared with a singlestep CSI-TE inversion algorithm. The multiresolution reconstruction has given better results in estimating the metallic characteristics of the scatterer; however, a wider analysis should be carried out in order to fully asses the comparative analysis between the two methodologies. Certainly, it would be interesting to develop a multiscaling procedure based on the contrast source formulation. Finally, it should be pointed out that the proposed solution of the TE case is an intermediate and mandatory step toward the solution of the full three-dimensional problem where all three

1493

scalar components of the electric field play their role. The main differences for such an extension lie in the computation time (expected to substantially increase) as well as in the minimization procedure to be carefully implemented in order to suitably deal with the larger solution space. ACKNOWLEDGMENT The authors wish to thank Dr. A. Abubakar for kindly providing the experimental results of the CSI algorithm. REFERENCES [1] J. C. Bolomey and C. Pichot, “Microwave tomography: from theory to practical imaging systems,” Int. J. Imag. Syst. Tech., vol. 2, pp. 144–156, 1990. [2] J. C. Bolomey, “Recent European developments in active microwave imaging for industrial, scientific, and medical applications,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 6, pp. 2109–2117, Jun. 1989. [3] ——, “Microwave imaging techniques for NDT and NDE,” in Proc. Training Workshop on Advanced Microwave NDT/NDE Techniques, Paris, France, Sep. 7–9, 1999, pp. 27–33, Supelec/CNRS. [4] A. Massa and S. Caorsi, J. Electromagn. Waves Applicat. (Special Issue), vol. 17, pp. 151–386, Apr. 2003. [5] R. M. Lewis, “Physical optics inverse diffraction,” IEEE Trans. Antennas Propag., vol. AP-17, no. 5, pp. 308–314, May 1969. [6] T. H. Chu and N. H. Farhat, “Polarization effects in microwave diversity imaging of perfectly conducting cylinders,” IEEE Trans. Antennas Propag., vol. 37, no. 2, pp. 235–244, Feb. 1989. [7] J. B. Keller, “Accuracy and validity of Born and Rytov approximations,” J. Opt. Soc. Amer., vol. 6, pp. 1003–1004, 1969. [8] M. Stanley, A. C. Kak, and L. E. Larsen, “Limitations of imaging with first-order diffraction tomography,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 8, pp. 860–874, Aug. 1984. [9] T. Isernia, V. Pascazio, and R. Pierri, “A nonlinear estimation method in tomographic imaging,” IEEE Trans. Geosci. Remote Sens., vol. 35, no. 7, pp. 910–923, Jul. 1997. [10] M. Lambert, D. Lesselier, and B. J. Kooij, “The retrieval of a buried cylindrical obstacle by a constrained modified gradient method in the -polarization case and for Maxwellian materials,” Inverse Problems, vol. 14, pp. 1265–1283, 1998. [11] A. Massa, “Genetic algorithm based techniques for 2D microwave inverse scattering,” in Recent Research Developments in Microwave Theory and Techniques, S. G. Pandalai, Ed. Trivandrum, India: Transworld Res. Network, 2002. [12] W. C. Chew and G. P. Otto, “Microwave imaging of multiple conducting cylinders using local shape functions,” IEEE Microw. Guided Wave Lett., vol. 2, no. 7, pp. 284–286, Jul. 1992. [13] K. Belkebir, R. E. Kleinmann, and C. Pichot, “Microwave imaging—Location and shape reconstruction from multifrequency scattering data,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 4, pp. 469–476, Apr. 1997. [14] S. Caorsi, A. Massa, and M. Pastorino, “A computational technique based on a real-coded genetic algorithm for microwave imaging purposes,” IEEE Trans. Geosci. Remote Sens., vol. 38, no. 7, pp. 1697–1708, Jul. 2000. [15] N. Joachimowicz, C. Pichot, and J.-P. Hugonin, “Inverse scattering: An iterative numerical method for electromagnetic imaging,” IEEE Trans. Antennas Propag., vol. 39, no. 12, pp. 1742–1752, Dec. 1991. [16] A. Abubakar, P. M. van den Berg, and J. J. Mallorqui, “Imaging of biomedical data using a multiplicative regularized contrast source inversion method,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 7, pp. 1761–1771, Jul. 2002. [17] A. Abubakar and P. M. van den Berg, “Three-dimensional nonlinear inversion in crosswell electrode logging,” Radio Sci., vol. 4, pp. 989–1004, 1998. [18] F. Li, Q. H. Liu, and L.-P. Song, “Three dimensional reconstruction of objects buried in layered media using Born and distorted Born iterative methods,” IEEE Trans. Geosci. Remote Sens., vol. 1, no. 4, pp. 107–111, Apr. 2004.

H

1494

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

[19] G. Franceschini, D. Franceschini, and A. Massa, “Full-vectorial three dimensional microwave imaging trough an iterative multi-scaling strategy: A preliminary assessment,” IEEE Geosci. Remote Sens. Lett., vol. 2, no. 10, pp. 428–432, Oct. 2005. [20] B. J. Kooij and P. M. van den Berg, “Nonlinear inversion in TE scattering,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 11, pp. 1704–1711, Nov. 1998. [21] C.-P. Chou and Y.-W. Kiang, “Inverse scattering of dielectric cylinders by a cascade TE–TM method,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 10, pp. 1923–1930, Oct. 1999. [22] J. Ma, W. C. Chew, C.-C. Lu, and J. Song, “Image reconstruction from TE scattering data using equation of strong permittivity fluctuation,” IEEE Trans. Antennas Propag., vol. 48, no. 6, pp. 860–867, Jun. 2000. [23] O. M. Bucci and G. Franceschetti, “On the degrees of freedom of scattered fields,” IEEE Trans. Antennas Propag., vol. 37, no. 7, pp. 918–926, Jul. 1989. [24] E. L. Miller and A. S. Willsky, “A multiscale, statistically based inversion scheme for linearized inverse scattering problems,” IEEE Trans. Geosci. Remote Sens., vol. 34, no. 3, pp. 346–357, Mar. 1996. [25] O. M. Bucci, L. Crocco, T. Isernia, and V. Pascazio, “Wavelets in nonlinear inverse scattering,” in Proc. Geosci. Remote Sens. Symp., vol. 7, pp. 3130–3132. [26] S. Caorsi, M. Donelli, D. Franceschini, and A. Massa, “A new methodology based on an iterative multiscaling for microwave imaging,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1162–1173, Apr. 2003. [27] S. Caorsi, M. Donelli, and A. Massa, “Detection, location, and imaging of multiple scatterers by means of the iterative multiscaling method,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1217–1228, Apr. 2004. [28] A. Baussard, E. L. Miller, and D. Lesselier, “Adaptive multiscale approach for 2D microwave tomography,” in Proc. URSI-Int. Symp. Electromagn. Theory, Pisa, Italy, May 2004, pp. 1092–1094. [29] A. Baussard, E. L. Miller, and D. Lesselier, “Adaptive multiscale reconstruction of buried objects,” Inverse Problems, vol. 20, pp. S1–S15, 2004, Special section on electromagnetic characterization of buried obstacles. [30] T. Isernia, V. Pascazio, and R. Pierri, “On the local minima in a tomographic imaging technique,” IEEE Trans. Geosci. Remote Sens., vol. 39, no. 7, pp. 1596–1607, Jul. 2001. [31] O. M. Bucci and T. Isernia, “Electromagnetic inverse scattering: Retrievable information and measurement strategies,” Radio Sci., vol. 32, pp. 2123–2137, 1997. [32] M. Donelli and A. Massa, “A computational approach based on a particle swarm optimizer for microwave imaging of two-dimensional dielectric scatterers,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 5, pp. 1761–1776, May 2004. [33] C. Ramananjaona, M. Lambert, and D. Lesselier, “Shape inversion from TM and TE real data by controlled evolution of level sets,” Inverse Problems, vol. 17, pp. 1585–1595, 2001. [34] K. Belkebir and M. Saillard, “Special section: Testing inversion algorithms against experimental data,” Inverse Problems, vol. 17, pp. 1565–1702, Dec. 2001. [35] P. M. Van den Berg, M. G. Coté, and R. E. Kleinmann, “‘Blind’ shape reconstruction from experimental data,” IEEE Trans. Antennas Propag., vol. 43, no. 12, pp. 1389–1396, Dec. 1995. [36] R. F. Bloemenkamp, A. Abubakar, and P. M. Van den Berg, “Inversion of experimental multi-frequency data using the contrast source inversion method,” Inverse Problems, vol. 17, pp. 1611–1622, Dec. 2001.

Davide Franceschini (M’04) received the Master’s degree in telecommunications engineering from the University of Trento, Trento, Italy, in 2003, and is currently working toward the Ph.D. degree at the University of Trento. In 2005, he was a Summer Intern with Schlumberger-Doll Research, Ridgefield, CT. His current main research activities are focused on solving inverse problems in electromagnetics.

Massimo Donelli (M’03) received the Electronic Engineering degree and Ph.D. degree in space science and engineering from the University of Genoa, Genoa, Italy, in 1998 and 2003, respectively. He is currently an Assistant Professor of electromagnetics with the Department of Information and Communication Technology, University of Trento, Trento, Italy. His main interests are electromagnetic inverse scattering, adaptive antennas synthesis, optimization techniques for microwave imaging, and wave propagation in superconducting materials and urban environment.

Gabriele Franceschini received the Master’s degree in telecomunications engineering from the University of Trento, Trento, Italy, in 2004, and is currently working toward the Ph.D. degree at the University of Trento. He is currently a member of the ELEDIA Group, University of Trento. His research interests are mainly focused on antennas synthesis and design, electromagnetic compatibility, and electromagnetic inverse scattering methodologies, in particular, on three-dimensional imaging.

Andrea Massa (M’01) received the Laurea degree in electronic engineering and Ph.D. degree in electronics and computer science from the University of Genoa, Genoa, Italy, in 1992 and 1996, respectively. From 1997 to 1999, he was an Assistant Professor of electromagnetics with the Department of Biophysical and Electronic Engineering, University of Genoa, teaching the university course Electromagnetic Fields 1. From 2001 to 2004, he was an Associate Professor with the University of Trento. Since 2005, he has been a Full Professor of “Electromagnetic Fields 1,” “Electromagnetic Techniques for Biomedical and Industrial Diagnostics,” and “Wireless Communications 1.” He is presently the Director of the ELEDIA Laboratory and a member of the Inter-University Research Center for Interactions Between Electromagnetic Fields and Biological Systems (ICEmB). His research work since 1992 has been principally on electromagnetic direct and inverse scattering, optimization techniques for microwave imaging, wave propagation in presence of nonlinear media, applications of electromagnetic fields to telecommunications, medicine and biology.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

1495

5.8-GHz Circularly Polarized Dual-Diode Rectenna and Rectenna Array for Microwave Power Transmission Yu-Jiun Ren and Kai Chang, Fellow, IEEE

Abstract—This paper reports a new circularly polarized rectenna whose rectifying circuit includes two diodes. The rectenna consists of a coplanar stripline (CPS) truncated patch antenna and CPS bandpass filter, which can block harmonic signals up to the third order reradiating from the rectifying circuit. The rectenna is printed on Rogers Duroid 5880 substrate = 2 2 and a thickness of 20 mil. The new dual-diode with rectenna can provide at least twice the dc output voltage than the traditional rectenna with only a single diode, which has the same layout dimension as the single-diode rectenna. The dual-diode rectenna achieves an RF-to-dc conversion efficiency of 76% at 5.8 GHz. The proposed rectennas can be interconnected to form the rectenna arrays by series, parallel, and cascaded connections. It is found that a cascade connected rectenna array can provide the highest output voltage. The antenna element can be easily extended to become a traveling-wave antenna or array suitable for high output voltage or current in wireless power transmission applications. Index Terms—Circularly polarized antenna, coplanar stripline (CPS), microwave power transmission, rectenna, rectenna array.

I. INTRODUCTION VER THE last century, space solar power transmission (SPT) and microwave wireless power transmission (WPT) have become an interesting topic for an energy transmission in the future. The rectenna, i.e., rectifying antenna, is one of the most important components in the application of SPT and WPT. The rectenna has been used for ground-to-ground, ground-tospace, and space-to-space power transmission, and its development has been reviewed in [1]–[3]. A new dual-frequency rectenna was reported in [4] where a circularly polarized ringslot antenna was used, which has been shown to have a useful application for portable wireless devices. A rectenna with a harmonic-rejecting circular-sector antenna was presented in [5] and the rectenna can avoid the use of the low-pass filter connecting the antenna and rectifying diode. A new patch antenna with a high gain of 9 dB was designed for the finite-ground coplanarwaveguide rectenna [6]. The patch antenna has characteristics comparable to that of a two-element antenna array and, hence, it can allow the rectenna not only to receive more power, but

O

Manuscript received August 27, 2005; revised December 17, 2005. This work was supported in part by the National Science Foundation. The authors are with the Department of Electrical and Computer Engineering, Texas A&M University, College Station, TX 77843-3128 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.871362

also be more compact. Furthermore, a new two-slot patch antenna was proposed to build the rectenna [7]; one of these slots generates a right-hand circular polarization and the other one generates a left-hand circular polarization. Recently, it seems that circular polarized (CP) antennas have become one of the important characteristics in designing rectennas [4], [7]–[10]. Circular polarization avoids changing the output voltage due to the rotation of the transmitter or receiver. Traditionally, dipoles or patch antennas are used in rectenna design. The coplanar stripline (CPS) is normally used to feed the dipole or dipole-like antennas. It can be used to combine several antenna elements for higher gain and also to form an antenna array more easily. Many CPS-fed rectennas have been recently studied in [11]–[13]. Using a high-gain antenna reduces the number of rectenna elements needed. In most cases, an antenna with a higher gain will cover a larger effective area. Thus, there is a tradeoff between the antenna gain and antenna area. To supply high dc output, the rectenna array has to be able to rectify a large amount of incoming power. The rectenna array can be built by using different interconnections of rectenna elements [9], [14], [15]. Each connection has its own output feature. In order to obtain the optimum output voltage, identical rectenna elements and optimum load resistance should be used [16]. Otherwise, careful combination of rectenna elements has to be considered. On the other hand, the harmonic signals radiated by the rectifying circuits are a potential problem in rectenna design. The power level of the third-order harmonic may have the same order as that of the second-order harmonic [17]. Thus, it is better to use a high-order harmonic-rejecting device to simultaneously suppress the second-order, third-order, and even higher order harmonic signals. Several circuit analysis models have been proposed to analyze the rectenna [18]–[20]. Since the diode is not a linear device, which may produce harmonic signals, it is not easy to formulate a perfectly correct model. Although both a linear circuit model and a nonlinear circuit model can be used to predict the rectenna behavior, the nonlinear model has better accuracy, especially when each rectenna element has relatively different input power [21]. In past rectenna developments, the single-diode configuration is adopted in most rectifying circuits, which is acted as a half-wave rectifier. The half-wave voltage doubler structure is rarely used, which can be found in [4] and [8]. To obtain double or even higher output, the full-wave rectifier can be applied. However, compared with the half-wave rectifier, the full-wave

0018-9480/$20.00 © 2006 IEEE

1496

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

factor in determining the rectenna performance. The dc pass filter can not only tune out the reactance of the diode, but also block unwanted RF signals from reaching the resistive load. The circuit is printed on the Rogers Duroid 5880 substrate. There is no need for any via-holes. A full-wave three-dimensional (3-D) electromagnetic simulator IE3D by Zeland, Fremont, CA, is used to design the CPS, CPS patch antenna, and CPS BPF. B. CPS Parameters

Fig. 1. Layout of the proposed dual-diode rectenna, single-shunt diode rectenna, and CPS. All dimensions are in millimeters.

rectifier may need more rectifying diodes and require more components, which result in a more complicated circuit and larger circuit size. In this paper, a low-cost, compact, and high-output voltage rectenna is proposed. A CP CPS-fed truncated patch rectenna is designed that looks like a two-element patch antenna array and, hence, has a higher gain than a single patch antenna. A high-order harmonic-rejecting CPS bandpass filter (BPF) is designed to suppress the harmonic signals from the rectifying circuit. The rectenna includes two diodes in the rectifying circuit. By using the dual-diode configuration, the rectenna can produce at least twice higher dc output voltage than the single-diode rectenna, while the size of the rectenna is unchanged. These novel rectennas, called dual-diode rectennas, can be easily combined by interconnections to provide even higher dc output voltage or power. Three types of interconnections are demonstrated, including series, parallel, and cascaded connections. A simple linear model is used to predict the output characteristics of the interconnected rectenna arrays. When the power density is lower, the CPS-fed antenna and rectenna circuit can be easily extended to become a traveling-wave antenna array with higher gain to collect more RF power. A six- and a 16-patch antenna array are demonstrated for the dual-diode rectenna applications. II. RECTENNA DESIGN A. Rectenna Components The newly developed dual-diode rectenna is shown in Fig. 1. It consists of a pair of CP truncated patch antennas (TPAs), a harmonic-rejecting BPF to suppress harmonic signals, two detector diodes for RF-to-dc conversion, and a dc pass filter (capacitor). The resistive load will affect the output voltage and rectenna efficiency. The CP TPA fed by the CPS has a high gain and high radiation efficiency. The circuit and antenna can be duplicated and extended to form a traveling-wave antenna array. The BPF passes the generated 5.8-GHz signal and blocks high-order harmonic signals, up to the third order, from the rectifying diodes. After passing through the diodes, RF power is rectified to become dc power. The conversion efficiency of the diode is a key

The CPS is shown in the inset of Fig. 1. The CPS gap is 0.2 mm and width is varied on each section including the antenna feed line, BPF, and transmission line for the purpose of impedance matching. The substrate thickness is 0.508 mm (20 mil) and the dielectric constant is 2.2. The conductor thickness is 1-oz copper whose metal thickness is 0.036 mm (1.4 mil). At 5.8 GHz, the effective dielectric constant of the transmission-line section (the CPS from the diode to the load resistance) is 1.84, is 38.06 mm, and its characteristic impedance is 120 . This is chosen to match the impedances of the antenna with the BPF and the diode [10]–[12] to reduce the signals reflections between these components. C. CP TPA The TPA has become a popular CP antenna and has been widely used in many systems. The advantage of circular polarization is that the rectenna performance is not significantly affected due to the rotation of the circuit. Usually a single microstrip patch antenna cannot provide high enough gain. Here, a novel feeding technique is developed to use a CPS line to feed two CP microstrip patch antennas. The maximum power can be transmitted to the BPF, and the losses due to the transition between the patch and the CPS is minimized. Since two patches are used, the antenna gain is increased. The layout of the CPS CP TPA designed for 5.8 GHz is given in Fig. 1. The length and width of the patch antenna and its truncated position need to be designed carefully for good antenna performance, especially for low axial ratio (AR). In this case, the truncated position yields a left-hand circular polarization. A right-hand circular polarization can be obtained by truncating the rectangular patch on the other two apexes. To evaluate the rectenna performance, the antenna should be combined together with the BPF to determine its input impedance and radiation pattern because the BPF will couple to the antenna and, hence, affect the antenna performance. At this time, the CPS CP TPA has a gain of 6.87 dB and an AR of 0.14 dB. Its input impedance is 100 . D. CPS BPF A CPS BPF is designed to pass a 5.8-GHz signal from the antenna to the rectifying circuit. The BPF layout is given in Fig. 1. By tuning the size of these stubs, high-order harmonics can be blocked or passed. The BPF was tested by embedding two microstrip baluns to connect the BPF feed lines, i.e., its input and output ports. They have to be used to connect the filter to the coaxial cable connectors of the HP8510C network analyzer. Measured return loss and insertion loss of the CPS BFP are shown in Fig. 2. At 5.8 GHz, the return loss and insertion loss from the antenna to the diode are 17.73 and 0.48 dB, respectively. The CPS BPF can effectively block the second and

REN AND CHANG: 5.8-GHz CIRCULARLY POLARIZED DUAL-DIODE RECTENNA AND RECTENNA ARRAY

Fig. 2. Measured return loss and insertion loss of the CPS BPF.

TABLE I MEASURED RETURN AND INSERTION LOSSES AT FUNDAMENTAL AND HARMONIC FREQUENCIES

Fig. 4. Measured gain of CP antenna

1497

+ CPS BPF and its AR.

Due to the coupling between the antenna and BPF, the antenna performance is slightly changed. F. Detector Diode and DC Pass Filter The diodes used in the dual-diode rectenna are the GaAs flipchip Schottky barrier diodes (Model MA4E1317) from M/A COM, Lowell, MA. It has a series resistance , zerobias junction capacitance pF, forward-bias turn-on voltage V, and breakdown voltage V. The junction capacitance of the diode significantly affects the diode efficiency, which is a function of the diode output voltage and is given by

(1)

Fig. 3. Measured return loss of the CP antenna

+ CPS BPF.

third harmonics of 11.6 and 17.4 GHz, generated from the rectifying circuit to the patch antenna, as summarized in Table I. Furthermore, the filter can be used to match the resistance of the antenna to that of the detector diode.

E. CPS CP TPA

CPS BPF

Before integrating the patch antenna and BPF with the detector diode and dc pass filter, it is necessary to combine the CP TPA and CPS BPF. The feed line of the antenna has been tuned to match the filter. Fig. 3 shows the measured return loss for the CPS CP TPA and BPF. The bandwidth of 2 : 1 voltage standing-wave ratio (VSWR) at the fundamental frequency of 5.8 GHz is approximately 4%. The measured CP antenna gain and AR are shown in Fig. 4. At 5.8 GHz, it has a gain of 6.38 dB and an AR of 0.42 dB. The input impedance is 120 .

is the output self-bias voltage of the diode. Higher results in a smaller junction capacitance, which also gives a better conversion efficiency. The maximum efficiency occurs when approaches zero. Furthermore, the diode should operate as close to its voltage limit as possible to minimize its reactance. This reduces the reflection of the RF power at the diode terminal and, hence, increases the rectenna efficiency. In [18], Yoo and Chang proposed a diode model to predict the rectenna performance. The theoretical equation to calculate the RF-to-dc conversion efficiency based on that model was presented in [11] and it has been shown that the equation can correctly predict the performance of the 5.8-GHz rectenna by using the CPS structure. For the dual-diode circuit, replace in (5)–(7) of [11] by and by where is the load resistance and is the resistance of the diode. It is assumed that the diode reactance is tuned out. The input resistance of the diode can be found in [11]. It is noted that the diode impedance is dependent on the output voltage, which is affected by the input RF power. A broad-band dc-blocking chip capacitor is chosen as the dc pass filter. The capacitor is manufactured by Dielectric Laboratories, Cazenovia, NY, and its series number is C08BLBB1X5UX. The detector diode and the dc-blocking capacitor are mounted across the CPS by using silver epoxy.

1498

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 6. Measured and calculated conversion efficiencies of the dual-diode rectenna.

Fig. 5. Measured dc output voltages of the dual-diode and single-shunt diode rectennas.

G. Rectenna Measurement The measurement method and the equipment setup for the CP rectenna test have been studied in [10]. The RF-to-dc efficiency is defined as (2) where is the dc output power. The Friss transmission equation is used to calculate the power propagating to the CP antenna . A NARDA standard horn antenna (Model-642, NARDA Microwave Corporation, Hauppauge, NY) with a 15-dB gain is used to transmit the RF power , and the rectenna gain is set equal to 6.38 dB. By changing the distance between the horn antenna and rectenna, the efficiencies for different power densities are determined. Fig. 5 shows the dc output voltage as a function of the power density for various diode configurations and external resistive loads. To compare the rectenna with that of a single-shunt diode across the CPS shown in Fig. 1, its measured results are also shown in Fig. 5. The single-shunt diode rectenna can be viewed as a traditional half-wave rectifier antenna. It is obvious that the newly developed rectenna can produce at least twice the dc voltage of a single-shunt diode rectenna despite their same layout dimension. The maximum output voltage ratios (VRs) of the dual-diode rectenna to the single-shunt diode rectenna using 100-, 150-, 200-, and 300- loadings are 2.7, 2.5, 2.6, and 2.5, respectively. There is a similar trend between the rectennas that a higher load resistance will also have a higher output voltage. These results demonstrate that the dual-diode rectenna has a stable performance and can produce higher output comparable to the single-shunt diode rectenna. As the output voltage

reaches 11–12 V, the rectenna will be “saturated.” After that, the first diode of the rectifying circuit may break down due to excessive power. Fig. 6 shows the RF-to-dc conversion efficiency as a function of the power density for various loadings. Calculated efficiency agrees well with the measured result. The best efficiency, i.e., 76%, occurs at a 100- loading while the dc output voltage is 6.22 V. The efficiencies using other loadings are around 70%. It is observed that the efficiency gradually decreases as the load resistance increases, which displays a trend similar to the result reported in [6].

III. RECTENNA ARRAY In most recent rectenna developments, researchers focus on the study of single-rectenna element design. However, it is necessary to develop a rectenna array when a large dc voltage is desired. Here, rectenna elements are connected to form a rectenna array by different interconnections. Since each interconnection has its own output feature, a simple linear equivalent model is formulated to predict the performance of the rectenna array. The array using the same rectenna elements usually has better performance. However, in practice, careful element position arrangement may be needed when each element receives relatively different power. A. Linear Equivalent Model Each rectifying circuit is a nonlinear device so using a nonlinear model to analyze the circuit behavior is preferred. Theoretically, the nonlinear model should be able to describe the circuit characteristics for the whole range of loadings. In our study, for the purpose of easy analysis, the rectenna is modeled as a linear device. The linear model has been shown to be effective in predicting the output power when the optimum load resistance is used for the rectenna [16]. The equivalent linear model of the single-rectenna element is shown in Fig. 7(a). Using that equivalent circuit, an analytical model of different rectenna connec-

REN AND CHANG: 5.8-GHz CIRCULARLY POLARIZED DUAL-DIODE RECTENNA AND RECTENNA ARRAY

1499

Fig. 7. Linear equivalent-circuit model of the rectenna. (a) Single element. (b) Series connection. (c) Parallel connection. V and R are equivalent voltage and resistance of the rectifying circuit. I and V are the current and voltage provided from the rectifying circuit to the output load. R is the load resistance.

Fig. 8. Layout of the rectenna array. (a) Series. (b) Parallel. (c) Cascaded.

tions can be built. The circuit parameters of the single-rectenna element can be expressed by

In a similar way, the circuit parameters of the parallel connection, as shown in Fig. 7(c), are given by

(6a) (3)

(6b)

The maximum transferred power, or efficiency, can be obtained by choosing . For the series connection, as shown in Fig. 7(b), the circuit parameters are given by

(6c)

(4a) (4b) (4c) Assume each rectenna element is the same. Then let and for the maximum power output; the above equations can be rewritten as

has The relation that been used for the maximum efficiency. In theory, series connection should generate twice the output voltage and parallel connection should generate the same output voltage as compared to the single element. Note that both series and parallel interconnections have equal dc output power if the two rectenna elements are the same. If they are different, the output power may be lower. This can be represented by a difference coefficient , i.e., let or , which will result in . The total output power then becomes . If , then the output power decreases. In our experiment, each rectenna element has almost the same performance. This would make the analysis of the rectenna array easy. B. Experiments of Various Rectenna Arrays

(5a) (5b) (5c)

Three types of rectenna interconnections were tested. They are series, parallel, and cascaded, as shown in Fig. 8. The series rectenna array consists of two series-wound rectenna elements. The parallel rectenna array includes two rectenna elements sharing a load resistance together. The cascaded rectenna array can be viewed as a series-parallel circuit.

1500

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 10. Measured dc output VR of the interconnected rectenna array to the single-rectenna element.

Fig. 9. Measured dc output voltage of the rectenna array.

The measured dc output voltages of rectenna arrays are shown in Fig. 9. The 150- load resistance is used for the series, parallel, and cascaded connections; the 75- load resistance is also used on the parallel connection for the maximum output power test. The measured result of the single-rectenna element is also plotted as a comparison. For series and cascaded connections, it is found that the cascade connected rectenna array can provide more output voltage than that of a series-connected rectenna array. However, both of them become saturated when the output voltage reaches around 20 V. At that time, each diode approximately rectifies a voltage of 5 V. When the output voltage is greater than 20 V, the detector diode rectifying the most power will break down. If the input power continues to increase, the diode will be burned out. The output voltage and conversion efficiency will then be reduced. These conditions also occur when other loadings are used. From measurement results, the first breakdown diode is that in the upper left side. Despite its breakdown, other diodes can still work. However, the antenna gain will be decreased, resulting in poor rectenna array performance. For the parallel connection, it is found that the rectenna array can provide more voltage than the single-rectenna element. Although various load resistances were tested, all of arrays generated output voltages close to one another for the same power density, which means the rectenna array with a smaller load can provide more output power. Similar to the single-rectenna element, the rectenna array parallel connected saturates at 12 V. Fig. 10 compares the VR of three rectenna arrays to the single-rectenna element. The series connected rectenna array provides approximately 2.1 times output voltage, while the rectenna array parallel connected generates approximately 1.14 times output voltage. These results match the theoretical predictions well. This also implies that the parallel connection can support more output power than the series connection. On the other hand, it is obvious that the cascade connected rectenna array can give the highest output voltage. Its VR is always larger than two until the diodes break down. The measured results are comparable to those found by using a honeycomb lattice array that has nine rectenna elements, which includes

Fig. 11. (a) Dual-patch antenna, (b) six-patch traveling-wave antenna, and (c) 16-patch traveling-wave array, where d = 30:2 mm, d = 35:49 mm, and d = 38:06 mm.

nine diodes [10]. In most cases, the cascaded rectenna array can provide high dc voltage with only two rectenna elements, which includes four diodes. This improved performance also happens with other loadings such as 100, 200, and 300 .

REN AND CHANG: 5.8-GHz CIRCULARLY POLARIZED DUAL-DIODE RECTENNA AND RECTENNA ARRAY

1501

voltage. Furthermore, if higher gain is desired, one can extend each pair of the CPS CP antenna to become a large antenna array. An example of the array consisting of 16 patches is shown in Fig. 11(c). The maximum efficiency is 74%, while the output voltage is 12.6 V. The 16-patch antenna can get more dc output voltage due to its higher gain. In a similar way as previously mentioned, a rectenna using the traveling-wave antenna could also be interconnected to form a rectenna array, which is able to reach the requirement of the high output voltage and is suitable for long-distance low-power density power transmission. For high-output voltage application, a Zener device can be used to protect the rectifying circuit from breakdown. V. CONCLUSIONS

Fig. 12. Measured performance of the traveling-wave rectenna. (a) Output voltage. (b) Conversion efficiency. The load resistance is 150 .

Therefore, the cascaded rectenna array is very suitable for WPT of the low-power densities. IV. ARRAYS FOR LOW POWER-DENSITY APPLICATIONS To achieve higher received power, the CPS dual-patch antenna, shown in Fig. 11(a), can be extended to become a traveling-wave antenna by series feeding more CPS antenna elements. The wave will propagate from the feed point and travels toward the end of the antenna. The separation distance of the elements can be designed to steer the antenna main beam from broadside. One traveling-wave antenna with three CPS dualpatch antenna elements is shown in Fig. 11(b). By carefully designing the feeding system, the traveling-wave antenna gain should be double when the patch element number is double. The antenna is still circularly polarized. This six-patch traveling-wave antenna has a gain of 12 dB and an AR of 0.73 dB. The 16-patch antenna, shown in Fig. 11(c), has a gain of 16.5 dB and an AR of 1.33 dB. Measured output voltage and conversion efficiency of the six-patch traveling-wave antenna are shown in Fig. 12. The high-gain antenna can supply higher dc voltage than that proposed previously with the same power density. However, the output voltage finally saturates at 11–12 V and cannot exceed 13 V because the diode may be burned out. Its conversion efficiency is a slightly higher than that of the dual-patch array. The maximum efficiency is 74% corresponding to 8.12-V output

In this paper, a 5.8-GHz dual-diode rectenna and its arrays have been developed. A truncated dual-patch antenna achieves a CP gain of 6.38 dB and an AR of 0.42 dB. A CPS BPF is used to suppress the harmonic signals generated from the diodes by over 32 dB, which can block the second- and third-order harmonics. The dual-diode rectenna can provide a maximum efficiency of 76% with at least twice as much output voltage as compared to the single-shunt diode rectenna, while their circuit layout dimensions are the same. The rectenna has been interconnected to form different types of rectenna arrays. The cascaded rectenna array can produce the highest output voltage and power, which is very useful for the WPTs, even with a low power density. The measured results of series- and parallel-connected rectenna arrays agree very well with the theoretical predictions. The parallel-connected rectenna array can generate higher output power than the series connected rectenna array. It is noted that the diode of the rectenna should be protected from damage when the input power is high. The developed rectenna can be easily extended to form a traveling-wave antenna or array, which would be very useful for the applications of long-distance power transmission. ACKNOWLEDGMENT The authors would like to thank M.-Y. Li, Texas A&M University, College Station, for his technical assistance, and Dr. B. Strassner, Sandia National Laboratories, Albuquerque, NM, for his helpful guidance and support. REFERENCES [1] J. O. McSpadden and J. C. Mankins, “Space solar power programs and microwave wireless power transmission technology,” IEEE Micro, vol. 3, no. 4, pp. 46–57, Dec. 2002. [2] W. C. Brown, “The history of power transmission by radio waves,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 9, pp. 1230–1242, Sep. 1984. [3] B. H. Strassner and K. Chang, “Microwave power transmission,” in Encyclopedia of RF and Microwave Engineering. Hoboken, NJ: Wiley, 2005, vol. 4, pp. 2906–2919. [4] J. Heikkinen and M. Kivikoski, “Low-profile circularly polarized rectifying antenna for wireless power transmission at 5.8 GHz,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 4, pp. 162–164, Apr. 2004. [5] J.-Y. Park, S.-M. Han, and T. Itoh, “A rectenna design with harmonic-rejecting circular-sector antenna,” IEEE Antennas Wireless Propag. Lett., vol. 3, pp. 52–54, 2004. [6] C.-H. Chin, Q. Xue, and C. H. Chan, “Design of a 5.8-GHz rectenna incorporating a new patch antenna,” IEEE Antennas Wireless Propag. Lett., vol. 4, pp. 175–178, 2004.

1502

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

[7] M. Ali, G. Yang, and R. Dougal, “A new circularly polarized rectenna for wireless power transmission and data communication,” IEEE Antennas Wireless Propag. Lett., vol. 4, pp. 205–208, 2005. [8] J. Heikkinen and M. Kivikoski, “A novel dual-frequency circularly polarized rectenna,” IEEE Antennas Wireless Propag. Lett., vol. 2, pp. 330–333, 2003. [9] J. A. Hagerty and Z. Popovic, “An experimental and theoretical characterization of a broad-band arbitrarily-polarized rectenna array,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2001, vol. 3, pp. 1855–1858. [10] B. Strassner and K. Chang, “Highly efficient C -band circularly polarized rectifying antenna array for wireless microwave power transmission,” IEEE Trans. Antennas Propag., vol. 51, no. 6, pp. 1347–1356, Jun. 2003. [11] J. O. McSpadden, L. Fan, and K. Chang, “Design and experiments of a high-conversion-efficiency 5.8-GHz rectenna,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 2053–2059, Dec. 1998. [12] B. Strassner and K. Chang, “5.8-GHz circularly polarized dualrhombic-loop traveling-wave rectifying antenna for low power-density wireless power transmission applications,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 5, pp. 1548–1553, May 2003. [13] Y.-H. Suh and K. Chang, “A high-efficiency dual-frequency rectenna for 2.45- and 5.8-GHz wireless power transmission,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 7, pp. 1784–1789, Jul. 2002. [14] L. W. Epp, A. R. Khan, H. K. Smith, and R. P. Smith, “A compact dualpolarized 8.51-GHz rectenna for high-voltage (50 V) actuator applications,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 1, pp. 111–119, Jan. 2000. [15] N. Shinohara and H. Matsumoto, “Experimental study of large rectenna array for microwave energy transmission,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 3, pp. 261–268, Mar. 1998. [16] ——, “Dependence of DC output of a rectenna array on the method of interconnection of its array elements,” Scripta Tech. Electron. Commun. Jpn., vol. 125, no. 1, pp. 9–17, 1998. [17] Z. L. Wang, K. Hashimoto, N. Shinohara, and H. Matsumoto, “Frequency-selective surface for microwave power transmission,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 10, pp. 2039–2042, Oct. 1999. [18] T.-W. Yoo and K. Chang, “Theoretical and experimental development of 10 and 35 GHz rectennas,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 6, pp. 1259–1266, Jun. 1992. [19] J. Joe and M. Y. W. Chia, “Voltage, efficiency calculation and measurement of low power rectenna rectifying circuit,” in IEEE AP-S Int. Symp., Jun. 1998, vol. 4, pp. 1854–1857. [20] J. A. G. Akkermans, M. C. van Beurden, G. J. N. Doodeman, and H. J. Visser, “Analytical models for low-power rectenna design,” IEEE Antennas Wireless Propag. Lett., vol. 4, pp. 187–190, 2005. [21] T. Miura, N. Shinohara, and H. Matsumoto, “Experimental study of rectenna connection for microwave power transmission,” Scripta Tech. Electron. Commun. Jpn., vol. 84, no. 2, pt. 2, pp. 27–36, 2001. Yu-Jiun Ren received the B.S.E.E. degree from National Chung-Hsing University, Taiwan, R.O.C., in 2000, and the M.S. degree in communication engineering from National Chiao-Tung University, Taiwan, R.O.C., in 2002, and is currently working toward the Ph.D. degree in electrical and computer engineering at Texas A&M University, College Station. From 2002 to 2003, he was a Research Assistant with the Radio Wave Propagation and Scattering Laboratory, National Chiao-Tung University, where he was involved in radio-wave propagation and channel modeling. His research interests include microwave circuits and devices, microwave power transmission, and radio channel modeling.

Kai Chang (S’75–M’76–SM’85–F’91) received the B.S.E.E. degree from the National Taiwan University, Taipei, Taiwan, R.O.C., in 1970, the M.S. degree from the State University of New York at Stony Brook, in 1972, and the Ph.D. degree from The University of Michigan at Ann Arbor, in 1976. From 1972 to 1976, he was a Research Assistant with the Microwave Solid-State Circuits Group, Cooley Electronics Laboratory, The University of Michigan at Ann Arbor. From 1976 to 1978, he was with Shared Applications Inc., Ann Arbor, MI, where he was involved with computer simulation of microwave circuits and microwave tubes. From 1978 to 1981, he was with the Electron Dynamics Division, Hughes Aircraft Company, Torrance, CA, where he was involved in the research and development of millimeter-wave solid-state devices and circuits, power combiners, oscillators, and transmitters. From 1981 to 1985, he was with TRW Electronics and Defense, Redondo Beach, CA, as a Section Head, where he developed state-of-the-art millimeter-wave integrated circuits and subsystems including mixers, voltage-controlled oscillators (VCOs), transmitters, amplifiers, modulators, upconverters, switches, multipliers, receivers, and transceivers. In August 1985, he joined the Electrical Engineering Department, Texas A&M University, College Station, as an Associate Professor and became a Professor in 1988. In January 1990, he was appointed Raytheon E-Systems Endowed Professor of Electrical Engineering. From 1972 to 1976, he was a Research Assistant with the Microwave Solid-State Circuits Group, Cooley Electronics Laboratory, The University of Michigan at Ann Arbor. From 1976 to 1978, he was with Shared Applications Inc., Ann Arbor, MI, where he was involved with computer simulation of microwave circuits and microwave tubes. From 1978 to 1981, he was with the Electron Dynamics Division, Hughes Aircraft Company, Torrance, CA, where he was involved in the research and development of millimeter-wave solid-state devices and circuits, power combiners, oscillators, and transmitters. From 1981 to 1985, he was with TRW Electronics and Defense, Redondo Beach, CA, as a Section Head, where he developed state-of-the-art millimeter-wave integrated circuits and subsystems including mixers, voltage-controlled oscillators (VCOs), transmitters, amplifiers, modulators, upconverters, switches, multipliers, receivers, and transceivers. In August 1985, he joined the Electrical Engineering Department, Texas A&M University, College Station, as an Associate Professor and became a Professor in 1988. In January 1990, he was appointed Raytheon E-Systems Endowed Professor of Electrical Engineering. He has authored and coauthored several books, including Microwave Solid-State Circuits and Applications (Wiley, 1994), Microwave Ring Circuits and Antennas (Wiley, 1996; 2nd ed. 2004), Integrated Active Antennas and Spatial Power Combining (Wiley, 1996), RF and Microwave Wireless Systems (Wiley, 2000), and RF and Microwave Circuit and Component Design for Wireless Systems (Wiley, 2002). He has served as the Editor of the four-volume Handbook of Microwave and Optical Components (Wiley, 1989 and 1990; 2nd ed. 2003). He is the Editor of Microwave and Optical Technology Letters and the Wiley Book Series on “Microwave and Optical Engineering” (over 70 books published). He has authored or coauthored over 450 papers and numerous book chapters in the areas of microwave and millimeter-wave devices, circuits, and antennas. He has graduated over 25 Ph.D. students and over 35 M.S. students. His current interests are microwave and millimeter-wave devices and circuits, microwave integrated circuits, integrated antennas, wide-band and active antennas, phased arrays, microwave power transmission, and microwave optical interactions. Dr. Chang has served as technical committee member and session chair for the IEEE Microwave Theory and Techniques Society (IEEE MTT-S), the IEEE Antennas and Propagation Society (IEEE AP-S), and numerous international conferences. He was the vice general chair for the 2002 IEEE International Symposium on Antennas and Propagation. He was the recipient of the 1984 Special Achievement Award presented by TRW, the 1988 Halliburton Professor Award, the 1989 Distinguished Teaching Award, the 1992 Distinguished Research Award, and the 1996 Texas Engineering Experiment Station (TEES) Fellow Award presented by Texas A&M University.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

1503

Patch End-Launchers—A Family of Compact Colinear Coaxial-to-Rectangular Waveguide Transitions Massimiliano Simeoni, Member, IEEE, Cristian I. Coman, Member, IEEE, and Ioan E. Lager, Member, IEEE

Abstract—A new family of structures launching the fundamental mode in rectangular waveguides is proposed. Individual or stacked cavity-backed patch antennas radiate into a rectangular waveguide, providing a good matching with a coaxial input over a wide frequency range. The configuration results in a very compact, colinear transition from a standard coaxial connector to a rectangular waveguide. The components have applications as general-purpose coaxial-to-rectangular waveguide transitions and are well suited for low-profile, phased-array antennas. Experimental results confirm the computational estimations of the proposed components’ performance. Index Terms—Coaxial waveguides, electromagnetic launching, waveguide junctions, waveguide transitions.

I. INTRODUCTION OLINEAR end-launcher coaxial-to-rectangular waveguide transitions are found to be convenient in many applications, in particular for feeding phased-array antennas consisting of closely packed radiators. In such cases, the use of the standard, right-angle coaxial-to-rectangular waveguide transitions is highly inadequate, the traditional solution being that of employing coaxial, L-shaped loop launchers [1]–[4]. An alternative to the L-shaped loop launchers has been proposed in [5]. The configuration consisted of a cavity-backed patch antenna [6], [7] that radiates into a rectangular waveguide, in view of exciting the fundamental TE- mode. The patch is fed from the back by means of a coaxial cable whose internal conductor protrudes through the substrate on which the patch is located. The length of the launcher (hereafter referred to as the patch end-launcher) is essentially determined by the substrate’s thickness, with the resulting transition being, thus, extremely short. The proposed configuration provides an excellent matching of the coaxial input to the rectangular waveguide at a single frequency, complemented by an acceptable matching over a relatively wide frequency. For example, the -band patch end-launcher demonstrated in [5] was characterized by an input reflection coefficient lower than 10 dB over a fractional bandwidth of up to 14%. Furthermore, that patch end-launcher was compared with an L-shaped loop-launcher tuned at the same fre-

C

Manuscript received August 29, 2005; revised December 8, 2005. The authors are with the Faculty of Electrical Engineering, International Research Centre for Telecommunications-Transmission and Radar, Mathematics and Computer Science, Delft University of Technology, 2628 CD Delft, The Netherlands (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.871923

quency, resulting in both an enlargement of the operational frequency band and in a substantial reduction of the transition’s length. The concept introduced in [5] is now generalized by proposing multituned, patch end-launchers. Stacked patch antennas that radiate into rectangular waveguides are employed for exciting the fundamental mode. The use of multituned structures yields optimum matching at more frequencies and allows a significant widening of the operational frequency band of the coaxial-to-rectangular waveguide transition (fractional bandwidths ranging up to 25% in the -band being easily achieved by means of a doubly tuned patch end-launcher). The paper now proceeds by briefly revisiting the patch endlauncher. The doubly tuned patch end-launcher is then described in detail in Section III. Some results of computer simulations concerning singly and doubly tuned end-launchers designed for operating in the -band are presented in Section IV. These preliminary studies are supplemented in Section V by a sensitivity analysis, examining the influence of small dimensional deviations on the launchers’ performance. The experimental validation of these numerical results is discussed in Section VI, where details referring to the manufacturing process of the endlaunchers and the adopted measurement setup will be provided as well. The paper is concluded with a general discussion (included in Section VII) and by drawing some conclusions. II. SINGLY TUNED PATCH END-LAUNCHER The singly tuned patch end-launcher (which is depicted in Fig. 1) consists of a circular metallic patch that is etched on a plated microstrip laminate. The device can be interpreted as a cavity-backed patch antenna that radiates into a rectangular waveguide or as a cascade of guiding structures. The latter approach permits a description of the junction’s functioning in terms of modal couplings between the modes of the different guiding structures composing the end-launcher (see [5]). The device at the core of this work ensures the matching between the coaxial line input and the rectangular waveguide output. Since the matching is optimum at a single frequency (hereafter referred to as ), we denote it as a singly tuned patch end-launcher. Note that the frequency where the best matching is achieved depends, essentially, on the patch’s radius and on the substrate’s permittivity and thickness (see Fig. 1). III. DOUBLY TUNED PATCH END-LAUNCHER As already anticipated in [5], the concept at the basis of the patch end-launcher can be extended to multiply tuned configura-

0018-9480/$20.00 © 2006 IEEE

1504

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 1. Singly tuned patch end-launcher. Fig. 2. Doubly tuned patch end-launcher.

tions by employing a strategy that is reminiscent of the approach used for designing the doubly tuned loop launcher described in [4]. Basically, it amounts to optimizing the matching between the structure’s input and output ports at a number of relatively closely spaced frequencies such that to broaden the frequency band of operation. A straightforward solution to obtaining a multiply tuned structure is by stacking several patches on top of each other. In particular, a doubly tuned patch end-launcher is obtained by stacking two metallic patches; this solution has a long history of application in the realm of radiating elements [8], [9]. The proposed geometry (see Fig. 2) consists of two stacked patches located on dielectric substrates, where the lower one is fed by means of a coaxial line whose inner conductor protrudes through the lowermost substrate. No metallic connection between the two patches is required, as the proximity ensures their electromagnetic coupling [10]. When also considering the metallic enclosure consisting from the waveguide’s walls and the ground plane, the overall structure is analogous to a cavity-backed, stacked patch antenna [6], [7]. By properly choosing the radii of the patches and their relative spacing, two resonances can be found and tuned to desired values. The two resonance frequencies are denoted in the following as and . For the case when the spectral spacing

between the two resonances is large, the device operates in two separate frequency bands that separated by an intermediate stopband. Nevertheless, when the two resonances are close in the spectral domain, they generate a region of “constructive interference” in the enclosed frequency range. A good level of transmission between the access ports can then be obtained in that frequency region, resulting in a substantial increase of the device’s operational bandwidth. Furthermore, the level of matching between the input and the output ports can be controlled by adjusting the location of the feeding point and the spacing between the two patches, together with adequately choosing the permittivity of the dielectric substrates. The description of the device’s functioning mechanism is concluded by once again stressing the fact that the proposed configuration can be assimilated to a cascade of guiding structures. Consequently, its behavior can be investigated by employing the instruments of the modal analysis of planar waveguide junctions [5], [11]–[13]. IV.

-BAND END-LAUNCHERS

The performance of the components presented in Sections II and III is illustrated by examining two end-launchers that are intended to operate in the -band. Both launchers are designed and optimized by using the commercial software package CST

SIMEONI et al.: PATCH END-LAUNCHERS

Fig. 3. Simulated frequency response of the singly tuned end-launcher.

Microwave Studio, version 5.0 [14]. Note that the analysis of the singly tuned end-launcher has already been described in detail in [5]. Nevertheless, the relevant results are reiterated to provide the basis of the comparison with the physical measurements reported in Section VI. Both launchers use the same input and output ports. A standard subminiature A (SMA) coaxial connector (having the characteristic dimensions mm, mm and the insulator’s relative permittivity ) is adopted as input port. The output port is a standard WR 90 rectangular waveguide, with mm and mm (see Figs. 1 and 2). In view of its favorable electric properties, availability and ease of processing by means of standard lithographic etching technology, a Rogers RO4003C (Hydrocarbon ceramic) microstrip laminate is selected as material for manufacturing the end-launchers. Consequently, based on the product specifications (see [15]), the substrate’s relative permittivity amounts to , its dissipation factor to (at 10 GHz), and the thickness of the patches equals that of the metallization layer, i.e., m (see Figs. 1 and 2). A conductivity of S/m (copper) is assumed for all of the metallic parts. A. Singly Tuned

-Band End-Launcher

Following the procedure described in [5], the launcher is designed for optimum matching at 10.2 GHz. The patch’s radius is taken as 4.00 mm and the substrate’s thickness amounts to 1.524 mm. For optimally tuning the component, the coaxial connector is shifted away from the patch’s center by mm (see Fig. 1). Hereafter, the coaxial connector and the rectangular waveguide are denoted as the ports 1 and 2, respectively. The simulated frequency response of the component is displayed in Fig. 3. The component is optimally matched at a frequency of 10.2 GHz and exhibits an input reflection coefficient lower than 10 dB over a fractional bandwidth of roughly 10%. An insertion loss of about 0.05 dB is observed at the frequency of best matching.

1505

Fig. 4. Simulated frequency response of the doubly tuned end-launcher.

B. Doubly Tuned

-Band End-Launcher

The design of a doubly tuned patch end-launcher requires the definition of a number of parameters such as: the patches’ radii and , the spacing between the patches , the dielectric permittivity and the thicknesses of the dielectric substrates and , and the shift of the coaxial connector (see Fig. 2). The choice for the substrates’ permittivity and thicknesses is clearly limited by the availability of the microstrip laminates. In the present case, it was decided to use the same kind of laminates for both substrates, namely, Rogers RO4003C. Consequently, the substrate’s relative permittivity was and its dissipation factor (at 10 GHz), while the thicknesses of the substrates were chosen from the catalog data as mm and mm, respectively. Furthermore, numerical simulations demonstrated that choosing two patches having identical radii mm results in an optimum performance of the launcher. Finally, a parameter study has yielded an optimum off axis shift of the coaxial connector of mm (see Fig. 2). The computed frequency dependence of the input reflection and transmission coefficients ( and , respectively) is depicted in Fig. 4 (where the ports are denoted as in the case of the singly tuned end-launcher). The former parameter is lower than 10 dB over the frequency band ranging from 9.6 to 12.3 GHz, yielding an operational relative bandwidth of approximately 24%. At the same time, is lower than 14 dB over the frequency band ranging from 10 to 12.2 GHz (which translates into a relative bandwidth of about 20%). The in-band insertion-loss level is approximately 0.1–0.2 dB. V. SENSITIVITY ANALYSIS The devices described by this contribution are meant to be mass-produced. It is then extremely important to have a clear understanding of the effect of small dimensional deviations on the launchers’ performance. In this respect, it is first observed that the parameters of the microwave laminates (i.e., the thicknesses of the dielectric and of the metal plating and the permittivity) can be assumed to be virtually exact. It then follows that

1506

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 5. Dependence of f

Fig. 6. Dependence of f on small variations of r

and r

.

Fig. 7. Dependence of f on small variations of r

and r

.

on small variations of r .

the only parameters that are subject to (technological) inaccuracies are the dimensions and the location of the patches and the off axis shift of the feeding points. Since the resonant frequency mainly depends on the patches’ radii, a sensitivity analysis was conducted in order to estimate the frequency shift due to (possible) manufacturing limitations. The examination of the effect of small dimensional deviations was conducted by means of numerical experiments. The limits of the relevant studies were chosen in accordance to the dimensional precision indicated by the producer of the printed boards, i.e., m. In the case of the singly tuned end-launcher, the sensitivity analysis has predicted variations of the resonant frequency within the range of 600 MHz; the complete dependence is shown in Fig. 5. A similar study was conducted for the doubly tuned launcher as well. Nevertheless, in this case, the effect of small perturbations simultaneously affecting two parameters, namely, the radii and , must be accounted for. The dependence of and on the variations of and is displayed in Figs. 6 and 7, respectively. From them, it can be observed that the frequency excursions amounted to 800 and 500 MHz in the case of the and frequencies, respectively. The numerical experiments clearly indicate the necessity to ensure a very high accuracy of the dimensions of the patches. In any case, the m accuracy guaranteed by the manufacturer of our prototypes seems insufficient for obtaining precision end-launchers. In fact, this aspect became evident during the measurement of the manufactured launchers, which will be discussed in Section VI-B.

VI. EXPERIMENTAL VALIDATION For validating the computational estimations of the performance of the proposed devices, a number of singly and doubly tuned end-launchers was fabricated and measured. The results of the physical measurements are hereafter presented.

A. Manufacturing of the Prototypes The prototypes of the singly and doubly tuned end-launchers were manufactured in printed technology. Both of the dielectric boards and the pertaining flanges employed for mounting the launcher on the waveguide ends have been obtained from commercially available microstrip boards. The components needed to assemble the singly and the doubly tuned launchers are depicted in Figs. 8 and 9, respectively. A metal-plated hole is employed for allowing the protruding pin to pass through the dielectric substrate. The metal plating of the hole ensures the electrical connection between the coaxial connector’s inner pin and the metallic patch. The location of the hole coincides with that of the feeding points in Figs. 1 and 2. The flanges needed for attaching the launchers to the waveguide ends are realized of dielectric boards that are metal-plated on both faces. Four fine holes are provided for aligning the flange with the dielectric substrate. Additionally, mounting holes are drilled in the flange for fastening the device to the waveguide. Finally, one large metal-plated hole is included in the design for allowing the insertion of the coaxial connector directly behind the substrate.

SIMEONI et al.: PATCH END-LAUNCHERS

1507

Fig. 8. Components of the singly tuned patch end-launcher.

Fig. 11. Measured jS j-parameter for the singly tuned end-launcher and comparison with the computational results.

Fig. 9. Components of the doubly tuned patch end-launcher.

Fig. 12. Measured jS j-parameter for the doubly tuned end-launcher and comparison with the computational results.

Fig. 10. Assembled doubly tuned end-launcher. (a) Back view. (b) Top view.

A three-step procedure is applied for assembling the singly tuned patch launcher. First, the inner conductor of the coaxial connector is passed through the relevant metal-plated hole and soldered to the inner surface of the hole itself. Subsequently, the ground conductor of the connector is soldered to the back side of the substrate. Finally, the substrate is aligned with respect to the flange, and its (metal-plated) back-side is soldered to the sidewalls of the large metal-plated hole of the flange. This assembling procedure ensures an electric connection between the flange, the back-side of the substrate, and the ground conductor of the coaxial connector. As for the assembling of the doubly tuned end-launcher, this requires a supplementary step. After executing the three steps indicated above, the second substrate is stacked and glued on top of the first one. The fully assembled doubly tuned end-launcher is shown in Fig. 10. B. Measured Results The manufactured prototypes have been measured with a Agilent 8722ES vectorial network analyzer. A full two-port

calibration has been performed by means of a coaxial waveguide calibration kit. Two different setups have been used for measuring the - and -parameters of the manufactured prototypes. The first setup, which was used for the measurement of the -parameter, consists of the mounting the device under test (DUT) on the flange of a rectangular waveguide (standard WR 90) loaded with a waveguide-matched load. In this case, the reflection coefficient measured at the coaxial input of the DUT correspond to the -parameter of the DUT itself, since we can assume a wave of negligible magnitude being reflected backward by the matched waveguide termination. The measured -parameter corresponding to the singly and the doubly tuned patch end-launchers is illustrated in Figs. 11 and 12, respectively. The second setup, which was used in the measurement of the -parameter, consists of mounting the DUT on the flange of a rectangular waveguide (standard WR 90) connected at the other end (port 2) to a commercial right-angle coaxial-to-rectangular waveguide transition of the type described in [16]. The transmission coefficient relative to the TEM-mode propagating

1508

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 14. Measured jS j-parameter for the doubly tuned end-launcher and comparison with the computational results. Fig. 13. Measured jS j-parameter for the singly tuned end-launcher and comparison with the computational results.

through the coaxial cables was measured. The contribution to the insertion loss introduced by the right-angle transition has been separately evaluated1 and subtracted from the measured parameter. The measured transmission coefficient can then be assimilated to the -parameter of the DUT even if the reference plane defining port number 2 is placed at the coaxial input of the right-angle coaxial-to-rectangular waveguide transition and not at its waveguide flange. It should be noted that, because of this different definition of the electric ports, a discrepancy between the computed and measured -parameters is bound to manifest itself. The measured -parameters corresponding to the singly and the doubly tuned patch end-launchers are illustrated in Figs. 13 and 14, respectively. Upon returning to the -parameter, we can observe a good agreement between the measured and computed results related to the singly tuned end-launcher. In the case of the doubly tuned end-launcher, an excessive return loss is observed in the middle of the passband. The excessive reflection is probably due to the inaccuracies introduced during the assembly of the prototypes, in particular, for the alignment of the stacked boards. The return loss, however, remains lower than 10 dB over a frequency range of approximately 2.5 GHz. For the -parameter, as anticipated, there is a small discrepancy between the computationally estimated and measured results that can be attributed to a combination of causes: • different definitions of port 2 in the experimental phase and in the numerical simulation; • imperfections occurring in the manufacturing of the prototypes, especially during the assembling process. 1The contribution of the right-angle transition to the measured insertion loss has been experimentally evaluated. Two identical right-angle transitions have been connected to each other at their waveguide ends, and the transmission coefficient between the two coaxial inputs has been measured. The insertion loss measured was then divided by two to obtain the contribution of the individual transition. Note that this procedure does not represent a rigorous shift of the reference plane of port 2 from the coaxial input of the right-angle transition to its waveguide end, but merely compensates for the insertion losses introduced by the transition itself.

Fig. 15. Measured jS j-parameter for a sample of five singly tuned end-launchers and comparison with the computational results.

As concerns the impact of the last cause, it is further substantiated by the fact that the discrepancy is more visible in the case of the doubly tuned end-launcher (see Fig. 14), the assembling of which consisted of more operations that are prone to introduce manufacturing inaccuracies. Nevertheless, an insertion loss of approximately 0.15 dB is observed at for the singly tuned patch launcher. The insertion loss remains above 0.4 dB over a frequency range of roughly 700 MHz. As for the doubly tuned patch launcher, an insertion loss of less than 1 dB is observed over a frequency band of roughly 2.5 GHz. In order to asses the reproducibility of the designed devices, which is an aspect that is of paramount importance in the case of a mass production of such components, a sample of five end-launchers of both types were measured; the results of this analysis are reported in Figs. 15–18. The overlapping of the curves is very good, except for the region in the proximity of resonances where, as a result of small shifts in the resonant frequencies, larger spreads of the curves are observed. For obtaining a quantitative measure of the spread of the measured characteristics (in particular, as concerns the ), the

SIMEONI et al.: PATCH END-LAUNCHERS

Fig. 16. Measured jS j-parameter for a sample of five singly tuned end-launchers. (a) Measured and computational results. (b) Frequency dependence of the standard deviation  .

1509

Fig. 18. Measured jS j-parameter for a sample of five doubly tuned endlaunchers. (a) Measured and computational results. (b) Frequency dependence of the standard deviation  .

values of the standard deviation are very small (below 0.6 dB) within the frequency band of interest. VII. DISCUSSION

Fig. 17. Measured jS j-parameter for a sample of five doubly tuned endlaunchers and comparison with the computational results.

frequency dependence of their standard deviation was computed; this parameter is plotted in Figs. 16(b) and 18(b). The

As demonstrated by the measurement results reported in Section VI-B, the manufacturing technology has a determinant role in ensuring adequate performance of the components. Although the reproducibility test has pointed toward a relatively low sensitivity to (small) fabrication inaccuracies, it is obvious that, in order to guarantee the performance as estimated by the numerical simulations, the technology employed for producing such devices should be revisited. In this respect, the examined prototypes suffered from a number of manufacturing flaws, particularly in the assembling phase. The most commonly observed defect was the slight misalignment of the two substrates that compose the doubly tuned launchers. Furthermore, as the upper layer is extremely thin (0.508 mm), it tends to bend, leaving some air gap of irregular shape between the two dielectric boards. Finally, the gluing procedure is not easy to control, and the electric properties of the employed adhesive were

1510

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

unknown. All of these shortcomings can be addressed by an industrial fabrication of the components. Standard printed circuits board (PCB) technology enables the manufacturing of sealed structures composed of multiple dielectric boards, including metallic layers and vertical interconnections (via-holes). The PCB technology is then recommended for manufacturing these components. Upon returning to the concept at the basis of the design of the patch end-launchers, it can be mentioned that a further broadening of the operational bandwidth can be achieved by moving toward larger numbers of stacked patches. Alternatively, configurations containing patches with different shapes (i.e., square and triangular) may also be considered. Nevertheless, it must be stressed that, in all cases, particular attention must be paid to ensuring the field purity of the launched wave. To substantiate this, it is noted that, irrespective of the patch’s shape, undesired field components are excited as well. Depending on the magnitude of these components, their suppression requires the use of a section of monomodal waveguide, as this length is interrelated to the shape of the patch. By recalling the fact that this research focused on compact waveguide structures, it is obvious that an optimization of the patch’s shape will always be needed.

VIII. CONCLUSION The performance of a new family of structures launching the fundamental mode in rectangular waveguides was discussed. Singly and multiply tuned launchers consisting of (stacked) patches were proposed for matching a coaxial input to a rectangular waveguide over a frequency range as wide as possible. The examined configurations provide an extremely flat, colinear transition from a standard coaxial connector to the relevant waveguide. Computational simulations and experimental results were employed for validating the advocated concept. Numerical simulations (finite-difference time domain) show an insertion-loss level of approximately 0.1–0.2 dB in the frequency band of operation. The proposed components are amenable to fabrication in a standard PCB technology.

ACKNOWLEDGMENT The authors would like to acknowledge the support provided by A. Allstaff (ESA-ESTEC) and Dr. M. Spirito (Delft University of Technology) during the experimental phase of this work.

[5] M. Simeoni, C. Coman, and I. E. Lager, “Compact colinear end-launcher for rectangular waveguides,” in IEEE MTT-S Int. Microw. Symp. Dig., Long Beach, CA, Jun. 2005, pp. 1199–1202. [6] G. Gentili, F. Perez-Martinez, M. Salazar-Palma, and L. GarciaCastillo, “Analysis of single and stacked microstrip patch antennas residing in a cavity by a Green’s function technique,” in Proc. IEEE AP-S Proc. Antennas Propag. Soc. Int. Symp., Jun. 1994, pp. 944–947. [7] J. Rubio, M. A. Gonzlez, and J. Zapata, “Analysis of cavity-backed microstrip antennas by a 3-D finite element/segmentation method and a matrix Lanczos–Pad´ algorithm (SFELP),” IEEE Antennas Wireless Propoag. Lett., vol. 1, pp. 193–195, 2002. [8] S. A. Long and M. D. Walton, “A dual-frequency stacked circular disc antenna,” IEEE Trans. Antennas Propag., vol. AP-27, no. 3, pp. 270–273, Mar. 1979. [9] A. Sabban, “A new broadband stacked two-layer microstrip antenna,” in Proc. IEEE AP-S Proc. Antennas Propag. Soc. Int. Symp., May 1983, pp. 63–66. [10] H. Oltman and D. Huebner, “Electromagnetically coupled microstrip dipoles,” IEEE Trans. Antennas Propag., vol. AP-29, no. 1, pp. 151–157, Jan. 1981. [11] M. Simeoni, D. Schmitt, V. E. Boria, and S. Marini, “Efficient fullwave CAD tool of passive components based on coaxial waveguide junctions,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig., Fort Worth, TX, Jun. 2004, pp. 1045–1048. [12] M. Simeoni, “A note on the evaluation of modal coupling coefficients at planar waveguide junctions,” Int. J. Numer. Model., vol. 18, no. 3, pp. 221–226, May–Jun. 2005. [13] G. Conciauro, M. Guglielmi, and R. Sorrentino, Advanced Modal Analysis. New York: Wiley, 2000. [14] CST MICROWAVE STUDIO, Tutorials CST-Computer Simulation Technol.. Darmstadt, Germany, 2003. [15] Rogers Corporation—Advanced Circuit Materials Div., High Frequency Laminates Literature Index [Online]. Available: http://www.rogerscorporation.com [16] M. E. Bialkowski, “Analysis of a coaxial-to-waveguide adaptor including a discendent probe and a tuning post,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 2, pp. 344–349, Feb. 1995.

Massimiliano Simeoni (S’96–M’02) was born in Rieti, Italy, on July 4, 1974. He received the Laurea degree (summa cum laude) from the University of Perugia, Perugia, Italy, in 1999, and the Ph.D. degree in microwave and optical communications from the University of Limoges, Limoges, France, in 2002. From June 2002 to April 2004, he was with the European Space Research and Technology Center (ESTEC), European Space Agency (ESA), Noordwijk, The Netherlands, as Research Fellow. In May 2004, he joined the International Research Centre for Telecommunications-Transmission and Radar, Delft University of Technology, Delft, The Netherlands. His research interests include the numerical characterization of guiding structures, waveguides discontinuities, microwave passive filter design techniques, and phased-array antennas. Dr. Simeoni is an Associate Member of the European Microwave Association.

REFERENCES [1] R. Tang and N. S. Wong, “Multimode phased array element for wide scan angle impedance matching,” Proc. IEEE, vol. 56, no. 11, pp. 1951–1959, Nov. 1968. [2] M. D. Desphande, B. N. Das, and G. S. Sanyal, “Analysis of an end launcher for an -band rectangular waveguide,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 8, pp. 731–735, Aug. 1979. [3] S. M. Saad, “A more accurate analysis and design of coaxial-to-rectangular waveguide end launcher,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 2, pp. 129–134, Feb. 1990. [4] V. Galdi, G. Gerini, M. Guglielmi, H. J. Visser, and F. D’Agostino, “CAD of coaxially end-fed waveguide phased-array antennas,” Microw. Opt. Technol. Lett., vol. 34, no. 4, pp. 276–281, Aug. 20, 2002.

X

Cristian I. Coman (S’04–M’06) was born in Tîrn˘aveni, Romania, on March 14, 1972. He received the Engineer’s degree from the Military Technical Academy, Bucharest, in 1996, and is currently working toward the Ph.D. degree in electrical engineering at the Delft University of Technology, Delft, The Netherlands. His current interests include sparse array antennas, shared aperture antennas, computational electromagnetics, and radar signal processing.

SIMEONI et al.: PATCH END-LAUNCHERS

Ioan E. Lager (M’01) was born in Bras¸ov, Romania, on September 26, 1962. He received the Engineer’s degree from Transilvania University, Bras¸ov, Transilvania, in 1987, Ph.D. degree from the Delft University of Technology, Delft, The Netherlands, in 1996, and the Ph.D. degree from Transilvania University in 1998. Since 1997, he has been with the Delft University of Technology, first with the Laboratory of Electromagnetic Research and, starting from 1998, with the International Research Centre for Telecommuni-

1511

cations-Transmission and Radar, where he coordinates the applied electromagnetics related activities. He has authored one monograph and over 25 refereed publications. His principal scientific interests concern the (technological) design of antennas and the field of computational electromagnetics.

1512

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

An LTCC Balanced-to-Unbalanced Extracted-Pole Bandpass Filter With Complex Load Lap Kun Yeung, Member, IEEE, and Ke-Li Wu, Senior Member, IEEE

Abstract—In this paper, the fundamental characteristics of a novel third-order RF balanced-to-unbalanced filter, namely, a balun filter, for integrated RF module applications are presented. This center-tapped transformer-based new device works concurrently as a balun, an extracted-pole bandpass filter, and a matching network. As coupled resonant tanks are employed to perform the balun type of operation, traditional coupledresonator filter theory can thus be used to design and analyze such a new device. Moreover, an extracted-pole technique is used not only for creating a transmission zero, but also provides a capability to match the filter with a complex load. In addition to providing a simple design procedure for the device, its working mechanism is also revealed mathematically. Specifically, return-loss sensitivity with respect to each resonator admittance and complex load matching capability are discussed in details. This balun filter has been implemented in a multilayered low-temperature co-fired ceramic substrate, demonstrating its promising potentials in miniaturized RF front-end modules. Experimental measurements are also presented to validate the theory and computer simulations. Index Terms—Balun, bandpass filter, low-temperature co-fired ceramic (LTCC), resonator filter.

I. INTRODUCTION HERE IS an ever increasing demand for fast, reliable, and ad hoc wireless data transfer between two or more terminal devices. Typical applications include mobile phones, personal digital assistants (PDAs), laptop computers, cameras, and printers. To satisfy such a demand, each of these devices should be equipped with an RF front-end module. In any case, miniaturization and low production cost are the two most fundamental prerequisites for these modules. One way to miniaturize an RF front-end is to embed its passive circuitries, such as antenna, bandpass filter, balun, matching network, and interconnects, into a multilayered substrate. Lowtemperature co-fired ceramic (LTCC) technology is particularly suitable for this purpose since it can offer fine pitch lines and a multilayered architecture for embedding passive components. Another way to miniaturize a front-end is to combine the required multiple functional circuitries into one device.

T

Manuscript received September 6, 2005; revised January 5, 2006. This work was supported by the Innovation and Technology Fund of Hong Kong, Special Administrative Region under Grant 6901523/ITS/011/03 and by the Circuit Material Division, Heraeus Inc. The authors are with the Department of Electronic Engineering, The Chinese University of Hong Kong, Shatin, Hong Kong (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.871363

Fig. 1. Block diagram for an LTCC Bluetooth system module.

Fig. 1 shows a system block diagram of a Bluetooth module. It is seen that the three major embedded passives are matching network, bandpass filter, and balun. A balun, which converts a balanced signal to an unbalanced signal, is used in various RF circuitries such as those interfacing with RF integrated circuits (RFICs). Besides those traditionally known planar type of configurations, a number of multilayered configurations have also been recently proposed [1]–[3]. These baluns, in general, do not have any specific frequency selectivity requirements outside of its operating frequency band. While other studies [4], [5] have analyzed some topologies that offer a bandpass type of transmission response, information on how to shape up such a response has never been addressed, nor is the primary concern. Nevertheless, a drawback for all these baluns is their incapability in handling a complex load without using an additional matching network. A new circuit architecture that combines the functionalities of a balun and a bandpass filter was recently proposed by the authors [6] together with its design procedure. In this paper, in addition to a further discussion of its design formula, the inherent property of this device that incorporates a complex load matching function is fully deliberated mathematically. With the functionalities of a matching network, a balun and bandpass filter incorporated in a single device, the size of a front-end system module, such as a Bluetooth module, can be significantly reduced. To facilitate the physical layout design of this new balun filter for high volume production and to better understand its complex loading capability, return-loss sensitivity in the passband to the parameters related to each resonator and its complex load characterization are fully studied in this paper, for the first time, by closed-form mathematical expressions. In addition, experimental verification is also presented to prove the proposed theory.

0018-9480/$20.00 © 2006 IEEE

YEUNG AND WU: LTCC BALANCED-TO-UNBALANCED EXTRACTED-POLE BANDPASS FILTER WITH COMPLEX LOAD

1513

Fig. 3. Proposed third-order balun filter.

Fig. 2. (a) Ideal center-grounded transformer. (b) Proposed coupled-resonator filtering balun.

II. THEORY

Step 2) Convert the series inductor between the second and third tanks to a mutual inductance. This can be done by the method described in [9]. The positively coupled portion of Fig. 3 is then formed. Step 3) Add a negatively coupled tank with same component values and mutual inductance as those of the positively coupled tank in Step 2). Step 4) Reduce the mutual inductance by a factor of . Notice that the factor of is obtained the following way. For an ordinary pair of coupled inductors, the equivalent input impedance looking into port 1 when port 2 is connecting to a load impedance of is given as

A. Fundamental Principle One of the fundamental representations of a balun is an ideal center-grounded transformer [7], as shown in Fig. 2(a). This transformer converts a single-ended input at port 1 to a differential output across ports 2 and 3, and vice versa, for all frequencies. In practice, however, such an ideal balun is hard to realize due to a requirement of a tight magnetic coupling and high inductance value. Alternatively, a more practical schematic representation is shown in Fig. 2(b) where the transformer circuit is replaced by two coupled resonator tank pairs—one is positively coupled and the other is negatively coupled, where the shunted capacitors are tuned to operate at a desired frequency. As shown later, frequency dependency of this configuration can be further modified to achieve a certain type of bandpass response. To achieve a filtering characteristic, it is helpful to view the circuit as three coupled resonant tanks. In this perspective, the conventional coupled-resonator filter theory can be used. Without losing generality, we will concentrate on the design of a third-order Chebyshev-type balun filter. A careful inspection of Fig. 2(b) suggests that this circuit actually consists of two pairs of coupled-resonator chains. Therefore, adding an extra resonant tank and suitable input and output couplings, such as CI, CO1, and CO2, would construct a balun with better bandpass properties. Fig. 3 shows our proposed third-order balun filter, which can be viewed as a merger of two third-order coupled-resonator filters with one of them having a opposite coupling between its second and third tanks. The design steps can be summarized as follows. Step 1) Find the prototype element values for a third-order filter using the table given in [8]. An inductivetype impedance inverter should be used between the second and third tanks.

(1) where is the mutual inductance between the inductor pair and , and is known as complex frequency, whereas for the circuit shown in Fig. 2(b) (ignoring and ), the equivalent input impedance looking into port 1 when ports 2 and 3 are each connected to an impedance of is

for

and

(2)

Now, in order to have the same return-loss performance for these two circuits, should be equal to . For a typical industrial–scientific–medical (ISM) band application, a strong rejection is required at frequency of 1.9 GHz to minimize the interference at the global system for mobile communications (GSM) band. A parallel tank is, therefore, used at each output port to create a transmission zero at that frequency and to act as an I/O coupling. This is known as an extracted-pole technique. Consequently, the inductor used in this tank has a relatively small value when comparing to the one used in a purely inductive output coupling configuration. Equations for obtaining these component values can be derived in a similar manner as that for capacitive I/O coupling [8]. Firstly, assuming each output port has an impedance of , a transmission zero appears at frequency and the passband center frequency is . Therefore, an equivalent input admittance looking out from the positively or negatively coupled third resonators toward each corresponding output load is

(3)

1514

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

where

(4) to the required Consequently, by equating (see [8] for the definitions), the component values are obtained as

(5) and Fig. 4. Complex load matching range.

(6) The sign of the square root in (5) should be chosen in a way that is a positive value. Finally, the residual shunted susceptance should be “absorbed” by its adjacent resonator. B. Complex Load Impedance Matching It turns out that the parallel tanks provide another favorite feature—the capability of matching a wide range of complex loads. This feature can be studied by letting and , where is the desired terminating conductance of a prototype filter characteristics and rewriting (5) as a family of circle equation

Fig. 5. Frequency responses of filter A with 10 filter B with 50- load (dashed line).

(7) with radius

and center

as

and

(8)

This equation suggests that given a fixed value of , any complex load lies on a circle defined by (7) will be matched. Consequently, by considering those commonly implemented inductor values using LTCC technology, a range (a set of circles) of “matchable” complex loads can be determined. An example is shown in Fig. 4. This set of curves are generated for a filter with 0.2-dB passband ripple, center frequency of 2.45 GHz, bandwidth of 250 MHz, and transmission zero at 1.9 GHz. Notice that the values of the inductor considered are between 1–8 nH.

0 26 9- load (solid line) and j

:

The region spanned by the set of curves covers a large portion of the Smith chart, which means that a wide range of complex loads can be matched by using the parallel tanks with inductor values between 1–8 nH. For example, when the load impedance changes from 50 to , which is a typical value that we are interested in, an inductance of value 0.94 nH is required. Frequency responses of a terminated filter (A) and a standard 50- terminated filter (B) are shown in Fig. 5. The corresponding component values for these two filters are listed in Table I. C. Return Loss Sensitivity Analysis As the return loss is the most sensitive parameter in a volume production, it is critical to have a better understanding of its sensitivity with regards to the circuit components. Analytical expression for the return loss of an th-order balun filter can be obtained by analyzing a generic schematic, as shown in Fig. 6. In this figure, ’s are the impedance inverters, or simply -inverters, and ’s are resonator admittances, whereas and ’s are the source and load admittances, respectively. By the

YEUNG AND WU: LTCC BALANCED-TO-UNBALANCED EXTRACTED-POLE BANDPASS FILTER WITH COMPLEX LOAD

COMPONENTS FOR 10

This derivative is known as the un-normalized sensitivity [10]. at passband freWhen a filter is well tuned, the magnitude of quencies should be very small. In addition, if the second derivative term in (12) is not extremely large, which is true in most of the cases, the equation can simply be approximated as

TABLE I

0 26 9 - AND 50- FILTERS j

1515

:

for

(13)

is the filter bandwidth. Sometimes, it is necessary to where have parameter normalized by its nominal value if sensitivities of different parameters are to be directly compared. In other words, the above equation should be modified to for

(14)

Notice that this definition of sensitivity is slightly different from the usual normalized sensitivity used in other literature where (14) is further normalized by the nominal return loss value. The above equation can be written as (15) with

(16) Fig. 6. Schematic diagram for an nth-order balun filter.

definition of -inverter, the equivalent admittance looking into can be expressed in a recursive formula as

(9) where

.. .

under the same condition that and represents the th resonator reactance. In this study, we are only interested in the sensitivity relation to each resonator reactance, and un-normalized sensitivity defined in (13) is used. Notice that the same methodology can be applied to obtain the return-loss sensitivity with respect to each -inverter. However, it is found that the return loss is relatively insensitive to -inverters when compared to those resonators. In any case, the expression for calculating the normalized sensitivity is

(10)

(17) with

can be calculated by With the above equations, -parameter substituting (9) into the following equation:

(11) where and are complex polynomials. Now, derivative of the return loss with respect to , where denotes any circuit parameter, can be obtained as

(12)

(18) under the same condition that . Based on the synthesis method previously described, a 50terminated third-order Chebyshev-type balanced filter of 0.2-dB ripple, 2.45-GHz center frequency, 250-MHz equal-ripple bandwidth, and 1.9-GHz transmission zero has been designed. The corresponding component values of Fig. 3 are pF, pF, pF, nH, pF, pF, pF, nH, nH, nH, and nH. Using (13) and (16), the return-loss sensitivity related to each resonator’s reactance can be

1516

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

or causes a than the other two. In other words, a change on larger alteration in the return loss than any other resonator components having the same amount of changes. This property is confirmed by conducting perturbation analysis ( 1% changes in component value) on each resonator capacitor, and the results are shown in Fig. 7(b). It can be seen that with the same amount of perturbation in the component value, the change in causes a deterioration of around 3-dB maximum change in return loss, whereas the same change in or causes only a 2-dB maximum rise. Another interesting fact is that whereas an increase in causes the return loss to rise at frequencies below the center frequency and drop at frequencies above it, an increase in any other resonator capacitor has the opposite effect. This fact can readily be seen in Fig. 7(b). Generally speaking, the above analysis suggests that special attention should be paid to the second resonator in layout design to alleviate the sensitivity of the elements. Fig. 7(c) shows full-wave simulated return-loss sensitivity of our layout-level balun-filter model (more details in Section III) by changing the actual physical dimensions of capacitors , , and . Notice that this full-wave model has been further optimized for better performance. From this figure, it is seen that the return loss changes in the same trend as expected, except that has a comparable influence on the return loss as that of . This is due to tight couplings between and other circuit components. III. PHYSICAL IMPLEMENTATION Having a multilayered LTCC substrate, the lumped-circuit model can be realized by using parallel plates for capacitors and printed metal strips for inductors. As outlined in [9], an initial layout of the proposed balun filter is obtained by a very fast, but quasi-statistic electromagnetic model, and full-wave electromagnetic simulation must be carried out to predict the performance over the frequency range of interest. Fig. 8 shows the details of a final physical layout of the proposed balun filter. It consists of a total of nine dielectric layers with each layer of approximately 3.6-mil thickness, and approximately 166 mil 188 mil size. Circuit elements are implemented on the bottom six layers with the first four layers mainly for capacitive elements and the other two layers for inductive elements. Notice that the transformer circuitry shown in Fig. 2(b) is simply implemented by a pair of closely spaced strips. Furthermore, a top ground plane is used to isolate the filter from other embedded components. IV. EXPERIMENTAL RESULTS

Fig. 7. (a) Return-loss sensitivity analysis for resonator impedance. (b) Changes of return loss within passband for 1% change in resonator element values. (c) Simulated return loss sensitivity analysis for 1% change in resonator element values.

6

6

calculated and they are plotted in Fig. 7(a). As seen from the results, -parameter is more sensitive to the second resonator

An experimental prototype has been built using the Heraeus HL2000 LTCC material set with a dielectric constant of approximately 7. Measurements were carried out through its three external ports located at the top of the substrate. Collected scattering parameter data was then calibrated to the desired reference planes at an internal substrate layer through the thru-reflect-line (TRL) calibration technique. The measured results together with those from a full-wave electromagnetic simulation are presented in Fig. 9.

YEUNG AND WU: LTCC BALANCED-TO-UNBALANCED EXTRACTED-POLE BANDPASS FILTER WITH COMPLEX LOAD

1517

Fig. 8. Physical layout of the proposed balun filter.

desired frequency of 1.9 GHz in the transmission response. Secondly, a very good amplitude balance of approximately 0.3-dB difference between signals at the two balanced ports is obtained. Finally, a maximum of 1 phase imbalance is achieved within the passband. However, there is a noticeable mismatch at the unbalanced port. By adjusting the circuit model shown in Fig. 3 to fit the measured responses, it is found that capacitor of the second resonator is slightly smaller than expected. V. CONCLUSION The concept of a simple multilayered passive device, which acts as a matching network, balun, and an extracted-pole bandpass filter at the same time has been presented. Its working mechanism and theory have been given mathematically. It has been shown through an experimental prototype that the balun filter exhibits a good amplitude balance, as well as a good phase balance within the operating frequency band of interest. In addition, a desired bandpass response with third-order Chebyshev-type characteristics has been achieved. To further satisfy the rejection requirement, an extracted-pole technique has been employed to sharpen the out-of-band rejection. The extracted-pole resonator tanks also play the role of an absorbing complex load. Therefore, the device also incorporates the function as a matching network. The corresponding design equations have been provided with detailed derivation. Finally, closed-form sensitivity analysis has been carried out and the results suggest that this balun filter (or a third-order filter in general) has relatively high return-loss sensitivity with respect to the second resonator elements. In general, this balun filter can serve as a good candidate for a compact LTCC RF front-end module. Fig. 9. Results of the balun filter. (a) Simulated and measured transmission and reflection responses. (b) Measured amplitude balance and phase difference.

ACKNOWLEDGMENT

A few comments about the experimental results are worth mentioning. Firstly, a deep rejection occurs, as expected, at the

The authors are very grateful to Circuit Material Division, Heraeus Inc., Shanghai, China, for providing the HL2000 LTCC materials for prototyping. The authors would also like to express

1518

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

their sincere gratitude to CST Ltd., Darmstadt, Germany, for providing various supports in using the CST MWS software. REFERENCES [1] D. W. Lew, J. S. Park, D. Ahn, N. K. Kang, C. S. Yoo, and J. B. Lim, “A design of ceramic chip balun using multilayer configuration,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 1, pp. 220–224, Jan. 2001. [2] C. W. Tang and C. Y. Chang, “A semi-lumped balun fabricated by low temperature co-fired ceramic,” in IEEE MTT-S Int. Microw. Symp. Dig., 2002, pp. 2201–2204. [3] ——, “Using buried capacitor in LTCC-MLC balun,” Electron. Lett., vol. 38, pp. 801–803, Jul. 2002. [4] Y. C. Leong, K. S. Ang, and C. H. Lee, “A derivation of a class of 3-port baluns from symmetrical 4-port networks,” in IEEE MTT-S Int. Microw. Symp. Dig., 2002, pp. 1165–1168. [5] K. S. Ang, Y. C. Leong, and C. H. Lee, “Analysis and design of miniaturized lumped-distributed impedance-transforming baluns,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 1009–1017, Mar. 2003. [6] L. K. Yeung and K.-L. Wu, “An integrated RF balanced-filter with enhanced rejection characteristics,” in IEEE MTT-S Int. Microw. Symp. Dig., 2005, pp. 713–716. [7] K. V. Puglia, “Electromagnetic simulation of some common balun structures,” IEEE Micro, pp. 56–61, Sep. 2002. [8] G. L. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance Matching Networks and Coupling Structures. New York: McGraw-Hill, 1980. [9] L. K. Yeung and K.-L. Wu, “A compact second-order LTCC bandpass filter with two finite transmission zeros,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 337–341, Feb. 2003. [10] S. Amari, “Sensitivity analysis of coupled resonator filters,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 10, pp. 1017–1022, Oct. 2000.

Lap Kun Yeung (S’00–M’02) received the B.Eng. degree in electrical and information engineering from the University of Sydney, Sydney, Australia, in 1998, and the M.Eng. degree in electronic engineering from the Chinese University of Hong Kong, Shatin, Hong Kong, in 2002. During 1999, he was with the Commonwealth Scientific and Industrial Research Organization (CSIRO), Sydney, Australia, where he was a Research Engineer involved in the numerical modeling of different antenna structures. He is currently with the Chinese University of Hong Kong, where he is involved in various LTCC multichip-module (MCM) designs and the development of numerical algorithms for analyzing multilayer embedded RF modules.

Ke-Li Wu (M’90–SM’96) received the B.S. and M.Eng. degrees from Nanjing University of Science and Technology, Nanjing, China, in 1982 and 1985, respectively, and the Ph.D. degree from Laval University, Quebec, QC, Canada, in 1989. From 1989 to 1993, he was with the Communications Research Laboratory, McMaster University, as a Research Engineer. In March 1993, he joined the Corporate Research and Development Division, Com Dev International, where he was a Principal Member of Technical Staff in charge of developing advanced electromagnetic (EM) design software for microwave subsystems for satellite and wireless communications. Since October 1999, he has been with the Department of Electronic Engineering, The Chinese University of Hong Kong, Shatin, Hong Kong, where he is currently a Professor. He has authored or coauthored numerous publications in the areas of EM modeling and microwave and antenna engineering. His current research interests include EM modeling, microwave filters, LTCC technology, MCM technologies, antennas for wireless terminals, and active RFID systems.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

1519

Study and Suppression of Ripples in Passbands of Series/Parallel Loaded EBG Filters Chu Gao, Zhi Ning Chen, Senior Member, IEEE, Yun Yi Wang, Senior Member, IEEE, Ning Yang, and Xian Ming Qing, Member, IEEE

Abstract—This paper presents a method to suppress the ripples in passbands of series/parallel loaded finite period electromagnetic-bandgap (EBG) filters. Based on the study of equivalent circuits, the ripples in passbands of EBG filters with different resonant loads are compared. A four-section resonant slot loaded microstrip EBG filter is exemplified to validate the proposed design method experimentally. Both simulation and measurement show 50-dB attenuation at the center of the first stopband and less than 0.29-dB ripples in the adjacent passbands. Index Terms—Bandstop filters, electromagnetic bandgap (EBG), equivalent circuits, ripples.

I. INTRODUCTION LECTROMAGNETIC bandgap (EBG) is a terminology derived from photonic bandgap (PBG). The structures with bandgap characteristics operating at microwave frequency range are called EBG structures. Most of them are three-dimensional (3-D) periodic structures, which prevent the propagation of electromagnetic waves in a specified band of frequency for all angles and polarization states [1]. The solid-state bandgap concept of Bragg Law with traditional periodic structures brought about new structures of 3-D, two-dimensional (2-D), and one-dimensional (1-D) configuration in the microwave region [1]–[3]. The applications of EBG structures include resonator filters [4], resonators [5], artificial magnetic conductor surfaces [6], and parallel mode reduction slot antennas [7]. EBG filters take the basic properties of the EBG structures and have artificial periodic configurations and typical stopbands response at Bragg frequencies. Planar EBG filters can be realized by drilling holes in their substrate or etching patterns on ground planes [8], [9]. Since 1-D and 2-D EBG filters have similar performance, research studies of planer EBG filters can be simplified to the properties of 1-D etching [10]. Though many 1-D EBG filters have wide stopbands with great attenuation therein, they do have great ripples in adjacent passbands, which are more than 2 dB in many designs [11], [12]. The ripples in passbands deteriorate the characteristics of EBG bandstop filters. Effort has been devoted to reduce the ripples in passbands

E

Manuscript received September 5, 2005; revised December 24, 2005. This work was supported by the National Natural Science Foundation of China under Grant 60471018. C. Gao and Y. Y. Wang are with the State Key Laboratory of Millimeter Waves, Radio Engineering Department, Southeast University, Nanjing 210096, China (e-mail: [email protected]; [email protected]). Z. N. Chen and X. M. Qing are with the Institute for Infocomm Research, Singapore 117674 (e-mail: [email protected]; [email protected]. sg). N. Yang was with the Institute for Infocomm Research, Singapore 117674. He is now with Motorola Electronics, Singapore 569088 (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.871925

Fig. 1. Section of symmetric lossless periodic structures.

using nonuniform elements whose dimensions vary in a tapered way, like Bartlett, Hanning, Hamming, Nuttall, Kaiser, etc. [13], [14]. However, this tapering technique needs a theory to predict the magnitude of ripples so as to find the best way to design. In this paper, we aim at studying the magnitude of the ripples in passbands of series/parallel loaded EBG bandstop filters and present a method to design EBG filters with low ripples in passbands and great attenuation in the stopband in between. In Section II, an equivalent-circuit model, which composes half-wavelength transmission lines loaded by lumped lossless elements at the center, is proposed to represent a period of an EBG filter. This model can be applied to analyze EBG filters with lossless loads because of the generality of representation of lumped elements. An eigenvalue method is then employed to calculate -parameters of the EBG filters from the matrix of a period. Both locations and magnitudes of the ripples in passbands are estimated by the ratio of to . In Section III, the loaded element is simplified to parallel/series combination of lossless elements (inductors and capacitors) for design purposes, and periodic structures loaded by three kinds of resonant elements (resonance at zero, finite frequency and infinite frequency) are studied in a graphic way. Moreover, periodic structures loaded by resonant elements with the same resonant frequency, but different 3-dB bandwidths are studied. Therefore, a design method for EBG filters with low ripples in passbands is developed. In Section IV, an EBG bandstop filter is designed, simulated, and measured so as to validate the proposed method. The analysis on effects of a fabrication error is carried out. Finally, a conclusion, which is based on the above research work, is drawn in Section V. II. RIPPLES IN PASSBANDS OF SYMMETRIC AND LOSSLESS PERIODIC STRUCTURES A section in periodic structures can be represented as a network of lumped elements with transmission lines cascaded at both ends, as shown in Fig. 1. The unloaded lines have characteristic impedance and propagation constant ; the lengths of both of the transmission-line sections are and phase shifts are . The characteristics of the lumped network and the sections in Fig. 1 are defined by their normalized -parame-

0018-9480/$20.00 © 2006 IEEE

1520

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

ters

and , respectively. The -parameters of a periodic structure cascaded by sections are then expressed as follows:

(1)

and are Chebyshev polynomials of the first where and second kinds, respectively. The derivation is based on an eigenvalue method and can be referred to in the Appendix. For a two-port symmetric and lossless structure, -parameters can be generated from -parameters in (2) [15] as follows: (2) It shows that the ratio of to is determined by the difference between normalized -parameters and . If there is no difference between the parameters and , no energy is reflected at port 1. Otherwise the structure suffers a reflection loss. The difference between and of a structure with periods is (3) It is a product of two factors, namely, the unit factor (i.e., ) and the period factor . Note that is a real function, and and are pure imaginary functions because of the lossless nature of two-port networks. According to the characteristics of , it has zeros ( in an ascending sort) and critical points ( in an ascending sort) in the case that , and the zeros and critical points are interlaced. Beyond this range (i.e., ), increases monotonically with . If satisfies the condition that at a particular frequency, i.e., tends to 0, then and at this frequency because cannot approach in a passband. In another case, if at a particular frequency, will be at a critical point that has a value greater than or equal to 1. Since changes sharply between critical points and zeros for at least times (if has any zero in this passband, more than times occur) in a passband contrary to the fact that never goes to infinity in passbands, the positions of the zeros of (ripples of ) are dominated by , except the zero of (if any). The magnitudes of ripples are dominated by . Therefore, the magnitudes of ripples in a passband can be estimated by calculating at corresponding critical points of . III. SERIES/PARALLEL LOADED LOSSLESS PERIODIC STRUCTURES Series and parallel loaded lossless periodic structures are shown in Fig. 2(a) and (b), respectively. They are special cases of loaded structures in Fig. 1.

Fig. 2. Two loaded lossless structures. (a) Section of series loaded structure. (b) Section of parallel loaded structure.

In Fig. 2(a) and (b), and are normalized impedance and conductance of arbitrary combination of lossless, passive, linear, and time-invariant elements (capacitors and inductors), respectively. In the case of a series loaded structure, and of in (3) are

(4) Since is a monotonically increasing function of in each passband of the periodic structure [16], the maximum value of at critical points of can be achieved at either or , and the maximum value of at critical points can be achieved at both and . Thus, at critical points reaches maximum at either or as well. Moreover, is a positive real function of and can be expressed as a sum of terms with minimal denominators in the real region, i.e.,

(5) is the residue of at 0, and the first item on the where right-hand side of (5) denotes a capacitor, is the residue of at , and the last item at the right-hand side of (5) denotes an inductor, is the residue of at , and each of the middle items on the right-hand side of (5) denotes a parallel combination of an inductor and a capacitor. Therefore, the series loaded element is equivalent to the series combination of the above three types of elements. In order to show the effect of the three types of elements on ripples in passbands and bandwidths of passbands, the second equation in (4) is modified as follows:

(6) The right-hand side of (6) figures typical positions in a passband supposing , and the left-hand side of this equation shows the imaginary part of at these positions. Since (7)

GAO et al.: STUDY AND SUPPRESSION OF RIPPLES IN PASSBANDS OF SERIES/PARALLEL LOADED EBG FILTERS

wherein is the permittivity of the transmission line, is the permeability of the transmission line, and is the transmissionline length defined in Fig. 1, is an increasing function of as well. By plotting the left- and the right-hand sides of (6) in a figure, ripples in passbands and bandwidths of passbands will be found. For a four-period structure, by setting to 0.408 and 0.408 , the lines of the centers of the first ripples (dashed–dotted–dotted lines) and the last ripples (dashed lines) in passbands were drawn in Fig. 3(a), respectively. Meanwhile, by setting to 0.707 and 0.707 , the lines of the first zeros (dashed–dotted–dotted lines) and the last zeros (dashed lines) were drawn in Fig. 3(b), respectively, and the variation of of a capacitor, an inductor and a parallel combination of a capacitor and an inductor was drawn via a solid line (with different marks) in Fig. 3(a) and (b). The ripples in passbands were estimated by the greater magnitudes of intercepts in corresponding passbands in Fig. 3(a); the bandwidths of passbands were estimated by the electrical lengths between the two intercepts in passbands in Fig. 3(b), as summarized in Table I. The characteristics of both inductor and capacitor loaded structures can be summarized as the same effect (increasing or decreasing) on both the ripples in passbands and the attenuation in stopbands simultaneously. These effects are undesirable in the design of bandstop filters. In the case of parallel combinations of inductor and capacitor as loads, the combination resembles an inductor below the resonant frequency, while a capacitor above the resonant frequency. Fig. 3(c) compares the effects of three kinds of parallel combination as loaded elements on ripples in passbands. If the resonant frequency was located at the Bragg frequency (labeled as “Narrow” and “Broad”), the stopband caused by the loaded elements coincides with the Bragg stopband. Thus, the Bragg stopband is enhanced by the loaded elements; otherwise a new stopband is introduced (labeled as “Off”). Moreover, configuration of coincident configuration of resonant frequencies will reduce the ripples in other passbands and attenuation in other periodic stopbands because tends to zero as the operating frequency goes off the resonant frequency. The magnitudes of the ripples in the adjacent passbands are determined by the 3-dB bandwidth of the loaded resonant elements. The susceptance of the resonant elements with small 3-dB bandwidths (labeled as “Narrow”) increases quickly off the resonant frequency. Thus, their effects on passbands are slighter than the elements with large 3-dB bandwidths (labeled as “Broad”). Thus, using loaded resonant elements with small 3-dB bandwidths (to reduce ripples in passbands) that resonant at the Bragg frequency (to increase attenuation in the first stopband) is an effective method to design EBG filters with great attenuation in the stopband and low ripples in the adjacent passbands. Fig. 4 shows a comparison of of a four-section EBG bandstop filter loaded by capacitors, inductors, and finite frequency resonant combination of capacitors and inductors. These filters were designed to have a Bragg frequency at 2.44 GHz, and the loaded elements take the form in Fig. 2(a). The three loaded elements are a 1-pF capacitor, a 4.255-nH inductor, and a parallel combination of them, respectively. The attenuation at the center of the first stopband of the resonant combination loaded filter is more than 50 dB at the center frequency, which is much

1521

Fig. 3. Effects of loaded elements on ripples in passbands and bandwidths of passbands (four periods). (a) Effects of inductor, capacitor, and parallel combination on ripples in passbands. (b) Effects of inductor, capacitor, and parallel combination on bandwidths of passbands. (c) Effects of three kinds of parallel combination on ripples in passbands.

larger than that of capacitor loaded and inductor loaded filter, and the ripples in the adjacent passbands of the resonant combination loaded filter are 0.75 dB for the first passband and 2 dB for the second passband, respectively. This result is better than that of the other two filters. Furthermore, the resonant combination loaded filter is observed to have a much wider stopband, which is more than twice of that of the other two filters. Fig. 5(a) compares of three resonant loads with different 3-dB bandwidths. Fig. 5(b) compares the ripples of four-section EBG filters with loaded elements that are shown in Fig. 5(a).

1522

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

TABLE I EFFECTS OF INDUCTOR, CAPACITOR, AND PARALLEL COMBINATION ON S -PARAMETERS

Fig. 5. jS j of EBG bandstop filters with resonant loads of different 3-dB bandwidths. (a) jS j of the resonant combinations. (b) jS j of the corresponding filters with four sections. TABLE II COMPARISON OF S -PARAMETERS IN FIG. 5(a) and (b)

Fig. 4.

S

j

j

of EBG bandstop filters with three types of loads.

Both the Bragg frequency of the filter and the resonant frequency of the loaded elements are 2.44 GHz. The parallel combination of pF and nH has a 3-dB bandwidth of 0.80 GHz, which is the smallest of three combinations. The ripples in the first and second passband of this combination are the smallest, as summarized in Table II. Similar discussion can be applied to the case of parallel loaded structure in Fig. 2(b), and same conclusion can be drawn. IV. BANDSTOP FILTER DESIGN: SIMULATION AND EXPERIMENT RESULTS Based on theory in Sections II and III, a four-period structure using resonant loads at a finite frequency was designed. The EBG structure is designed with a Bragg frequency at 2.44 GHz,

and the resonant loads with a resonance at 2.44 GHz. The resonant loads has a 3-dB bandwidth of 0.475 GHz. This configuration satisfies the design guideline for EBG filters with low ripples. First, the microstrip transmission line was etched onto an RT Duriod 3010 dielectric substrate of a relative permittivity of 10.2 and a thickness of 1.27 mm. The strip width was chosen as mm. Thus, the characteristic impedance of the microstrip line is 50 at 2.44 GHz. The microstrip length was set to 92.4 mm, which is two wavelengths at 2.44 GHz. Four resonant elements were loaded evenly to create a Bragg frequency at 2.44 GHz. The spiral slots were etched onto the ground plane to form resonant loads to the microstrip line [17]. Two etched spirals of width of mm, which are symmetric to the strip conductor on the top side, are joined via a slot on the

GAO et al.: STUDY AND SUPPRESSION OF RIPPLES IN PASSBANDS OF SERIES/PARALLEL LOADED EBG FILTERS

1523

Fig. 7. Ripple evaluation of the design.

Fig. 8. Simulated and measured S -parameters of the design.

Fig. 6. Layout of the design. (a) Top view. (b) Bottom view. (c) Half of an etched slot.

bottom side. The distance between the spirals is mm. The overall length and width of the spiral are mm and mm, respectively. Both of the spirals have 1.5 turns. The loaded spiral structure has a resonant frequency at 2.44 GHz. The top view, bottom view, and view of half of an etched slot of designed structure are shown in Fig. 6(a)–(c), respectively. After deriving an equivalent circuit with parallel resonance ( pF, nH) shown in Fig. 2(a), the ripples in the first two passbands were evaluated by the same method as that used in Fig. 3(a). at ripples can be read from Fig. 7. The ripples were calculated by using (2). The results are 0.12 dB for the first passband and 0.27 dB for the second passband.

The simulated and measured -parameters were shown in Fig. 8. The simulation result by Ansoft Ensemble 8.0 indicates that the center frequency of the first stopband is around 2.44 GHz, while the measured results by Agilent 8510 vector network analyzer show a smaller stopband center frequency 2.40 GHz with an error of 1.6%. The ripples in the first passband are 0.13 dB (simulated) and 0.12 dB (measured). The ripples in the second passband are 0.29 dB (simulated) and 0.21 dB (measured). The simulated and measured attenuation in the first stopband and adjacent passbands agrees well. Considering fabrication errors and losses, the results validate the proposed design method for EBG bandstop filters with low ripples in the passbands. Moreover, the ripples predicted from the derived theory agree with the simulated and measured result. Therefore, the theory can be used for preliminary design. Furthermore, two more structures with different loaded elements were simulated to learn the effects of errors in fabrication on the -parameters. They have the same parameters with the previous one, except the spiral width and distance between the spirals. These differences change the resonant frequency of the loaded elements. The simulated and measured results are compared with that of the previous one shown in Fig. 9. In the case of mm and mm, the resonant frequency of the loaded elements is 2.505 GHz. A different type of resonance occurs below the resonant frequency. As for mm and mm, the resonant frequency of the loaded elements is 2.385 GHz. A different kind of resonance occurs above the resonant frequency. The new resonance greatly reduces the stopband attenuation.

1524

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

A structure with periods is a cascade of a structure with periods and a single section. By using the cascade formula of the matrix, the relationship matrix between structures with periods and periods can be generated as follows:

Fig. 9. Influence of slot fabrication errors on S -parameters.

V. CONCLUSIONS This paper has studied the ripples in the passbands of series/parallel loaded finite periodic EBG bandstop filter by means of an equivalent-circuit method. From the -parameters of the general equivalent circuit of the finite periodic structures, it has been found that loaded elements have a more significant effects on the magnitude of the ripples in passbands than the periodicity of the structure. The subsequent investigation of three kinds of resonant loaded elements has shown that the ripples in the passbands and the attenuation in the stopbands of finite frequency resonant loaded elements increase toward the resonant frequency and decrease outward the resonant frequency in contrary to the monotone increasing characteristics of infinite frequency resonant loaded elements. Resonant elements with narrow 3-dB bandwidths have little effects on the ripples in the passbands than the elements with wide 3-dB bandwidths. Therefore, resonant elements with narrow 3-dB bandwidths and resonant frequency at Bragg frequency are conducive to the design of the EBG filters with low ripples in the passbands. An EBG bandstop filter with spiral etches in the ground of a microstrip line has been designed, fabricated, and measured. The simulation and measurement have well validated the proposed design method. Moreover, an analysis of fabrication error in the loaded element has shown that excessive resonance has occurred in the first stopband.

(A.2)

( The eigenvalues ) of relationship matrix

) and eigenvectors is as follows:

(

APPENDIX Here, we calculate the -parameters defined in (1). From Fig. 1, we learn that the -parameters of a period can be calculated by cascade that of the loaded lumped-element network and that of two transmission lines, shown in (A.1) at the bottom of this page.

(A.3)

(A.1)

GAO et al.: STUDY AND SUPPRESSION OF RIPPLES IN PASSBANDS OF SERIES/PARALLEL LOADED EBG FILTERS

is represented as the product of three matrices, including a diagonal matrix as follows:

(A.4) By substituting (A.4) into (A.2), is represented by . In the case of a symmetric and reciprocal network, i.e., (A.5) (A.6) is

The expression of

(A.7)

and are Chebyshev polynomials of the first and second kinds, respectively, as follows:

(A.8) REFERENCES [1] Y. Rahmat-Samii and H. Mosallaei, “Electromagnetic bandgap structures: Classification, characterization, and applications,” in 11th Int. Antennas Propag. Conf., Apr. 2001, pp. 560–564. [2] A. S. Barlevy and Y. Rahmat-Samii, “Characterization of electromagnetic band-gaps composed of multiple periodic tripods with interconnecting vias: Concepts, analysis, and design,” IEEE Trans. Antennas Propag., vol. 49, no. 3, pp. 343–353, Mar. 2001. [3] Y. Rahmat-Samii, “The marvels of electromagnetic bandgap (EBG) structures: Novel microwave and optical applications,” in Proc. Int. Microw. Optoelectron., Sep. 2003, pp. 265–275. [4] Y. W. Kong and S. T. Chew, “EBG-based dual mode resonator filter,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 3, pp. 124–126, Mar. 2004. [5] X. Gong, W. J. Chappell, and L. P. B. Katehi, “Reduced size capacitive defect EBG resonators,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2002, pp. 1091–1094. [6] D. J. Kern, M. J. Wilhelm, D. H. Werner, and P. L. Werner, “A novel design technique for ultrathin tunable EBG AMC surfaces,” in IEEE AP-S and USNC/URSI Nat. Radio Sci. Meeting Dig., Jun. 2004, pp. 1167–1170. [7] J. D. Shumpert, W. J. Chappell, and L. P. B. Katehi, “Parallel-plate mode reduction in conductor-backed slots using electromagnetic bandgap substrates,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 11, pp. 2099–2104, Nov. 1999. [8] Y. Qian, V. Radisic, and T. Itoh, “Simulation and experiment of photonic bandgap structure for microstrip circuits,” in Asia–Pacific Microw. Conf. Dig., Dec. 1997, pp. 585–588. [9] V. Radisic, Y. Qian, R. Coccioli, and T. Itoh, “Novel 2-D photonic bandgap structure for microstrip lines,” IEEE Microw. Guided Wave Lett., vol. 8, no. 2, pp. 69–71, Feb. 1998.

1525

[10] F. Falcone, T. Lopetegi, and M. Scorolla, “1-D and 2-D photonic bandgap microstrip structures,” Microw. Opt. Technol. Lett., vol. 22, no. 6, pp. 411–412, Sep. 1999. [11] L. Shi and D. Lou, “The design of a photonic bandgap wide-band filter at 2.4 GHz,” in 5th Vacuum Electron Sources Conf. Dig., Sep. 2004, pp. 358–360. [12] J. Z. Gu, W. Y. Yin, R. Qian, C. Wang, and X. W. Sun, “A wide-band EBG structure with 1-D compact microstrip resonant cell,” Microw. Opt. Technol. Lett., vol. 45, no. 5, pp. 386–387, Jun. 2005. [13] N. Yang, Z. N. Chen, Y. Y. Wang, and M. Y. Chia, “A two-layer compact electromagnetic bandgap (EBG) structure and its applications in microstrip filter design,” Microw. Opt. Technol. Lett., vol. 37, no. 1, pp. 62–64, Apr. 2003. [14] A. D’Orazio, M. De Sario, V. Gadaleta, V. Petruzzelli, and F. Prudenzano, “Meander microstrip photonic bandgap filter using a Kasier tapering window,” Electron. Lett., vol. 37, no. 19, pp. 1165–1167, Sep. 2001. [15] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998, ch. 4. [16] C. Gao, Z. N. Chen, Y. Y. Wang, and N. Yang, “Investigation on relationship of electromagnetic bandgap structures and left/right handed structures,” in IEEE Int. Antenna Technol. Dig. Workshop, Mar. 2005, pp. 387–390. [17] C. S. Kim, J. S. Lim, S. Nam, K. Y. Kang, and D. Ahn, “Equivalent circuit modeling of spiral defected ground structure for microstrip line,” Electron. Lett., vol. 38, no. 19, pp. 1109–1111, Sep. 2002. Chu Gao was born in Hefei, Anhui Province, China, in October 1978. He received the B.E. degree in electrical and information engineering and M. E. degree in electromagnetic field and microwave technology from Southeast University, Nanjing, China, in 2000 and 2003, respectively, and is currently working toward the Ph.D. degree at Southeast University. Since 2003, he has been with the State Key Laboratory of Millimeter Waves, Southeast University. He has authored three papers in international conferences. His research interest includes analysis and applications of EBG structures and metamaterials.

Zhi Ning Chen (M’99–SM’05) received the B.Eng., M.Eng., and Ph.D. degrees in electrical engineering from the Institute of Communications Engineering (ICE), Nanjing, China, in 1993, and the Do.E. degree from the University of Tsukuba, Tsukuba, Japan, in 2003. He was with the ICE as a Teaching Assistant, Lecturer, and Associate Professor. He then joined Southeast University, Nanjing, China, as Post-Doctoral Fellow and then an Associate Professor. In 1995, he pursued his research at the City University of Hong Kong, Hong Kong. From 1997 to 1999, he was with the University of Tsukuba, as a Research Fellow. In 1999, he joined the Institute for Infocomm ) [formerly the Centre for Wireless Communications (CWC) Research ( and Institute for Communications Research (ICR)], as a Member of Technical Staff (MTS) and then as a Principal MTS. In 2001 and 2004, he visited with the University of Tsukuba, under a senior-level invitation fellowship program of the Japan Society for Promotion of Science (JSPS). In 2004, he conducted his research with the IBM Thomas J. Watson Research Center, Yorktown, NY, as an Academic Visitor (Antenna Designer). He is now a Lead Scientist, the Head of the Antenna Laboratory, and the Manager for Department of Radio ). He concurrently Systems with the Institute for Infocomm Research ( holds appointments as an Adjunct Associate Professor with the National University of Singapore (NUS), Singapore, and Nanyang Technologies University (NTU), Singapore, and Adjunct Professor with the Electromagnetics (EM) Academy, Zhejiang University, Hangzhou, China. Since 1990, he has authored and coauthored over 150 technical papers published in international journals and presented at international conferences. He authored Broadband Planar Antennas (Wiley, 2006) and coedited UWB Communications (Wiley, 2005). He holds three patents with seven patents pending. His main research interests include applied electromagnetics, antenna theory and design. He is particularly focused on small and broad-band antennas and arrays for wireless communications systems such as multiinput multioutput systems and ultra-wideband systems, and RF imaging systems.

1526

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Dr. Chen was a member of Technical Program Committees or International Steering Committees for a variety of International Conferences. He founded the IEEE International Workshop on Antenna Technology (IEEE iWAT) and, as general chair, organized the IEEE iWAT: Small Antennas and Novel Metamaterials, 2005, Singapore. He was the recipient of an award presented by the JSPS.

Yun Yi Wang (SM’85) was born in Jiangsu Province, China, in 1936. She received the Radio Engineering degree from the Nanjing Institute of Technology (NIT) [now Southeast University (SEU)], Nanjing, China, in 1961. She then became a Teaching Assistant (1972), Lecturer (1978), Associate Professor (1983), and Full Professor (1986) at NIT and SEU. She has been a Visiting Professor with the Eidgenössische Technische Hochschule (ETH), Zürich, Switzerland, and with Saga University, Saga, Japan. She authored Microwave Devices and Circuits (Jiangsu Publisher of Sci. Technol., 1981) and has authored or coauthored over 100 papers published in journals or presented in conferences. From 1961 to 1966, her teaching and research interests included microwave components, surface-wave transmission lines, microwave measurement, and microwave tunnel-diode amplifiers. Since 1973, her main research interest has been microwave and millimeter wave solid-state devices and circuits. In addition, she has also been involved with nonlinear microwave networks, broad-band matching networks, and microwave superconductive devices and circuits. In recent years, her main research interest is the theoretical analysis and applications of EBG structures.

Ning Yang was born in Taixin, Jiangsu Province, China, in December 1976. He received the B.E.E.E. and Ph.D. degrees in radio engineering from Southeast University, Nanjing, China, in 1998 and 2003, respectively. In 1998, he was a Graduate Student with the State Key Laboratory of Millimeter Waves, Southeast University. Since 2001, he has been with the Center for Wireless Communications (CWC), Singapore, as a Visiting Student, where he became an Associate Scientist with the Institute for Infocomm Research

( ), Agency of Science Technology And Research (ASTAR), Singapore. He is currently with Motorola Electronics, Singapore. He has authored or coauthored 15 papers in international journals and conferences. His main research interests include ultra-wideband radio systems, applications of EBG structures in microwave circuits, small- and wide-band printed antennas, and RF identification antennas.

Xian Ming Qing (M’90) was born in Sichuan province, China, in 1965. He received the B.E. degree from the University of Electronic Science and Technology of China (UESTC), Chengdu, China, in 1985. In 1990, he became a Lecturer and then an Associate Professor (1995) with the Microwave Testing Center, UESTC. From January 1997 to March 1998, he was a Research Scientist with the Physics Department, National University of Singapore (NUS), where he focused on development of high-temperature superconductor (HTS) microwave devices. In April 1998, he joined the Institute for Infocomm Research, Singapore, where he is focused on antenna design for wireless applications. He is currently an Associate Lead Scientist focused on the study of ultra-wideband antennas and RF identification (RFID) antennas. He has authored or coauthored 25 papers in international journals and conferences. Mr. Qing has been a member of the IEEE Antennas and Propagation Society (IEEE AP-S) since 1990. He was the recipient of six Awards of Advancement of Science and Technology in China.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

1527

Design and Experimental Verification of Backward-Wave Propagation in Periodic Waveguide Structures Jorge Carbonell, Member, IEEE, Luis J. Roglá, Student Member, IEEE, Vicente E. Boria, Senior Member, IEEE, and Didier Lippens

Abstract—Experimental results for the demonstration of backward-wave or double-negative (DNG) propagation in waveguide technology combining inductive windows and split-ring resonators are presented and discussed in depth. A novel segmented prototype has been designed, fabricated, and measured, thus allowing the analysis and discussion of different length structures. The experimental characterization proves the DNG nature of the devices by comparing the phase of the transmission coefficient 21 for different length structures. Dielectric and metallic losses linked to the resonators also limit the transmission levels of these very compact structures in the longitudinal dimension, and the sources of such losses are interpreted through the comparison of measured results with full-wave electromagnetic simulations. Index Terms—Double-negative media (DNGM), evanescent waveguides, metamaterials, periodic structures, split-ring resonators (SRRs).

I. INTRODUCTION

OUBLE-NEGATIVE (DNG) materials have received much attention over the last years due to the first experimental demonstration of a negative refraction effect [1], which confirmed the predictions of a very early theoretical paper already published in the late 1960s [2]. A number of studies were developed following the research of Pendry et al. on artificial magnetism [3], who proved that a periodic arrangement of resonant particles, much smaller than the electrical wavelength, could behave as an equivalent effective medium with unusual electromagnetic (EM) properties. These resonant particles are commonly referred to as split-ring resonators (SRRs) and have been extensively investigated [4], [5]. The combination of a negative permeability, synthesized via the SRR particles, with a negative permittivity in artificial materials (also called metamaterials) leads to the generation of several characteristics originally not found in nature, such as backward waves, inverse Snell’s law, inverse Doppler shift, and others.

D

Manuscript received September 6, 2005; revised December 12, 2005. This work was supported by the Ministerio de Educación y Ciencia, Spanish Government under a Ramón y Cajal Contract, under Research Project TEC-200404313-C02-01, and by the European Commission under FEDER funds. J. Carbonell, L. J. Roglá, and V. E. Boria are with the Departamento de Comunicaciones, Universidad Politécnica de Valencia, 46022 Valencia, Spain (e-mail: [email protected]; [email protected]). D. Lippens is with the Institut d’Electronique, de Microélectronique et de Nanotechnologie, 59652 Villeneuve d’Ascq Cedex, France. Digital Object Identifier 10.1109/TMTT.2006.871364

In this DNG materials context, it is possible to obtain backward-wave propagation not only by means of SRRs since transmission lines loaded with series capacitances and shunt inductances can have a similar behavior [6], [7]. Nevertheless, the geometrical scalability of the SRRs makes them good candidates for synthesizing a negative permeability at different frequency ranges, in addition to the compactness achieved with respect to the operation frequency. Several realizations have recently been proposed in different types of technologies: open, planar, or waveguide (see, e.g., [8]). Among them, several waveguidebased configurations have been proposed recently in order to produce a DNG medium [9]–[11], most based on evanescent waveguides. Potential applications of this type of technology can be of interest according to the very compact longitudinal size of the structures achieved. Compactness of the devices is, in turn, based on a sub-wavelength operation regime, as compared with classic cavities. In this study, a new metamaterial waveguide concept, based on the combination of inductive windows and SRRs recently proposed in a theoretical study [12], is fully validated and extended by means of an experimental prototype. This paper is organized as follows. Section II reviews the design and fabrication considerations of the novel prototype structures. Section III shows the experimental results obtained on different length measured devices. The results in terms of -parameters and loss contributions are then analyzed and discussed in depth in Section IV, which is followed by a conclusion in Section V. II. DESIGN AND FABRICATION A. DNG Metamaterial Waveguide In this study, and taking benefit from a negative permeability synthesized by means of SRRs, it is possible to design a DNG medium if the resonators are combined inside a hollow rectangular waveguide with inductive windows. In this case, the term “medium” refers only to the one-dimensional periodic structure, and it is employed referred to the commonly used “effective medium” approach, where macroscopic variables, like permittivity or permeability, can characterize a certain type of “metamaterial.” The inductive windows produce a one-dimensional evanescent medium, which can be characterized by an equivalent negative effective permittivity. The analyzed structure is shown in Fig. 1 and is a periodic combination of inductive windows and SRRs in a WR-90 waveguide (22.86 mm 10.16 mm) designed to operate in the -band. Scalability of the devices

0018-9480/$20.00 © 2006 IEEE

1528

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 2. Single element of the segmented prototype modeling one inductive window (left) and a hollow waveguide for bandstop behavior test (right). Note the presence in both elements of a longitudinal slit to position and hold the dielectric laminate vertically and centered in the waveguide section. Fig. 1. DNG structure in waveguide technology with three SRR-filled cavities and four inductive windows producing a medium with simultaneous " < 0 and  < 0.

permits a direct design adaptation from the -band structure in [12] to the -band fabricated prototype. The SRRs are broadside coupled (BC SRR), thus avoiding unwanted bi-anisotropy (cf. [13]). They are centered in the waveguide with respect to its transverse dimension. This location gives maximum excitation of the SRRs since the -field reaches maximum values in the center of the waveguide. With this configuration, the periodic structure is excited by the dominant mode of the waveguide. For this EM-mode field pattern, the -field is parallel to the axis of the resonators producing a magnetic electric excitation of the particle. Furthermore, the SRRs are located in the center of the cavity formed by two consecutive windows so that excitation of the resonator is not reduced by the evanescent effect of the inductive window. BC SRRs are printed on a low-permittivity substrate ( , thickness mm) and their relevant dimensions are external radius mm and strip width mm. This structure has a periodicity of mm. The inductive windows have a thickness of 1 mm, and the aperture over the transversal plane is 6.56-mm wide. B. Fabrication Procedure The structure in Fig. 1 has been built by means of two parallel processes: one for the waveguide body with the inductive windows and another for the dielectric plate holding the SRRs. The waveguide aluminum body has been built by using several independent elements, each consisting of a piece of waveguide of the same length as the periodicity (4 mm). In each element, an inductive window is machined according to the design dimensions with a 1-mm thickness. Each individual piece represents two halves of consecutive cavities on each side of a central inductive window (Fig. 2). In order to ensure the correct positioning of the dielectric plate, where the SRRs are engraved, in the center of the waveguide structure, a pair of slits has been etched through every waveguide element so it can serve as a track to properly “guide” and vertically hold the laminate (see Fig. 2). An EM in-house analysis code (see [14]) demonstrates that the perturbation of these slits in the waveguide can be neglected, and it only shifts the cutoff frequency of the slightly altered WR-90 waveguide

Fig. 3. Set of four connected inductive window elements forming a triplecavity structure, filled with three SRRs (left) and a hollow waveguide element (right).

by 0.04%. To the authors’ knowledge, this segmentation and alignment procedures have not been reported in previous waveguide-based metamaterial studies. The dielectric plate has been fabricated with a conventional mechanical milling machine (LPKF Protomat 93 s). The selected material is Neltec NY9220 from Nelco, Melville, NY, with the corresponding values presented in Section II-A and a loss tangent . An example of the manufactured prototypes is shown in Fig. 3. A critical step when using this type of equipment is the correct milling depth calibration. The plates employed have a 35- m copper thickness on each side, but this RF substrate material can be considered quite soft compared to others. The geometrical dimensions of the resonators are selected in order to have a resonance frequency of around 10 GHz. III. EXPERIMENTAL RESULTS The fabricated prototypes have been characterized by means of an HP8517C Network Analyzer in the -band (8–12 GHz). A thru-reflect-line (TRL) standard calibration has been performed at the output planes of the coaxial-to-waveguide transition so that the measured parameters correspond only to the waveguide device-under-test.

CARBONELL et al.: DESIGN AND EXPERIMENTAL VERIFICATION OF BW PROPAGATION IN PERIODIC WAVEGUIDE STRUCTURES

Fig. 6. Measured unwrapped phase of the transmission coefficient structures with different lengths.

Fig. 4. Measurement test fixture and zoomed view of a prototype with a fourcell structure connected through coaxial-to-WR-90 waveguide transitions.

1529

S

for

being caused by a negative value of the group delay of the lines (see also [15]). The negative group velocity is associated in this case, and as opposed to the results from [12], to the fact of having losses in the measured results. Lossless simulations do not show this region where a negative group delay is formed. The backward band then extends from 9.8 to 10.3 GHz. Finally, it is also possible to observe that in the low part of the measured band (8–9.6 GHz), and as the transmitted level becomes weaker, the phase of is “noisier” with high oscillations somehow meaningless for the lower transmission levels (as compared to Fig. 5). IV. ANALYSIS AND DISCUSSION A. DNG Behavior of the Effective Medium

Fig. 5. Measured magnitude of the transmission coefficient S with different lengths.

for structures

A picture of the test fixture for a four SRR device, with five waveguide elements, is shown in Fig. 4. The total length of this device is 20 mm, virtually at the resonance frequency. As explained in Section II, the fact of using a variable number of elements easily permits characterizing structures with different lengths and number of resonators, which will be used to prove the DNG behavior of the prototype. In Fig. 5, the magnitude of the transmission coefficient shows a measured passband in the range of 9.8–10.2 GHz. The passband is wider for the longer structures, but shows a certain “ripple.” Also, out-of-band rejection levels are variable depending on the number of cells of the structure. Fig. 6 shows the unwrapped phase of the transmission coefficient (in radians) for different length structures. As suggested in [15], it is possible to observe that, within the passband of the structures, the phase of the transmission coefficient is smaller for the shorter lines, which is a clue for having an equivalent negative refractive index. In the measured frequency range from 9.6 to 9.8 GHz, i.e., just below the DNG band, the unwrapped phase of increases with frequency; this effect

The DNG behavior of this type of structure is due to the combination of a negative permittivity synthesized with the inductive windows and a negative permeability synthesized with the SRRs in the waveguide, as was first shown theoretically in [12]. Even though a very low-permittivity substrate has been employed for these prototypes, the electrical length of one unit cell of the periodic structure is still small and is approximately equal to ( mm, mm at 10 GHz for the mode of the WR-90). This ratio can be sufficient to consider the structure as an effective medium and, hence, be able to characterize it by means of effective EM parameters like permittivity and permeability. To this aim, negative effective permittivity, which is synthesized by the inductive windows in the waveguide, can be assessed through the measurement of the empty cavity structure. As shown in Fig. 7, as the number of windows increases in the empty cavity structure, the evanescent effect is more pronounced. This type of periodic structure/medium presents a “plasma-type” frequency of around 22 GHz, which approximately corresponds to the matching of a half-wavelength to the aperture of the inductive window. This evanescent effect can be interpreted as deriving from a negative effective permittivity associated to the periodic structure. This effective permittivity can be extracted from the measured -parameters, employing a transmission-line equivalent model for the periodic structure [16].

1530

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 7. Measured (thick line, 8–12 GHz) and computed (thin lines) results for the transmission coefficient S for different numbers of inductive windows in the WR-90 waveguide.

Fig. 8. Real part of relative permittivity extracted from the S -parameter measured results (thick line, 8–12 GHz) and computed results (thin line).

It is important to point out that the validity of this type of model is limited to the cases where the unit cell of the periodic structure is small compared to the wavelength. A comparison between the extracted relative effective permittivity from the measured and computed -parameters is provided in Fig. 8 for a single cell structure, showing a good agreement between both sets of data. It clearly appears that the extracted values of are negative in the whole measured frequency range. Only at a frequency of around 22 GHz does the structure become transparent to incident waves and can, thus, be characterized as a positive medium. Following the same line of argument, the periodic structure of Fig. 1 without the inductive windows has been characterized. The presence only of the SRRs creates an effective medium that can be characterized by a negative , and this situation precludes propagation of the dominant mode of the waveguide slightly above the resonance frequency of the SRRs. This type of bandstop behavior can be observed in Fig. 9, where a comparison between measured and full-wave results is shown. The agreement is overall good for a one-section device with the frequency shift probably due to tolerances in the milling process. Out of this behavior, one can foresee a potential application of this structure as a notch bandstop-type filter with rejection levels and bandwidth (BW) increasing with the number of sections of the device.

Fig. 9. Measured (thick line) and computed (thin line) results for the transmission coefficient S of a unit cell bandstop structure.

Fig. 10. Real part of the relative permeability extracted from the S -parameter measured results (thick line) and computed results (thin line).

Fig. 10 shows the relative effective permeability extracted from the measured and computed -parameters of the single SRR bandstop structure. It is important to note that, in order to perform a correct extraction procedure with the technique used in [16], a deembedding of the measured and simulated -parameters is necessary. The deembedded -parameters then correspond only to the fraction of the waveguide structure containing the SRR. Fig. 10 shows that, due to the losses, the extracted becomes negative in a very small frequency range and also reaches very low absolute values. Extraction of effective parameters and is only performed for the unit cell case, as was done in [16], and is only a partial means to confirm the DNG concept already revealed by the previous transmission phase analysis. A potential application of this type of structure could be as a phase delay compensation line in antenna feeding networks through the associated negative index of refraction. B. Loss Analysis In order to fully understand the experimental results, measurements have been compared to full-wave EM simulation results, including losses, obtained with the commercial software package Ansoft HFSS.1 A comparison for the three- and four1Ansoft

HFSS, Ansoft Corporation, Pittsburgh, PA.

CARBONELL et al.: DESIGN AND EXPERIMENTAL VERIFICATION OF BW PROPAGATION IN PERIODIC WAVEGUIDE STRUCTURES

1531

Fig. 11. S -parameter magnitude comparison between full-wave simulation and measured results for three- and four-cell structures.

Fig. 13. Measured intrinsic loss levels (linear units) for different length devices.

Fig. 12. S -parameter phase comparison between full-wave simulation and measured results for three- and four-cell structures.

Fig. 14. Simulated loss contributions to the transmission coefficient S three-SRR structure compared to the measured prototype.

cell structures is shown in Figs. 11 and 12. Results for the comparison of the transmission coefficient, both in magnitude and phase, are displayed. There is a good agreement between theoretical and experimental results. Nevertheless, it is possible to observe a slight frequency shift in the transmission band. This shift, in the order of 0.2 GHz, can be explained because of the fabrication tolerances of the milling process of the dielectric laminate, which is done in-house. Actually, it is believed that because of the milling process, part of the dielectric substrate has been removed since the NY9220 is a mechanically soft dielectric substrate. A careful inspection of the samples has proven this. An EM simulation of a device with an “over-milled” dielectric substrate also tends to confirm this effect (increased resonance frequency). Moreover, the passband shows a ripple caused by the fact of having finite-length structures. In this sense, lossless numerical simulations show that the number of transmission maximums is equal to the number of resonators. This effect can be interpreted in several ways, and notably with an effective medium theory for a finite length structure. Nevertheless, in terms of equivalent circuits, and as outlined in [12], the distinct resonant peaks can be explained because of the coupling effects between consecutive sections. These couplings tend to modify the intrinsic equivalent inductance and equivalent capacitance of a single SRR resonator. Consecutive broadside coupled SRRs have basically magnetic

for a

mutual couplings, which, in turn, cause the generation of distinct transmission maxima. Transmission losses make this selective effect less apparent in the measured and simulated (if losses are included) results, as can be observed in Fig. 11. Nevertheless, in practice, losses reduce the transmission levels for all the devices with total loss dependent on the physical length of the periodical structure, as can be observed in Fig. 5. In order to confirm this argument, intrinsic losses of the structure have also been extracted from the measured -parameters. Intrinsic losses of the structure are defined here from the following equation: (1) Fig. 13 shows the measured intrinsic losses for different length structures. It is possible to observe that the loss level increases with the number of resonators of the structure. The passband in the transmission coefficient (Fig. 5) coincides with high loss-level frequency ranges in Fig. 13. This behavior makes apparent that these types of losses are intrinsically due to the resonators. The width of the frequency range where intrinsic losses are produced increases with the number of stages of the structure. Losses in the passband can be explained by several factors. In Fig. 14, the most relevant loss simulated contributions are

1532

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

plotted and compared to the measured results. In this case, the ideal lossless structure has three maxima, for a three-cell structure, where there is full transmission (9.79, 9.87, and 9.97 GHz). These maxima have a very high selectivity due to the rapid variation of the equivalent impedance of the structure in the SRR resonance region, and only at these three individual frequencies is the structure completely matched. This situation involves a certain amount of mismatch losses for other frequencies. One can then observe that, even with a low loss composite, the dielectric material dissipates some energy, almost up to 5 dB with respect to the ideal case in the transmission peaks. Moreover, the main contribution can be associated with the losses in the conductors forming the SRRs, which can be relatively important since the resonators strongly concentrate energy at the resonance frequency. Copper conductivity has been taken for the simulations equal to S/m. In the three-SRR structure, the transmission level is reduced 8 dB with respect to the dielectric-only curve. These two effects should be responsible for the increasing loss levels in the passband, as the number of cells in the structure grows. The simulated level considering these two loss contributions is quite similar to the measured transmission level in the passband frequency range. In addition to these effects, and probably not negligible, there is another contribution related to the positioning of the dielectric laminate. The procedure employed for the positioning of the SRR substrate over the transverse dimension of the waveguide is exact with the use of the slits. However, the positioning of the SRR substrate over the longitudinal dimension has a possible uncertainty because it is performed manually. If the SRR is not exactly centered within the cavity created by the successive windows, the excitation of the resonator will not be optimal (i.e., with the maximum -field parallel to the axis of the SRRs). The evanescence effects caused by the inductive windows will degrade the transmission characteristic throughout the structure, and produce coupling losses in the passband. Simulated results for these positioning inaccuracies show that they can reach up to 2 dB in the case of the SRR centered in an inductive window.

V. CONCLUSION An experimental verification of the backward-wave propagation behavior through a composite periodic waveguide structure has been carried out by extracting the relative permittivity and permeability as effective medium parameters. Measured results in terms of the phase of the transmission coefficient also prove this abnormal propagation scheme in a narrow frequency band. If compactness of the designed and fabricated devices in the longitudinal dimension is undoubtedly a clear advantage of this type of technology, allowing potential applications as referenced above, different types of losses may counterbalance such size reduction. A careful analysis of the different loss sources has been performed through the test of the contributions added by the dielectric and conductive materials, as well as those due to the couplings inside the structure. Prospective means of reducing losses in the resonators are currently being investigated.

ACKNOWLEDGMENT The authors are grateful to J. M. González Arbesú, Universidad Politécnica de Cataluña, Cataluña, Spain, for useful discussions on the prototype fabrication. REFERENCES [1] R. A. Shelby, D. R. Smith, and S. Schultz, “Experimental verification of a negative index of refraction,” Science, vol. 292, pp. 77–79, Apr. 6, 2001. [2] V. G. Veselago, “The electrodynamics of substances with simultaneously negative values of " and ,” Sov. Phys.—Usp., vol. 10, no. 4, pp. 509–514, 1968. [3] J. B. Pendry, A. J. Holden, D. J. Robbins, and W. J. Stewart, “Magnetism from conductors and enhanced nonlinear phenomena,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 11, pp. 2075–2084, Nov. 1999. [4] T. Koschny, M. Kafesaky, E. N. Economou, and C. M. Soukoulis, “Effective medium theory of lefthanded materials,” Phys. Rev. Lett., vol. 93, no. 10, pp. 107 402-1–107 402-4, Sep. 2004. [5] R. Marqués, F. Mesa, J. Martel, and F. Medina, “Comparative analysis of edge- and broadside-coupled split ring resonators for metamaterial design-theory and experiments,” IEEE Trans. Antennas Propag., vol. 51, no. 10, pp. 2572–2581, Oct. 2003. [6] A. Lai, C. Caloz, and T. Itoh, “Composite right/left-handed transmission line metamaterials,” IEEE Micro, vol. 5, no. 3, pp. 34–50, Sep. 2004. [7] G. V. Eleftheriades, A. Iyer, and P. Kremer, “Planar negative refractive index media using periodically loaded transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2702–2712, Dec. 2002. [8] IEEE Trans. Microw. Theory Tech. (Special Issue), vol. 51, no. 4, Apr. 2005. [9] R. Marqués, J. Martel, F. Mesa, and F. Medina, “Left-handed-media simulation and transmission of EM waves in sub-wavelength split-ring resonator loaded metallic waveguides,” Phys. Rev. Lett., vol. 89, no. 18, Oct. 28, 2002. [10] T. Decoopman, O. Vanbésien, and D. Lippens, “Demonstration of a backward wave in a single split ring resonator and wire loaded finline,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 11, pp. 507–509, Nov. 2004. [11] I. A. Eshrah, A. A. Kishk, A. B. Yakolev, and A. W. Glisson, “Evanescent rectangular waveguide with corrugated walls: A composite right/ left-handed metaguide,” in IEEE MTT-S Int. Microw. Symp. Dig., Long Beach, CA, Jun. 2005, pp. 1745–1748. [12] J. Carbonell, L. J. Roglá, and V. E. Boria, “Left handed behavior of SRR filled waveguides,” in IEEE MTT-S Int. Microw. Symp. Dig., Long Beach, CA, Jun. 2005, pp. 1749–1752. [13] R. Marqués, F. Medina, and R. Rafii-El-Idrissi, “Role of bianisotropy in negative permeability and left-handed metamaterials,” Phys. Rev. B, Condens. Matter, vol. 65, pp. 144 440(1)–14 440(6), 2002. [14] S. Cogollos, S. Marini, V. E. Boria, P. Soto, A. Vidal, H. Esteban, J. V. Morro, and B. Gimeno, “Efficient modal analysis of arbitrarily shaped waveguides composed of linear, circular, and elliptical arcs using the BI-RME method,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 12, pp. 2378–2390, Dec. 2003. [15] O. F. Siddiqui, M. Mojahedi, and G. V. Eleftheriades, “Periodically loaded transmission line with effective negative refractive index and negative group velocity,” IEEE Trans. Antennas Propag., vol. 51, no. 10, pp. 2619–2625, Oct. 2003. [16] C. Y. Cheng and R. W. Ziokolwsky, “Tailoring double-negative metamaterial responses to achieve anomalous propagation effects along microstrip transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 12, pp. 2306–2314, Dec. 2003. Jorge Carbonell (M’02) was born in Valencia, Spain, in 1971. He received the Telecoms Engineer degree from the Universidad Politécnica de Valencia, Valencia, Spain, in 1995, and the Ph.D. degree in electrical engineering (European Label with Honors) from the University of Lille, Lille, France, in 1998. From 1996 to 1998, he was with the Institut d’Electronique et de Microélectronique du Nord. From 1999 to 2003, he worked within the wireless industry with Ericsson, Siemens, Retevisión Móvil, and Telefónica Móviles, during which time he was involved with second- and third-generation wireless communication systems,

CARBONELL et al.: DESIGN AND EXPERIMENTAL VERIFICATION OF BW PROPAGATION IN PERIODIC WAVEGUIDE STRUCTURES

and mainly focused on radio engineering. Since January 2004, he holds a Ramón y Cajal tenure-track research position with the Universidad Politécnica de Valencia. His research activity have included EM analysis of active and passive devices for space applications, in particular photonic-bandgap materials. His current research activity concerns the analysis and design of passive periodic structures and metamaterials. Dr. Carbonell was the recipient of a Human Capital and Mobility Fellowship.

Luis J. Roglá (S’02) was born in Valencia, Spain, in 1979. He received the M.Sc. degree in telecommunication engineering from the Universidad Politécnica de Valencia, Valencia, Spain, in 2004, and is currently working toward the Ph.D. degree at the Universidad Politécnica de Valencia. During 2004, he was with the Passive Microwaves Department, Alcatel Espacio, where he was involved with the research and development of new dielectric resonator filters. He then joined the Microwave Applications Group, Universidad Politécnica de Valencia. His current research interests include EM metamaterials, their applications in microwave and millimeter-wave technologies, as well as the development of new design techniques for these novel devices.

Vicente E. Boria (S’91–A’99–SM’02) was born in Valencia, Spain, on May 18, 1970. He received the Ingeniero de Telecomunicación degree (with first-class honors) and Doctor Ingeniero de Telecomunicación degree from the Universidad Politécnica de Valencia, Valencia, Spain, in 1993 and 1997, respectively. In 1993, he joined the Departamento de Comunicaciones, Universidad Politécnica de Valencia, where he has been an Assistant Lecturer (1993–1995), Lecturer (1996–1997), Associate Professor (1998–2002), and Full Professor (since 2003). In 1995 and 1996, he held a Spanish Trainee position with the European Space Research and Technology Centre (ESTEC)–European Space Agency (ESA), Noordwijk, The Netherlands, where he was involved in the area of EM analysis and design of passive waveguide devices. He has authored or coauthored five chapters in technical textbooks, 30 papers in refereed inter-

1533

national technical journals, and over 100 papers in international conference proceedings. His current research interests include numerical methods for the analysis of waveguide and scattering structures, automated design of waveguide components, radiating systems, measurement techniques, and power effects in passive waveguide systems. Since 2003, he has served on the Editorial Boards of the Proceedings of the IEE (Microwaves, Antennas, and Propagation) and Radio Science. Dr. Boria is a member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) and the IEEE Antennas and Propagation Society (IEEE AP-S) since 1992. Since 2003, he has served on the Editorial Board of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He is also a member of the Technical Committees of the IEEE-MTT International Microwave Symposium and of the European Microwave Conference. He was the recipient of the 1993 Spanish Ministerio de Educación y Ciencia and the 1993 First National Prize of Telecommunication Engineering Studies for his outstanding student record. He was also the recipient of the 2001 Social Council of Universidad Politécnica de Valencia First Research Prize for his outstanding activity during 1995–2000.

Didier Lippens received the Master of Science degree in electronics engineering, Ph.D. degree, and Doctor ès Sciences degree from the Université des Sciences et Technologies de Lille, Lille, France, in 1975, 1978, and 1984, respectively. From 1980 to 1981, he was a Research Engineer with Thomson CSF. He led the Quantum and Terahertz Devices Team until 2001. He currently heads the Quantum Opto and Micro Electronic Device Group (DOME), Institut d’Electronique de Microélectronique et de Nanotechnologie (IEMN), Université des Sciences et Technologies de Lille. He is currently a Professor of electrical engineering with the Université des Sciences et Technologies de Lille, where his main interests are nanotechnology and nanosciences. He has been involved with molecular dynamics in liquid crystals and with semiconductors physics, and is currently more involved with nonlinear electronics and opto-electronics along with electromagnetism in artificial media. He has undertaken pioneering research on resonant tunnelling devices and, more generally, on heterostructure semiconductor devices. His current interests are terahertz sources, most notably quantum cascade lasers (QCLs), photomixers and heterostructure barrier varactors, photonic bandgaps, and metamaterials-based passive and active devices.

1534

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Two-Resonator Method for Measurement of Dielectric Anisotropy in Multilayer Samples Plamen I. Dankov, Member, IEEE Abstract—A two-resonator method, based on TE011 -mode and TM010 -mode resonance cavities with a multilayer disk sample, has been developed for measurements of the longitudinal and transversal dielectric constant and dielectric loss tangent of each layer (if the other ones have known parameters) or in the whole sample averaging over the layers contribution. Dispersion equations for the considered modes in both types of cavities with three-, two-, or one-layer samples are obtained. The measurement sensitivity and errors in the dielectric constant are discussed. Analytical expressions for the computation of the dielectric loss tangent of the unknown layer in the two directions are presented for each of the considered cavities. The proposed method is applicable in simple laboratory conditions and allows an estimation of the dielectric anisotropy of multilayer materials in many practical cases. The measuring errors for one-layer artificial substrates with thicknesses of 0.25–0.5 mm are approximately 3%–6% for dielectric constants in the interval of 2.0–4.5 and 10%–15% for dielectric loss tangents in the interval of 0.002–0.010. The obtained pair of longitudinal and transversal dielectric parameters can be used in modern structure simulators for more realistic simulations of microwave components, radiating elements, antenna radomes, etc. Three practical examples for three-layer antenna radomes are given for an illustration of the dielectric anisotropy characterization of multilayer samples. Index Terms—Anisotropic media, cavity resonators, dielectric losses, multilayers, permittivity measurement, radomes.

I. INTRODUCTION T IS a known fact that the successful design of many planar passive or active devices with microwave simulators is very sensitive upon the values of relative dielectric constant and dielectric loss tangent of the materials: substrates, thin films, multilayer composites, etc. used in the simulations. The catalog data usually obtained by the IPC TM-650 2.5.5.5 stripline-resonator test method [1] include parameters and (near-to-transversal values, i.e., normal to the substrate surface), but this may be insufficient in many design cases. It is known that designers “tune” the dielectric constant about the known catalog values in order to fit simulated and measured dependencies for a designed device. The problem appears when the substrates have a noticeable dielectric anisotropy, i.e., different values of the longitudinal and transversal dielectric constant ( [2]) or of the dielectric

I

Manuscript received September 21, 2005; revised December 12, 2005. This work was supported in part by the National Innovation Fund in Bulgaria and by Elco-Star Com Ltd. under Contract IF-00-160/28.10.2005. The author is with the Faculty of Physics, Department of Radio-Physics and Electronics, University of Sofia, 1164-Sofia, Bulgaria (e-mail: dankov@phys. uni-sofia.bg). Digital Object Identifier 10.1109/TMTT.2006.871247

Fig. 1. Two pairs of longitudinal (in the x0y -plane) and transversal (along the 0z -axis) dielectric parameters in multilayer anisotropic substrate.

loss tangent —see denotations in Fig. 1). We established in [3] and [4] using the perturbation technique that most of the commercial reinforced laminates (with layers of woven glass, ceramic powders, organic filling, etc.) have a noticeable dielectric anisotropy (e.g., up to 15%–25% for dielectric constant anisotropy and up to 50%–80% for dielectric loss tangent anisotropy . This problem could be partially overcome if an equivalent dielectric constant is introduced as in [5] (and similarly for , as in [4]), which transforms the real anisotropic microstrip structure into an equivalent isotropic one. The usefulness of the equivalent parameters ( , ) depends on the device structure and is restricted to transmission lines with non-TEM propagation modes (e.g., coplanar waveguides), multiimpedance structures, and RF components, which support high-order modes like T-junctions, steps, stubs, gaps, etc. [4]. The multilayer materials are used in many practical cases, which are, in principle, anisotropic samples: bonded (with pre-preg films) substrates in multilayer antenna panels, thin absorbing nanoparticle films on supporting tapes [6], composite antenna radomes [7], etc. In this paper, we developed the two-resonator method proposed in [8] for the characterization of the dielectric anisotropy and in planar multilayer samples. Two different cylindrical resonators are used for this purpose, which support two suitable azimuthally symmetrical modes—the mode for the determination of , and the mode—for , . The idea to use - and -mode resonators for complex dielectric constant measurements is not new. Several cavity-resonator methods for a low-loss dielectric property characterization have been presented in the literature (e.g., see a useful comparison in [9]). Most of them are accepted in metrology institutions like the National Institute of Standards and Technology (NIST), Boulder, CO [10] and the National Physics

0018-9480/$20.00 © 2006 IEEE

DANKOV: TWO-RESONATOR METHOD FOR MEASUREMENT OF DIELECTRIC ANISOTROPY IN MULTILAYER SAMPLES

Laboratory (NPL), Middlesex, U.K. [11] for reference methods, but for isotropic materials as a rule. However, there is no universal solution for the dielectric anisotropy measurements. Usually, the parameters and can be measured using TE-mode resonance cavities (classical Courtney’s method [12], Kent’s evanescent-mode tester [13], NIST’s mode-filtered resonator [14], split-cylinder resonator [15], etc.). The parameters and can be estimated using TM-mode resonance cavities [16], low-frequency reentrant cavities [17], etc. In fact, only a few publications have been directly dedicated to dielectric-anisotropy measurements. Whispering-gallery modes in single dielectric resonators could be used for anisotropy measurement of ultra and extremely low-loss materials [18]–[20]. A split-cavity method for the dielectric-constant anisotropy determination through a long cylindrical cavity with and nm modes is described in [21] and data for some reinforced materials are presented. The aim of our investigations is to present a workable and relatively universal method based on simple laboratory equipment for measurements of the dielectric anisotropy of one dielectric sample using two cavity resonators. Thus, variations of properties from sample to sample could be avoided. Each of the considered measuring resonators is designed to ensure the best excitation conditions for necessary azimuthally symmetrical TE or TM modes. Resonance cavities with one-, two-, and three-layer samples are considered covering most of the practical cases. The proposed method allows easily estimation of the dielectric parameters of anisotropic multilayer materials with two options: separately in every layer or in the whole sample averaging over the layers (“average” sample). The measured pairs of values ( and , and ) can be used in microwave simulators for more accurate simulations during the design process. II. TWO RESONANCE CYLINDRICAL CAVITIES WITH MULTILAYER SAMPLES The theory of the proposed method concerning the calculation of the dielectric constant and dielectric loss tangent of multilayer samples is presented below. A. Dispersion Equations for the Determination of the Dielectric Constant in Multilayer Samples A five-layer resonance cavity is represented in Fig. 2, where the parameters of the layers are denoted. One dielectric film/layer (1) is placed between two dielectric supporting layers (2, 3), while the other two parts (4, 5) are foam (or air) filled. Two different resonance cavities are proposed for the determination of the dielectric constants in two directions—along the resonator axis and perpendicularly to it. When a sandwich-type disk sample (layers 1–3) is placed in the resonator half-height , the excited mode in the resonance cavity (R1) can be used for the determination of the longitudinal dielectric constant of the sample. This is because the electric field is orientated —cases along its surfaces and it has its maximum at in Fig. 3(a). It is better in these cases to choose an equal resonator diameter and height in order to separate the mode from the other low-

1535

Fig. 2. Layers in the resonance cavity. 1: Unknown dielectric layer. 2,3: Two supporting dielectric layers. 4, 5: Foam (or air)-filled parts (not to scale).

Fig. 3. Two types of measuring cavities. (a) TE -mode cavity (R1). (b) TM -mode cavity (R2) (h = 0). Both with three-layer samples. The arrows denote the predominant direction of the electric field of the corresponding mode at the resonance.

and high-order modes (see [3]). The other type of resonator (R2) is designed to support the mode, of which the electric field is orientated normally to the sample surfaces [for determination of —cases in Fig. 3(b)]. The multilayer sample is placed at the resonator floor . Resonator R2 should have a small enough height or even to remove higher order modes (such as TE modes) from the -mode resonance curve. The exact dispersion equations of TE and TM modes in the resonance cavities under consideration with three-layer lossless samples can be described in the general case as follows. 1) Dispersion equations for modes ( , , ) (see Fig. 2) [see Fig. 3(a)]

(1)

1536

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 4. Resonance cavity filled with lossy materials: (a) with three-layer sample and (b) without sample; empty (or foam-filled) cavity.

2) Dispersion equations for , Fig. 3(b)]

modes ( ) (see Fig. 2) [see

, ;

(2) These dispersion equations were obtained using known analytical procedures for satisfying the boundary conditions at the perfect cavity walls and between the lossless layers [22]. In the case of a two- and one-layer sample, they could be obtained from (1) and (2) when or . The propagation constants ( ) in (1) and (2) are expressed for the different layers as , where , ,( —resonance frequency of a given mode excited in the cavity, —free-space wavelength). In the considered resonators —for , , and modes and —for , , and modes ( are the zeroes of a first-kind Bessel function and its derivative according to the argument). The dielectric constants in all layers ( ) represent either the real part of longitudinal values (for modes) or the real part of transversal values (for modes). It is important to note that the type of tangent functions in the expressions (1.1–3) and (2.1–3) depend on values. If , these functions are the ordinary oscillating tangents , but if (i.e., ), they convert into the hyperbolical tangents . The parameters are the corresponding dissipation constants in different media. However, the dispersion equations have real variables in all cases. A FORTRAN-code software MLAYER.EXE has been developed to solve the corresponding dispersion equations. Its first option is the determination of a full-mode spectrum in the

cavity with multilayer samples (simplifying the mode identification during the measurements). In the second option, it allows the determination of unknown constants and of each layer if the resonance frequency of a given mode is measured and the other layers have known dielectric constants (the last denoted below as an extraction procedure). Finally, the software accomplishes an error analysis if all the measuring errors of the geometrical and resonance parameters have been determined preliminarily. B. Determination of the Dielectric Loss Tangent in Multilayer Samples The determination of the dielectric loss tangent (values and ) in one unknown layer of a multilayer sample is a more complicated problem as compared to the determination of the corresponding real part of the dielectric constant and . Extra parameters have to be measured (in addition to ): —unloaded quality ( ) factor of the chosen mode in the cavity with the sample and surface resistance of the cavity walls. If the influence of the dissipation over the resonance frequency could be neglected, independent measurements of the dielectric constant and the dielectric loss tangent are possible for low- and medium-loss materials (like reinforced artificial substrates, sheets, thin films, composite antenna radomes, etc.). This approach is usually based on the representation of the cavity factor in terms of integrals of the electric and magnetic fields’ squares [23]. Let us consider a three-layer sample in which the middle layer with parameters and is unknown (see Fig. 4). This pair of parameters represents either longitudinal values ( , ) in resonator R1 ( modes) or transversal values ( , ) in resonator R2 ( modes). It is assumed that the dielectric constant of this layer is already determined by solving one-layer equations (1) (for ) or (2) (for ). For the determination of the only unknown parameter , we can express the unloaded factor in the resonators with a sample such as

(3)

where are the values of stored energy in all the considered parts of the resonance cavity, are the dissipated powers

DANKOV: TWO-RESONATOR METHOD FOR MEASUREMENT OF DIELECTRIC ANISOTROPY IN MULTILAYER SAMPLES

TABLE I LIMITS FOR INTEGRATION IN (6)–(8)

1537

(10.2) (10.3)

within these parts due to the dielectric losses, are the powers dissipated in the cavity sidewalls in corresponding regions, are the powers dissipated in both cavity flanges, and . All these quantities are time averaged. , , and in resonator R2. The unknown parameter occurs only in the expression for the dissipated power . Therefore, we get

. Now we have to substitute the field where dependencies (10.1)–(10.3) into (6)–(9) taking into account that in all regions, while the corresponding tangential magnetic fields are at the side resonator at the top and bottom flanges. We walls and obtain for the energy-stored and dissipated-power terms in (5), (11)–(16) with superscript given below. The known properties of the trigonometrical and first-kind Bessel functions and the equality for modes are used for the following analytical calculations:

(11.1) (11.2)

(4) where

(12.1) (12.2) (5) We can separately express the energy and power terms in (5) for resonators R1 and R2 similar to the calculation procedure described in [23]. The stored-energy and dissipated-power terms are defined as

with terms after the integration expressed as

(13.1,2) (13.3)

(6)

(13.4)

(7)

(13.5)

(8)

(9.1)

The following denotations are used above:

(14.1) (14.2)

(9.2) (14.3) are the squares of the total electric field in separate where resonator volumes (superscript denotes the different layers in Fig. 4, values and for each integral in (6)–(8) are given in are the squares of the tangential magnetic-field Table I), components to the resonator wall surfaces, and is the known surface impedance on these walls. 1) Case: Resonator , Modes: The azimuthally symmetrical modes have three field components only— , , and , of which the spatial dependencies in the corresponding areas ( ) are given as follows (the time factor is not included): (10.1)

(15.1) (15.2) (15.3) (16.1) (16.2) and are connected with the The unknown field constants following relations due to the boundary conditions—continuity

1538

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

of the tangential electric and magnetic field components at the surfaces between the cavity parts:

, which could be determined by the resonance parameters of empty resonator R1 (see Section III for details). 2) Case: Resonator , modes : These modes also have three field components , , and ; their spatial dependencies are presented by (18.1)–(18.3); ) (18.1) (18.2) (18.3) . The unknown constants in this case where can be expressed with the following relations:

(17.1) where

(19.1)

(17.2) where

and

(19.2) and

(19.3) (17.3) Finally, we can calculate the necessary value of the longitudinal dielectric loss tangent of the middle layer substituting the energy and power terms from (11)–(14) into (4) and (5). The only unknown parameter is the surface resistance

In this case, we can use another expression for the energy and power terms (with superscript ) in (5). The square of , while the total electric field is now everywhere. The the tangential magnetic field is equality for the modes is valid. After

DANKOV: TWO-RESONATOR METHOD FOR MEASUREMENT OF DIELECTRIC ANISOTROPY IN MULTILAYER SAMPLES

new substitutions of the field dependencies from (18.1)–(18.3) into (6)–(9) , we get

1539

in each resonator (we use one calculate the actual value of average value for all resonator walls)

(20.1) (20.2) (21.1) (21.2)

(25) for resonator R1 and

with corresponding terms after the integration expressed as (26) (22.1) (22.2) (22.3)

(at the frefor resonator R2. Using the computed values for quency ), we can get a pair of equivalent values of the wall conductivity for each measurement resonator from

(22.4) (27) where

(23.1)

should be used in (24) in Both equivalent values of order to recalculate the surface resistances at the resonance frequency of the cavities with the sample. Then (14.2), (14.3), (23.2), and (23.3) with the actual values can be used for the calculation of the dielectric loss tangent in each direction.

(23.2) III. MEASUREMENT SENSITIVITY AND ERRORS (23.3) The value of the transversal dielectric loss tangent of the middle layer could be computed from (4) and (5) by the substitution of the energy and power terms from (20)–(23). In this case, the unknown surface resistance is determined from measurement results of the empty resonator R2. 3) Determination of the Surface Resistance : The preliminary determination of the surface resistance values in both resonance cavities is absolutely necessary for the measurement accuracy improvement. There are two possibilities to obtain these values. The simplest way is to use the known formula (see [24, pp. 25-26])

(24) where is the catalog value of the wall conductivity and is the relative wall permeability. A more accurate way is the determination of the actual value of the surface resistance, as in [25] and [26], for the measurement of the dielectric rod samples or in [27] for the characterization of HTS films. In our case, we use the measurement results, namely, the resonance frequency and the unloaded factor of the empty (or foam filled) resonators in order to

A. Measuring Resonance Cavities There are two possibilities to realize the proposed two-resonator method, which are: 1) both the measuring resonators have equal diameters and the measurement of and corresponds to different resonance frequencies denoted as (this case is more suitable for materials with a relatively weak frequency dependence on the dielectric constant and loss tangent) and 2) the resonators have diameters , for which the values of and are determined at relatively close frequencies . One nonmetallized sample is needed for the first case (the variations in the parameters from sample to sample could be avoided), while two separate samples with differing diameters have to be prepared for the second case. In this paper, we present examples for the both cases. The resonator dimensions are designed to be mm, mm (for R1), and mm, mm (for ), or mm, mm (for R2) [see Fig. 5(a) and (b)]. The corresponding measured resonance frequencies and unloaded factors of the empty resonators are GHz, in R1 and GHz, in (or GHz, in R2). All these parameters are obtained with “daily” variations of 0.01% in the resonance frequency and 1.5% in the factor (mainly due

1540

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

positioning in R1. Two thin screw holders are used to laterally press the sample, but a pair of low-loss foamed supports is also applicable. Resonator R2 [see Fig. 5(b)] has one movable flange with an improved dc contact and two SMA connectors at 180 in the resonator middle with exciting semiloops axis perpendicularly to the resonator axis. Only a resonator height reduction is used here to ensure single-mode regime measurements of the transversal dielectric parameters using the lowest order mode. Measurements with and modes are also possible, but the near presence of parasitic high-order modes makes the mode identification more difficult. B. Measurement Errors

Fig. 5. Practical realization of both measurement cavities. (a) R1. (b) R2. Denotations: (1) multilayer sample, (2a) gold-plated resonator body, (2b) flange 0:35 mm, with improved dc contact, (2c) contactless flanges with gap g (3) coaxial section 2.2/0.8 mm, (4) Teflon bush, (5) exciting semiloops, (6) SMA connectors, (7) mounting screws, (8) screw holder for the sample, (9) 1-mmthick rubber absorber (Eccosorb BSR-2), (10) hole for pulling the sample trough the cavity.



to room-temperature changes, cavity cleanness, and influence of tuning elements). The cross-sectional view of measuring resonators R1 and R2 shows that they have special features concerning the working conditions; nevertheless, they are both cylindrical resonance cavities. Resonator R1 [see Fig. 5(a)] has two movable “contactless” flanges with absorbing rings in order to suppress the unwanted TM modes here (compression better than 60 dB). Two subminiature A (SMA) connectors at an azimuthal angle of 90 slightly below the middle of the resonator with exciting semiloops with axis parallel to the resonator axis are arranged on the cavity sidewalls. Thus, the excited symmetrical modes are suitable at these conditions for measurement purposes of longitudinal dielectric parameters, while the modes are not. A limitation is observed with excitations of parasitic modes, which are not sensitive to the dielectric sample placed in the resonator half-height. For example, coincidence between the resonance curves of the and modes restricts the dielectric parameters’ measurement at this frequency. Another problem is the sample

Here we present a short error analysis of the measuring errors based on software calculations with the program MLAYER (see Section II-A) without writing of direct formulas. The analysis is simple: we vary the values of one parameter (e.g., sample height) keeping the values of all other parameters and calculate the particular relative variation of the permittivity and loss tangent values. Finally, we estimate the needed relative error as a sum of these particular relative variations. It is a known fact that the contributions of the separate parameter variations are very different. The main sources of errors in the proposed two-resonator method are due to uncertainties for the determination of the parameters , , , and and the sample positioning in the resonance cavities. Measurements of the resonance frequencies are usually precise; therefore, the measuring errors mainly depend on the geometrical parameters. However, a detectable difference is usually observed between the measured and calculated resonance frequencies in both empty resonators due to a variety of reasons: coupling effects of connectors, influence of supporting screws, resonator elliptical eccentricity, contactless flange influence in R1, holes influence in R2, etc. A suitable solution of this problem is to introduce an equivalent cavity diameter for each of the considered modes in order to ensure an exact equality in both empty resonators for each mode. We obtained the following equivalent diameters: mm (0.29% increase) and mm (0.15% increase in ) or mm (0.30% increase in ). Thus, the use of instead of allows a minimization of uncertainties due to the resonator dimensions and . Therefore, the errors for the measurement of and values mainly depend on relative errors of the sample height determination (Fig. 6) and weakly on the sample positioning in the cavity middle. A similar problem appears for the unloaded factors of the empty resonators; namely, the measured value is smaller than the theoretical one. For example, the theoretical factor for the mode in R1 is for gold conductivity S/m and theoretical surface resistance m (at GHz). The measured value is , which corresponds to a measured surface resistance m , obtained from (30) and equivalent conductivity S/m calculated from (32). The corresponding theoretical values for the mode

DANKOV: TWO-RESONATOR METHOD FOR MEASUREMENT OF DIELECTRIC ANISOTROPY IN MULTILAYER SAMPLES

1541

TABLE II MEASURED DIELECTRIC PARAMETERS OF PURE ISOTROPIC SAMPLES WITH THICKNESS OF 1.00 mm (TRANSPARENT POLYCARBONAT)

Fig. 6. Measurement relative errors in resonators R1 and R2.

in

are and m (at GHz), while the measured values are and m , obtained by (31) and an equivalent conductivity S/m (for R2: , m , S/m). These results unambiguously show that the determination of the equivalent wall conductivity for each working mode is absolutely necessary for a decrease of the relative errors of measurements of the loss tangent. Taking into account the above-discussed issues, the measuring errors in the presented method can be estimated as follows: 1.0%–1.5% for and 5% for for a reference sample like RO3203 with a thickness of 0.254 mm measured with errors (that is the main source of measurement errors for the permittivity, Fig. 6). Besides, even the positioning uncertainty reaches a value of 10% (i.e., even mm) for the sample positioning in R1, the relative measurement error of does not exceed the value of 2.5%. The measuring errors for the determination of the dielectric loss tangent are estimated as 5%–7% for , but up to 25% for , when the measuring error for the unloaded factor is 5% (that is the main additional source for the loss-tangent errors; the other one is the dielectric constant error).

C. Measurement Sensitivity A real problem of the proposed method for the determination of the dielectric anisotropy is the measurement sensitivity of the mode in resonator R2 (for ), which is noticeably smaller compared to the sensitivity of the mode in R1 (for ). We illustrate this effect in [8], where the curves of the resonance frequency shift versus the dielectric constant have been presented for one-layer samples with height from 0.125 to 1.5 mm. The shift in R1 for a sample with mm is a decrease of 480 MHz for the doubling of (from 2 to 4), while the corresponding shift in R2 is only a decrease of 42.9 MHz for the doubling of . Also, the factor of the mode in R2 is smaller compared to the factor of the mode in R1. This leads to an unequal accuracy for the determination of the loss tangent anisotropy .

Thus, the measured anisotropy for the dielectric constant – and for the dielectric loss tangent – could be associated with a practical isotropy of the sample ( ; ) because these differences fall into the measurement error margins. A natural test for the proposed two-resonator method is the determination of the dielectric parameters of a clearly expressed isotropic material. We have chosen for this test 1-mm-thick samples from LEXAN D-sheet ( , ), which is suitable for antenna radomes. The measured results in resonators R1, R2 mm and R2 mm are presented in Table II (averaged from five samples). For each resonator, we determine its equivalent diameter and its equivalent wall conductivity . When we use these equivalent parameters, the measured “anisotropy” and for the isotropic samples is less than 1% for the dielectric constant and less than 5% for the dielectric constant, i.e., the practical isotropy of this material is obvious. Very important is the fact that, if the physical diameters or are used in the calculation instead the equivalent ones, higher values for the dielectric constant will be obtained. For example, the increase is 2.3% for and 13.9% for , while the measuring errors are only 0.6% for and 1.1% for in this case. Another important conclusion is that the utilization of the equivalent conductivity has a decisive influence to the measurement accuracy for the determination of the dielectric loss tangent values, especially in R2 resonator. Actually, if we use S/m (instead of ), we will obtain higher values for the dielectric loss tangent, e.g., up to 76% for , while the measuring uncertainties are smaller: 2.3% for ; 3.6% for . This useful “isotropic-sample” test allows us to conclude that the proposed two-resonator method has the needed ability to detect as the practical isotropy, as well as the possible anisotropy of a wide class of microwave materials. IV. MEASUREMENT OF DIELECTRIC ANISOTROPY OF MULTILAYER SAMPLES We have presented in [8] several examples for estimation of the dielectric constant anisotropy in one-, two-, and three-layer samples by the proposed two-resonator method. In the case of

1542

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

TABLE III EXAMPLE 1: THREE-LAYER ANTENNA RADOME WITH RESIN PENETRATION INTO THE MIDDLE WADDING LAYER

Fig. 7. Illustrative photographs of the measured antenna-radome layers. (a) Example 1. (b) Example 2. (c) Example 3.

one-layer materials (e.g., reinforced substrates with several penetrated layers), the obtained data allow us to have another look at the properties of these popular artificial microwave materials—their dielectric anisotropy, information for which is not included into the producer catalogs. In this paper, we consider three more complicated examples for determination of the layers parameters in three-layer samples used as antenna radomes—see Fig. 7. The analysis of anisotropic radomes shows that the right multilayer radome model strongly depend on the knowledge of the actual dielectric parameters [7]. Our first example illustrates how the two-resonator method allows us to estimate the change of the parameters of the middle layer after the manufacturing. We consider the influence of the resin penetration into a dry wadding layer in three-layer antenna radome—Fig. 7(a). The extraction procedure is simple enough. 1) Determination of and of the whole three-layer sample considered as an “average” one-layer sample. 2) Determination of values and of the pure top and bottom skin layer (usually available before the radome manufacture). 3) Extraction of and of the middle layer. This procedure is illustrated with the data presented in Table III. An increase of dielectric constants from 1.069 (1.058) up to 1.66 (1.70) (or 55%–60%) is observed in the middle layer, when the resin penetration is taken into account. The increase for the dielectric loss tangents is from 0.0014 (0.0012) up to 0.0086 (0.012) (or 6–10 times). The next sample considers a contrariwise situation—the resin glue does not penetrate into the middle layer. We measure a commercially available radome [28] with a kevlar-paper honeycomb middle layer (see Table IV) . If both of the epoxy skin layers have been preliminary measured, we can extract the honeycomb-layer parameters using the extraction procedure described in the previous example. These parameters are estimated as worse. However, the visual inspection shows that the resin glue actually forms thin “glue-fillets” layers on the inner surfaces of the both skin layers [see Fig. 7(b)]. The last layers are not able to form independent samples and the estimation of their dielectric parameters is possible only after

TABLE IV EXAMPLE 2: THREE-LAYER HONEYCOMB RADOME ([28])—ESTIMATION OF THE GLUE-FILLETS LAYER PARAMETERS

TABLE V EXAMPLE 3: THREE-LAYER 3-D GLASS RADOME [29]

an extraction from resonance measurements of the cavity with two-layer samples—skin and glue-fillets layer. It turns out that the anisotropy of these layers is strong ( ; ), which should be taken into account in the radome design. The last example considers a type of antenna radome, which, in principle, does not have an independent middle layer after the manufactured three-dimensional (3-D) glass fabrics’ radome [29] (see Fig. 7(c) and Table V). The described for the first example extraction procedure allows us to easily obtain the dielectric parameters of this inaccessible for direct measurements middle layer. The main problem in the considered examples appears when the dielectric parameter values of the separate layers differ considerably or the thickness of the protective layers is very small.

DANKOV: TWO-RESONATOR METHOD FOR MEASUREMENT OF DIELECTRIC ANISOTROPY IN MULTILAYER SAMPLES

In these cases, the measuring errors increase up to 15% for the dielectric constant and up to 50% for loss tangent determination of the middle low-loss filling medium. V. CONCLUSIONS In this paper, we have developed a relatively simple two-resonator method for the determination of the anisotropy of the dielectric constant and the anisotropy of the dielectric loss tangent in small disk-shaped multilayer samples. The measuring errors are evaluated as small enough: 1.5% for , 5% for , 5% for , and 15% for in the case of typical substrates like RO3203 (0.254-mm thick). Relatively good accuracy is achieved mainly due to the use of the introduced equivalent parameters—equivalent resonator diameter and equivalent wall conductivity with their “daily” variations. Therefore, one can conclude that the described method has the ability to detect a possible anisotropy of one-, two-, and three-layer materials for many practical cases. The presented examples fully confirm the efficiency of the proposed method to easily test the dielectric anisotropy of multilayer samples as the antenna radomes. The extraction of the unknown dielectric parameters of each layer in multilayer samples is possible if the dielectric parameters of the other layers are known or preliminary measured. The described method is not considered as a reference method for an accurate characterization of materials in special laboratories and equipment; it is proposed for a realization under working conditions. The two-resonator method could be helpful for the RF designer’s practice for an easy collection of accurate enough dielectric parameters of a variety of materials to be used in modern simulators to realize more accurate simulations than currently possible in an isotropic approximation. ACKNOWLEDGMENT This paper is dedicated to the memory of Bulgarian scientist Prof. S. A. Ivanov (1942–2004), who was a Senior Member of the IEEE. REFERENCES [1] “IPC TM-650 2.5.5.5, Test Methods Manual: Stripline Test for Permittivity and Loss Tangent at -Band” IPC, Northbrook, IL, Mar. 1998 [Online]. Available: http://www.ipc.org/html/fsstandards.htm [2] E. Drake, R. R. Boix, M. Horno, and T. K. Sarkar, “Effect of dielectric anisotropy on the frequency behavior of microstrip circuits,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 8, pp. 1394–1403, Aug. 2000. [3] S. A. Ivanov and P. I. Dankov, “Estimation of microwave substrate materials anisotropy,” J. Elect. Eng. (Slovakia), vol. 53, no. 9s, pp. 93–95, 2002. [4] P. Dankov, S. Kamenopolsky, and V. Boyanov, “Anisotropic substrates and utilization of microwave simulators,” in Proc. 14th Microcoll, Budapest, Hungary, Sep. 2003, pp. 217–220. [5] S. A. Ivanov and V. N. Peshlov, “Ring-resonator method—Effective procedure for investigation of microstrip line,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 7, pp. 244–246, Jul. 2003. [6] P. Dankov, S. Kolev, and S. Ivanov, “Measurement of dielectric and magnetic properties of thin nano-particle absorbing films,” in Proc. 17th EM Field Mater., Warsaw, Poland, May 2004, pp. 89–93. [7] D. G. Bodnar and H. L. Bassest, “Analysis of an anosotropic dielectric radome,” IEEE Trans. Antennas Propag., vol. AP-23, no. 11, pp. 841–846, Nov. 1975.

X

1543

[8] P. I. Dankov and S. A. Ivanov, “Two-resonator method for measurement of dielectric constant anisotropy in i.e., layer thin films, substrates and antenna radomes,” in Proc. 34th Eur. Microw. Conf., Amsterdam, The Netherlands, Oct. 2004, pp. 753–756. [9] J. Baker-Jarvis, R. G. Geyer, J. H. Grosvenor, M. D. Janezic, C. A. Jones, B. Riddle, C. M. Weil, and J. Krupka, “Dielectric characterization of low-loss materials. A comparison of techniques,” IEEE Trans. Dielect. Electr. Insul., vol. 5, no. 4, pp. 571–577, Aug. 1998. [10] Radio-Freq. Technol. Div., Electron. Elect. Eng. Lab., NIST, Boulder, CO, Electromagnetic properties of materials 2005 [Online]. Available: http://www.boulder.nist.gov/div813/emagprop.htm [11] EMMA-Club, Nat. Phys. Lab., Middlesex, U.K., RF and microwave dielectric and magnetic measurements, electro-magnetic material characterization 2005 [Online]. Available: http://www.npl.co.uk/electromagnetic/rfmffnewcal/rfmwdielectrics.html [12] W. E. Courtney, “Analysis and evaluation of a method of measuring the complex permittivity and permeability of microwave insulators,” IEEE Trans. Microw. Theory Tech., vol. MTT-18, no. 8, pp. 476–485, Aug. 1970. [13] G. Kent, “An evanescent-mode tester for ceramic dielectric substrates,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 10, pp. 1451–1454, Oct. 1988. [14] E. Vanzura, R. Geyer, and M. Janezic, The NIST 60-millimeter diameter cylindrical cavity resonator: Performance for permittivity measurements NIST, Boulder, CO, Tech. Note 1354, Aug. 1993. [15] M. D. Janezic and J. Baker-Jarvis, “Full-wave analysis of a split-cylinder resonator for nondestructive permittivity measurements,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 10, pp. 2014–2020, Oct. 1999. [16] X. Zhao, C. Liu, and L. C. Shen, “Numerical analysis of a cavity for dielectric measurements,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 10, pp. 1951–1958, Oct. 1992. [17] J. Baker-Jarvis and B. F. Riddle, Dielectric measurement using reentrant cavity NIST, Boulder, CO, Tech. Note 1384, Nov. 1996. [18] J. Krupka, D. Cros, M. Aubourg, and P. Giullion, “Study of whispering gallery modes in anisotropic single-crystal dielectric resonators,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 1, pp. 56–61, Jan. 1994. [19] J. Krupka, K. Derzakowski, A. Abramowicz, M. Tobar, and R. G. Gayer, “Complex permittivity measurement of extremely low-loss dielectric materials using whispering gallery modes,” in IEEE MTT-S Int. Microw. Symp. Dig., 1997, pp. 1347–1350. [20] V. N. Egorov, V. L. Masalov, Y. A. Nefyodov, A. F. Shevchun, M. R. Trunin, V. E. Zhitomirsky, and M. McLean, “Dielectric constant, loss tangent, and surface resistance of PCB materials at -band frequencies,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 2, pp. 627–635, Feb. 2005. [21] M. Olyphant, Jr., “Measuring anisotropy in microwave substrates,” in IEEE MTT-S Int. Microw. Symp. Dig., 1979, pp. 91–93. [22] R. F. Harrington, Time–Harmonic Electromagnetic Field. New York: McGraw-Hill, 1961, ch. 5. [23] M. D. Janezic, E. F. Kuester, and J. Baker-Jarvis, “Broadband permittivity and loss tangent measurements using a split-cylinder resonator,” in Proc. IMAPS Ceramic Interconnect Technol. Conf., Denver, CO, 2003 [Online]. Available: http://www.boulder.nist.gov/div813/rfelec/ properties/Pages/publications.html [24] B. C. Wadell, Transmission-Line Design Handbook. Boston, London: Artech House, 1991, ch. 2. [25] Y. Kobayashi and M. Katoh, “Microwave measurement of dielectric properties of low-loss materials by the dielectric rod resonator method,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 7, pp. 586–592, Jul. 1985. [26] A. P. Mourachkine and A. R. F. Barel, “Microwave measurement of surface resistance by the parallel-plate dielectric resonator method,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 3, pp. 544–551, Mar. 1995. [27] Y. Kobayashi and H. Yoshikawa, “Microwave measurements of surface impedance of high- superconductors using two modes in a dielectric rod resonator,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 2524–2530, Dec. 1998. [28] TEKLAM, Commercial grade panels. [Online]. Available: http://www. teklam.com/teklam_commercial_panels.html [29] PARABEAM, 3-D glass fabrics. [Online]. Available: http://www. parabeam.nl/Product/

TM

K

Tc

1544

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Plamen I. Dankov (M’99) was born in Pleven, Bulgaria, in 1955. He received the M.S. degree and Ph.D. degree in microwave physics (planar microwave gyrotropic structures and devices) from Sofia University, Sofia, Bulgaria, in 1980 and 1988, respectively. Since 1992, he has been a Lecturer and Associate Professor with the Department of Radio-Physics and Electronics, Sofia University. He is currently Head of the Department of Radio-Physics and Electronics. His educational activity includes the reading of courses in the area of microwave technique and measurements, microwave integrated circuits and electronics, microwave

gyrotropic media, electromagnetic design and simulation tools, and mobile communication systems. He is engaged also in lecturing on general electronics for physicists. In 1997, he began work as a scientific consultant with Ray Sat BG Ltd. He has authored or coauthored over 60 scientific and popular publications. His research interests and activities include design of microwave gyrotropic devices, microwave material characterization, electromagnetic compatibility (EMC) problems (determination of safety zones and standards), microwave “in-fixture” measurements and deembedding procedures, 3-D electromagnetic simulations, etc. Dr. P. Dankov is member of the Bulgarian Union of Physicists.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

1545

The Effects of Component Q Distribution on Microwave Filters Chih-Ming Tsai, Member, IEEE, and Hong-Ming Lee, Student Member, IEEE

Abstract—The effects of lossy components on the passband response of a bandpass filter are studied in this paper. It is found that the resonator has pronounced effects on the insertion losses, while its effects on the group delays are rather minor. The firstorder approximation is used to estimate the deviations of insertion loss and group delay from the ideal. The effects of a lossy resonator in each stage are individually analyzed to evaluate which components are more critical and should be paid more attention. Filters designed by the predistortion technique are also discussed distribution on the with emphasis on the effects of component transmission level. When resonators with different are used in a filter, a bell-shaped distribution is proposed to achieve the optimal passband response. Finally, three filters with different component distributions were designed by combining the dielectric and microstrip-line resonators, and the measured results agreed well with the theoretical predictions. Index Terms—Filter distortion, lossy circuits, microwave filters, factor.

I. INTRODUCTION ICROWAVE communication systems require numerous bandpass filters for functions such as preselection and suppression of mixer spurious products. Cost, size, and performance are the important considerations for the filter in the design of microwave communication systems. The design procedure of microwave filter starts from finding the low-pass prototype having the desired insertion loss response and then scaling the frequency and impedance to yield the parameters of resonators. However, the component losses, i.e., factors, are not taken into account in the traditional filter design, and thus the frequency responses may have serious deviations from the expected specifications due to the dissipation losses. The most evident deviation of the insertion loss is in the passband, especially around the band edges, and thus leads to a rounded passband response. In order to reduce this effect, high- resonators are usually required in high-frequency filter designs. However, as the frequencies go higher, the component losses and distortions become unnegligible. Therefore, a filter design that takes into account the finite component is desirable. Generally, all of the resonators in a filter are selected to be the same type, and therefore the component (unloaded) ’s of all resonators are equal, i.e., they are uniformly distributed

M

Manuscript received September 22, 2005; revised January 6, 2006. This work was supported in part by the National Science Council, Taiwan, R.O.C., under Grant NSC 93-2213-E-006-074. The authors are with the Institute of Computer and Communication Engineering, Department of Electrical Engineering, National Cheng Kung University, Tainan 70101, Taiwan, R.O.C. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.871929

among the stages. The dissipated insertion loss of the filter can be estimated from its original lossless transmission function by shifting the zeros and poles a constant distance of away from the axis in the plane. The distance is given by [1], [5]

FBW

(1)

where and FBW are the unloaded of the resonators and the fractional bandwidth, respectively. However, by using this method, the different effects of each resonator on the insertion loss are concealed, and it is unable to distinguish which resonator is more crucial. If this information is available, more attention could be paid to a few critical components. The cost and filter size could even be reduced if the others are replaced with low- alternatives. A simple formula was derived by Cohn to evaluate the insertion loss of a filter with resonators of nonuniform distribution. However, only the loss at the center of the passband could be determined [2]. In order to study and compare the loss effects over the entire passband caused by each lossy resonator, first-order approximations of insertion loss and group delay are given in this paper. The effect of each lossy resonator was analyzed individually and compared. The responses of the filters designed with the lossless parameters for the maximally flat or equal-ripple functions will be distorted by the presence of component losses. However, it is possible for the filters composed of low- resonators to have their responses approach these functions, if they are designed with the method of predistortion technique [3]–[7]. Once the unloaded ’s of the resonators are known, one may use the predistortion technique to compute the circuit parameters. In order to flatten the insertion loss that is rounded at the band edges, the predistortion technique introduces proper mismatch in the band center, and, thus, maximally flat or equal-ripple responses can still be obtained. Fig. 1 shows a typical insertion loss response of a predistorted Chebyshev filter, which is compared to that of a lossy filter designed by the traditional lossless parameters. Although the unloaded ’s are the same, the passband transmission of the predistorted filter is much lower. The predistortion technique is applicable to the communication system that needs a low-variation transmission in the passband, such as satellite transponder input multiplexers [5], [6]. The high insertion loss may be compensated by a low-noise amplifier (LNA) followed by the filter [6]. In this paper, the predistorted filter design is also discussed with the effects of component distribution. Finally, three filters were designed to demonstrate the effects of component distribution. These filters were the combinations of high- dielectric resonators and relatively low- mi-

0018-9480/$20.00 © 2006 IEEE

1546

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

To evaluate the deviation of insertion loss caused by the lossy resonators, the lossless transmission function of the prototype filter is first determined and given by the general form as

(4) and . The rest of the cowhere efficients – are functions of the prototype values – . The response of along the -axis is then transformed into the natural logarithmic form as

Fig. 1. Comparison of the insertion losses between the traditional and predistorted filters.

(5) and . The lossy conductances where and resistances cause the deviation of by . The first-order deviation of is given by McDonald and Temes’ studies in [4] as

Fig. 2. (a) Circuit model of a lossy bandpass filter and (b) its low-pass prototype.

crostrip-line resonators. The passband transmissions of the filters with their high- resonators in the inner and outer stages are compared in order to verify the theoretical predictions.

(6)

FBW

This equation was extended here to derive the expressions of the insertion loss deviation. Letting and , the in (6) can then be obtained as

II. FIRST-ORDER APPROXIMATIONS OF THE DEVIATION OF PASSBAND RESPONSES The circuit model of lossy bandpass filter is shown in Fig. 2(a); the shunt conductances and series resistances attached to the resonators represent the lossy terms. It is rather complicated to directly estimate the loss effects of the bandpass filter. For simplicity, the low-pass prototype of the bandpass filter, as shown in Fig. 2(b), is used for the analysis of insertion loss deviation. The conductances and resistances in Fig. 2(b) are given by [2]

FBW FBW

for is odd

(2)

for is even

(3)

where is the element value of the filter prototype. The fractional bandwidth FBW herein represents the 3-dB bandwidth for Butterworth filters and the equal-ripple bandwidth for Chebyshev filters. It is obvious that the filter is less lossy if the filter has a larger bandwidth or higher resonators.

(7) Therefore, the deviation of

can be written as

FBW FBW FBW

(8)

where is part of and is defined as the factor of insertion loss deviation. Equation (8) is the general form of for calculating the deviation of insertion loss. To recover the decibel scale of the deviation of , the following equation can be used:

dB

(9)

TSAI AND LEE: EFFECTS OF COMPONENT

FUNCTION

D

OF THE

DISTRIBUTION ON MICROWAVE FILTERS

1547

TABLE I THIRD- AND FOURTH-ORDER FILTERS

The effect on the insertion loss due to the lossy component in each stage is characterized by the deviation factor . For small-ripple dB Chebyshev filters, the deviation in the passband can be simply approximated by

dB

FBW

(10)

functions for third- and fourth-order filters are listed in The Table I. The expressions of for higher order filters are more complicated. The zeroth-order terms of for all of the filters are found to be or . For the filters that are matched at , i.e., , one can calculate the deviation of as

dB

FBW

(11)

which coincides with the result of [2]. However, for the other , (8) is more accurate in the estimate of filters with the mid-band loss. Moreover, by using (8) or (10), the deviation of over the entire passband can be easily examined. As examples, of the third- to fifth-order Butterworth filters and Chebyshev filters with 0.1-dB ripple are plotted in Figs. 3 and 4, respectively. The frequency scale of the low-pass filters is used and the corresponding frequency of the bandpass filters can be calculated by the frequency transformation as

FBW

FBW

(12)

where is the central frequency of the bandpass filters. The frequency ranges of the curves are plotted from 1 to 1 and correspond to the bandwidths of the bandpass filters.

F

Fig. 3. Deviation factor of insertion loss in each stage for the: (a) thirdorder, (b) fourth-order, and (c) fifth-order Butterworth filter.

It is obvious that, for all of the filters, the deviations caused by the lossy components in the first and last stages are less and more uniform than those by the others. This is because the lossy terms of the input/output resonators are directly attached to the terminations. The loss of a filter caused by the outer-stage resonators can be estimated by the power division between the lossy terms and terminations. Therefore, the insertion loss deviation is uniform over the passband. However, it is not easy to intuitively explain the loss effects of the inner-stage resonators. As shown

1548

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

deviation of the phase of ilarly derived as

caused by the losses could be sim-

(13)

FBW where

can be obtained as

(14) Therefore,

can be expressed by

FBW The deviation of group delay derivative of with respect to

can then be yielded by the as

FBW

Fig. 4. Deviation factor of insertion loss F in each stage for the: (a) thirdorder, (b) fourth-order, and (c) fifth-order Chebyshev filter with 0.1-dB ripple.

in these figures, when the lossy elements are present closer to the middle stage, the filter will suffer from more loss at the band edges. Therefore, in order to avoid the rounded band edges and maintain a flat passband insertion loss, the resonators which are closer to the middle of the filter should have higher unloaded ’s. The component losses will also affect the group delay of filter transmissions. As the expression of in (6), the first-order

(15)

(16)

where is defined as the factor of group delay deviation. Similarly, of the third-order to fifth-order Butterworth filters and Chebyshev filters with 0.1-dB ripple, which are normalized to their original group delay , are plotted in Figs. 5 and 6, respectively. The group delay has the largest deviations around the band edges due to the losses, and the negative values of could actually help to lower the peaks of group delay. The group delay deviations in the band center are minor. For a typical filter with FBW and , the group delay deviations caused by the losses in each stage are less than 2%. Furthermore, is either positive or negative in the band center, and thus the group delay deviations due to the losses could offset each other. This results in a group delay that is close to the ideal in the band center. Therefore, the loss effects on the group delay are not as severe as those on the magnitude. The variation of group delay over the passband could, in fact, be slightly improved with the introduction of lossy components. As examples, two fifth-order Chebyshev filters with 0.1-dB ripple and 10% bandwidth are given to demonstrate the effects of component distributions. They are denoted filter A and filter B, with the distributions given by and , respectively. Fig. 7(a) gives the passband insertion losses of the two filters, which are compared with the responses of a lossless filter. It shows that the midband loss of filter B is better since its average is larger. However, in filter B, the unloaded ’s of the resonators are decreased with their positions closer to the middle stage, which are contrary to those in filter A, and, therefore, the insertion loss of filter B at band edges is larger and rounded. It is apparent that filter A has a flatter insertion loss and still keeps sharp band edges, even though its average is lower. For comparison, three filter responses with uniformly distributed , , and

TSAI AND LEE: EFFECTS OF COMPONENT

DISTRIBUTION ON MICROWAVE FILTERS

Fig. 5. Normalized deviation factor of group delay F = in each stage for the: (a) third-order, (b) fourth-order, and (c) fifth-order Butterworth filter.

for the resonators are given in Fig. 7(b). It is obvious the response of the filter with is highly distorted, and the others with higher resonators are much better and close to the ideal. Therefore, increasing the component can help to improve the filter response, and the distribution should be taken into account for filter design that consists of different kinds of resonators. The resonators at the first and last stages have the least effect on the passband responses and might be replaced with lower alternatives for the consideration of

1549

Fig. 6. Normalized deviation factor of group delay F = in each stage for the: (a) third-order, (b) fourth-order, and (c) fifth-order Chebyshev filter with 0.1-dB ripple.

cost and circuit size reduction. Moreover, the resonators that are closer to the middle stage are more critical to the insertion loss and should be carefully manufactured. The group delays of filters A and B are shown in Fig. 7(c). It was found that they have the largest deviations from the ideal at the band edges, but in a positive sense, because the group delay variations over the entire passband are reduced. Moreover, in the band center, the group delays are undistinguishable from those of the ideal.

1550

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

[3]–[6]. The presence of component losses will distort the passband responses of the traditional filter design. By using the predistortion technique, mismatch is introduced in the band center to compensate for the rounded band edges such that the entire passband response could still be flat. Therefore, the predistorted filter will experience larger reflection and insertion loss. However, it is still acceptable in some particular applications such as the satellite transponder [5], [6]. It is known that, for a filter with uniform ’s, its zeros and poles of the transmission function are shifted left in the plane by a distance of as shown by (1). For a predistorted filter, its poles are moved to the right in advance to offset their shifts due to the losses. However, for the predistorted filters having zeros, their zeros cannot be moved and need to be fixed on the -axis for the feasibility of filter synthesis. In this paper, only the allpole (ladder) filters are discussed, and their lossless predistorted transmission functions are given by

(17)

Fig. 7. (a) Passband insertion losses of filters A and B, which are compared responses of the filters with with the response of a lossless filter and (b) three uniformly distributed = 100, 1000, and 5000 for comparison. (c) Group delays of filters A and B and the ideal lossless filter.

Q

S

This example shows that the resonator has more pronounced effects on the filter shape than the group delay. III. EFFECT OF COMPONENT DISTRIBUTION ON THE TRANSMISSION LEVEL OF THE PREDISTORTED FILTERS Here, the effect of component distribution is discussed for the filter designed with a specific predistortion technique

where is the original transmission function and is a conis unity. It is exstant to ensure the maximum of has two peaks at its band edges to overcome pected that the rounding effect caused by the losses. The design procedure was discussed in detail in [7]. This design method cannot be applied to filters with nonuniform distributions; for these, the method proposed by McDonald and Temes [4] might be used. Low-pass prototype filters are also used to simplify the predistortion procedure. Several sixth-order filters, which are denoted filters C–H, are given as examples, and they are all predistorted to have a Chebyshev filter response with a 10% bandwidth and 0.1-dB ripple. The unloaded ’s of the resonators in filters C and D are uniformly distributed, and they are given 1000 and 100, respectively. On the other hand, filters E–H consist of resonators with both the ’s of 1000 and 100. The distributions and the low-pass prototype parameters of these predistorted fil. The passband inserters are given in Table II, with tion losses of these filters are shown in Fig. 8. It is apparent that all of the filters have Chebyshev-like insertion losses, and the differences between them are their transmission levels, which are also summarized in Table II. Since these predistorted filters have the same pole distributions as that of a lossless filter, their group delay responses are also the same. Table II shows that the predistorted filters will suffer from significant loss on their transmission when the number of low- resonators is increased. Filters E–G have the same composition of resonators but different distributions, and it was found that filter E, which has its low- resonators at the first and last stages, has the least insertion loss. Generally, when the ’s of the predistorted filters are not uniformly distributed, they should be arranged to have distribution for maximizing the transmission a bell-shaped level. This result is similar to that discussed in the previous section, which states that the traditional filter should have higher for the resonator closer to the middle stage to decrease the loss at the band edges.

TSAI AND LEE: EFFECTS OF COMPONENT

DISTRIBUTION ON MICROWAVE FILTERS

1551

TABLE II LOW-PASS PROTOTYPE PARAMETERS OF THE PREDISTORTED FILTERS

Fig. 8. Passband insertion losses of filters C–H.

IV. FILTER DESIGN EXAMPLES To experimentally verify the theoretical studies, three fourth-order filters were designed to demonstrate the effects of component distribution. These filters, denoted filters I–III, are composed of dielectric and microstrip-line resonators, and they were designed to have central frequencies around 10 GHz. Filter I consists of dielectric resonators only, and its circuit structure is shown in Fig. 9(a). The filter is fed by half-wavelength open stubs, which were fabricated on the Rogers RO3003 substrate with a relative dielectric constant of 3, a thickness of 0.51 mm, and a loss tangent of 0.0013. The two outer resonators are located near the centers of the open stubs to maximize the magnetic coupling. In order to increase the bandwidth, low-impedance transmission lines were used to transform the 50- termination to a lower impedance for a smaller loaded . The unloaded of the dielectric resonators was measured to be about 2500. A housing is needed for the dielectric resonator filter, as shown in Fig. 9(b). The couplings between the resonators are controlled by the distances between them, and their resonant frequencies can be fine tuned with the screws. The filter design followed the time-domain tuning method [8], and the measured results are given in Fig. 9(c). Filters II and III consist of both the dielectric and microstrip-line resonators, and their circuit structures are shown in Figs. 10(a) and 11(a), respectively. The transmission lines were also fabricated on the Rogers RO3003 substrate. The half-wavelength transmission lines were used as the resonators, and their unloaded ’s were measured to be 150. The dielectric resonators were placed in the inner and outer stages for filters

Fig. 9. (a) Photograph of filter I. (b) Complete structure with a housing. (c) Measured and simulation results.

II and III, respectively. These two filters need to be housed as well. The time-domain tuning method was also used to optimize their passband responses, and their measured results are shown in Figs. 10(b) and 11(b). Among these three filters, additional transmission zeros were found at the lower or upper side of the passband. This is because the nonadjacent resonators are not fully isolated, and there exists a cross-coupling effect between them. The bandwidth of filter I was measured to be 1.8%, and those of filters II and III were intentionally designed to be similar and about 2.5%. The comparisons between the simulation and measured results of filters I–III are also shown in Fig. 9–11. All of the simulated filters are given as Chebyshev filters with 0.1-dB ripple. Since there exist cross-coupling effects in the fabricated filters, the measured out-of-band responses are different from the simulations of the all-pole filters. Also, because the filters are manually tuned, the return losses in the passbands are somewhat different.

1552

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 12. Comparison among the insertion losses of filters I–III.

Fig. 10. (a) Photograph of filter II. (b) Measured and simulation results.

their passband responses are quite different due to their component distributions. It should be noted that the low- resonators will not only degrade the filter transmission but also the reflection response. Their midband transmissions were measured to be approximately 4 dB. However, the high- resonators of filter II were placed in the inner stages, and therefore it has a uniform insertion loss in the passband. It is almost a shift in transmission level from the ideal. On the contrary, filter III has low- resonators in the middle stages, and its passband transmission is highly distorted and rounded. The measured midband transmission is about 0.5–1 dB lower than the estimations, which is supposed to be caused by the losses of the connectors and the input/output transmission lines. These measured results show good agreements with the theoretical predictions and these experiments have demonstrated the importance of component distribution. Fig. 12 gives the comparison among insertion losses of the three filters. V. CONCLUSION

Fig. 11. (a) Photograph of filter III. (b) Measured and simulation results.

However, the trends of the measured and simulated responses in the passbands of filters I-III are similar. Filter I, which is composed of all-high resonators, has the smallest bandwidth and highest transmission, which is measured to be approximately 1.1 dB. Although filters II and III have the same components,

The effects of component distribution on the passband responses of microwave filters have been studied in this paper. It was found that the resonator has pronounced effects on the insertion losses, while its effect on the group delays are rather minor. In order to find the critical components which are sensitive to component losses, the first-order approximation has been derived in this paper to estimate the deviation of passband transmission. For the traditional filter design, it was found that the resonators in the first and last stages have the least effect on the insertion loss, and they might be replaced with low- alternatives for cost or circuit-size reduction. The resonators closer to the middle stage are more crucial to the passband insertion loss, especially at the band edges, and therefore they should be carefully manufactured. The filters designed with the predistortion technique were also discussed with different component distributions. Similarly, the lossy resonators in the first and last stages cause the least loss on the transmission level. When the lossy resonators are placed in the inner stages, the predistorted filter will suffer from more severe insertion loss. Generally, for both the traditional and predistorted filters designed with different kinds of resonators, a bell-shaped distribution should be chosen to optimize the passband responses. Three filters had

TSAI AND LEE: EFFECTS OF COMPONENT

DISTRIBUTION ON MICROWAVE FILTERS

been designed to demonstrate the effects of component tribution and verify the theoretical studies.

dis-

REFERENCES [1] G. L. Matthaei, L. Young, and E. M. T. Jones, Microwave Filter, Impedance-Matching Networks, and Coupling Structures. Norwood, MA: Artech House, 1980, ch. 4. [2] S. B. Cohn, “Dissipation loss in multiple-coupled-resonator filters,” Proc. IRE, vol. 47, no. 8, pp. 1342–1348, Aug. 1959. [3] M. Dishal, “Design of dissipative bandpass filters producing desired exact amplitude-frequency characteristics,” Proc. IRE, vol. 37, no. 9, pp. 1050–1069, Sep. 1949. [4] J. MacDonald and G. Temes, “A simple method for the predistortion of filter transfer functions,” IEEE Trans. Circuit Theory, vol. CT-10, pp. 447–450, Sep. 1963. [5] A. Williams, W. Bush, and R. Bonetti, “Predistortion techniques for multicoupled resonator filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 5, pp. 402–407, May 1985. [6] M. Yu, W.-C. Tang, A. Malarky, V. Dokas, R. Cameron, and Y. Wang, “Predistortion technique for cross-coupled filters and its application to satellite communication systems,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 12, pp. 2505–2515, Dec. 2003. [7] I. Hunter, Theory and Design of Microwave Filters. London, U.K.: IEE Press, 2001, ch. 8. [8] “Simplified filter tuning using time domain,” Agilent Technol., Palo Alto, CA, Applicat. Note 1287-8, 2001.

1553

Chih-Ming Tsai (S’92–M’94) received the B.S. degree from the National Tsing Hua University, Hsinchu, Taiwan, R.O.C., in 1987, the M.S. degree from the Polytechnic University, Brooklyn, NY, in 1991, and the Ph.D. degree from the University of Colorado at Boulder, in 1993, all in electrical engineering. From 1987 to 1989, he was a Member of the Technical Staff with Microelectronic Technology Inc., Taiwan, R.O.C., where he was involved with the design of digital microwave radios. In 1994, he joined the Department of Electrical Engineering, National Cheng Kung University, Tainan, Taiwan, R.O.C., where he is currently an Associate Professor. His research interests include microwave passive components, high-speed digital design, and measurements.

Hong-Ming Lee (S’03) was born in Nantou, Taiwan, R.O.C. He received the B.S. and Ph.D. degrees in electrical engineering from the National Cheng Kung University, Tainan, Taiwan, R.O.C., in 2002 and 2006, respectively. He is currently a Post-Doctoral Research Fellow with the Institute of Computer and Communication Engineering, Department of Electrical Engineering, National Cheng Kung University. His research interests include microwave passive components and measurements.

1554

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

A Noise Optimization Formulation for CMOS Low-Noise Amplifiers With On-Chip Low-Q Inductors Kuo-Jung Sun, Zuo-Min Tsai, Student Member, IEEE, Kun-You Lin, Member, IEEE, and Huei Wang, Fellow, IEEE

Abstract—A noise optimization formulation for a CMOS lownoise amplifier (LNA) with on-chip low- inductors is presented, which incorporates the series resistances of the on-chip low- inductors into the noise optimization procedure explicitly. A 10-GHz LNA is designed and implemented in a standard mixed-signal/RF bulk 0.18- m CMOS technology based on this formulation. The measurement results, with a power gain of 11.25 dB and a noise figure (NF) of 2.9 dB, show the lowest NF among the LNAs using bulk 0.18- m CMOS at this frequency. Index Terms—CMOS, low-noise amplifier (LNA).

I. INTRODUCTION HE LOW-NOISE amplifier (LNA) is a key component in RF receivers. The LNA design in CMOS technology has become very important since it offers advantages such as low cost, mature process, good thermal conductivity, and excellent integration in the possible future system-on-a-chip (SOC). Owing to the merits of CMOS technology, many LNAs using CMOS technology have been reported, such as a folded-cascode variable gain LNA centered at 8-9 GHz with only 1-V power supply [1], a cascode LNA centered at 7 GHz by using a dual-gate MOSFET and shielded pads in a 0.25- m CMOS process [2], and a cascode LNA centered at 13 GHz using a patterned-ground shield and helical inductors [3]. From the classical LNA noise theory, the low-noise performance of a single-stage LNA for a given common-source transistor can be achieved with the proper noise match with the sacrifice of input match [15]. However, this approach did not offer the guidance for the selection of the optimal transistor size for optimal noise performance, especially in integrated-circuit (IC) design, where designers have the freedom to choose the device size. Furthermore, the dc power dissipation, a major design consideration in portable applications, was not considered for the classical noise-match design. The above disadvantages associated with the conventional CMOS LNA noise-match design

T

Manuscript received September 30, 2005; revised December 26, 2005. This work was supported in part by the National Science Council of Taiwan, R.O.C., under Project NSC 92-2213-E-002-033, NSC 92-2219-E-002-024, and NSC 93-2752-E-002-002-PAE. K.-J. Sun, Z.-M. Tsai, and H. Wang are with the Department of Electrical Engineering and Graduate Institute of Communication Engineering, National Taiwan University, Taipei, Taiwan, R.O.C. (e-mail: [email protected]. tw). K.-Y. Lin is with the Sunplus Technology Company Ltd., Hsin-Chu, Taiwan, R.O.C. Digital Object Identifier 10.1109/TMTT.2006.871365

Fig. 1. Noise equivalent circuit for the first stage of an LNA (common source with source degeneration).

were addressed and systematically resolved in [4], but the series resistances associated with inductors were neglected. Recently, it was observed that the series resistances have a severe impact in device-size selection for the CMOS LNA design, particularly for those LNAs with low- inductors. Although a CMOS LNA design methodology considering the series resistances associated with the on-chip inductors was reported [5], the resistance effects were not included in the device size selection. In this paper, we present an improved method to select device size for optimal noise in the CMOS LNA design with consideration of both the effects of the series resistances and inductances of the on-chip low- inductors. A 10-GHz CMOS LNA is then designed, implemented, and measured based on this design procedure. The measured results demonstrate a small-signal gain of 11.25 dB with a low noise figure (NF) of 2.9 dB at 10 GHz. II. ANALYSIS In order to optimize the noise performance of a CMOS LNA, the device size of the first stage must be selected properly. In the following discussions, the LNA design is limited to a common source MOSFET with inductive source degeneration for the first stage [4]. The small-signal equivalent circuit of the source-degeneration common-source first stage is shown in Fig. 1. The input impedance, neglecting the parasitic resistance of the gate inductor and the parasitic resistance of the source inductor , is

0018-9480/$20.00 © 2006 IEEE

at resonance

(1)

SUN et al.: NOISE OPTIMIZATION FORMULATION FOR CMOS LNAs WITH ON-CHIP LOW-

where and represent the gate inductance and sourcefeedback inductance, respectively. When the series resonance occurs, the input impedance is real. The device-size selection proposed in [4] is based on the following equations (optimization under fixed dc power consumption constraint):

INDUCTORS

1555

second term in the right-hand side of (2), and can be expressed as

(5) (2) where

However, the second term on the right-hand side of (4) was neglected in (2) and, therefore, was not incorporated into the device-size selection formula (2). To include the series resistances of the on-chip inductors into fixeddevice-size selection, we started from a similar way as that in [4]. The device width can be derived as follows:

(6) is the current consumption and is the gate–oxide where capacitance per unit area. As in [4], we defined a factor is a bias-dependent parameter, is the channel length, is the power dissipation, is the overdrive voltage , and and are the saturation velocity and saturation electric field, respectively. It is also noted that (2) neglects the effects of series resistances of inductors ( and ). To include the effects of the series resistances of the on-chip inductors into device-size selection, the series resistances and were explicitly added into the noise equivalent circuit, as shown in Fig. 1, where and denote the channel noise current and gate noise current of the input transistor, respectively. The input-referred NF of the equivalent circuit in Fig. 1 is

(3) is the root mean square noise voltage of the where input network, is the root mean square noise voltage of output network (after the drain of the common-source stage), is the root mean square noise voltage of source resistance, is the equivalent noise source of the common-source transistor, and is the input resistance. It is shown that the NF in (3) can be written as

(4) has been neglected. Equation (4) implies that the where NF will be increased due to the series resistances of the on-chip inductors. According to [4], the third term (whole expression in the square bracket) at the right-hand side of (4) is equal to the

(7) with , and then the following equation can be derived, as shown in the Appendix:

(8) where is the factor of the on-chip inductors in the CMOS process. Equation (8) is the first attempt to incorporate and into fixednoise optimization equations after what was proposed in [4]. Compared with the original fixednoise optimization equations in (2), (8) explicitly includes the effects of the series resistances of on-chip inductors into the parameters , , , and . Therefore, (8) incorporates the series resistances of the on-chip inductors into the device-size selection procedure, where the factors of and in (8) are assumed to be equal . The case for which the factors of these two inductors are different is also derived in the Appendix [see (A.14)]. III. CIRCUIT DESIGN A 10-GHz CMOS LNA was implemented based on (8) for verification in a commercial 0.18- m mixed-signal/RF CMOS technology which provides one poly layer for the gate of the MOS and six metal layers for inter-connection [6], [7]. Before the design, we started with the original fixednoise optimization equations proposed in [4], using the parameters given in [8], the calculated NF for the first stage of the LNA at 10 GHz versus the number of fingers in the MOSFET with finger width fixed at 2.5 m based on (2) is illustrated in Fig. 2 (the line with solid squares). It revealed the device size of 28-48 fingers (total gatewidth of 70–120 m) to be optimal for noise performance. However, as we have discussed, the series resistances

1556

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 2. Calculated NF for the first stage of the LNA at 10 GHz for different number of fingers with parameters = 0:92 and  = 1:84 [8]. NFs based on original fixed-P noise optimization equation proposed in [4] (series resistances of the on-chip inductors were neglected, Q ) and revised fixed-P noise optimization equation proposed in this study (series resistances of the on-chip inductors are explicitly considered, Q = 10) are both plotted.

1

of the on-chip inductors were not considered in this device-size selection. With our revised formulation (8) and the -factor of the on-chip inductors to be ten, which is a typical value for a spiral inductor in a bulk CMOS process, the calculated results are also shown in Fig. 2 (the line with hollow circles). Now one would choose the device size to be approximately 50–100 fingers for width per finger fixed at 2.5 m, which is apparently a different range from that obtained based on (2). Therefore, it can be concluded that the series resistances of the on-chip lowinductors play an important role in the device-size selection. For a more accurate prediction, the NF for the circuit schematic in Fig. 1 with the parasitics considered is simulated using Agilent’s Advanced Design System (ADS) [9] with a TSMC design kit. In this simulation, is selected in a similar way as in [4] to make the real part of the input impedance 50 for each finger number with fixed . After is determined, for each number of fingers is selected to make the imaginary part of the input impedance zero. Fig. 3 presents the simulated NF for the circuit in Fig. 1 with output terminated with 50 at 10 GHz for different number of fingers using the 0.18- m CMOS model. The NF neglecting the series resistances of the on-chip inductors (the line with solid squares) and those including the resistances of the on-chip inductors (the line with hollow circles) are both plotted. Fig. 4. It is observed from Fig. 3 that the similar device size for the optimal NF of the first stage should be selected as in the calculated case (Fig. 2). Furthermore, the NF for the first stage of the LNA (approximately 1.5 dB) in Fig. 2 [calculated based on (2) and (8)] are also similar to that shown in Fig. 3 (simulated), which further validates the analysis in Section II for this device-size selection. Fig. 3 suggests a MOS of 56–104 fingers to be appropriate with the width per finger fixed at 2.5 m for optimal noise performance. The actual device size was then selected as 64 fingers in the 10-GHz CMOS LNA design; therefore, nH and nH are picked based on the above-mentioned design

Fig. 3. Simulated NF for the first stage of the LNA at 10 GHz for different number of fingers. NFs neglecting the series resistances of the on-chip inductors (Q , the line with solid squares) and those considering the series resistances of the on-chip inductors (Q = 10, the line with hollow circles) are both plotted.

1

Fig. 4. Comparison between the calculated and simulated NFs for the first stage in the 10-GHz CMOS LNA.

procedure for the first stage. The comparison between the calculated and simulated NFs for the first stage of the 10-GHz CMOS LNA, based on revised formula (8), is also shown in Fig. 4. Fig. 5 shows the circuit schematic of the complete 10-GHz CMOS LNA. The cascode topology is used to reduce the Miller effect, improve the stability, and provide the isolation to mitigate the local oscillator (LO) feedthrough from the following mixer. The output match is accomplished with an LC impedance transformation network. All inductors are on-chip and fabricated using only the top metal (metal 6) to reduce series resistance and, thus, to increase the -factor of the inductor. The 112-fF output capacitor was implemented with two 224-fF capacitors in series to desensitize the process variation. Parasitic capacitances of input and output RF bond pads are also considered in the circuit simulation. The circuit simulation is performed in ADS, and the inductors and metal–insulator–metal

SUN et al.: NOISE OPTIMIZATION FORMULATION FOR CMOS LNAs WITH ON-CHIP LOW-

INDUCTORS

1557

Fig. 5. Circuit schematic diagram of the 10-GHz CMOS LNA.

Fig. 7. Measured gain and input/output return losses of the 10-GHz CMOS LNA.

Fig. 6. Chip photograph of the 10-GHz CMOS LNA with a chip size of 0.74 0.65 mm .

2

(MIM) capacitors are all simulated with a full-wave electromagnetic (EM) simulator provided by Sonnet [10]. Fig. 6 presents the chip photograph of the 10-GHz CMOS LNA with a chip size of 0.74 0.65 mm . IV. MEASUREMENT RESULTS The LNA was measured via on-wafer probing. The measurement and simulation results of small-signal gain and input/output return losses from 0.045 to 20 GHz are shown in Fig. 7. It demonstrates a measured gain of 11.25 dB, the small-signal gain is higher than 10 dB between 9.6–11.1 GHz, and the best measured gain is 11.4 dB at 10.4 GHz. The total current consumption for this LNA is 11 mA from a 1.6-V power supply voltage. Moreover, the measurement and simulation results of the NF from 8 to 12 GHz are illustrated in Fig. 8. The NF is better than 3 dB from 8.7 to 11.2 GHz and an NF of 2.9 dB at 10 GHz is achieved with the minimum NF of 2.7 dB at 9 GHz. The measurement and simulation results of this LNA agree well, as indicated in the performance summary of Table I. It is noted that because the gain of the first stage of the LNA is not high enough and the parasitic capacitances at the node between the two stages in the cascode LNA will affect the noise performance at higher frequencies, the noise contribution from the second stage (common gate device) is relatively significant. Therefore, the simulated NF for the complete cascode LNA in

Fig. 8. Measured and simulated NF of the CMOS LNA.

TABLE I SUMMARY OF MEASUREMENT RESULTS AND SIMULATIONS AT 10 GHZ

Fig. 8 is approximately 1.4 dB higher than the estimated NFs for the first stage of the LNA in Figs. 2 and 3. Table II compares the performance of our LNA with relevant references. It is observed that our LNA presents the lowest NF among the previously reported LNAs using bulk CMOS processes operating around 10 GHz. V. CONCLUSION A 10-GHz LNA was successfully implemented in a commercial 0.18- m MS/RF CMOS technology. By incorporating and of the on-chip low- inductors explicitly into

1558

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

TABLE II PREVIOUSLY REPORTED LNAS OPERATED AROUND 10 GHZ

previously reported fixednoise optimization equations [4], a new formulation is derived to select the appropriate device size in the CMOS LNA design with on-chip low- inductors. Based on this new formulation, an LNA is implemented and achieved a measured gain of higher than 10 dB and an NF of lower than 3 dB from 9.6 to 11.1 GHz with a compact chip size of 0.74 0.65 mm . The 2.9-dB NF at 10 GHz is the lowest among the previously reported LNAs using a CMOS bulk process around this operating frequency. APPENDIX

the drain current

can then be derived as

(A.5) Solve for the device width

in terms of

and , then

(A.6) can be derived, which is the same as (6). With the definition of

The input-referred NF of Fig. 1 as shown in [4] is

(A.7) ,

insert (A.6) into (A.7) and noting that then (A.1) which is the same as (4). For fixed power dissipation, the condition of should be kept constant. We started with the drain current in [14]

(A.8) as can be derived, let

(A.2) with the definition of [4]

(A.3)

, then

(A.9) can be derived. Since and , if we assume the factors of and are both , by using (A.9), the second term on the right-hand side of (A.1) at resonance can be written as

and also noting that

(A.4)

(A.10)

SUN et al.: NOISE OPTIMIZATION FORMULATION FOR CMOS LNAs WITH ON-CHIP LOW-

On the other hand, if the factors in these two inductors are not equal (i.e., ), then the second term on the right-hand side of (A.1) at resonance can be written as

INDUCTORS

1559

For the case of unequal factors in these two inductors, using (A.12) and (5), (A.1) can be expressed as

(A.14)

(A.11)

from (1), if as

at resonance is equal to

, (A.11) can be written

ACKNOWLEDGMENT The foundry service was provided by TSMC, Hsin-Chu, Taiwan, R.O.C., through the Chip Implementation Center (CIC), Taiwan, R.O.C. The authors would like to thank Prof. G. Vendelin, National Taiwan University, Taipei, Taiwan, R.O.C., and J. Lee, National Taiwan University, for their discussions. REFERENCES

(A.12)

For the case of equal factors in these two inductors, using (A.10) and (5), (A.1) can be expressed as

(A.13)

where

which is (8).

[1] T. K. K. Tsang and M. N. El-Gamal, “Gain controllable very low voltage (