JUNE 2012 
IEEE MTT-V060-I06 (2012-06A) [60, 6 ed.]

Citation preview

IEEE TRANSACTIONS ON

MICROWAVE THEORY AND TECHNIQUES A PUBLICATION OF THE IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY

rm .~.· ·.

MTT-S

JUNE 2012

VOLUME 60

NUMBER 6

IETMAB

(ISSN 0018-9480)

PART I OF TWO PARTS

PAPERS

Theory and Numerical Methods An Analytical Formulation for Black Box Conversion Matrix Extraction . . . . A. Kheirdoost, G. Moradi, and A. Abdipour Efficient Low-Frequency Breakdown Free Full-Wave PEEC Modeling Based on Geometrical Optics DCIM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Z.-Y. Zong, W. Wu, F. Ling, J. Chen, and D.-G. Fang Experimental Validation of Frozen Modes Guided on Printed Coupled Transmission Lines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . N. Apaydin, L. Zhang, K. Sertel, and J. L. Volakis Passive Components and Circuits Unequal Wilkinson Power Dividers With Favorable Selectivity and High-Isolation Using Coupled-Line Filter Transformers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . P.-H. Deng and L.-C. Dai Quasi-Arbitrary Phase-Difference Hybrid Coupler . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Y. S. Wong, S. Y. Zheng, and W. S. Chan Stepped-Impedance Coupled Resonators for Implementation of Parallel Coupled Microstrip Filters With Spurious Band Suppression . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Worapishet, K. Srisathit, and W. Surakampontorn Design Method for Butter–Cheby Bandpass Filters With Even Number of Resonators . . . . . . . . . . . . H.-R. Ahn and S. Nam Novel Wideband Differential Bandpass Filters Based on T-Shaped Structure . . . . . . . . . . . . . . . . . . . . . . . . . W. Feng and W. Che A Tunable Combline Bandpass Filter Loaded With Series Resonator . . . . . . . . . . . . . . X.-G. Wang, Y.-H. Cho, and S.-W. Yun Cascaded Coupled Line Filter With Reconfigurable Bandwidths Using LCP Multilayer Circuit Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Miller and J. Hong Compact, Low-Loss, Wideband, and High-Power Handling Phase Shifters With Piezoelectric Transducer-Controlled Metallic Perturber . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. Wu, J. Lou, M. Li, G. Yang, X. Yang, J. Adams, and N. X. Sun An All-Metal Micro-Relay With Bulk Foil Pt–Rh Contacts for High-Power RF Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . F. M. Ozkeskin, S. Choi, K. Sarabandi, and Y. B. Gianchandani

1493 1500 1513

1520 1530 1540 1549 1560 1569 1577 1587 1595

(Contents Continued on Back Cover)

♦.IEEE

(Contents Continued from Front Cover) Hybrid and Monolithic RF Integrated Circuits A Narrowband CMOS Ring Resonator Dual-Mode Active Bandpass Filter With Edge Periphery of 2% Free-Space Wavelength . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . L. Su and C.-K. C. Tzuang Design and Analysis of a -band Divide-by-Three Injection-Locked Frequency Divider Using Second Harmonic Enhancement Technique . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Y.-L. Yeh and H.-Y. Chang A Full 360 Vector-Sum Phase Shifter With Very Low RMS Phase Error Over a Wide Bandwidth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Asoodeh and M. Atarodi Graphical Analysis of Stabilization Loss and Gains for Three-Port Networks . . . . . . . . . . . . . . . . . . . . . E. L. Tan and S. Z. Fan Low-Power Very Low-Noise Cryogenic SiGe IF Amplifiers for Terahertz Mixer Receivers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D. Russell and S. Weinreb A Dual-Band 10/24-GHz Amplifier Design Incorporating Dual-Frequency Complex Load Matching . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . K.-A. Hsieh, H.-S. Wu, K.-H. Tsai, and C.-K. C. Tzuang A 30.8-dBm Wideband CMOS Power Amplifier With Minimized Supply Fluctuation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B. Jin, J. Moon, C. Zhao, and B. Kim A Nested-Reactance Feedback Power Amplifier for -Band Applications . . . . . . . . . . . . . N. Kalantari and J. F. Buckwalter A Transformer-Coupling Current-Reuse SiGe HBT Power Amplifier for 77-GHz Automotive Radar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . V. Giammello, E. Ragonese, and G. Palmisano 60-GHz Dual-Conversion Down-/Up-Converters Using Schottky Diode in 0.18 m Foundry CMOS Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . H.-J. Wei, C. Meng, T.-W. Wang, T.-L. Lo, and C.-L. Wang

1605 1617 1626 1635 1641 1649 1658 1667 1676 1684

Instrumentation and Measurement Techniques Novel Coupling Structure for the Resonant Coaxial Probe . . . . . . . D. J. Rowe, A. Porch, D. A. Barrow, and C. J. Allender Robust Analog Canceller for High-Dynamic-Range Radio Frequency Measurement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. M. Wetherington and M. B. Steer

1699

RF Applications and Systems Frequency Multiplexed 2-Dimensional Sensor Array Based on Split-Ring Resonators for Organic Tissue Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M. Puentes, M. Maasch, M. Schüßler, and R. Jakoby Ear Temperature Increase Produced by Cellular Phones Under Extreme Exposure Conditions . . . . . . . . . . . . . . . . V. De Santis A Wideband Frequency Tunable Optoelectronic Oscillator Incorporating a Tunable Microwave Photonic Filter Based on Phase-Modulation to Intensity-Modulation Conversion Using a Phase-Shifted Fiber Bragg Grating . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . W. Li and J. Yao

1720 1728

1709

1735

LETTERS

Comments on “A Unique Extraction of Metamaterial Parameters Based on Kramers–Kronig Relationship” . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. J. Barroso and U. C. Hasar Comments on “ParAFEMCap: A Parallel Adaptive Finite-Element Method for 3-D VLSI Interconnect Capacitance Extraction” . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . O. Ozgun, R. Mittra, and M. Kuzuoglu Authors’ Reply . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . G. Chen, H. Zhu, T. Cui, Z. Chen, X. Zeng, and W. Cai

1744 1745

Information for Authors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

1748

1743

CALLS FOR PAPERS

Special Issue on Biomedical Applications of RF/Microwave Technologies . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . .

1749

Copyright of IEEE Transactions on Microwave Theory & Techniques is the property of IEEE and its content may not be copied or emailed to multiple sites or posted to a listserv without the copyright holder's express written permission. However, users may print, download, or email articles for individual use.

IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY

The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society upon payment of the annual Society membership fee of $17.00, plus an annual subscription fee of $23.00 per year for electronic media only or $46.00 per year for electronic and print media. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only. ADMINISTRATIVE COMMITTEE N. KOLIAS, President A. ABUNJAILEH S. BARBIN L. BOGLIONE

M. GUPTA President Elect

T. BRAZIL W. CHAPPELL

G. LYONS, Secretary

N. KOLIAS S. KOUL

M. GOUKER K. ITOH

T. LEE M. MADIHIAN

Honorary Life Members

T. ITOH A. A. OLINER

W. CHAPPELL, Treasurer

A. MORTAZAWI V. NAIR

Distinguished Lecturers

P. STAECKER K. TOMIYASU

J-C. CHIAO M. GUPTA

S. KOUL L. PIERANTONI

D. PASQUET G. PONCHAK D. SCHREURS

R. SORRENTINO B. SZENDRENYI R. WEIGEL

D. SCHREURS J. WOOD

K. WU Q. XUE

Past Presidents

R. SNYDER (2011) S. M. EL-GHAZALY (2010) B. PERLMAN (2009)

MTT-S Chapter Chairs Albuquerque: H. J. WAGNON Atlanta: K. NAISHADHAM Austria: A. SPRINGER Baltimore: J. SCHOFIELD Bangalore/India: K. VINOY Beijing: Z. FENG Belarus: A. GUSINSKY Benelux: G. VANDENBOSCH Boston: J. MULDAVIN Bombay/India: M. KADU Brasilia: J. BEZERRA/ M. VINICIUS ALVES NUNES Buenaventura: M. QUDDUS Bulgaria: K. ASPARUHOVA Canada, Atlantic: Z. CHEN Cedar Rapids/Central Iowa: M. ROY Central Indiana: C. FULTON Central & South Italy: L. TARRICONE Central No. Carolina: M. HUGHES Central Texas: J. PRUITT Chengdu: Z. NEI Chicago: D. ERRICOLO Cleveland: M. SCARDELLETTI Columbus: D. PSYCHOUDAKIS Connecticut: C. BLAIR Croatia: D. BONEFACIC Czech/Slovakia: J. DRINOVSKY Dallas: C. SANABRIA Dayton: A. TERZUOLI

Editor-In-Chief GEORGE E. PONCHAK NASA Glenn Research Center Cleveland, OH USA Editorial Assistant KIM TANGER OAI USA

Delhi/India: S. KOUL Delhi/India, Amity Univ: J. P. GODARA Delhi/India, ABES Eng. College: S. MITTAL Delhi/India, IIT Delhi: M. S. PARIHAR Delhi/India, Jamia Millia Islamia: A. KHAN Delhi/India, Rajasthan Tech. Univ.: P. K. SHARMA Delhi/India, Swami Keshvanand Inst.: A. S. POONIA Denver: M. JANEZIC Eastern No. Carolina: T. NICHOLS Egypt: E. HASHEESH Finland: A. LUUKANEN Florida West Coast: J. WANG Foothills: F. FREYNE France: P. EUDELINE Germany: G. BOECK Greece: R. MAKRI Gujarat/India: R. J. SHARMA Harbin: Q. WU Hawaii: R. MIYAMOTO Hong Kong: Q. XUE Houston: S. A. LONG Houston, College Station: G. H. HUFF Hungary: L. NAGY Huntsville: E. GREGORIAN Hyderabad: L. MERUGU India/Calcutta: D. GUHA

India: D. BHATNAGER Indonesia: E. T. RAHARDO Israel: S. AUSTER Japan: K. HONJO Kansai: I. AWAI Kitchener-Waterloo: R. R. MANSOUR Lebanon: E. NASSAR Lithuania: V. URBANAVICIUS Long Island/New York: J. COLOTTI Los Angeles, Coastal: V. RADISIC Los Angeles, Metro/San Fernando: T. CISCO Macau: K. W. TAM Madras/India: S. SALIVAHANAN Malaysia: M. ESA Malaysia, Penang: B. L. LIM Melbourne: R. BOTSFORD Milwaukee: S. G. JOSHI Mohawk Valley: E. P. RATAZZI Monterrey/Mexico: R. M. RODRIGUEZ-DAGNINO Morocco: M. ESSAAIDI Montreal: K. WU Morocco: M. ESSAAIDI Nagoya: T. OHIRA Nanjing: W. XUN Nanjing/Southeast Univ: W. HONG New Hampshire: D. SHERWOOD New Jersey Coast: J. SINSKY New South Wales: K. ESSELLE New Zealand: A. WILLIAMSON North Italy: G. VECCHI North Jersey: K. DIXIT

Northern Australia: J. MAZIERSKA Northern Canada: M. DANESHMAND Northern Nevada: B. S. RAWAT Norway: K. M. GJERTSEN Orange County: H. J. DE LOS SANTOS Oregon: K. MAYS Orlando: S. EBADI Ottawa: Q. YE Philadelphia: Q. VASSEUR Phoenix: S. ROCKWELL Poland: J. PIOTROWSKI Portugal: N. BORGES DE CARVALHO Princeton/Central Jersey: A. KATZ Queensland: A. ROBINSON Rio de Janeiro: J. R. BERGMANN Rochester: G. PETTIS Romania: G. LOJEWSKI Russia, Moscow: V. A. KALOSHIN Russia, Nizhny-Novgorad: G. L. PAKHOMOV Russia, Novosibirsk: A. YAROSLAVTSEV Russia, Saratov/Penza: N. M. RYSKIN Russia, Saint Petersburg: S. P. ZUBKO Russia, Tomsk: R. V. MESCHERIAKOV San Diego: J. TWOMEY Santa Clara Valley/San Francisco: N. SHAMS Seattle: L. CAI Seoul: C. SEO Serbia and Montenegro: B. MILOVANOVIA Shanghai: J. MAO Singapore: M. ONG LING CHUEN South Africa: R. GESCHKE

South Australia: C. FUMEAUX South Brazil: J. R. BERGMANN Southeastern Michigan: T. OZDEMIR Southern Alberta: E. FEAR Spain: J. I. ALONSO Springfield: P. R. SIQUEIRA Sri Lanka: V. R. HERATH Sweden: A. RYDBERG Switzerland: M. MATTES Syracuse: H. P. PARTAL Taegu: Y.-H. JEONG Tainan: T. HORNG Taipei: C.-S. LU Thailand: P. AKKARAEKTHALIN Toronto: G. V. ELEFTHERIADES Tucson: H. XIN Tunisia: A. GHARSALLAH Turkey: B. SAKA Twin Cities: M. J. GAWRONSKI UK/RI: A. REZAZADEH Ukraine, Kiev: Y. PROKOPENKO Ukraine, Rep. of Georgia: G. GHVEDASHVILI Ukraine, Vinnitsya: V. M. DUBOVOY Ukraine, West: I. IVASENKO Uttar Pradesh/India: N. ALAM Utter Pradesh/India: R. C. AGARWAL Vancouver: S. MCCLAIN Venezuela: J. B. PENA Victoria: K. GHORBANI Virginia Mountain: T. A. WINSLOW Washington DC/Northern Virginia: M. NUESLEIN Winnipeg: V. OKHMATOVSKI Xian: X. SHI

Associate Editors HERBERT ZIRATH Chalmers Univ. Technol. Goteborg, Sweden WENDY VAN MOER Vrije Universiteit Brussel Brussels JAE-SUNG RIEH Korea Univ. Seoul, Korea QUAN XUE City Univ. Hong Kong Hong Kong LEI ZHU Nanyang Technol. Univ. Singapore

KEVIN J. CHEN Hong Kong Univ. Sci. Technol. Hong Kong MING YU COM DEV Cambridge, ON, Canada CHIN-WEN TANG Nat. Chung Cheng Univ. Taiwan JOHN PAPAPOLYMEROU Georgia Inst. Technol. Atlanta, GA USA

J. WOOD, Editor-in-Chief, IEEE Microwave Magazine C. TZUANG, Editor-in-Chief, IEEE Microwave and Wireless Component Letters

N. SCOTT BARKER Univ. Virginia Charlottesville, VA USA COSTAS D. SARRIS Univ. Toronto. Toronto, ON, Canada CHRISTOPHE FUMEAUX The Univ. Adelaide Adelaide, South Australia, Australia DEUKHYOUN HEO Washington State Univ. Pullman, WA USA BERTAN BAKKALOGLU Arizona State Univ. Tempe, AZ USA

P. H. SIEGEL, Editor-in-Chief, IEEE Trans. Terahertz Science and Technology T. LEE, Web Master

IEEE Officers MICHAEL R. LIGHTNER, Vice President, Educational Activities DAVID A. HODGES, Vice President, Publication Services and Products HOWARD E. MICHEL, Vice President, Member and Geographic Activities STEVE M. MILLS, President, Standards Association FREDERICK C. MINTZER, Vice President, Technical Activities JAMES M. HOWARD, President, IEEE-USA

GORDON W. DAY, President PETER W. STAECKER, President-Elect CELIA L. DESMOND, Secretary HAROLD L. FLESCHER, Treasurer MOSHE KAM, Past President

PETER N. CLOUT, Director, Division IV—Electromagnetics and Radiation

IEEE Executive Staff DR. E. JAMES PRENDERGAST, Executive Director & Chief Operating Officer THOMAS SIEGERT, Business Administration MATTHEW LOEB, Corporate Activities DOUGLAS GORHAM, Educational Activities EILEEN LACH, General Counsel & Corporate Compliance BETSY DAVIS, SPHR, Human Resources CHRIS BRANTLEY, IEEE-USA

ALEXANDER PASIK, Information Technology PATRICK MAHONEY, Marketing CECELIA JANKOWSKI, Member and Geographic Activities ANTHONY DURNIAK, Publications Activities JUDITH GORMAN, Standards Activities MARY WARD-CALLAN, Technical Activities

IEEE Periodicals Transactions/Journals Department Staff Director: FRAN ZAPPULLA Editorial Director: DAWN MELLEY Production Director: PETER M. TUOHY Managing Editor: MONA MITTRA Senior Editor: CHRISTINA M. REZES

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, Piscataway, NJ 08854-4141. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $157.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee indicated in the code at the bottom of the first page is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, Piscataway, NJ 08854-4141. Copyright © 2012 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE, 445 Hoes Lane, Piscataway, NJ 08854-4141. GST Registration No. 125634188. CPC Sales Agreement #40013087. Return undeliverable Canada addresses to: Pitney Bowes IMEX, P.O. Box 4332, Stanton Rd., Toronto, ON M5W 3J4, Canada. IEEE prohibits discrimination, harassment and bullying. For more information visit http://www.ieee.org/nondiscrimination. Printed in U.S.A.

Digital Object Identifier 10.1109/TMTT.2012.2201278

Copyright of IEEE Transactions on Microwave Theory & Techniques is the property of IEEE and its content may not be copied or emailed to multiple sites or posted to a listserv without the copyright holder's express written permission. However, users may print, download, or email articles for individual use.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

1493

An Analytical Formulation for Black Box Conversion Matrix Extraction A. Kheirdoost, G. Moradi, Member, IEEE, and A. Abdipour, Senior Member, IEEE

Abstract—In this paper, an analytical formulation for calculation of a Black Box conversion matrix using results of a large signal harmonic balance simulation is presented. This formulation is based on analytical modeling of a general black box and incorporates only the large signal analysis data (pump signal harmonics). The formulation is applied to a nonlinear resistor as a special case, and the results are compared with those of classical methods. The method is applied to a diode and the results of the proposed method and classical method are compared incorporating a special error criterion defined. Finally measurement results are included to verify the proposed method’s accuracy. Index Terms—Analytical modeling, black box, conversion matrix, device modeling, harmonic balance, nonlinear measurements, nonlinear modeling.

I. INTRODUCTION

M

ODELING of nonlinear elements in microwave circuits is of special importance in analysis and design of microwave circuits. In the linear regime, the modeling procedure is straightforward and does not have special complexity, but due to the complicated nonlinear phenomena in nonlinear elements such as harmonic generation and inter-modulation, the modeling procedure for nonlinear elements is complicated and usually needs elaborate processes or information about the physical structure of the element and probably more measurements. On the other hand, because of special features of nonlinear devices, they are of more importance in microwave circuits and systems [1], [2], e.g., in microwave mixers and frequency multipliers, nonlinear features of devices play an important role in circuit performance. Power amplifier circuits are another class of nonlinear circuits that, due to their importance in system efficiency, appropriate modeling and analysis of the device play a major role in system performance evaluation in presence of different nonlinearities [1]–[3] and system noise [4]. Nonlinear device modeling techniques are usually incorporated for modeling of power amplifiers behavior in the nonlinear regime [5], [6] and their compensation techniques [7]. These modeling methodologies for devices could be divided into two main categories: Time domain methods and frequency domain methods. Time domain modeling approaches are based on measuring time domain signals and applying curve fitting method

Manuscript received October 24, 2011; revised February 07, 2012; accepted February 09, 2012. Date of publication March 22, 2012; date of current version May 25, 2012. The authors are with the Faculty of Electrical Engineering of Amikabir University of Technology, Tehran 15914, Iran (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2189121

to measurement results [8], [9]. In time domain methods, the system order and degree are defined different from each other and it is worth mentioning here that the system order, which is sometimes called system dynamics order, is different from nonlinearity degree of the element, i.e., the maximum degree of the polynomial in the nonlinear governing equation of elements. In these methods, to avoid calculation complexity as much as possible, based on measurements reported in different literature [9], the system order is considered as 2 and simulations and modeling are based on this order [9]. Even though it is complicated to implement the False Nearest Neighbor (FNN) method, it is the most known technique for nonlinear system order extraction [10]. Frequency domain modeling methods are mostly based on Volterra series, and they usually need special tools or complicated mathematics for system identification and modeling [11], [12]. However, due to the efficiency of time domain methods, these methods are mostly incorporated in microwave circuits modeling. A special class of nonlinear microwave circuits is mixers, in which the nonlinear elements are usually considered as linear time variant elements in analysis. Based on surveys performed, system identification techniques have not been applied to such circuits, and in this paper it is proven that these techniques could be a useful tool in analysis of mixers. As stated in classic literature, one of the efficient methods for the analysis of linear time variant circuits, especially mixers, is the conversion matrix method. This method is based on linear Taylor approximation of the nonlinear elements, if one of the injected signals (usually local oscillator) puts the circuit in nonlinear regime and the other one is small enough [1]. Using the conversion matrix and generalized conversion matrix method [13], conversion loss calculation, optimum matching of ports and noise analysis of the mixers could be performed. Extraction of the conversion matrix of the microwave elements always requires complete analytical relations governing devices in the circuit. In classic method, it is necessary to calculate the partial derivatives of relations, extract the Fourier elements of the partial derivatives and generate the conversion matrix based on the Fourier coefficients. To extract a conversion matrix for a nonlinear microwave device such as diode or transistor, the generated matrices need to be combined together to form the final matrix, which requires successive matrix inversion. So a laborious analytical and numerical processes needs to be performed on each element other than Harmonic Balance (HB) analysis. Here a simple methodology for extraction of the conversion matrix in nonlinear elements could be a valuable tool in microwave circuit design and analysis. The advent of Nonlinear

0018-9480/$31.00 © 2012 IEEE

1494

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Vector Network Analyzer (NVNA) and X Parameters concept [14] have made significant advances in design and modeling of nonlinear devices. Advances in modeling of poly-harmonic distortions in nonlinear microwave circuits [15], [16] and new methods in design of microwave circuits has been introduced [17]. But most of these researches have focused on modeling of power amplifiers and few researches have applied these concepts to mixers modeling [18], [19]. In [18] and [19] the authors have tried to extract the elements of conversion matrix without modeling the whole device, to bypass the analytical and numerical manipulations of conversion matrix. The measurement based method proposed by them is based on fundamental concepts of conversion matrix, which requires many measurements and expensive measurement equipment, NVNA. However extraction of the black box conversion matrix based on minimum information from the nonlinear box has not been studied and reported. Therefore, in this paper an analytical formulation will be proposed to reach this goal. This method tries to rely just on HB results, and no other partial derivatives or successive inversions of matrices are required to extract the conversion matrix of an element or device. Thus, after large signal HB simulation of the circuits, there is no need to calculate the partial derivatives of the nonlinear elements around pump signal and extract the conversion matrix elements based of Fourier expansion of the results [1], [2], so the analytical manipulations and complexity of the simulation has been reduced significantly. This gain is achieved with the cost of incorporating some complicated manipulations in the general formulation of the conversion matrix, which needs to be done once and are well defined in theory part of this paper. Contrary to the method proposed in [18], [19], the proposed method is simple to implement and does not require multiple measurements using NVNA. The paper is organized in a theory of the subject in Section II, followed by simulation results in Section III and the measurements results in Section IV. II. THEORY

Fig. 1. A general simple input simple output black box representation.

As we know from the literature, a general governing differential equation for a system of order “ ” under a broad range of conditions [20]–[22] is described as (1) in which is a general nonlinear function. In this equation, a simple black box with one input and one output is presumed, as depicted in Fig. 1. The approach could be easily applied to a general multiple input-multiple output black box. This model will be our base model in this paper and all manipulations will be performed based on this equation. B. Formulation The conversion matrix formulation is based on the small signal variation of a signal around the large pump signal. Therefore, we have to extract the device conversion matrix based on this approach. If we assume and are large pump signals and and as small signals around them and apply them to (1), we have (2) By truncating the system order to the order of 2, we will have (3) Similar to the classic approach, by Taylor expansion of the equation above around the pump signal, we have

A. Approach The governing differential equation for a general black box includes both linear and nonlinear terms. The governing differential equation order can usually be extracted if we have access to the device structure and governing relations. For example, if the box under modeling includes just a diode, the system order can be easily extracted by analyzing the diode model. However, sometimes the differential equation order extraction is not so simple, because a black box is being modeled. Some methods have been proposed for extraction of the black box. The FNN method uses the black box parameters to extract the differentiation order of the black box, but this method implementation needs more processes [10]. Hence, in microwave engineering problems the system order is usually truncated to the order of 2 and usually no more differentiation is included. In the proposed formulation, we will truncate our system order to 2, but more orders can be easily implemented in the formulation and this truncation will not affect the proposed formulation.

(4) and the remaining Because the first term i.e., terms of (4) are in different frequencies, we can separate the equation above to (5) and (6) In this paper, the goal is to obtain a conversion matrix interpretation of (5) by analyzing (6). By Fourier expansion of (5) and (6) as in classic conversion matrix approach, we will have (7)

KHEIRDOOST et al.: ANALYTICAL FORMULATION FOR BLACK BOX CONVERSION

1495

Again we expand both sides of the equation using Fourier series. So we will have

(14)

(8) in which , , and are Fourier coefficients of the , and around the pump functions , signal, respectively. Similar to conversion matrix, by arranging the terms of (8) at different frequencies and putting them in matrix format we will obtain

yields

Multiplying both sides of (14) by

(9) in which , and are square matrices composed of Fourier coefficients , and , as in conversion matrix formulation. In (10) the elements of are defined and the other matrices ( and ) are constructed in a similar way

(15) ,

Composing matrices equation above to

and

will reorganize the

(16) in which .. .

.. .

..

.

.. .

.. . .. .

(10) In (9), , and are similar to the conversion matrix approach matrices. Conversion matrix interpretation of (9) leads us to admittance conversion matrix (11) The important feature of this conversion matrix relation is its independence from the IF frequency, meaning that once the matrices , and are calculated the conversion matrix of the element in any IF frequency can be easily extracted. It worth to note that in this formulation the IF frequency is included in the matrix. C. Large Signal Equation Manipulation As described above, the governing large signal relation between port signals of the black box is (12) which requires HB analysis of the system. By time domain derivative of (12), we will have

(13)

.

..

..

.. .

. .. .

(17)

and (18) The conversion matrix interpretation of (16) is that large signal harmonics multiplied by their relative harmonic order are applied to the conversion matrix derived in (11). Applying (18) in (16) we will have (19) Equation (16) does not provide us all required information for conversion matrix extraction of the black box due the fact that, if we want to use (11) to extract conversion matrix, the number of unknowns is more than the number of known values. Therefore, we have to manipulate the formulation and use some other data that help us in conversion matrix extraction. The matrix multiplication result of the general in conversion matrix formulation where is a square matrix and is a vector is nothing other than matrix interpretation of the convolution. So we can change the multiplication order to by defining as a square matrix and as a vector and preserving the result. Rewriting (19) in the format

(20)

1496

in which the Rect operator bottom of this page, and

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

is defined as (21), shown at the

.. .

.. .

.. .

(22)

of the vectors validity is violated (it has been presumed that vectors , and are equal in different LO powers). Therefore, a compromise has been done between the condition number of the matrix in (24) and validity of the vectors , and . This compromise experimentally lead us to 0.5 dB perturbation in LO Power in simulations. It seems this perturbation will result in a negligible difference in matrix elements, but in fact due to the high sensitivity of the exponential function (bias-dependent current source of the diode) to perturbation, this perturbation is sufficient. Using some advanced techniques in solving ill conditioned system of equations, the matrix inversion process could be successfully done, and finally the conversion matrix basis matrices ( , and ) can be easily extracted:

Also rewriting (20) in super-matrix format we will have (23) (25) which will help us to reach the key parameters of (11), vec, and . When these vectors are reshaped as tors square matrices, the conversion matrix of the element could be extracted. In (22), the number of unknowns is three times more than the number of knowns. Therefore, we need some more information about the black box. We have provided this information by perturbation of the pump or LO power of the black box. If we recall corresponding reshaped voltages as , and we will have

(24) where matrices , and are constructed using voltage harmonics at PLO-0.5, PLO and respectively. It is apparent that the condition number of the square matrix in (24) increase when the LO power perturbation is less. This is mainly due to the fact that the less the difference in LO power, the closer the HB simulation results, which makes (24) badly conditioned. On the other hand, when LO powers are very different, the presumption

.. .

.. .

In this step the amount of perturbation is increased with 0.1 dB steps in simulations to reach a condition number of the order of 500 for the matrix. And since this condition number is achieved in , the vectors equality presumption is not violated. III. SIMULATION To confirm the accuracy of the derived formulation, some simulations incorporating classic conversion matrix formulation and proposed Black Box Modeling (BBM) approach are proposed in this section. Here, all HB simulations have been performed with the aid of the HB tool of the Agilent ADS software and all classic conversion matrix method and proposed Black Box Modeling simulations have been implemented using MATLAB. A. A Special Case Simulation To confirm the accuracy of the derived formulation as a special case, the conversion matrix elements of a bias dependent current source (nonlinear resistor) have been investigated. As a resistive part of a diode, the governing relation for the selected nonlinear resistor has an exponential form as (26)

..

.

.. .

.. .

(21)

KHEIRDOOST et al.: ANALYTICAL FORMULATION FOR BLACK BOX CONVERSION

1497

Fig. 2. Schematic of the designed mixer in ADS.

To simulate such an element, the resistive part of the diode nonlinearity in a designed balanced mixer in ADS environment has been selected, and its voltage and current harmonics have been imported in MATLB to perform the remaining classic conversion matrix or proposed BBM manipulations. The selected diode for setting the relation parameters is Agilent’s HSMS8102 diode. (Fig. 2) Since in this special case the nonlinear relation between voltage and current does not include a voltage derivative, the system order is zero and (19) will be reduced to (27) Therefore, only large signal information is sufficient to extract the conversion matrix coefficients. Simulation results for extracting the constructing elements of conversion matrix using classic approach ( defined in [1]) and BBM are shown in Fig. 3. In this figure, the horizontal axis is the index of the element and the vertical axes are amplitude and phase of the extracted element ( for a resistive elements which have been achieved by inversion of the relation (27) and are constructing elements of the conversion matrix). In this simulation, HB simulation of the order of 14 has been incorporated to extract large signal voltages and currents of the nonlinear element as a part of a balanced mixer. Using this order of , the proposed formulation will not provide enough information for construction of the matrices due their need to th harmonic of the voltage or current across the nonlinear element. In BBM formulation implementation, we have used the calculated harmonics of 1 to N associated with the elements, and to estimate harmonics to 2N, we have divided the final harmonic (Nth harmonic) to appropriate index of the harmonic to put a good approximation of the harmonics needed . B. A Diode Simulation To use the formulation in a practical case, a diode (whose model is shown in Fig. 4) has been incorporated in a balanced mixer and the HB results of the ADS have been imported in MATLAB environment. The selected diode is Agilent’s HSMS8102 diode, which has been used in balanced mixer. To implement the BBM for this case, the governing relation between voltage and current is considered as a second order so all parts of (19) have been used. In this case, since there are no constructing elements to compare the results, we need to define an error criterion for comparing the results. The relative error for elements of the conversion matrices seems to be a good criterion, but since off-diagonal elements of the matrix are small and their associated error will result in a very large relative error

Fig. 3. Conversion Matrix fundamental elements’ magnitude (a) and angle (b) for a simple zero order nonlinear resistive element using classic analytical conversion matrix method (Ana.) and proposed Black Box Modeling (BBM) method.

Fig. 4. Nonlinear model of a diode.

that does not play a key role in conversion loss calculation, we need to define a similar error criterion to compare the extracted conversion matrix using both methods. The following error criterion has been selected for comparison of the matrices (28)

are elements of calculated conversion matrix in which using classic method and are elements of calculated conversion matrix using BBM method. After implementation of the formulation for this diode at IF frequency of 10 MHz, the associated error for the extracted conversion matrix relative to classic approach (based on (28)), is about 9%, which reflects the accuracy of the method. Here because modeling results are compared to analytical results, the

1498

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

package) has have been designed and built. Then, the mixer’s conversion matrix incorporating both methods was calculated and measured. The LO and RF frequencies for this mixer are 2 GHz and 2.01 GHz respectively. The injected RF power for this circuit is . The fabricated balanced mixer is depicted in Fig. 6 [13]. To measure the conversion loss of the mixer, the LO and RF signals have been generated using HP’s 83752 Synthesizer and 83711 Synthesizer respectively. The IF power has been measured using a R3271 Spectrum analyzer. The simulation and measurement results are depicted in Fig. 5, which shows the accuracy of the proposed formulation. Fig. 5. Simulation results of mixer conversion loss using BBM, HB and measurments results and associated erros of model.

Fig. 6. Fabricated balanced mixer at the center frequency of 2 GHz.

9% error is tolerable, which is verified when conversion loss of a mixer using both methods is compared (Fig. 5). C. Simulation Considerations The governing relation between voltage and current of the nonlinear part of the diode is mostly exponential. Due to the known features of the exponential function, a small error or perturbation when the diode is forward biased by the LO source will result in large errors in the calculated current. In addition, since higher order harmonics in the HB simulation are prone to more error, the resultant associated error in higher harmonics will be greater. Therefore, after calculation of the vectors , and , a lowpass filter has been applied to them to reduce the error associated to higher order harmonics of the matrices, and then they have been used in conversion matrix calculation as described before. Based on surveys performed, 4 or 5 harmonics are sufficient for rough results, but to keep the accuracy of the method a low pass filter has been applied to the results, to decay the harmonics after 4th harmonic gradually. The selected low pass filter has a cut off frequency of 8.5 GHz. IV. MEASUREMENT To verify the simulations results and to reach a measurable criterion, a Rat-Race balanced diode mixer at the center frequency of 2 GHz using Aglient’s HSMS-8102 diodes (SOT-23

V. CONCLUSION An analytical formulation has been proposed to extract the conversion matrix of a black box based on a large signal HB analysis results. In this method, in conversion matrix calculation step, there is no need to have information about the governing nonlinear relation of the elements, but knowing the system order can lead to more accurate results even though in simulated case the system order is also considered as unknown. This method is independent of the system degree of nonlinearity and could be easily applied to any type of the elements. In this paper, the method has been applied in conversion matrix calculation step in simulations, but if using a LSNA (Large Signal Network Analyzer) or NVNA, the harmonics phase are extracted, the conversion matrix of the element could be easily extracted at any desired IF frequency. Measurement results of this paper verify the formulation’s accuracy and compare the errors with the classic method. ACKNOWLEDGMENT The authors would like to acknowledge Dr. A. Banai, a Professor of Electrical Engineering in Sharif University of Technology. REFERENCES [1] S. A. Maas, Microwave Mixers. Norwood, MA: Artech House, 1986. [2] S. A. Maas, Nonlinear Microwave and RF Circuits. Norwood, MA: Artech House, 2003. [3] J. C. Pedro and N. B. Carvalho, Intermodulation Distortion in Microwave and Wireless Circuits. Norwood, MA: Artech House, 2003. [4] S. A. Mass, Noise in Linear and Nonlinear Circuits. : Artech House, 2005. [5] J. C. Pedro and S. A. Maas, “A comparative overview of microwave and wireless power-amplifier behavioral modeling approaches,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1150–1163, Apr. 2005. [6] M. Isaksson, D. Wisell, and D. Ronnow, “A comparative analysis of behavioral models for RF power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 348–359, Jan.ively. 2006. [7] W. Bosch and G. Gatti, “Measurement and simulation of memory effects in predistortion linearizers,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 12, pp. 1885–1890, Dec. 1989. [8] J. Wood, D. E. Root, and N. B. Tufillaro, “A behavioral modeling approach to nonlinear model-order reduction for RF/microwave ICs and systems,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 9, pp. 2274–2284, Sep. 2004. [9] D. Schreurs, N. Tufillaro, J. Wood, D. Usikov, L. Barford, and D. E. Root, “Development of time domain behavioral nonlinear models for microwave devices and ICs from vectorial large signal measurements and simulations,” in Proc Eur. GaAs and Related III-V Compounds Application Symp. (GAAS200), Oct. 2000. [10] M. B. Kennel, R. Brown, and H. Abarbanel, “Determining embedding dimension for phase-space reconstruction using a geometrical construction,” Phys. Rev. A, Gen. Phys., vol. 45, pp. 3403–3411, 1992.

KHEIRDOOST et al.: ANALYTICAL FORMULATION FOR BLACK BOX CONVERSION

[11] K. Shanmugam and M. Lal, “Analysis and synthesis of a class of nonlinear systems,” IEEE Trans. Circuits Syst., vol. 23, no. 1, pp. 17–25, Jan. 1976. [12] C. Evans, D. Rees, L. Jones, and M. Weiss, “Periodic signals for measuring nonlinear Volterra kernels,” IEEE Trans. Instrum. Meas., vol. 45, no. 2, pp. 362–371, Apr. 1996. [13] A. Kheirdoost, A. Banai, and F. Farzaneh, “An analytical approach in analysis of local oscillator near-the-Carrier AM noise suppression in microwave balanced mixers,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 4, pp. 760–766, Apr. 2009. [14] C. Baylis, R. J. Marks, J. Martin, H. Miller, and M. Moldovan, “Going nonlinear,” IEEE Microw. Mag., vol. 12, no. 2, pp. 55–64, Apr. 2011. [15] J. Verspecht and D. Root, “Polyharmonic distortion modeling,” IEEE Microw. Mag., vol. 7, no. 3, pp. 44–57, Jun. 2006. [16] J. Verspecht, D. Gunyan, J. Horn, X. Jianjun, A. Cognata, and D. E. Root, “Multi-tone, multi-port, and dynamic memory enhancements to PHD nonlinear behavioral models from large-signal measurements and simulations,” in Proc. IEEE/MTT-S Int. Microw. Symp., Jun. 3–8, 2007, pp. 969–972. [17] D. Vye, “Fundamentally changing nonlinear microwave design,” Microw. J.l, vol. 53, no. 3, pp. 22–22, Mar. 2010. [18] A. Cidronali, G. Loglio, J. Jargon, K. A. Remley, I. Magrini, D. DeGroot, D. Schreurs, K. C. Gupta, and G. Manes, “RF and IF Mixer Optimum Matching Impedances Extracted by Large-Signal Vectorial Meausrements,” in Proc. Eur. Gallium Arsenide and Related III-V Compounds Application Symp. (GAAS), 2003, pp. 61–64. [19] A. Cidronali, K. C. Gupta, J. Jargon, K. A. Remley, D. DeGroot, and G. Manes, “Extraction of conversion matrices for P-HEMTs based on vectorial large signal measurements,” in Proc. Int. Microw. Symp. Dig., Philadelphia, PA, Jun. 2003. [20] V. Mathews and G. Sicuranza, Polynomial Signal Processing. New York: Wiley, 2000. [21] W. J. Rugh, Nonlinear System Theory—The Volterra-Wiener Approach. Baltimore, MD: Johns Hopkins Univ. Press, 1981. [22] S. Boyd and L. Chua, “Fading memory and the problem of approximating nonlinear operators with Volterra series,” IEEE Trans. Circuits Syst., vol. CAS-32, no. 11, pp. 1150–1161, Nov. 1985. A. Kheirdoost was born in Tabriz, Iran, in 1982. He received the B.S. degree in electrical engineering from the Amir Kabir University of Technology, Tehran, Iran, in 2005, and the M.S. degree in electrical engineering from the Sharif University of technology, Tehran, Iran, in 2007. He is currently pursing the Ph.D degree at the Amirkabir University of Technology. His major interests include nonlinear microwave circuits, modeling of nonlinear microwave elements, and phased-array antennas.

1499

G. Moradi was born in Shahriar, Iran in 1966. He received the B.Sc. degree in electrical communication engineering from Tehran University, Tehran, Iran in 1989, the M.Sc. degree in the same field from Iran University of Science and Technology in 1993, and the Ph.D. degree in electrical engineering from Amirkabir University of Technology (Tehran Polytechnic), Tehran, Iran in 2002. His main research interests are numerical Electromagnetics, antennas, active microwave and mm-wave circuits and systems. In 2003, he was selected as the exemplary researcher of Iranian Ministry of Road and Transportation. During 1997 till 2006, he was a faculty member at Civil Aviation Technology College, Tehran, Iran. He joined Amirkabir University of Technology, Tehran, Iran, in 2006. He is currently an Associate Professor with the Electrical Engineering Department, Amirkabir University of Technology. He has published several papers in the refereed journals and international conferences. He coauthored 5 books (in Persian) i.e., Communication Transmission Lines, Engineering Mathematics, Probability and Statistics, Microwave Engineering, and Active Transmission Lines. The latter was selected as the book of the year of Iran in 2008.

A. Abdipour was born in Alashtar, Iran, in 1966. He received the B.Sc. degree in electrical engineering from Tehran University, Tehran, Iran, in 1989, the M.Sc. degree in electronics from Limoges University, Limoges, France, in 1992, and the Ph.D. degree in electronic engineering from Paris XI University, Paris, France, in 1996. He is currently a Professor with the Electrical Engineering Department, Amirkabir University of Technology (Tehran Polytechnic), Tehran, Iran. He has authored three books, Noise in Electronic Communication: Modeling, Analysis and Measurement (AmirKabir Univ. Press, 2005), Transmission Lines (Nahre Danesh Press, 2006) and Active Transmission Lines in Electronics and Communications: Modeling and Analysis (Amirkabir Univ. Press, 2007). His research areas include wireless communication systems (RF technology and transceivers), RF/microwave/millimeter-wave circuit and system design, electromagnetic (EM) modeling of active devices and circuits, high-frequency electronics (signal and noise), nonlinear modeling, and analysis of microwave devices and circuits.

1500

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Efficient Low-Frequency Breakdown Free Full-Wave PEEC Modeling Based on Geometrical Optics DCIM Zhi-Yuan Zong, Member, IEEE, Wen Wu, Senior Member, IEEE, Feng Ling, Senior Member, IEEE, Ji Chen, Senior Member, IEEE, and Da-Gang Fang, Fellow, IEEE

Abstract—The partial element equivalent circuit (PEEC) modeling based on geometrical optics discrete complex image method (GODCIM) is proposed. In GODCIM, the spectral domain dyadic Green’s functions for layered media (DGFLM) are expanded into a geometrical optics series. The coefficient of each term is related to the Green’s function for a half-space problem and then is expanded into an exponential series with frequency-independent parameters, which avoids the repeated computations of the complex images at each frequency brought by the conventional discrete complex image method (DCIM) and facilitates its application to the PEEC method to solve the layer medium problems. To address the lowfrequency breakdown issue in PEEC modeling, a capacitor-opened (CO) model is introduced, which leads to fewer unknowns comparing with modified nodal analysis (MNA) model. The calculation errors between CO and MNA model are verified to be negligible. Easy switching between CO model and modified loop analysis (MLA) model is realized by a switching criterion based on Kalman filter which leads to a full spectrum simulation from dc to high frequencies. Numerical results ranged from Hz to 30 GHz are given to validate the proposed method. Index Terms—Discrete complex image method (DCIM), Green’s functions, geometrical optics discrete image method (GODCIM), low-frequency breakdown, partial element equivalent circuit (PEEC).

I. INTRODUCTION

T

HE partial element equivalent circuit (PEEC) method is equivalent to the traditional Method of Moment (MoM) by selecting the same pulse function for basis and testing functions, but it differs in that actual circuit elements are used. Through introducing the partial capacitances, or coefficients of potential, and partial inductances, the PEEC approach can generate the electromagnetic models of various structures in terms of lumped element circuit network, which can be easily managed by general-purpose circuit simulators like SPICE [1], [2]. In addition, the PEEC method can be employed in both frequency and time domains [3], [4]. All of these merits make the PEEC method popular within the fields of electromagnetic Manuscript received October 02, 2011; revised February 12, 2012; accepted February 16, 2012. Date of publication April 05, 2012; date of current version May 25, 2012. Z.-Y. Zong, W. Wu, F. Ling, and D.-G. Fang are with the Ministerial Key Laboratory of JGMT, Nanjing University of Science and Technology, 210094, China (e-mail: [email protected]). J. Chen is with the Department of Electrical and Computer Engineering, University of Houston, Houston, TX77204 USA. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2189238

compatibility, electrical interconnect analysis, and signal integrity [5], [6]. Many variants of PEEC models have been devised for applications after its introduction by Ruehli during the 1970s [7], [8], such as the PEEC models including incident fields or scattering fields [9], dielectrics [10], the retarded PEEC models (rPEEC) [11], and macromodeling PEEC [12]. However, all these PEEC models used only simple Green’s functions for the free or half space [13]. This means that the dielectric has to be discretized using a 3-D volume grids, which leads to a large full matrix system. In this case, the computational costs are higher than those using differential methods. Recently, the dyadic Green’s functions for layered media (DGFLM) were introduced into the PEEC method to solve the strip-line structures in layered media [14]. With the aid of the Green’s functions for layered media, the proposed DGFLM-PEEC method requires the discretization only on conductors. The method greatly improves the efficiency compared with the method requiring the discretization of both conductor and dielectric. It can also be applied to anisotropic piecewise homogeneous layered media, However, the paper only addressed strip-line structures and only the quasi-dynamic model is given for time domain [13] due to the difficulty in obtaining the Green’s functions in the spatial domain for arbitrary multilayer medium. New progresses have been presented in [15] and [16] where the discrete complex image method (DCIM) was used in PEEC analysis for planar circuits. DCIM was adopted to avoid the time-consuming and complicated numerical computation of the Sommerfeld integrals (SIs) that is used in calculating spatial Green’s functions [17]–[21]. However, in the DCIM-PEEC approach, the complex coefficients of the complex images are frequency dependent; therefore, the discrete complex images must be determined for each frequency. For wideband analysis or for time-domain analysis through FFT, it can be time consuming. In this paper, we borrow the idea of the geometrical optics discrete complex image method (GODCIM) first developed for time-domain Green’s function derivation [22], [23] and use it in our PEEC modeling. In GODCIM, the spectral Green’s functions for multilayered media are decomposed into a series by using the principle of geometrical optics [24], [25]. Each term in the series is correspondent to the Green’s function for the half-space problem and then may be expanded into exponential series with frequency-independent parameters. Consequently, this series is available to arbitrary frequency, and the expansion is needed only once. As a special DCIM, GODCIM introduced into PEEC modeling cannot only enhance the computation efficiency but also share the recent progress

0018-9480/$31.00 © 2012 IEEE

ZONG et al.: EFFICIENT LOW-FREQUENCY BREAKDOWN FREE FULL-WAVE PEEC MODELING BASED ON GEOMETRICAL OPTICS DCIM

in DCIM [26], [27]. In [28], a hybridization of PEEC and MoM has been introduced, resulting in a significant reduction of numerical complexity. In that paper, the concept of an effective permittivity is used in PEEC modeling of microstrip lines, which causes the dielectric slab with certain relative permittivity replaced by a homogeneous medium of effective relative permittivity based on the quasi-static approximation. Therefore, the related Green’s functions are not full-wave. It is expected that the Green’s functions introduced in this paper will be a good candidate to replace them when the frequency goes higher, or both the thickness and the relative permittivity of the substrate increase. We also believe that the Green’s functions used in this paper may find their applications in other cases, such as in the analysis of radiated emissions and immunity of microstrip transmission lines, by using numerical method as an alternative to the methods based on the approximate transmission line theory [29]. The second contribution of this paper is on the subject of “low-frequency breakdown” in PEEC modeling [30]–[32]. There are two approaches to systematically form circuit equations in PEEC method. One is the modified loop analysis (MLA) that applies Kirchoff’s voltage law (KVL) to each basic PEEC cell and establishes equations with loop currents of each cell as unknowns. The other is modified nodal analysis (MNA) that applies not only KVL but also Kirchoff’s current law (KCL) to each PEEC cell and establishes equations with loop currents and node voltages as unknowns [33]. MLA PEEC modeling produces a smaller matrix compared to the MNA one, but it suffers from the “low-frequency breakdown” like MoM [30], [34]. By separating charge and current basis functions, MNA can achieve stable results from dc to high frequencies with the price of doubling the number of unknowns [34]–[36]. In this paper, a simplified circuit model working in the low-frequency range is introduced by opening the partial self-capacitors in the original MNA circuit model. Easy switching between the MLA model and this simplified capacitor-opened (CO) model can be realized. This simple approach solves the low-frequency breakdown problem and consequently leads to a full-wave simulation from dc to high frequencies with fewer unknowns. As a result, both the computational time and the memory consumption required in MNA are reduced significantly. The idea has been originally proposed in [34]. Recent developments on the error analysis and the switching criterion are presented in this paper to ensure the accuracy of the algorithm. The remainder of this paper is organized as follows. In Section II, the GODCIM-PEEC modeling is described in detail after a brief introduction of GODCIM, and S-parameters for a microstrip line ranged from 0.1 to 30 GHz are calculated by using MLA. In addition, the input impedance of a microstrip patch antenna is also given. All these numerical results have been compared with the ones simulated by commercial EM software to validate the accuracy and numerical efficiency of the proposed model. In Section III, the CO circuit model is introduced. The calculation errors caused by the partial self-capacitors opening approximation have been investigated, and a novel switching criterion based on the Kalman filter [37]–[39] is presented, which is more efficient than the technique provided in [34]. The low-frequency numerical

1501

results ranging from to 0.1 GHz are calculated by using GODCIM-PEEC modeling. The comparison results obtained by the feature-selective validation (FSV) method [40]–[43] indicate an excellent agreement between CO and MNA model. Section IV presents a conclusion. II. GODCIM-PEEC MODELING A. Formulations of GODCIM The Green’s functions for layered media can be analytically derived in the spectral domain. However, obtaining the spatialdomain Green’s function requires the evaluation of the Sommerfeld integral, which can be computationally extensive due to the oscillatory and divergent behavior of the integrands and occurrence of singularities in the spectral domain. One way to solve this problem is to use the DCIM technique [17]–[21]. In conventional DCIM, consider a layered media, which is transversely unbounded with respect to the axis ( -direction is the direction of stratification), its spectral domain Green’s functions can be expressed as (1) where represents the contribution from a few quasi-static images dominating in the near-field region and can be easily transformed to the spatial domain via Sommerfeld identity, represents the contribution from surface waves dominating in the far-field region of the substrate surface, and its spatial domain expression can also be obtained analytically through the residue calculus technique, represents the contribution from the complex images, which are related to leaky waves and are very important in the intermediate field region. Either Prony’s method or the generalized pencil of functions (GPOFs) method can be used to approximate in terms of complex exponentials as (2) is the number of the exponentials, and are where the complex coefficients, and is the longitudinal propagation constant along direction. Then the utilization of Sommerfeld identity leads to the convenient transform of . It should be noted that the conventional DCIM approach has some limitations. One is related to the surface-wave extractions that are numerically difficult and frequency dependent. The other is the complex coefficients in (2) that are frequency dependent, which results in the repeated computations of the complex images at each frequency. Even though the first difficulty can be alleviated by using the multilevel scheme [21], the second one remains unsolved. To address this issue, the GODCIM is used here. In general, GODCIM can be applied to arbitrary layered medium [25]. For simplicity, it is introduced hereinafter by taking microstrip structures as an example [22], [23]. Consider a unit electric dipole located on the upper surface of a microstrip structure with substrate thickness of and dielectric permittivity . Assuming that the observation point is on the same plane with the source point , the

1502

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

spectral domain Green’s functions can be given by [17], [19], [44] (3) and are the vector and scalar potentials, and [see where (4) at the bottom of the page]. In (4), is the transverse propagation constant, and and are propagation constants for free space and the substrate, respectively. Four steps are required to evaluate the spatial domain Green’s functions. First, by assuming which leads to in (3) and (4), the quasi-static images can be obtained as

(7) , and In (7), if equal to zero. The third step is to approximate and of complex exponentials using GPOF method [23],

are all in terms

(8) (5) , and the formulation of was used to derive . Then, the remaining images resulted from the multi-reflections of the interfaces can be expressed as geometrical optics series in the forms as where

In (8), and are complex coefficients. At last, applying Sommerfeld identity to (5) and (6), the spatial domain Green’s functions can be given by

(6) where

, and

(9) where

It should be noted that (6)–(8) are the key formulations of GODCIM. Their physical meaning can be explained as follows: the Green’s functions for layered medium are the superposition of waves reflected by half-space. The series in (9) can be viewed as the solutions of images corresponding to the original dipole

(4)

ZONG et al.: EFFICIENT LOW-FREQUENCY BREAKDOWN FREE FULL-WAVE PEEC MODELING BASED ON GEOMETRICAL OPTICS DCIM

1503

Fig. 1. Discretization of a conductor for the two cell example.

Fig. 3. GODCIM-PEEC model of a microstrip line: (a) Geometry; (b) excitation; and (c) MLA circuit model for two PEEC cells. Fig. 2. GODCIM-PEEC circuit model for a discretized conductor element [7]–[9], [13].

located at distances below the surface. and are related only with the reflection coefficients of half-space, so the complex coefficients and are frequency independent and can be determined by only one computation. Numerical results indicate that the GODCIM has high accuracy over an ultrawide frequency band up to 400 GHz [22], [23], and the efficiency of calculating Green’s functions has been improved by times, where is the number of sampling frequency. B. Formulations of GODCIM-PEEC Method The formulations of the GODCIM-PEEC method can be easily deduced from the standard PEEC one by replacing the free-space Green’s functions with the ones obtained via GODCIM. The mixed potential integral equation (MPIE) can be written in the frequency domain for an observation point as follows [7]–[9], [13]:

In the PEEC method [7]–[9], [13], the conductor has been discretized into a set of inductive and potential cells. The inductive and potential cells are offset by half cell size, as shown in Fig. 1. For simple description, assume that the current is only in the direction and a two-cell example is shown in the figure. In fact, the inductive cells correspond to the second term in (10), which is connected with the current densities. Because the current densities have relationship with the current, we can say the inductive cells are related to the current. While the potential cells correspond to the third term in (10), similarly, we can say they are connected with the charge densities or the potentials. For the PEEC formulation, both the basis and testing functions are chosen to be pulse functions in order to have equivalence to the definitions for partial inductance and coefficients of potential. The frequency-domain equation for a cell is expressed as

(10) is the incident electric field, and are where the current and charge densities at the source point is the conductivity of the conductor, and are the permeability and permittivity of free space, denotes the volume over the source, is the spatial-domain dyadic Green’s funcis the tion of vector potentials for layered media, and spatial-domain Green’s function of scalar potentials for layered media.

(11) where cells.

is the set of inductive cells. is the set of potential and are the cross-section areas of the inductive

1504

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 4. S-parameters of a microstrip line: (a) magnitude and (b) phase.

cells. is the length of the inductive cells. and are the volumes of the inductive cells. and are the mean currents of the inductive cells. and are the surface areas of the potential cells. is the mean current of the potential cell. An equivalent circuit model of a discretized conductor element can be established as shown in Fig. 2 by defining partial resistance , partial inductance and partial potential coefficients as Fig. 5. Geometry of microstrip fed rectangular microstrip patch antenna.

(12) From the derivation introduced above, we can see that and are functions of the frequency , which leads to and also as functions of frequency. However, in

the standard PEEC method, the free-space Green’s function is used. The frequency dependence is embedded into the retardation factor, in that case and are frequency independent. The integral kernel in (12) includes a series of terms. Therefore, here the and defined in GODCIM-PEEC method can be referred to generalized partial inductances and generalized partial potential coefficients.

ZONG et al.: EFFICIENT LOW-FREQUENCY BREAKDOWN FREE FULL-WAVE PEEC MODELING BASED ON GEOMETRICAL OPTICS DCIM

1505

Fig. 6. The input impedance of the microstrip patch antenna: (a) real part and (b) imaginary part.

C. Examples of GODCIM-PEEC Modeling The first example of GODCIM-PEEC modeling is a microstrip line. The geometry of a microstrip line is shown in Fig. 3(a). A conductor with width of 0.1 mm and length of 20 mm is printed on a grounded dielectric substrate of thickness of 0.5 mm and relative permittivity of 3.0. Assume that the dielectric substrate is transversely infinite. The ends of the microstrip line are considered as ports and . Port is excited by a lumped voltage source with 50internal impedance . Port is terminated with 50- load . In this case, the conductor trace is meshed lengthwise for simplicity. After the discretization, the conductor trace is divided into a number of rectangular cells, and each cell can be described

using the PEEC circuit model shown in Fig. 2. In the absence of impressed source , a GODCIM-PEEC circuit model of the microstrip line for two PEEC cells is depicted in Fig. 3(c). Values of the partial elements can be calculated by substituting (9) into (12). After it is done, circuit equations can be formed by using MLA. By defining the unknowns as the current through each loop shown in Fig. 3(c), a loop equation is then developed for each unknown current as the superstition of the voltage drop across on each element in the loop. For the PEEC model in Fig. 3, a MLA matrix results in a system of equations having the following form: (13)

1506

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

may have difficulties in analyzing circuits at low frequencies [30]–[32]. Such a problem is especially severe in VLSI circuit applications because the breakdown frequencies can be in the range of circuit operating frequencies [32]. The low-frequency information is also required in the time-domain SPICE simulator. In the following, the reasons and the solution to the low-frequency breakdown for the PEEC model are addressed.

where

A. CO Circuit Model

(14) In (14), and are partial inductance and partial potential coefficients, respectively, as defined in (12). The unknown currents can be solved using any general matrix solver. Then the microstrip line parameters (such as S-parameters) can be determined. It should be pointed out that the degree of node connectivity can make either MLA or MNA more efficient. The S-parameters of the microstrip line introduced above are simulated by GODCIM-PEEC method using full-wave Green’s functions and . Fig. 4 exhibits the numerical results with comparison to those simulated by commercial software IE3D [45]. We can see that the two results agree with each other from 0.1 to 30 GHz with the number of sampling frequency , and the computation time for calculating Green’s functions is 1/300 of the one taken in DCIM. The second example is the microstrip patch antenna shown in Fig. 5. The characteristic impedance of the microstrip is 50 . The antenna is characterized using 2-D PEEC model. That means the current densities are 2-D vector quantities and can be expressed as . Fig. 6 compares the results for input impedance given by PEEC models and commercial software CST [46]. We can see that good agreements are obtained again. Therefore, these two examples introduced above demonstrate that GODCIM-PEEC modeling can provide a complete and accurate circuit solution for microstrip structures. III. EFFECTIVE APPROACH TO SOLVE “LOW-FREQUENCY BREAKDOWN” Full-wave-based modeling and simulation tools can capture high-frequency effects accurately. However, they sometimes

Some elements in contain both the term and the term, which will cause low-frequency breakdown. The reason is that, when the frequency approaches zero, the inductive reactance of partial inductor approaches zero while the capacitive reactance of partial capacitor approaches infinity. Therefore, the inductor’s contribution to the voltage drop tends to be lost when using KVL along a closed loop in each PEEC cell. Consequently, the overall matrix is poorly conditioned and not fully ranked, which leads to instable solutions. To solve the problem, MNA is used with the circuit model shown in Fig. 7. In MNA PEEC modeling, both loop currents and node voltages are unknowns. By using KCL to write the equation at each node and KVL for each loop, the matrix relationship can be established as (15) where [see (16) at the bottom of the page]. As we can see in (15) and (16), the capacitive and inductive reactance terms have been separated from each other. Stable solution can then be obtained for low frequencies at the cost of more unknowns and larger matrix. As mentioned previously, the capacitive reactance of a partial capacitor becomes very large when the frequency approaches zero; therefore, the MNA circuit model can be approximated by opening the partial self-capacitors, as shown in Fig. 8. It should be noted that the current controlled current source (CCCS) in parallel with partial self-capacitor (shown in Fig. 5) has not been opened, which is different from the possible treatment in traditional magnetostatic scheme. That scheme yields only one closed-circuit loop and no difference exists between the currents of different PEEC cells. By using KCL at the nodes 1, 2, and 3 and KVL along the largest closed loop shown in Fig. 6, the PEEC matrix can be written as (17) where [see (18) shown at the bottom of the next page].

(16)

ZONG et al.: EFFICIENT LOW-FREQUENCY BREAKDOWN FREE FULL-WAVE PEEC MODELING BASED ON GEOMETRICAL OPTICS DCIM

1507

We can find that the only difference in (19) and (16) is the 3 3 submatrices appearing at the upper-right corner of [CO] and [MNA] that result from different KCL equations. For example, in Fig. 5, we can write the KCL equation at node 2 as (20) while, in Fig. 6, the equation is changed as

Fig. 7. MNA circuit model.

(21) The regular change of the coefficients of node voltages in (20) and (21) are caused by the approximation of viewing the partial self-capacitance as infinity in CO model. The matrix defined as can be easily obtained, and the perturbation analysis of matrix equation will be carried out according to the theory introduced as follows. Consider a general matrix equation as

Fig. 8. CO circuit model.

(22) Obviously, no element containing the term and the term exists in . This approach can give a stable solution for low frequencies with the same unknowns as MLA, which leads to the acceleration of the matrix inversion. For a conductor discretized into 400 cells, the CPU time of the impedance matrix inversion is 0.184764 s in the MNA approach while only 0.027792 s are taken in the CO approach on a computer with Intel Core2 Quad CPU @ 2.83 GHz and 8 G memory. The matrix inversion is sped up by a factor of 6.65.

where and are the coefficient, unknown, and constant matrix, respectively. Assuming is perturbed slightly by , then the perturbed equation can be written as (23) where is the perturbation in the solution. Submitting (22) into (23), we have

B. Error Analysis

(24)

We take the solution of MNA as the benchmark for the CO model. In order to compare the results between CO and MNA models, node voltages are also treated as unknowns in the CO model. Then, we have the PEEC matrix with the same expression as (15) except for [MNA] replaced by [CO], where

If

is nonsingular,

can be given by (25)

therefore (26) by in (26), we can Replacing by [MNA] and represent the maximum relative error of the results calculated by CO and MNA models as (27)

(19)

Then, the error can be evaluated by using (27).

(18)

1508

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

C. Switching Criterion The CO model is only valid at low frequencies. If combined with the MLA model, a stable simulation from dc to high frequencies can be obtained with fewer unknowns compared with MNA model. Therefore, a rigorous criterion on when to switch shall be established. Setting an empirical threshold is difficult because the threshold is dependent on the structure and changes irregularly with different problems. By judging whether the matrix is of full rank may be an adaptive way to realize the switch [34], but the matrix is very ill-conditioned when its rank is on the verge of reducing, and this means that the MLA results may have an error with the real solution in a small frequency range before switching. Here, a novel criterion based on Kalman filter is proposed. The Kalman filter was proposed by R. E. Kalman in 1960 [37]. It is a set of mathematical equations that provides an efficient recursive means to estimate the state of a process, in a way that minimizes the mean of the squared error. How to use Kalman filter switching between different models will be explained next by taking the loop current calculation in PEEC method as an example. At first, we use MLA model to calculate loop currents at high frequency. Then, use Kalman filter to track and estimate the loop currents. After that, compare the estimated values with the results calculated by MLA at next lower frequency. If the error is higher than the limitation, MLA model can be replaced by CO model. The formulations for the Kalman filter are briefly summarized below [37]–[39]. Assuming the process to be estimated can be described by linear stochastic difference equation (28) with a measurement equation as (29) where represents the loop current, is the measurement value of and, in the PEEC application, it is the result calculated by MLA, represents the optional control function and has been left out in our example, the subscript represents the frequency point, is the gain relating to , in the absence of either a driving function or process noise, is the gain relating to and is the gain relating to . The random variables and represent the process noise and measurement noise, respectively. They are assumed to be independent of each other, white, and with normal probability distributions (30) where and are the process noise covariance and measurement noise covariance. The detail description on how to determine or to choose and can be found in [37]–[39]. The computation process of the Kalman filter can be regarded as an iteration loop related with five iteration equations. First, the time update or predictor equations can be written as (31) (32)

Fig. 9. Currents calculated by MNA and MLA models: (a) real part of the currents and (b) imaginary part of the currents.

where is a priori state estimate at frequency given knowledge of the process prior to frequency is a posteriori state estimate at frequency given measurement values is the error covariance in the priori estimate, and is the error covariance in the posteriori estimate. In the PEEC application, is regarded as the predict value of frequency and will be compared with to determine whether to switch the models. Second, the measurement update or corrector equations can be given by (33) (34) (35) is the Kalman gain or blending factor that miniwhere mizes the posteriori error covariance. In the PEEC application,

ZONG et al.: EFFICIENT LOW-FREQUENCY BREAKDOWN FREE FULL-WAVE PEEC MODELING BASED ON GEOMETRICAL OPTICS DCIM

1509

Fig. 10. Currents calculated by MNA and CO models: (a) real part of the currents and (b) imaginary part of the currents.

is regarded as the correct value of frequency estimated by tracking . In the actual implementation of the filter, the initial estimates for posteriori state and posteriori error covariance should be set in advance. They are submitted into (31) and (32) to obtain and for the next frequency point. Then, the and are submitted into (33)–(35) to calculate and . After each “time and measurement” updates pair, the process is repeated with the previous posteriori estimates used to predict the new priori estimates. This recursive nature makes the filter appealing due to less computation and memory consumption. In general, and can be set arbitrarily, but is not recommended, which will cause the algorithm divergent owing to the actual measurement being trusted less and less. To speed up the tracking process, it is recommended that calculating by MLA at the frequency a little higher than the start frequency, then setting as . Considering that the relative errors expressed as are usually high (even exceeding the limitation set in advance) at the initial several frequency points, the switch should be judged after the relative errors lower than 50% have been observed at five or more successive frequency points to ensure that the tracking is successful. D. Numerical Results The low-frequency characteristics of the microstrip line shown in Fig. 3 have been investigated. The currents through the voltage source calculated by MNA and MLA models are shown in Fig. 9. An obvious breakdown can be observed at

Fig. 11. Feature difference measure results: (a) magnitude comparison between MLA and MNA models and (b) magnitude comparison between CO and MNA models.

the frequencies lower than Hz. However, the detail graph shows that the difference between two results becomes large from Hz. Comparing with Fig. 9, good agreements between MNA and CO models are obtained at frequencies lower than Hz, as shown in Fig. 10. To quantify the numerical comparison among the results from MNA, MLA, and CO models, the feature-selective validation (FSV) method is used. FSV is a significant technique to determine the level of agreement between the results from

1510

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 12. The maximum relative errors of the results provided by CO and MNA models.

Fig. 13. The imaginary part of the currents calculated by MLA model and estimated by the Kalman filter.

different sources and to quantitatively provide additional information on the changes and variations [40]–[43]. All of them can then be used to provide a measure of acceptability on the proposed method and to find whether there is any possibility to achieve further improvement of the method. Without the application of the FSV, it is impossible to achieve these goals in such a solid base only through a purely visual assessment. Using the FSV method, we compared the data shown in Figs. 9 and 10. The comparison results are shown in Fig. 11. The feature difference measure values are 0.15 for MLA versus MNA and 2.e-4 for CO versus MNA, indicating an excellent agreement between CO and MNA results. Fig. 12 shows the maximum relative errors of the results provided by CO and MNA models. The errors are calculated from (27) by using the 1-norm of the matrix. The errors are monotone decreasing with frequency and very small as theoretical prediction, which means that, in the low-frequency application, the error caused by opening the partial self-capacitors can be neglected. Fig. 13 exhibits the imaginary part of the currents calculated by the MLA model (corresponding to ) and estimated by the Kalman filter (corresponding to ), and their relative errors (corresponding to ) are shown in Fig. 14. From Fig. 14, we can find that the relative errors are small at the beginning of the tracking process, indicating that the MLA solutions are tracked by the Kalman filter. From the frequency of Hz, the relative errors become larger due to the breakdown of MLA solutions at low frequencies. At this point, the

Fig. 14. The relative errors between the imaginary part of the currents calculated by MLA model and estimated by the Kalman filter.

imaginary part of the currents calculated by the MLA model is different from that of the estimates by two orders of magnitude. This recognized frequency corresponds well with the one generated by the comparison of MNA and MLA models. This result shows that the Kalman filter has the capability to capture the “breakdown” frequency point. It should be mentioned that all the numerical results of low frequencies are calculated by the GODCIM-PEEC method, which has another advantage compared with DCIM-PEEC. That is, the calculation of complex coefficients and in (2) has a large error because is very close to at low frequencies, and it is difficult to approximate the very small by complex exponentials. This problem does not exist in the GODCIM-PEEC method. In our calculation, the numerical results ranging from Hz to 30 GHz are dependent on only one computation of the complex coefficients and in (8) at frequency 20 GHz. IV. CONCLUSION By using GODCIM, the repeated computation of the complex coefficients in DCIM is avoided. This efficient method is attractive, especially for wideband analysis or for time-domain analysis through FFT. A CO model is introduced to address the low-frequency breakdown problem with fewer unknowns compared with the conventional MNA. A rigorous criterion based on the Kalman filter is established to ensure both the high computational efficiency and controllable error. A full-wave simulation from Hz to 30 GHz is realized by switching formulations between CO and MLA models. Numerical results verify the efficiency and accuracy of the proposed methods. ACKNOWLEDGMENT The authors would like to thank the editors and reviewers of this Transactions very much for their scrutiny and valuable comments, which lead to a great improvement on this paper. REFERENCES [1] A. E. Ruehli, U. Miekkala, and H. Heeb, “Stability of discretized partial element equivalent EFIE circuit models,” IEEE Trans. Antennas Propag., vol. 43, no. 6, pp. 553–559, June 1995. [2] X. Zhang, W. H. Chen, and Z. H. Feng, “Novel SPICE compatible partial-element equivalent-circuit model for 3-D structures,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 11, pp. 2808–2815, Nov. 2009.

ZONG et al.: EFFICIENT LOW-FREQUENCY BREAKDOWN FREE FULL-WAVE PEEC MODELING BASED ON GEOMETRICAL OPTICS DCIM

[3] D. Gope, A. E. Ruehli, C. Yang, and V. Jandhyala, “(S)PEEC: Timeand frequency-domain surface formulation for modeling conductors and dielectrics in combined circuit electromagnetic simulations,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 6, pp. 2453–2464, Jun. 2006. [4] J. Ekman, G. Antonini, A. Orlandi, and A. E. Ruehli, “Impact of partial element accuracy on PEEC model stability,” IEEE Trans. Electromagn. Compat., vol. 48, no. 1, pp. 19–32, Feb. 2006. [5] A. Rong, A. C. Cangellaris, and L. M. Dong, “Comprehensive broadband electromagnetic modeling of on-chip interconnects with a surface discretization-based generalized PEEC model,” IEEE Trans. Adv. Packag., vol. 28, no. 3, pp. 434–444, Aug. 2005. [6] H. D. Bröuns, C. Schuster, and H. Singer, “Numerical electromagnetic field analysis for EMC problems,” IEEE Trans. Electromagn. Compat., vol. 49, no. 2, pp. 253–262, May 2007. [7] A. E. Ruehli and P. A. Brennan, “Efficient capacitance calculations for three-dimensional multiconductor systems,” IEEE Trans. Microw. Theory Tech., vol. 21, no. 2, pp. 76–82, Feb. 1973. [8] A. E. Ruehli, “Equivalent circuit models for three dimensional multiconductor systems,” IEEE Trans. Microw. Theory Tech., vol. MTT-22, no. 3, pp. 216–221, Mar. 1974. [9] A. E. Ruehli, J. Garrett, and C. R. Paul, “Circuit models for 3-D structures with incident fields,” in Proc. IEEE Int. Symp. Electromagn. Compat., Dallas, TX, Aug. 1993, pp. 28–31. [10] A. E. Ruehli and H. Heeb, “Circuit models for three-dimensional geometries including dielectrics,” IEEE Trans. Microw. Theory Tech., vol. MTT-40, pp. 1507–1516, Jul. 1992. [11] H. Heeb and A. E. Ruehli, “Three-dimensional interconnect analysis using partial element equivalent circuits,” IEEE Trans. Circuits Syst., vol. 39, no. 11, pp. 974–982, Nov. 1992. [12] S. V. Kochetov and G. Wollenberg, “Stability of full-wave PEEC models: Reason for instability and approach for correction,” IEEE Trans. Electromagn. Compat., vol. 47, no. 4, pp. 738–748, Nov. 2005. [13] S. V. Kochetov, M. Leone, and G. Wollenberg, “PEEC formulation based on dyadic Green’s function for layered media in the time and frequency domains,” IEEE Trans. Electromagn. Compat., vol. 50, no. 4, pp. 953–964, Nov. 2008. [14] S. V. Kochetov, G. Wollenberg, and M. Leone, “PEEC-models based on dyadic Green’s functions for structures in layered media,” in Proc. Int. Symp. Electromagn. Compat., Saint Petersburg, Russia, Jun. 26–29, 2007, pp. 179–182. [15] K. Yang and K. L. Wu, “Generalized partial-element equivalent-circuit analysis for planar circuits with slotted ground,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 7, pp. 1734–1742, Jul. 2009. [16] Z. Y. Zong, W. Wu, and D. G. Fang, “Ultra-wideband EM modeling using DCI-PEEC method,” in Proc. IEEE 2010 Int. Conf. Ultra-Wideband, Nanjing, China, Sep. 2010, pp. 1–4. [17] D. G. Fang, J. J. Yang, and G. Y. Delisle, “Discrete image theory for horizontal electric dipoles in a multilayered medium,” in Proc. Inst. Electr. Eng., Oct. 1988, vol. 135, no. 5, pp. 297–303, pt.H. [18] Y. L. Chow, J. J. Yang, D. G. Fang, and G. E. Howard, “Closed-form spatial Green’s function for the thick substrate,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 3, pp. 588–592, Mar. 1991. [19] M. I. Aksum and R. Mittra, “Derivation of closed-form Green’s functions for a general microstrip geometry,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 11, pp. 2055–2062, Nov. 1992. [20] R. A. Kipp and C. H. Chan, “Complex image method for sources in bounded regions of multilayer structures,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 5, pp. 860–865, May 1994. [21] M. I. Aksun, “A robust approach for the derivation of closed-form Green’s functions,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 5, pp. 651–658, May 1996. [22] Y. Xu, D. G. Fang, M. Y. Xia, and C. H. Chan, “Speedy computation of the time-domain Green’s function for microstrip structures,” Electron. Lett., vol. 36, no. 22, pp. 1855–1857, Oct. 2000. [23] Y. Xu, “Time domain Green’s function for microstrip structures and frequency domain multiresolution method in free space,” Ph.D. dissertation, Dept. Elect. Eng., Nanjing Univ. of Sci. Technol., Nanjing, China, 2001. [24] J. A. Kong, Electromagnetic Wave Theory. New York: Wiley, 1986, pp. 325–328. [25] F. Ling, V. Okhmatovski, B. Song, and A. Dengi, “Systematic extraction of static images from layered media Green’s function for accurate DCIM implementation,” IEEE Antennas Wireless Propag. Lett., vol. 6, pp. 215–218, Apr. 2007. [26] Y. P. Chen, W. C. Chew, and L. Jiang, “A novel implementation of discrete complex image method for layered medium Green’s function,” IEEE Antennas Wireless Propag. Lett., vol. 10, pp. 419–422, May 2011.

1511

[27] A. Alparslan, M. I. Aksun, and K. A. Michalski, “Closed-form Green’s functions in planar layered media for all ranges and materials,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 3, pp. 602–613, Mar. 2010. [28] V. Vahrenholt, H. D. Brüns, and H. Singer, “Fast EMC analysis of systems consisting of PCBs and metallic antenna structures by a hybridization of PEEC and MoM,” IEEE Trans. Electromagn. Compat., vol. 52, no. 4, pp. 962–973, Nov. 2010. [29] D. A. Hill, D. G. Camell, K. H. Cavcey, and G. H. Koepke, “Radiated emissions and immunitiy of microstrip transmission line: Theory and reverbration chamber measurements,” IEEE Trans. Electromagn. Compat., vol. 38, no. 2, pp. 165–172, May 1996. [30] S. Yan, J. M. Jin, and Z. P. Nie, “EFIE analysis of low-frequency problems with loop-star decomposition and Calderón multiplicative preconditioner,” IEEE Trans. Antennas Propag., vol. 58, no. 3, pp. 857–867, Mar. 2010. [31] H. Ke, T. H. Hubing, and F. Maradei, “Using the LU recombination method to extend the application of circuit-oriented finite element methods to arbitrarily low frequencies,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 5, pp. 1189–1195, May 2010. [32] J. F. Zhu and D. Jiao, “A theoretically rigorous full-wave finite-element-based solution of Maxwell’s equations from dc to high frequencies,” IEEE Trans. Adv. Packag., vol. 33, no. 4, pp. 1043–1050, Nov. 2010. [33] C. Ho, A. Ruehli, and P. Brennan, “The modified nodal approach tonetwork analysis,” IEEE Trans. Circuits Syst., vol. CS-22, no. 6, pp. 504–509, Jun. 1975. [34] Z. Y. Zong, W. Wu, and D. G. Fang, “Simple approach solving lowfrequency breakdown problem in MLA PEEC method,” Electron. Lett., vol. 47, no. 9, pp. 535–536, May 2011. [35] D. Gope, A. E. Ruehli, and V. Jandhyala, “Solving low-frequency EM-CKT problems using the PEEC method,” IEEE Trans. Adv. Packag., vol. 30, no. 2, pp. 313–320, May 2007. [36] G. Antonini and A. E. Ruehli, “A new frequency domain waveform relaxation algorithm for PEEC models,” in Proc. Int. Symp. Electromagn. Compat., Detroit, MI, Aug. 2008, pp. 1–6. [37] R. E. Kalman, “A new approach to linear filtering and prediction problems,” ASME J. Basic Eng., vol. 82, pp. 34–45, Mar. 1960. [38] G. Welch and G. Bishop, Apr. 5, 2004, “An introduction to the Kalman filter,” [Online]. Available: http://www.cs.unc.edu/~welch, 1st ed. [39] M. S. Grewal and A. P. Andrews, Kalman Filtering: Theory and Practice Using MATLAB. Hoboken, NJ: Wiley, 2008, pp. 137–144. [40] IEEE Standard for Validation of Computational Electromagnetics Computer Modeling and Simulations, IEEE Standard 1597.1TM, 2008. [41] IEEE Recommended Practice for Validation of Computational Electromagnetics Computer Modeling and Simulations, IEEE Standard 1597. 2TM, 2010. [42] A. P. Duffy, A. J. M. Martin, A. Orlandi, G. Antonini, T. M. Benson, and M. S. Woolfson, “Feature selective validation (FSV) for validation of computational electromagnetics (CEM). Part I—The FSV method,” IEEE Trans. Electromagn. Compat., vol. 48, no. 3, pp. 449–459, Aug. 2006. [43] A. Orlandi, A. P. Duffy, B. Archambeault, G. Antonini, D. E. Coleby, and S. Connor, “Feature selective validation (FSV) for validation of computational electromagnetics (CEM). Part II—Assessment of FSV performance,” IEEE Trans. Electromagn. Compat., vol. 48, no. 3, pp. 460–467, Aug. 2006. [44] L. B. Felsen and N. Marcuvitz, Radiation and Scattering of Waves. Hoboken, NJ: Wiley, 2003, pp. 224–239. [45] IE3D [Online]. Available: http://www.mentor.com/electromagnetic-simulation/ [46] CST Microwave Studio [Online]. Available: http://www.cst.com/content/products/mws/overview.aspx Zhi-Yuan Zong (M’11) received the B.Eng. degree in industrial automation and the Ph.D. degree in optical engineering, both from the Nanjing University of Science and Technology, Nanjing, China, in 1995 and 2000, respectively. Since 2000, she has been with the School of Electronic Engineering and Photoelectric Technology, Nanjing University of Science and Technology, where she is presently an Associate Professor. Her research interests include computational electromagnetic, modeling and design of frequency selective surfaces, and MMW/IR combined detection technology.

1512

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Wen Wu (SM’10) received the Ph.D. degree in electromagnetic field and microwave technology from Southeast University, Nanjing, China, in 1997. He is presently a Professor with the School of Electronic Engineering and Photoelectric Technology, and an Associate Director in Ministerial Key Laboratory of JGMT, Nanjing University of Science and Technology, Nanjing, China. He has authored and coauthored over 60 journal and conference papers and holds five patents. His current research interests include integrated circuits, antennas, and associated systems for microwave and millimeter wave applications. Dr. Wu has received the Ministerial and Provincial-Level Science and Technology Awards six times.

Feng Ling (S’97–M’00–SM’07) received the B.S. and M.S. degrees in electrical engineering from the Nanjing University of Science and Technology, Nanjing, China, in 1993 and 1996, respectively, and the Ph.D. degree in electrical engineering from the University of Illinois at Urbana-Champaign (UIUC) in 2000. Between 2000 and 2002, he was a Senior Staff Engineer/Scientist with Motorola, Tempe, AZ. In 2002, he joined Neolinear, Tempe, AZ, leading the electromagnetic solver development for mix-signal RF integrated circuit designs. He joined Cadence through its acquisition of Neolinear in 2004. In 2007, he co-founded Physware, where he served as Vice-President of Engineering. He was an Affiliate Associate Professor in the Department of Electrical Engineering at the University of Washington, Seattle, WA, from 2007 to 2011. Currently, he is Founder and CEO of Xpeedic Technology, Inc. He is also Professor at the Nanjing University of Science and Technology. His research interests include electronic design automation (EDA) solution for integrated circuit, package, and system designs. He has authored and coauthored two book chapters and 62 papers in refereed journals and conference proceedings. He holds five U.S. patents. Dr. Ling was the recipient of the 1999 Y. T. Lo Outstanding Research Award from the Department of Electrical and Computer Engineering at UIUC.

Ji Chen (S’87–M’90–SM’07) received the Bachelor’s degree from Huazhong University of Science and Technology, Wuhan, Hubei, China, the Master’s degree from McMaster University, Hamilton, ON, Canada, in 1994, and the Ph.D. degree from the University of Illinois at Urbana-Champaign in 1998, all in electrical engineering. He is currently an Associate Professor with the Department of Electrical and Computer Engineering, University of Houston, Houston, TX. Prior to joining the University of Houston, from 1998 to 2001, he was a Staff Engineer with Motorola Personal Communication Research Laboratories, Chicago, IL. His research interests include computational electromagnetic, modeling and design of biomedical instruments, stochastic analysis of periodic structure and nonperiodic structures, and characterization of composite materials. Dr. Chen has received an outstanding teaching award and outstanding junior faculty research award from the College of Engineering at the University of Houston. He is also the recipient of an ORISE fellowship in 2007. His research group also received the Best Student Paper Award at the IEEE EMC Symposium 2005 and the Best Paper Award from the IEEE APMC Conference in 2008. In 2011, he received the technical achievement from the IEEE EMC Society.

Da-Gang Fang (SM’90–F’03) was born in Shanghai, China. He graduated from the graduate school of the Beijing Institute of Posts and Telecommunications, Beijing, China, in 1966. From 1980 to 1982, he was a visiting scholar at Laval University, Quebec, Canada, and the University of Waterloo, Ontario, Canada. Since 1986, he has been a Professor at the Nanjing University of Science and Technology (NJUST), Nanjing, China. Since 1987, he had been a Visiting Professor with six universities in Canada and in Hong Kong. He has authored and coauthored three books, two book chapters, and more than 380 papers. He is also the owner of three patents. His research interests include computational electromagnetics, microwave integrated circuits, antennas, and EM scattering. Prof. Fang is a Fellow of the Chinese Institute of Electronics (CIE), an Associate Editor of one Chinese journal , and on the Editorial or Reviewer Board of several international and Chinese journals. He was TPC Chair of ICMC 1992, Vice General Chair of PIERS 2004, the member of the International Advisory Committee of six international conferences, and TPC Co-Chair of APMC 2005 and was the General Co-Chair of ICMMT 2008. He was also the recipient of National Outstanding Teacher Award and People’s Teacher Medal, and the Provincial Outstanding Teacher Award. His name was listed in the Marquis Who is Who in the World (1995) and in International Biographical Association Directory (1995).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

1513

Experimental Validation of Frozen Modes Guided on Printed Coupled Transmission Lines Nil Apaydin, Student Member, IEEE, Lanlin Zhang, Student Member, IEEE, Kubilay Sertel, Senior Member, IEEE, and John L. Volakis, Fellow, IEEE

Abstract—Previous work has theoretically demonstrated that nonreciprocal slow-wave modes, namely, “frozen modes,” can be supported on a pair of coupled transmission lines printed on a magnetic substrate. Small antennas have also been designed by exploiting these modes. However, to date, we have yet to demonstrate and observe their existence experimentally. To this end, we construct two printed prototypes comprised of several unit-cells and employ the “ -matrix method” to determine the dispersion properties by measuring the -parameters of these finite periodic prototypes. The printed unit-cell is designed to exhibit a unique stationary inflection point in the dispersion diagram corresponding to a frozen mode with almost zero group velocity. Through careful measurements and calculations, the frozen mode is observed to propagate at a significantly slower speed (286 times slower) than the speed of light. Importantly, this extraction method can be applied to any other periodic layout to obtain related dispersion properties. Index Terms—Coupled transmission lines (TLs), dispersion diagram, frozen mode, magnetic photonic crystals (MPCs), unidirectionality.

I. INTRODUCTION

P

ERIODIC arrangements of readily available materials have been shown to exhibit novel electromagnetic properties [1], [2]. Among these periodic composites, negative index metamaterials (NIMs) have been considered for sub-wavelength focusing and lenses with greater sensitivities [3]–[5]. Several miniature RF devices (e.g., phase shifters, couplers, and antennas) were also proposed based on printed realizations of NIMs [5]–[7]. A significant number of publications based on electromagnetic-bandgap (EBG) structures have also been considered to control wave dispersion [8], [9]. EBGs have been extensively used to realize high-impedance ground planes for conformal antenna applications [10], [11]. Several optical waveguides and filters were also proposed to exploit wave propagation in linear defects [9]. Strong resonances provided by these defect layers were shown to transform small antennas into exceptionally directive radiators [12], [13]. Most recently, properly arranged anisotropic dielectric and ferromagnetic material layers were proposed to introduce additional degrees of freedom [14]–[16]. Among them are magnetic

Manuscript received October 27, 2011; accepted March 19, 2012. Date of publication April 25, 2012; date of current version May 25, 2012. This work was supported by the Lockheed Martin Corporation under Grant CDA09-034-PE. The authors are with the ElectroScience Laboratory, Department of Electrical and Computer Engineering, The Ohio State University, Columbus, OH 43212 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2192746

photonic crystals (MPCs) exhibiting wave dispersion with a stationary inflection point (SIP) (where ) and spectral nonreciprocity . The SIP allows for excitation of the so-called “frozen mode” having zero group velocity. The inherent spectral nonreciprocity of the MPC also leads to directionally asymmetric wave propagation within the medium. Together, these two features give rise to unidirectional wave transmission, occurring specifically at the SIP frequency, which was recently validated experimentally [17]. In addition, these crystals exhibit stronger resonances that have been exploited to realize super-directive small antennas [18], [19]. Motivated by the novel properties of the MPCs, we proceeded to emulate these properties using printed structures comprised of a pair of coupled transmission lines (TLs) [20], [21]. Such printed layouts are attractive for low-cost antennas and were shown to lead to miniaturization and improved gain/bandwidth performance. In [22] and [23], several small antennas were designed and demonstrated to approach optimal gain-bandwidth products. Recently, we experimentally validated these novel properties by extracting the dispersion diagram from measured -parameters of in-house manufactured layouts [24]. However, the extraction method was not discussed and only limited data were presented. In this paper, we present the “ -matrix method” used for an accurate calculation of the dispersion properties using printed layouts of the periodic structure emulating the frozen mode. To confirm the accuracy of the proposed method and to validate the existence of the frozen mode in the printed layout, we manufactured two periodic prototypes. These were comprised of eight- and nine unit-cells and were measured to obtain their -parameters in the presence of an external dc magnetic field. The reason for using two different prototypes was to remove inaccuracies in the calculated - diagram stemming from the finite sizes of the manufactured periodic structures. The extracted dispersion diagram was then used to calculate the group velocity and the group delay of the frozen mode observed in these layouts. II. PERIODICALLY COUPLED TLs SUPPORTING FROZEN MODE It was recently reported that the periodic properties of metamaterials become prominent only when a reasonable number of unit-cells are cascaded [25]. In particular, for the coupled TLs printed on a magnetic substrate, we observed that eight or more unit-cells are needed to realize the frozen mode [21]. Guided by this requirement, we design two prototypes with eight and nine periodic cells. Measurements from these prototypes are later

0018-9480/$31.00 © 2012 IEEE

1514

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 2. Two finite printed MPC prototypes comprised of nine and eight unitcells proposed for calculating the dispersion behavior of the printed MPC unitcell.

Fig. 1. Infinitely periodic partially coupled TLs emulating MPC dispersion. (a) Top view. (b) Side view. Voltage and current waves on each side of the matrix and the propagation factor unit-cell can be related by the .

used to remove the contributions of evanescent modes and are compared with computed data. A. Dispersion Diagram Calculation/ -Matrix Method To design the printed MPC layouts for fabrication, we employ the transfer matrix method used in [20] and modify it to calculate the dispersion diagram accurately using finite periodic layouts. Fig. 1 depicts the coupled TLs printed on a magnetic substrate to support the slow-wave MPC mode. The anisotropic properties of the substrate in presence of a dc magnetic field are defined by the Polder tensor [26] (1)

The dispersion diagram for the coupled TLs in Fig. 1 is then calculated by solving for the eigenvalues versus using (3). We use the full-wave solver Ansoft HFSS v11 to carry our analysis. First, the four-port -parameters matrix (4 4) is computed for a given dc magnetic field and is then converted to 4 4 matrix, associated with four eigenvalues. Subsequently, the eigenvalues are calculated for each value using (3) to plot the - dispersion diagram. The above analysis is sufficient for an infinite array. However, for finite-size coupled TLs (that will be measured), evanescent waves are also excited at the SMA connectors (see Fig. 2). These must be suppressed for accurate evaluation of the matrix, needed to construct the dispersion diagram. This can be done using the -parameters from the nine- and eight-unit-cell TLs in Fig. 2. Specifically, using the -parameters, we obtain the overall transfer matrices and for the nine- and eightunit-cell structures. Assuming that evanescent waves primarily exist in the first and last unit-cells, where the SMA connectors are attached, we can state that (4)

where and . is the saturation magnetization of the magnetic substrate and is the angular frequency. To construct the transfer matrix, we focus on the unit-cell of the coupled TLs shown in Fig. 1. Four ports are identified (ports 1–4) and are associated with voltages to the left and to the right. The corresponding currents are and , as depicted in Fig. 1(b). Of importance is that the voltages and currents on the right and left ports are related to each other via Bloch’s periodicity condition viz.

and refer to the for the nine-unit-cell layout, where transfer matrices of the first and last unit-cells, respectively. Similarly, (5) and contain the for the eight-unit-cell layout. As both same and matrices with the contributions of evanescent modes, the unit-cell transfer matrix can be found from

(2) Using this and the transfer matrix of the unit-cell, we obtain the following eigenvalue equation: (3)

Here, is the identity matrix, is the ABCD matrix relating the four ports, and refers to the Bloch wavenumber.

(6) Since (6) is a similarity-transform between and (provided is nonsingular), the eigenvalues of and are the same [27]. Of course, as the computation and measurement of is is used to construct the - diagram for more practical, the coupled TLs. In the following, we used this procedure to calculate the matrix and design the TLs for supporting the MPC modes.

APAYDIN et al.: EXPERIMENTAL VALIDATION OF FROZEN MODES GUIDED ON PRINTED COUPLED TLs

1515

B. Tuning Printed Coupled TLs to Support the Frozen Mode To support the frozen mode on the coupled TLs, we must design the unit-cell to exhibit a dispersion diagram that contains an SIP. This is possible only if the - and - modes [28] supported on the TL pair couple to each other (through magnetic anisotropy and the periodic meandering), and thus realize the frozen mode [20]. To do so, we carefully choose the external magnetic bias strength to saturate the ferrite substrates. Specifically, the ferromagnetic resonance frequency of the ferrite (proportional to the external bias field, ) must be close to the band edge resonance frequency to attain strong magnetic anisotropy necessary for the SIP. along with other circuit parameters controls the amount of coupling between the Bloch waves supported on each line. must also be adjusted to account for the finite dimensions of the ferrite substrate and its corresponding demagnetization factors ). Specifically, Kittel’s expression is employed for an accurate calculation of the ferromagnetic resonance frequency [29] (7) In (7),

MHz/Oe. The demagnetization factors are calculated using the formulas given in [30]. Their values are usually comparable for eight- and nine-unitcell arrays, and therefore lead to the same for both layouts. Specifically, (8)

for the nine-unit-cell array, and (9) is calfor the eight-unit-cell array depicted in Fig. 3. Once culated using (7), the TL unit-cell can be tuned to exhibit a system-in-package (SIP) in the - diagram. However, of the ferrite substrates cannot be directly defined in our numerical simulation. Instead, we must specify the magnetic bias strength

(10) Subsequently, the unit-cell’s dimensions are adjusted to find the magnetic substrate’s height and other circuit parameters that lead to a SIP. Of course, any change in the unit-cell dimensions will alter the associated demagnetization factors. Consequently, and must be recalculated. Therefore, fine tuning of the TL unit-cell requires several layout iterations. Fig. 3 shows the top view of the unit-cell geometry with its dimensions finely tuned via numerical simulations. The pair of TLs is designed on a commercially available calcium vanadium garnet (CVG) substrate 100-mil thick. This substrate had a saturation magnetization of G, linewidth Oe, relative permittivity , and loss tangent . The narrow linewidth of the magnetic material is specifically chosen to minimize losses, while retaining strong nonreciprocity at the desired SIP frequency.

Fig. 3. Photograph of the actual nine- and eight-unit-cell TLs printed on ferrite slabs, and the representation of the single unit-cell layout with dimensions: (in mils).

Specifically, our layouts are designed to support a SIP in the dispersion diagram at 3 GHz. To magnetize the CVG substrate, a 1225-Oe bias field is uniformly applied in the -direction. For and values are calculated this case, the corresponding to be 3.51 GHz and 1254 Oe using (7) and (10). To verify the anisotropy of the magnetic substrate at the desired SIP frequency, the magnetic material properties were calculated at 3 GHz using Polder’s tensor (see [26] for the modified form that includes losses). As desired, the ferrite substrate exhibits ) and substantial nonrelow magnetic losses ( at the SIP frequency. ciprocity Fig. 4 displays the calculated - diagram using the -matrix method for the unit-cell geometry in Fig. 3. The SIP in the computed dispersion diagram (indicated by the blue solid lines in the online version) is clearly observed. From Fig. 4, we also observe that the dispersion curve can be modified by varying the bias field strength. Specifically, when the bias field is weak (dotted curves) or too strong (dashed curves in Fig. 4), the dispersion curves exhibit double band edge (db-BE) behavior and the SIP is no longer supported . Of course, several other circuit parameters can be used to control the SIP in the MPC dispersion curves (e.g., , as shown in Fig. 3). However, since the geometrical parameters cannot be changed after fabrication, we consider only the bias-field strength for tuning. This field can be readily controlled externally during the experiment. In the following, we present an experimental validation of the computed dispersion curves for Oe in Fig. 4. III. EXPERIMENTAL VERIFICATION As already noted, we manufactured two prototypes comprised of eight and nine unit-cells on 3.96 0.44 0.10 and 4.40 0.44 0.10 CVG substrates (obtained from TCI Ceramics, Bethlehem, PA). The material properties are given in Section II and are used in these simulations. The TLs were printed directly on the ferrite substrate using the AMI MSP-485 precision screen printer. Highly conductive silver paste (Heraeus, C1076SD, with surface resistivity m for a thickness of 12 m) was applied, and after printing it, was cured at 800 C for 10 min. A copper ground plane and SMA connectors were then attached to the structures. Fig. 3

1516

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 5. Measured and computed

- diagrams of the unit-cell design in Fig. 3.

Fig. 4. - diagrams computed for the printed MPC design in Fig. 3 under three different bias field strengths.

shows the final forms of the fabricated eight- and nine-unit-cell structures. The magnetic bias field was applied using two 6 3 1/4 permanent magnets placed in a holder with adjustable spacing between them to control the bias strength. By varying the distance between the magnets, the bias field strength was approximately set to the designed external strength of 1225 Oe. The printed layouts were then placed in the middle of the magnets for measurement. Subsequently, a set of six two-port -parameters measurements were performed for each of the eight- and nine-unit-cell layouts. From the measurement, a 4 4 -parameter matrix was constructed for each printed layout. We note that the unexcited ports were terminated with 50 during measurement. As discussed in Section II, any differences in the actual versus simulated bias field leads to changes in the ferromagnetic resonance. Consequently, the measured dispersion curves might differ from the computed ones. Therefore, prior to the experiment, we roughly tried to identify the designed ferromagnetic resonance ( GHz) by slightly changing the bias strength so that the SIP frequency is matched to the computed one. We do note, however, that the corresponding external bias field was still in the range of 1225 Oe ( 10 Oe) along the length of the structure. Fig. 5 shows the measured and computed dispersion curves for the printed coupled TLs. The computed curves were, however, shifted by 0.15 GHz for overlay. This slight frequency shift is attributed to nonuniformities in both the external and internal magnetic bias fields along the printed lines. These were caused by the finite size of permanent magnets and the nonelliptical shape of the magnetic substrate [31], respectively. Of importance is that the measured curves follow the pattern of the computed curves and show excellent agreement with computed data when shifted. A third-order polynomial was subsequently introduced to analytically represent the measured curve in Fig. 5. As expected, the measured - curve follows the third-order polynomial relation around the SIP region. This is clearly depicted in Fig. 6 with shown on the left axis.

Fig. 6. Measured and polynomial fitted - curves around the SIP region and normalized group velocity calculated from the slope of these curves. Left axis . denotes and the right axis denotes the normalized group velocity

Fig. 7. Group delay calculated for the forward and backward traveling waves . Solid through the nine-unit-cell prototype. Total traveled distance, , is lines indicate the actual measured group delay curves, whereas the blue dashed line (in online version) is calculated using the polynomial-fitted - curve in Fig. 6.

The measured - curves also provide valuable information about the wave velocities associated with the guided-wave medium. A direct measurement of these parameters is laborious

APAYDIN et al.: EXPERIMENTAL VALIDATION OF FROZEN MODES GUIDED ON PRINTED COUPLED TLs

1517

the blue dashed curve (in online version) is obtained as shown in Fig. 7. Another important property of the frozen mode is its unidirectionality. Spectral asymmetry in the dispersion curve leads to different transmittances for forward and backward propagating waves. This is clearly observed in Fig. 8(a) and (b) where the transmittance curves for the nine-unit-cell prototype are depicted. As seen, the largest contrast between the forward and backward transmittances (75%) occurs near the SIP frequency. However, there is a frequency discrepancy between the measured SIP frequency (for an infinite structure) and the frequency where this largest contrast occurs in the finite prototype. This is because the measured prototypes are comprised of only a small number of unit-cells (eight and nine unit-cells). Overlapping these two frequencies is possible only by increasing the number of unit-cells considerably (e.g., 63 unit-cells, as in [34]), leading to impractically long layouts. We also note that a dramatic decrease in transmittance values is observed for frequencies above the band edge. These were predicted in earlier theoretical studies as well [16], [34]. IV. CONCLUSION

Fig. 8. Measured forward and backward transmitted waves for the nine-unitcell structure: (a) on the meandered TL and (b) on the straight TL.

and has mostly been considered only in optics [32], [33]. Alternatively, the group velocity and group delay ( is the traveled distance) can be directly extracted from the slope of the dispersion curves, obtained from a set of simple -parameters measurements. Fig. 6 depicts the normalized group velocity (on the right axis) versus the wavenumber near the SIP. The dashed red line (in online version) indicates the measured group velocity, whereas the solid blue line (in online version) was calculated as the derivative of the third-order polynomial fit of the measured dispersion curve. It is seen that the measured frozen mode travels in the printed MPC medium at a speed 286 times slower than that in vacuum. Group delay curves are also shown in Fig. 7 for the nine-unit-cell prototype. As depicted, the group delay for the forward wave spikes at 2.804 GHz, associated with the SIP. Specifically, it takes 96.1 ns for the forward wave to travel through nine unit-cells. In contrast, the same distance is traveled in 0.33 ns when in free space. That is, and as noted above, the wave is slowed down by a factor of . Similarly, the backward wave exhibits increased group delay at 2.9 GHz due to the band-edge resonance. However, this is not as prominent as the one observed at the SIP frequency. When the curve-fitted - data in Fig. 6 is used to compute the group delay (via ),

We presented a modified -matrix method to accurately calculate the dispersion diagram from measured -parameters of the finite periodic layouts. This method was specifically employed for printed coupled TL pairs to experimentally demonstrate the existence of the frozen mode. The demonstration was done by constructing two finite periodic layouts (comprised of nine and eight unit-cells) and by performing -parameters measurements. To carry out reliable calculation, we had to calibrate out evanescent waves (supported on such finite structures) after the measurement. This led to an accurate extraction of the dispersion curves. The measured dispersion curves verified the frozen mode phenomenon and the accuracy of the proposed method. As such, we also demonstrated the validity of the proposed printed circuit model for emulating the wave behavior observed in volumetric MPCs. Further, the proposed modified -matrix method is rather general and can be applied to other periodic layouts and used to extract their dispersion properties. ACKNOWLEDGMENT The authors would like to thank Prof. R. M. Reano, ElectroScience Laboratory, The Ohio State University, Columbus, for his help with using the AMI MSP-485 screen printer. REFERENCES [1] IEEE Trans. Antennas Propag. (Special Issue), vol. 51, no. 10, Oct. 2003. [2] Y. Rahmat-Samii and H. Mosallaei, “Electromagnetic bandgap structures: Classification, characterization, and applications,” in 11th Int. Antennas Propag. Conf., Manchester, U.K., Apr. 17–20, 2001, vol. 2, pp. 560–564. [3] R. A. Shelby, D. R. Smith, and S. Schultz, “Experimental verification of a negative index of refraction,” Science, vol. 292, pp. 77–79, 2001. [4] J. B. Pendry, A. J. Holden, D. J. Robbins, and W. J. Stewart, “Magnetism from conductors and enhanced nonlinear phenomena,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 11, pp. 2075–2084, Nov. 1999. [5] A. Grbic and G. V. Eleftheriades, “Overcoming the diffraction limit with a planar left handed transmission line lens,” Phys. Rev. Lett., vol. 92, no. 11, pp. 117403 1–117403 4, Mar. 2004.

1518

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

[6] M. A. Antoniades and G. V. Eleftheriades, “Compact linear lead/lag metamaterial phase shifters for broadband applications,” IEEE Antennas Wireless Propag. Lett., vol. 2, no. 7, pp. 103–106, Jul. 2003. [7] G. V. Eleftheriades and K. G. Balmain, Negative-Refraction Metamaterial. New York: Wiley, 2005. [8] E. Yablonovich, “Inhibited spontaneous emission in solid-state physics and electronics,” Phys. Rev. Lett., vol. 58, no. 20, pp. 2059–2062, 1987. [9] J. Joannopoulos, R. Meade, and J. Winn, Photonic Crystals—Molding the Flow of Light. Princeton, NJ: Princeton Univ. Press, 1995. [10] R. F. J. Broas, D. F. Sievenpiper, and E. Yablonovitch, “A high-impedance ground plane applied to a cellphone handset geometry,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 7, pp. 1262–1265, Jul. 2001. [11] F. Yang and Y. Rahmat-Samii, “A low profile circularly polarized curl antenna over an electromagnetic bandgap (EBG) surface,” Microw. Opt. Technol. Lett., vol. 31, no. 4, pp. 264–267, Nov. 2001. [12] B. Temelkuran, M. Bayindir, E. Ozbay, R. Biswas, M. M. Sigalas, G. Tuttle, and K. M. Ho, “Photonic crystal based resonant antenna with a very high directivity,” J. Appl. Phys., vol. 87, no. 1, pp. 603–605, Jan. 2000. [13] R. Biswas, E. Ozbay, B. Temelkuran, M. Bayindir, M. M. Sigalas, and K. M. Ho, “Exceptionally directional sources with photonic-bandgap crystals,” J. Opt. Soc. Amer. B, Opt. Phys., vol. 18, no. 11, pp. 1684–1689, Nov. 2001. [14] A. Figotin and I. Vitebsky, “Nonreciprocal magnetic photonic crystals,” Phys. Rev. E, Stat. Phys. Plasmas Fluids Relat. Interdiscip. Top., vol. 63, no. 066609, pp. 1–20, May 2001. [15] A. Figotin and I. Vitebsky, “Gigantic transmission band-edge resonance in periodic stacks of anisotropic layers,” Phys. Rev. E, Stat. Phys. Plasmas Fluids Relat. Interdiscip. Top., vol. 72, no. 036619, pp. 1–12, Sep. 2005. [16] A. Figotin and I. Vitebsky, “Electromagnetic unidirectionality in magnetic photonic crystals,” Phys. Rev. B, Condens. Matter, vol. 67, no. 165210, pp. 1–20, Apr. 2003. [17] L. Zhang, S. Yarga, K. Sertel, and J. L. Volakis, “Experimental validation of non-reciprocal properties found in volumetric magnetic photonic crystals,” in IEEE AP-S Int. Symp., Toronto, ON, Canada, Jul. 11–17, 2010, pp. 1–4. [18] G. Mumcu, K. Sertel, and J. L. Volakis, “Miniature antennas and arrays embedded within magnetic photonic crystals,” IEEE Antennas Wireless Propag. Lett., vol. 5, no. 1, pp. 168–171, Dec. 2006. [19] S. Yarga, K. Sertel, and J. L. Volakis, “Degenerate band edge crystals for directive antennas,” IEEE Trans. Antennas Propag., vol. 56, pp. 119–126, Jan. 2008. [20] M. B. Stephanson, K. Sertel, and J. L. Volakis, “Frozen modes in coupled microstrip lines printed on ferromagnetic substrates,” IEEE Microw. Wireless Compon. Lett., vol. 18, pp. 305–307, May 2008. [21] N. Apaydin, K. Sertel, and J. L. Volakis, “Demonstration of unidirectional printed structures emulating magnetic photonic crystals,” in IEEE AP-S Int. Symp., Toronto, ON, Canada, Jul. 11–17, 2010, pp. 1–4. [22] G. Mumcu, K. Sertel, and J. L. Volakis, “Miniature antenna using printed coupled lines emulating degenerate band edge crystals,” IEEE Trans. Antennas Propag., vol. 57, no. 6, pp. 1618–1624, Jun. 2009. [23] E. Irci, K. Sertel, and J. L. Volakis, “Antenna miniaturization for vehicular platforms using printed coupled lines emulating magnetic photonic crystals,” Metamaterials, vol. 4, no. 2–3, pp. 127–138, 2010. [24] N. Apaydin, L. Zhang, K. Sertel, and J. L. Volakis, “Experimental verification of frozen mode phenomenon in printed magnetic photonic crystals,” in Proc. 5th Eur. Antennas Propag. Conf., Rome, Italy, Apr. 2011, pp. 2396–2398. [25] H. Mosallaei and Y. Rahmat-Samii, “Periodic bandgap and effective dielectric materials in electromagnetics: Characterization and applications in nanocavities and waveguides,” IEEE Trans. Antennas Propag., vol. 51, no. 3, pp. 549–563, Mar. 2003. [26] D. Polder, “On the theory of ferromagnetic resonance,” Phil. Mag., vol. 40, no. 99–115, Jan. 1949. [27] G. Strang, Linear Algebra and Its Applications. Stamford, CT: Thomson Learning Inc., 1988. [28] K. C. Gupta, R. Garg, I. Bahl, and P. Bhartia, Microstrip Lines and Slotlines. Norwood, MA: Artech House, 1996. [29] C. Kittel, “On the theory of ferromagnetic resonance absorption,” Phys. Rev., vol. 73, no. 2, pp. 155–161, Jan. 1948. [30] A. Aharoni, “Demagnetization factors for rectangular ferromagnetic prisms,” J. Appl. Phys., vol. 83, no. 6, pp. 3432–3434, Mar. 1998. [31] J. A. Osborne, “Demagnetising factors of the general ellipsoid,” Phys. Rev., vol. 67, p. 351, 1945.

[32] M. D. Stenner, D. J. Gauthier, and M. A. Neifeld, “The speed of information in a ‘fast-light’ optical medium,” Nature, vol. 425, pp. 695–698, 2003. [33] M. S. Bigelow, N. N. Lepeshkin, and R. W. Boyd, “Superluminal and slow-light propagation in a room temperature solid,” Science, vol. 301, pp. 200–202, 2003. [34] E. Irci, K. Sertel, and J. L. Volakis, “Unidirectional transmission characteristics of printed magnetic photonic crystals,” in IEEE AP-S Int. Symp., Charleston, SC, Jul. 5–11, 2008, pp. 1–4.

Nil Apaydin (S’06) received the B.S. degree in electrical and electronics engineering from Bilkent University, Ankara, Turkey, in 2008, and is currently working toward the Ph.D. degree in electrical engineering at The Ohio State University, Columbus. She is a Graduate Research Associate with the ElectroScience Laboratory, The Ohio State University. Her current research interests include slow-wave structures, metamaterials, and nonreciprocal microwave circuits.

Lanlin Zhang (S’11) received the B.S. degree in materials science from Fudan University, Shanghai, China, in 2003, and the Ph.D. degree in materials science and engineering from The Ohio State University, Columbus, in 2008. Since graduation, she has been a Post-Doctoral Researcher with ElectroScience Laboratory, The Ohio State University. She has authored over ten journal papers and over ten proceeding papers. She is a Reviewer for the Journal of Physics, Journal of American Ceramic Society, and Journal of Metals. Her research interests include design, fabrication, characterization, and application of advanced dielectric and magnetic materials, and their composites for RF electronics. In particular, she currently focuses on magnetic loaded metamaterials and multiferroic composites for sensing and wireless applications. Dr. Zhang is a member of the American Ceramic Society (ACerS), American Society for Medals International (ASM), and The Minerals, Metals and Materials Society (TMS). She is a reviewer for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES.

Kubilay Sertel (M’03–SM’07) received the B.S. degree from Middle East Technical University, Ankara, Turkey, in 1995, the M.S. degree from Bilkent University, Ankara, Turkey, in 1997, and the Ph.D. degree in electrical engineering and computer science from The University of Michigan at Ann Arbor, in 2003. He is currently an Assistant Professor with the Electrical and Computer Engineering Department, and a Research Scientist with the ElectroScience Laboratory, The Ohio State University, Columbus. He coauthored Frequency Domain Hybrid Finite Element Methods in Electromagnetics (Morgan & Claypool, 2006). His research includes electromagnetic theory and computational electromagnetics, integral-equation methods, fast and efficient solution methods for large-scale electromagnetics problems on massively parallel computing platforms, analysis and design of ultra-wideband antennas and arrays, frequency-selective surfaces and reconfigurable antenna arrays, metamaterials and antenna miniaturization techniques, degenerate band edge and MPCs, terahertz antennas for medical and nondestructive imaging, and measurement and characterization techniques for magneto-dielectric composites and metamaterials. Prof. Sertel is a member of URSI Commission B.

APAYDIN et al.: EXPERIMENTAL VALIDATION OF FROZEN MODES GUIDED ON PRINTED COUPLED TLs

John L. Volakis (S’77–M’82–SM’89–F’96) was born on May 13, 1956, in Chios, Greece. He received the B.E. degree (summa cum laude) from Youngstown State University, Youngstown, OH, in 1978, and the M.Sc. and Ph.D. degrees from The Ohio State University, Columbus, in 1979 and 1982, respectively. He began his career with Rockwell International (1982–1984) (now Boeing Phantom Works). In 1984, he became an Assistant Professor with The University of Michigan at Ann Arbor, and became a full Professor in 1994. He was also the Director of the Radiation Laboratory, The University of Michigan at Ann Arbor (1998–2000). Since January 2003, he has been the Roy and Lois Chope Chair Professor of Engineering with The Ohio State University, and was also the Director of the ElectroScience Laboratory. He has authored or coauthored over 280 papers in major refereed journals, nearly 500 conference papers, and 20 book chapters. He coauthored Approximate Boundary Conditions in Electromagnetics (IEE Press, 1995), Finite ElementMethod for Electromagnetics (IEEE Press, 1998), Frequency Domain Hybrid Finite Element Methods in Electromagnetics (Morgan &Claypool, 2006), Computational Methods for High Frequency Electromagnetic Interference (Verlag, 2009), and Small Antennas (McGraw-Hill, 2010). He edited Antenna Engineering Handbook (McGraw-Hill, 2007). He was an Associate Editor for Radio Science (1994–1997), the Journal of Electromagnetic

1519

Waves and Applications, and the URSI Bulletin. He has also authored several well-edited course packs on introductory and advanced numerical methods for electromagnetics, and has delivered short courses on antennas, numerical methods, and frequency-selective surfaces. He has graduated/mentored nearly 60 Ph.D. students/post-docs. His primary research deals with antennas, computational methods, electromagnetic compatibility and interference, propagation, design optimization, RF materials, multiphysics engineering and bioelectromagnetics. Dr. Volakis is a member of the URSI Commissions B and E. He was the 2004 president of the IEEE Antennas and Propagation Society (AP-S). He was a member of the IEEE AP-S Administrative Committee (AdCom) (1995–1998). He was an associate editor for the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION(1988–1992) and the IEEE Antennas and Propagation Society Magazine (1992–2006). He chaired the 1993 IEEE AP-S Symposium and Radio Science Meeting, Ann Arbor, MI, and the 2003 IEEE AP-S Symposium and Radio Science Meeting, Columbus, OH. He was the recipient of the 1998 University of Michigan (UM) College of Engineering Research Excellence Award, the 2001 UM Department of Electrical Engineering and Computer Science Service Excellence Award, and the 2010 Ohio State University Clara and Peter Scott Award for outstanding academic achievement. He is listed by ISI among the top 250 most referenced authors. He has coauthored (with his Ph.D. and post-doctoral students) 14 Best Paper Award papers at various conferences.

1520

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Unequal Wilkinson Power Dividers With Favorable Selectivity and High-Isolation Using Coupled-Line Filter Transformers Pu-Hua Deng, Member, IEEE, and Li-Chi Dai, Student Member, IEEE

Abstract—In the conventional unequal-split Wilkinson power divider, poor selectivity for each transmission path is usually a problem. To surmount this obstacle, the parallel coupled-line bandpass filter structure is utilized as an impedance transformer as well as a band selector for each transmission path in the proposed unequal-split Wilkinson power dividers. However, the bandpass filters in the proposed dividers require careful design because they may not be functional under certain conditions. For example, the odd-order coupled-line filters are not appropriate for impedance transformers in the proposed unequal-split dividers and high-isolation requirement. Using the even-order coupled-line filter transformers, this study proposes two types of unequal-split Wilkinson power dividers. The first type of the proposed dividers arranges two filter transformers near two output ports, respectively, and is capable of achieving a highly remarkable isolation between the two output ports and a good band selection in each transmission path. Specifically, not only the operating band but also the lower and higher stopbands can achieve highly favorable isolation for this type of divider. By arranging the load impedance of each port properly, the second type of the proposed dividers, which has only one filter transformer to be shared by each transmission path near the input port, is also proposed to provide effective isolation between two output ports and favorable selectivity in each transmission path. Index Terms—Bandpass filter, isolation, selectively, transformer, Wilkinson power divider.

I. INTRODUCTION

W

ILKINSON power dividers are widely used to split the power in many multichannel wireless communication systems due to the low insertion loss and extremely effective isolation between the two output ports. Several previous studies [1]–[19] have been published to discuss power division using Wilkinson power dividers. The quarter-wavelength transmission lines are essential parts of conventional Wilkinson power dividers [1], but they also require a large circuit area. Hence, many previous studies have reported reducing the sizes of the dividers. In [2], the periodic shunt open stubs were used to reduce lengths of transmission lines, and the size reduction was

Manuscript received August 14, 2011; revised February 12, 2012; accepted February 16, 2012. Date of publication March 20, 2012; date of current version May 25, 2012. This work was supported by the National Science Council of Taiwan under Grant NSC 98-2221-E-390-041, Grant NSC 99-2221-E-390-007, and Grant NSC 100-2221-E-390-027. The authors are with the Department of Electrical Engineering, National University of Kaohsiung, Kaohsiung 811, Taiwan (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2189409

approximately 25%–35%. Loading capacitors introduced into the circuit [3], which was fabricated by the coplanar waveguide (CPW) and asymmetric coplanar stripline (ACPS) structures, can substantially shrink the lengths. In [4], varactors in the power divider were used for reducing circuit size and tuning the responses. Numerous investigations have used coupled-line structures to realize different types of Wilkinson power dividers [5]–[13]. In [5], the ground plane of a pair of parallel coupled line etched as a defected ground structure was employed for harmonic suppression. By designing even- and odd-mode characteristic impedances of the coupled-line section appropriately, a switching Wilkinson power divider was developed in [6]. A coupled-line section in an unequal Wilkinson power divider [7] was used to relax the fabrication restriction. In [8], an equal-split Wilkinson power divider with one coupled-line structure near the two outputs was developed for dual-band application. The miniaturized power divider [9] was implemented with the -type multiple coupled lines, which achieve a size reduction of approximately 37% when compared with a conventional Wilkinson power divider. In general, the conventional Wilkinson power divider suffers from poor selectivity around the desired operating band. Several equal-split (3-dB) Wilkinson power dividers [12]–[15] have been proposed for improving the selectivity of each transtransmission lines mission path. To avoid the utilization of in the traditional equal-split Wilkinson power divider, [12] and [13] used filters for replacement, and the band selections can be improved accordingly. In [14], by adding additional external ultra-wideband (UWB) filters at two output ports, the presented equal-split Wilkinson power divider demonstrates superior selectivity to the conventional divider. Because unequal-split power division is also an essential component in many wireless communication systems, the unequal-split Wilkinson power divider with favorable selectivity in each channel is still a research topic of interest. Although this problem may be solved by intuitively adding an additional filter outside the input port or each output port of the conventional unequal-split Wilkinson power divider, the resulting circuit suffers from a large circuit area occupation and becomes a less preferable design option. One possible method for reducing the total circuit size is to integrate bandpass filters with a conventional unequal-split Wilkinson power divider, similar to the equal-split examples shown in [12] and [13]. However, based on our research, how to integrate higher order filters and unequal-split Wilkinson power dividers has not been discussed in any previous literature.

0018-9480/$31.00 © 2012 IEEE

DENG AND DAI: UNEQUAL WILKINSON POWER DIVIDERS WITH FAVORABLE SELECTIVITY AND HIGH-ISOLATION

This study presents two new types of favorable selectivity unequal-split Wilkinson power dividers. The first type of the proposed dividers utilizes two filter transformers to replace two transmission line transformers near the two output ports in the conventional unequal-split Wilkinson power divider to achieve favorable selectivity in each channel and isolation between two output ports. In addition, how to select the order of filter transformer near each output port, which may affect the selectivity in each channel, is also a crucial part of this study and is discussed in Sections II and III. Specifically, this type of divider with two fourth-order coupled-line filter transformers has an excellent isolation between two output ports. In addition, the higher order coupled-line bandpass filter transformers occupy the most area of this type of divider. To eliminate one filter transformer (which can reduce the circuit size) in the first type of the divider and achieve favorable selectivity in each channel as well as isolation between two output ports simultaneously, a second type of the divider is proposed to use only one filter transformer which is located near the input port and shared by the two transmission paths. Note that the transmission losses of all implemented dividers are high because a high-dielectric-loss substrate (FR4 substrate) is used, which may be improved significantly utilizing a low-loss substrate. Furthermore, theoretically, two types of the proposed dividers can design any unequal power splitting ratio. For the purpose of demonstration, the power ratio of 3/2 is designed for each proposed divider. II. ANALYSIS OF UNEQUAL-SPLIT WILKINSON POWER DIVIDER The conventional Wilkinson power divider, as shown in Fig. 1, is a three-port network composed of two quarter-wavelength transmission lines ( and ) and a resistor for isolation. The related design equations of the conventional Wilkinson power divider [1] can be expressed as (1a) (1b) (1c) (1d)

1521

Fig. 1. Conventional unequal-split Wilkinson power divider structure.

Fig. 2. Conventional unequal-split Wilkinson power divider with two transformers near two output ports.

of circuit area, that is, fabrication cost. This study presents a new type of Wilkinson power divider with favorable selectivity in each transmission path. The primary design concept of this design is to integrate bandpass filters into the unequal-split Wilkinson power divider. Compared with the conventional unequal-split Wilkinson power divider, the two transformers near two output ports can be replaced and the selectivity of each transmission path can be improved. Fig. 3 shows the structure of the proposed power divider with two bandpass filter transformers (BPF 2 and BPF 3). Generally, the selectivity in each channel can be improved by increasing the order of each filter. However, the orders of the filter transformers (BPF 2 and BPF 3) in the proposed configuration must be chosen carefully because they have significant influence on the performance of the power divider. Although the electrical lengths of the transmission lines and (see Fig. 2) are theoretically equal to 90 in ideal Wilkinson power dividers, the practical electrical length in general application can be described as (2)

(1e) , 2, or 3, is the load impedance at each port, where , is power ratio of Port 3 to Port 2, , or , is the characteristic impedance of each transmission line, and is the resistor. In unequal-split design, the load impedance can be set as 50 (system impedance) and the load impedances of two output ports ( and ) are not equal to 50 , which can be calculated by (1a) and (1b). Thus, transmission line ( or ) near each output port (Port 2 or Port 3) is usually used as an impedance transformer, as shown in Fig. 2. In general, the conventional unequal-split Wilkinson power divider (see Fig. 1) suffers from poor selectivity around each desired band. Intuitively, the selectivity can be improved by adding an additional filter outside the input port or each output port of the conventional unequal-split Wilkinson power divider. However, this may not be a design of choice in consideration

are the electrical lengths of the where is an integer and transmission lines and , respectively. From Condition (2), the matrix of the transmission line or can be expressed as

(3) where , or 3, is the characteristic impedance of the transmission line or . Thus, the transmission lines and in Fig. 2 may be replaced by the bandpass filters BPF 2 and BPF 3 shown in Fig. 3 when their matrices are approximately equal to each other, that is, [BPF 2] the matrix of and [BPF 3]

1522

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 3. Proposed unequal-split Wilkinson power divider with two bandpass filter transformers near two output ports.

the matrix of , around the operating band. For instance, the structure of coupled-line bandpass filter [1], shown in Fig. 4(a), is used in the designs of all the filter transformers within our proposed power dividers. In Fig. 4(a), the , , or , and are even-mode/ odd-mode characteristic impedance and electrical length of each coupled-line section, respectively. Fig. 4(b) shows its equivalent circuit wherein the , , or , is the admittance inverter ( inverter) and (approximately ) is electrical length. The matrix of the coupled-line filter can be written as (4a) and (4b), shown at the bottom of the page. (4a) and (4b) are matrices of odd-order and even-order coupled-line filters, respectively. Between (4a) and (4b), (4b) is more potentially possible to fit Condition (3). This implies that the even-order coupled-line filter is a superior choice to that of the odd-order for each filter in Fig. 3. For the given coupled-line filter specifications, the well-known design equations [1] can be used and described as (5a) (5b) (5c) where and represent the system impedance and the 3-dB fractional bandwidth of the coupled-line filter, respectively, and , , are the lumped circuit element values of low-pass prototype filter. From (5a)–(5c), the even- and odd-

Fig. 4. Conventional (b) Equivalent circuit.

section coupled-line bandpass filter. (a) Layout.

mode characteristic impedances of each coupled-line section [1] can be obtained by

(6) The coupled-line filter can then be designed properly in the proposed dividers for impedance matching and increasing the selectivity of each transmission path. Note that the filter transformer is a narrow band application for all of the proposed dividers. Based on our experience, the 3-dB fractional bandwidth of the filter smaller than 12% is an effective approach for the required selectivity, isolation, and impedance matching. III. TWO COUPLED-LINE FILTER TRANSFORMERS FOR UNEQUAL-SPLIT WILKINSON POWER DIVIDERS To improve the selectivity in each transmission path and replace the transformer near each output port in the conventional unequal-split Wilkinson power divider (see Fig. 2), higher order coupled-line filters are utilized, as described in Section II. However, the order of each coupled-line filter should be chosen carefully because no arbitrary order is appropriate for the design

(4a) or (4b)

DENG AND DAI: UNEQUAL WILKINSON POWER DIVIDERS WITH FAVORABLE SELECTIVITY AND HIGH-ISOLATION

1523

Fig. 6. Layout of the proposed unequal-split Wilkinson power divider with two second-order coupled-line filter transformers near two output ports.

Fig. 5. Proposed unequal-split Wilkinson power divider with two second-order coupled-line filter transformers near two output ports.

in the proposed dividers, that is, the order of each filter may affect the performances of the dividers. Fig. 5 shows the proposed power divider with two second-order coupled-line filter transformers (BPF 2 and BPF 3), that is, three coupled-line sections in each filter wherein the or , , 2, or 3, is the even-mode/odd-mode characteristic impedance in each coupled-line section of BPF 2 or BPF 3, for providing a more effective selectivity for each transmission path than the conventional unequal-split Wilkinson power divider. Because each coupled-line filter transformer in Fig. 5 is second order (even order), the matrix thereof near the center frequency can be calculated by (4b) and written as (7) Values of inverters in (7) can then be obtained from (5a)–(5c) and (7) may be rewritten as (8) This study designs all of the filters in the proposed power dividers as Butterworth-type bandpass filters. Equation (8) can satisfy Condition (3) because value of the second-order Butterworth-type bandpass filter equals one. This implies that the two quarter-wavelength transformers and in Fig. 2 may be replaced by two coupled-line filters when the system impedances of the filters are equal to and (that is, and ), respectively, as shown in Fig. 5. Therefore, each filter is used to increase the selectivity of each transmission path and operate as the impedance transformer near each output port (Port 2 or Port 3). After the designs of the two transformers (BPF 2 and BPF 3) are complete, the termination resistors and at output ports can be designed to have the same value as input termination resistor , that is, 50 or the system impedance, for ease of measurement. This paper implements all of the dividers on the FR4 substrate with a thickness of 1.6 mm, a dielectric constant of 4.4,

and a loss tangent of 0.02; the equivalent circuits are simulated using an agilent advanced design system (ADS) simulator and all of the corresponding geometrical parameters are designed by the full-wave ADS Momentum simulator. Fig. 6 shows the layout of the proposed unequal-split power divider with two second-order Butterworth-type coupled-line bandpass filter transformers (BPF 2 and BPF 3). The power ratio of Port 3 to Port 2 is 3/2. If the termination resistor of each port ( , , or ) is 50 , the related design parameters are , , , , and , respectively. The center frequency and 3-dB fractional bandwidth of each filter transformer are approximately 1.5 GHz and 8%, respectively. Therefore, the and values of BPF 2 are , , , , , and ; the and values of BPF 3 are , , , , , and . A comparison of the simulated/measured frequency responses of the divider (see Fig. 6) and the simulated frequency responses of Fig. 5 (each transmission line section is the ideal microstrip line model) is shown in Fig. 7. The measured results of minimal insertion loss are approximately 8.0 dB and 6.2 dB , respectively. The measured isolation is greater than 31 dB around the desired band. The measured center frequency and 3-dB fractional bandwidth of each transmission path are approximately 1.5 GHz and 7.5%, respectively. The measured phase response of each transmission path in Fig. 6 is also shown in Fig. 8. Similarly, to obtain a more effective selectivity in each transmission path than that in Fig. 5, an unequal-split power divider with two fourth-order Butterworth-type coupled-line bandpass filter transformers (BPF 2 and BPF 3) is proposed. Each filter is composed of five coupled-line sections to provide a more effective selectivity for each transmission path, as shown in Fig. 9, wherein the or , , or , is the even-mode/odd-mode characteristic impedance in each coupled-line section of BPF 2 or BPF 3. Because each coupled-line filter in Fig. 9 is even order, the matrix thereof near the center frequency can also be calculated by (4b) and written as

(9)

1524

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 8. Measured phase response of each transmission path in Fig. 6.

Fig. 9. Proposed unequal-split Wilkinson power divider with two fourth-order coupled-line filter transformers near two output ports.

Fig. 7. Comparison of the simulated/measured frequency responses of the divider (Fig. 6) and the simulated frequency responses of Fig. 5 (each transmission . (b) . (c) and line section is the ideal microstrip line model). (a) .

The values of inverters in (9) can then be obtained from (5a)–(5c) and (9) may be rewritten as (10)

Equation (10) can also satisfy Condition (3) because is given for the fourth-order Butterworth-type bandpass filter. Thus, the two quarter-wavelength transformers and in Fig. 2 can also be replaced by two fourth-order coupled-line filters wherein the system impedances of the filters are equal to and (that is, and ), respectively, as shown in Fig. 9. Fig. 10 shows the layout of the proposed unequal power divider with two fourth-order Butterworth-type coupled-line bandpass filter transformers (BPF 2 and BPF 3). The power ratio of Port 3 to Port 2 is 3/2. When the termination resistor of each port ( , , or ) is 50 , the related design parameters are , , , , and , respectively. The center frequency and 3-dB fractional bandwidth of each filter transformer are approximately 1.5 GHz and 8%, respectively. Therefore, the and values of BPF 2 are , , , , , , , , , and ; the and values of BPF 3 are , , , , , , , , , and . A comparison of the simulated/measured frequency responses of the divider (see Fig. 10) and the simulated frequency responses of Fig. 9 (each

DENG AND DAI: UNEQUAL WILKINSON POWER DIVIDERS WITH FAVORABLE SELECTIVITY AND HIGH-ISOLATION

1525

Fig. 10. Layout of the proposed unequal-split Wilkinson power divider with two fourth-order coupled-line filter transformers near two output ports.

transmission line section is the ideal microstrip line model) is shown in Fig. 11. The measured results of minimal insertion loss are approximately 9.9 dB and 8.1 dB , respectively. The measured isolation is greater than 32 dB around the desired band. The measured center frequency and 3-dB fractional bandwidth of each transmission path are approximately 1.5 GHz and 7.3%, respectively. The measured phase response of each transmission path in Fig. 10 is also shown in Fig. 12. Fig. 13 shows the comparison of the simulated responses for the conventional unequal-split Wilkinson power divider and the proposed divider in Fig. 10. Compared with the conventional unequal-split Wilkinson power divider, the selectivity in each transmission path of the proposed power divider (Fig. 10) was substantially improved. Specifically, an extremely remarkable isolation in the proposed structure (Fig. 10) is achieved not only in the operating band but also in the lower or higher stopband. Note that the signal between the two output ports is diminished by stopband rejections of the two filters, resulting in effective isolation in the lower and higher stopbands. Because the two filters, located in the isolation path, cause additional transmission losses, the isolation is also improved in the desired band. Although the insertion loss in each transmission path for the proposed divider is larger than the conventional unequal-split Wilkinson power divider, it is an outcome similar to the case that combines the typical unequal-split Wilkinson power divider with an external filter at each output port. In other words, the proposed divider has similar insertion loss levels to those of the conventional unequal-split Wilkinson power divider with additional filters for increasing its selectivity. However, unlike the conventional structure, the transformer near each output port in the proposed structure can be avoided and a large circuit area can be saved. To derive a more compact design and eliminate the number of filter transformers in the proposed unequal-split case in Fig. 3, a new type of unequal-split Wilkinson power divider is presented in Section IV. IV. ONE COUPLED-LINE FILTER TRANSFORMER FOR UNEQUAL-SPLIT WILKINSON POWER DIVIDERS In the discussions in Sections II and III, the transformers in conventional Wilkinson power divider can be replaced by the even-order coupled-line bandpass filters around the center

Fig. 11. Comparison of the simulated/measured frequency responses of the divider (Fig. 10) and the simulated frequency responses of Fig. 9 (each transmis. (b) . (c) sion line section is the ideal microstrip line model). (a) and .

frequency. Applying this similar concept, a new type of unequal-split Wilkinson power divider with only one coupled-line bandpass filter transformer is proposed. In the conventional unequal-split Wilkinson power divider (Fig. 1), the termination

1526

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 14. Conventional unequal-split Wilkinson power divider with two transformers near Port 1 and Port 2, respectively.

Fig. 12. Measured phase response of each transmission path in Fig. 10.

Fig. 15. Proposed unequal-split Wilkinson power divider with one bandpass filter transformer near input port.

Fig. 16. Proposed unequal-split Wilkinson power divider with one secondorder coupled-line filter transformer near input port.

Fig. 13. Comparison of the simulated results for the conventional unequal-split and the proposed structure in Fig. 10. Wilkinson power divider and . (b) . (a)

Fig. 17. Layout of the proposed unequal-split Wilkinson power divider with one second-order coupled-line filter transformer near input port.

resistors and are not equal to 50 , that is, the system impedance, when is selected, which values can be calculated by (1a) and (1b). To achieve the matching requirement for the system impedance , two transformers ( and ) can be arranged near the corresponding ports (Port 1 and Port 2), as shown in Fig. 14. To increase the selectivity in each transmission path, the transformer in Fig. 14 can be replaced by the bandpass filter transformer (BPF 1) when Condition (3) mentioned in Sections II and III is satisfied (as shown in Fig. 15). Fig. 16 shows the proposed power divider with one second-order Butterworth-type coupled-line bandpass filter transformer (BPF 1), wherein the , , 2, or

3, is the even- and odd-mode characteristic impedance of each coupled line and the filter system impedance is equal to (that is, ), near input port. For demonstration, the layout of the proposed unequal-split power divider with one second-order (even-order) Butterworth-type coupled-line bandpass filter transformer (BPF 1) is shown in Fig. 17. In Fig. 17, the divider has a size reduction of approximately (25.3 mm) in the operating band, as compared with adding a second-order coupled-line filter to the front of a conventional unequal-split Wilkinson power divider, as shown in Fig. 18. In Fig. 17, the power ratio of Port 3 to Port 2 is 3/2. When the termination resistor of each port ( , , or ) is 50 , the related design parameters are ,

DENG AND DAI: UNEQUAL WILKINSON POWER DIVIDERS WITH FAVORABLE SELECTIVITY AND HIGH-ISOLATION

Fig. 18. Layout of adding a second-order coupled-line filter to the front of a conventional unequal-split Wilkinson power divider.

1527

Fig. 20. Measured phase response of each transmission path in Fig. 17.

Fig. 21. Proposed unequal-split Wilkinson power divider with one fourth-order coupled-line filter transformer near input port.

Fig. 19. Comparison of the simulated/measured frequency responses of the divider (Fig. 17) and the simulated frequency responses of Fig. 16 (each transmisand . (b) sion line section is the ideal microstrip line model). (a) and .

, , , and , respectively. The center frequency and 3-dB fractional bandwidth of the filter transformer are approximately 1.5 GHz and 10%, respectively. Therefore, the and values of BPF 1 are , , , ,

, and . A comparison of the simulated/measured frequency responses of the divider (Fig. 17) and the simulated frequency responses of Fig. 16 (each transmission line section is the ideal microstrip line model) is shown in Fig. 19. The measured results of minimum insertion loss are approximately 7.4 dB and 5.6 dB , respectively. The measured isolation is greater than 23 dB around the desired band. The measured center frequency and 3-dB fractional bandwidth of each transmission path are approximately 1.51 GHz and 8.7%, respectively. The measured phase response of each transmission path in Fig. 17 is also shown in Fig. 20. To verify a higher order case of the filter transformer, Fig. 21 shows the proposed power divider with one fourth-order Butterworth-type coupled-line bandpass filter transformer (BPF 1), wherein the , , or is the even-mode/ odd-mode characteristic impedance of each coupled line section and the filter system impedance is equal to (that is, ), near the input port. Fig. 22 shows the layout of the proposed unequal-split power divider with one fourth-order Butterworth-type coupled-line bandpass filter transformer (BPF 1). In Fig. 22, the divider has a size reduction of approximately (25 mm) in the operating band, as compared with adding a fourth-order coupled-line filter to the front of a conventional unequal-split Wilkinson power divider, as shown in Fig. 23. In Fig. 22, the power ratio of Port 3 to Port 2 is 3/2. When the termination resistor of each port ( , , or ) is 50 , the related design parameters are , , , , and , respectively. The center frequency and 3-dB fractional bandwidth of the filter transformer are approximately 1.5 GHz and 9.5%, respectively. Therefore, the and values of BPF 1

1528

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 22. Layout of the proposed unequal-split Wilkinson power divider with one fourth-order coupled-line filter transformer near input port.

Fig. 23. Layout of adding a fourth-order coupled-line filter to the front of a conventional unequal-split Wilkinson power divider.

are

,

, , , , , and . A comparison of the simulated/measured frequency responses of the divider (Fig. 22) and the simulated frequency responses of Fig. 21 (each transmission line section is the ideal microstrip line model) is shown in Fig. 24. The measured results of minimal insertion loss are approximately 9.2 dB and 7.3 dB , respectively. The measured isolation is greater than 25 dB around the desired band. The measured center frequency and 3-dB fractional bandwidth of each transmission path are approximately 1.5 GHz and 8.6%, respectively. The measured phase response of each transmission path in Fig. 22 is also shown in Fig. 25. Although the isolation in Fig. 17 or Fig. 22 is not as favorable as that of Fig. 6 or Fig. 10 in most frequencies less than 2.5 GHz because the filter transformer of the second type of the proposed divider is not located in the isolation path, the isolation of Fig. 17 or Fig. 22 still demonstrates a modest performance around the operating band since the design rule of Wilkinson power divider is approximately met around the desired band. Furthermore, only one filter transformer is utilized in the proposed modified power divider structure (see Fig. 15), which more time of design and simulation can be saved as compared with the divider configuration of Fig. 3. ,

,

Fig. 24. Comparison of the simulated/measured frequency responses of the divider (see Fig. 22) and the simulated frequency responses of Fig. 21 (each transand . mission line section is the ideal microstrip line model). (a) and . (b)

,

Fig. 25. Measured phase response of each transmission path in Fig. 22.

V. CONCLUSION In this paper, two types of unequal-split Wilkinson power dividers using even-order (second-order and fourth-order) coupled-line filter transformers are presented for providing favorable selectivity and high-isolation frequency responses. Furthermore, the details of how to select the orders of the coupled-line filter transformers are also discussed in this manuscript. In this

DENG AND DAI: UNEQUAL WILKINSON POWER DIVIDERS WITH FAVORABLE SELECTIVITY AND HIGH-ISOLATION

paper, four proposed microstrip Wilkinson power dividers with filter transformers are carefully examined and the measured results are in agreement with the simulations. REFERENCES [1] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998, ch. 7–8. [2] K. Rawat and F. M. Ghannouchi, “Design of reduced size power divider for lower RF band using periodically loaded slow wave structure,” in IEEE MTT-S Int. Microw. Symp. Dig., Boston, MA, Jun. 2009, pp. 613–616. [3] M. C. Scardelletti, G. E. Ponchak, and T. M. Weller, “Miniaturized Wilkinson power dividers utilizing capacitive loading,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 1, pp. 6–8, Jan. 2002. [4] E. Lourandakis, M. Schmidt, A. Leidl, S. Seitz, and R. Weigel, “A tunable and reduced size power divider using ferroelectric thin-film varactors,” in IEEE MTT-S Int. Microw. Symp. Dig., Atlanta, GA, Jun. 2008, pp. 967–970. [5] J. Yang, C. Gu, and W. Wu, “Design of novel compact coupled microstrip power divider with harmonic suppression,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 9, pp. 572–574, Sep. 2008. [6] Y. Chung, R. Song, K. T. Kim, D. Ahn, and T. Itoh, “Power routing scheme with dual operating modes: Two-way Wilkinson divider and one-way signal path,” Electron. Lett., vol. 40, no. 2, pp. 129–130, Jan. 2004. [7] B. Li, X. Wu, and W. Wu, “A 10:1 unequal Wilkinson power divider using coupled lines with two shorts,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 12, pp. 781–791, Dec. 2009. [8] M. J. Park, “Dual-band Wilkinson divider with coupled output port extensions,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 9, pp. 2232–2237, Sep. 2009. [9] D. H. Lee, Y. B. Park, and Y. Yun, “Highly miniaturised Wilkinson power divider employing -type multiple coupled microstrip line structure,” Electron. Lett., vol. 42, no. 13, pp. 763–765, Jun. 2006. [10] X. Tang and K. Mouthaan, “Compact dual-band power divider with single allpass coupled lines sections,” Electron. Lett., vol. 46, no. 10, pp. 688–689, May 2010. [11] J. C. Chiu, J. M. Lin, and Y. H. Wang, “A novel planar three-way power divider,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 8, pp. 449–451, Aug. 2006. [12] P. K. Singh, S. Basu, and Y. H. Wang, “Coupled line power divider with compact size and bandpass response,” Electron. Lett., vol. 45, no. 17, pp. 892–894, Aug. 2009. [13] P. Cheong, K. I. Lai, and K. W. Tam, “Compact Wilkinson power divider with simultaneous bandpass response and harmonic suppression,” in IEEE MTT-S Int. Microw. Symp. Dig., Anaheim, CA, May 2010, pp. 1588–1591. [14] S. W. Wong and L. Zhu, “Ultra-wideband power dividers with good isolation and sharp roll-off skirt,” in Proc. Asia–Pacific Microw. Conf., Hong Kong, China, 2008, pp. 1–4.

1529

[15] T. H. Duong and I. S. Kim, “Single section Wilkinson type UWB power divider with bandpass filter and DC block characteristics in LTCC technology,” in IEEE MTT-S Int. Microw. Symp. Dig., Anaheim, CA, May 2010, pp. 117–120. [16] Y. J. Ko, J. Y. Park, and J. U. Bu, “Fully integrated unequal Wilkinson power divider with EBG CPW,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 7, pp. 276–278, Jul. 2003. [17] M. J. Park and B. Lee, “A dual-band Wilkinson power divider,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 2, pp. 85–87, Feb. 2008. [18] D. J. Woo and T. K. Lee, “Suppression of harmonics in Wilkinson power divider using dual-band rejection by asymmetric DGS,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 6, pp. 2139–2144, Jun. 2005. [19] K. Rawat and F. M. Ghannouchi, “A design methodology for miniaturized power dividers using periodically loaded slow wave structure with dual-band applications,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 12, pp. 3380–3388, Dec. 2009.

Pu-Hua Deng (M’10) was born in Kaohsiung, Taiwan, in 1978. He received the B.Sc. degree in electrical engineering from National Sun Yet-Sen University, Kaohsiung, Taiwan, in 2002, and the M.Sc. and Ph.D. degrees in communication engineering from National Taiwan University, Taipei, Taiwan, in 2004 and 2006, respectively. In 2006, he joined the ZyXEL Communication Corporation, Hsinchu, Taiwan, where he was an RF Engineer. In 2007, he joined the NXP Semiconductors Company, Kaohsiung, Taiwan, where he was an Advanced RF Testing Engineer. From August 2008 to January 2009, he was with the Faculty of the Department of Electrical Engineering, National University of Tainan, Tainan, Taiwan, as an Assistant Professor. He joined the Faculty of the Department of Electrical Engineering, National University of Kaohsiung, Kaohsiung, Taiwan, as an Assistant Professor in February 2009. His research interests include the design and analysis of microwave planar circuits.

Li-Chi Dai (S’11) was born in Kaohsiung, Taiwan, in 1988. He received the B.Sc. degree in electrical engineering from the I-Shou University, Kaohsiung, Taiwan, in 2010, and is currently working toward the M.Sc. degree in electrical engineering at the National University of Kaohsiung, Kaohsiung, Taiwan. His research interests include microwave and millimeter-wave planar circuits.

1530

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Quasi-Arbitrary Phase-Difference Hybrid Coupler Yuk Shing Wong, Student Member, IEEE, Shao Yong Zheng, Member, IEEE, and Wing Shing Chan, Member, IEEE

Abstract—Previous hybrid couplers have output phase difference that have either been 0 , 90 , or 180 . Other phase differences could only be realized using additional phase-shift elements. This increased circuit size and cost, and also degraded overall performance due to interconnecting mismatch losses. The proposed uniplanar arbitrary phase-difference coupler eliminates these additional components, thereby maintaining small size and good performance. Theoretical analysis is presented here together with measured results for two microstrip couplers for verification. Index Terms—Arbitrary phase characteristics, Butler matrix, reflectometer, 3-dB hybrid coupler.

I. INTRODUCTION

H

YBRID couplers are widely used in microwave and millimeter-wave applications to provide equal power division with constant phase difference within the operating frequency band [1]. These characteristics allow it to be extensively applied in different applications, e.g., in antenna feeding networks [2], [3], balanced power amplifiers, and signal mixers. However, the classical branch-line and rat-race couplers are large and have narrow bandwidth, which limits their use. The limited choices of phase difference between the two outputs further limit their applications. Improvements in hybrid coupler performance have been reported that are multiband [4]–[6], wideband [7]–[9], different power division ratio [10], size reduction [11], harmonic suppression [11]–[13] and integration with bandpass filter [14]. Even with these improvements, applications such as those found in phased arrays still remain difficult to implement since they [3], [15]–[18] rely heavily on phase shifters with nonstandard phase difference (45 , 60 , 120 , and 135 ). Another major shortfall of using phase shifters is the unequal loss between delay element and its reference line. The unequal loss is further compounded through the latter stage of a feed network resulting in intolerable magnitude differences among other output ports. Improvements [19]–[21] in phase shifters have been proposed, but they have higher complexities that are undesirable for low-cost applications. Numerous novel structures have been reported to improve the overall performance, such as topologies that reduce the number Manuscript received July 21, 2011; revised January 29, 2012; accepted February 02, 2012. Date of publication March 19, 2012; date of current version May 25, 2012. This work was supported by the Research Grants Council of the Hong Kong Special Administrative Region, China (Project CityU 111510) under a Graduate Research Fellowship (GRF) grant. The authors are with the Department of Electronic Engineering, City University of Hong Kong, Kowloon, Hong Kong (e-mail: markwong0909@gmail. com; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2187918

of elements [22][23], series-feeding method [24], and use of a coupler with unequal power division [10]. However, the feeding network still suffers from large amplitude imbalanced due to the varied loss from the phase shifters, which requires a number of iterations before acceptable performance can be observed. Yao and Yao proposed the modified coplanar waveguide (CPW) rat-race coupler [25], and Yao et al. proposed the modified branch-line coupler [26] and modified rat-race coupler [27], which exhibits 60 /120 phase difference at the output ports using additional external networks in order to achieve the desired response. This is especially so for the CPW rat-race [25] coupler, where four distinct matching networks are connected to each port of the coupler, which increased both its size and complexity. In [25]–[27], an innovative reflectometer topology was introduced rather than targeting the hybrid couplers. A coupler with arbitrary phase difference would eliminate the restrictions imposed by traditional couplers without the use of phase shifters. Such a circuit is proposed and its characteristics supported with theoretical analysis and design equations. This coupler offers several advantages that include the: 1) planar structure; 2) simple design method; and 3) ease of fabrication because of its low characteristic impedance, which results in wider linewidths. In Section II, the theoretical analysis and design methodology are presented. The analysis is verified with experimental results presented in Section III and concluded in Section IV. II. DESIGN AND ANALYSIS Fig. 1shows a block diagram of the conventional coupler with phase shifter, together with the proposed equivalent. Fig. 2 shows the transmission line model of the proposed coupler. Two are connected to two quarter-wavelength transmission lines transmission lines of electrical lengths and . Four ports are placed at the interception of these transmission lines resulting in symmetry across the horizontal axis. The structure of the coupler at first seems to share more similarity to the rat-race hybrid coupler due to the lines lengths than with the branch line coupler; however, the different input/output ports configuration results in different characteristics. Design equations can be derived using even and odd analysis [1] with criteria constrained by constant phase difference, power division, good return loss and isolation. In the analysis, its characteristic impedances are normalized and depicted using small letters, otherwise it would be the denormalized impedance by convention. To further illustrate and verify the design equations, two couplers are designed. One coupler is designed for use in a Butler matrix [21], while another is designed for use in antenna feeding [3]. In this section, the general design method of the proposed coupler with nonstandard phase difference is shown.

0018-9480/$31.00 © 2012 IEEE

WONG et al.: QUASI-ARBITRARY PHASE-DIFFERENCE HYBRID COUPLER

1531

ence that are noninteger multiples of 90 , should be chosen to be unequal to , while is not limited to 90

(1) (2) where

and

(3) (4)

Fig. 1. Common connection in phase array.

With unequal to , (4) can only be realized with an asymmetric coupler whose microstrip layout is similar to that of a rat-race and can be seen by the phase components in the matrix. While the circuit shown in Fig. 2 is still complex to analyze, even- and odd-mode decomposition approach [1] can be used to simplify the scattering matrix analysis. Due to symmetry in the horizontal plane, the circuit can be divided into two half circuits. Transmission and reflection coefficients are obtained for each half circuit, and the overall -parameters are calculated using (5)–(8) as follows: (5)

Fig. 2. Transmission-line model of proposed structure.

(6) A four-port passive component is reciprocal, when it is both matched and lossless at all ports [1]. Therefore, the scattering matrix is symmetric and unitary, and it can be simplified accordingly. In addition, the coupler should have good isolation so the matrix can be further reduced and is given in (1). The power division ratio (2) and phase difference (3) are the design freedom associated with the coupler design. An asymmetric four-port coupler has two distinct phase differences ( and ), the sum (3) of which equals 180 [28]. Reference [28] presents the simplest solution by selecting a zero phase reference. The following example demonstrates the concept of this phase difference. With port 1 excited, the two output phase difference is denoted by , , and . With port 4 excited, the two output phase difference is denoted by , , and . According to condition (3), equal phase differences results in the two phase difference equal to 90 , which corresponds to a quadrature coupler. In this paper, phase difference between the output ports is the most important design criteria. Therefore, the design criteria specify a variable phase difference, equal power division, good return loss, and isolation, giving the general form for the -parameters in (4). A more general case that also considers nonzero phase reference is shown in (4), where represents the reference phase. The design will focus on the variable phase differ-

(7) (8) With the requirement for excellent return loss and isolation, and are both zero (9) and the even-mode reflection coefficient equals to minus the odd-mode reflection coefficient. Similarly, the even-mode transmission coefficient equals to minus the odd-mode transmission coefficient; their relationship are summarized in (9)–(12). The detailed derivations (A14)–(A16) are shown in Part A of the Appendix. represents an expression for even-mode reflection coefficients, as given in (A8) (9) (10) (11) is numerator of (12) (13) (14)

1532

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Using (10)–(12), the phase and magnitude difference between the two output ports can be simplified to give (13) and (14) through algebraic manipulation, where is the numerator of . The electrical length is the only variable with a predefined value ( at the center frequency), all other characteristic impedances and electrical lengths are unknown and to be determined by the design requirements. It is assumed that all the unknowns are positive real numbers. The reflection and transmission coefficient for each mode are obtained using matrix manipulation [1]. The design criteria (9) and (13) are helpful in determining the relationship between the parameters in the coupler design. After algebraic manipulation, is determined by and with the detailed equation shown in (17). It can be seen that and are correlated with each other, and their relationship is given in (16). is chosen for simplicity. Based on the analysis using (12), (13), and (16), the phase difference of the coupler is summarized in (15). It can be seen that the phase difference is determined by electrical length and normalized characteristic impedance

Fig. 3. Design curve of coupler with 45 phase difference.

however, a zero solution of (18) cannot be obtained with realizable characteristic impedances and electrical length

where (15) (16) (17)

A. Coupler With 45 and

135 Phase Difference

The Butler matrix [22] is one of the most popular realizations of the feed network found in phased arrays, which is widely applied in antenna beam-forming. However, the desired phase difference found in the Butler matrix are 45 and 135 and cannot be realized with conventional power divider and hybrid coupler without the use of additional phase shifters. In response to this need, the proposed coupler is designed for use in the Butler matrix, as a 45 phase-difference coupler, but without the use of additional phase shifters. The analytical approach is used to determine the related parameters. Equations (15)–(17) can be used to describe the physical meaning of the -parameters. For a given output phase difference, it can be simplified as a function of and electrical length . Fig. 3 illustrates the relationship between and electrical length for a constant 45 phase difference. A suitable solution for a flat response can be found from further derivation of (15). Equation (15) will be manipulated to show the phase variation with respect to the change of electrical length . Since is assumed to be a linear function of frequency, it is simpler to find the first derivative of (15) with respect to . Smaller absolute values obtained will imply a flatter response. The first derivative given by (18) is defined as the response variation coefficient. It shows the general form of the first derivative of (15) with respect to electrical length ;

where

(18)

Fig. 4(a) shows the first derivative of the expression for phase characteristic against the electrical length . Initially the absolute value of the response variation coefficient decreases exponentially with increase in . Although the minimum absolute value of response variation coefficient is found at around , (7) does not have a real number solution for the square root of negative values. In practice, only the positive characteristic impedances corresponding to real numbers can be realized; therefore, the choice of has to back-off. Fig. 4(b) compares the phase response for different percentages of back-off. The line with smaller back-off results in a flatter phase response, for example among the three lines, the one with 5% back-off has the flattest response. Although the 5% back-off gives a better response, the characteristic impedance of is almost doubled compared to that of with 10% back-off. The higher characteristic impedance results in a narrower physical microstrip line width, which is difficult to fabricate. Therefore, 10% back-off is chosen as an example for achieving a compromise between flat response and practical realization. equals 10% back-off of the critical points, which is 120 . This solution is chosen for this example as a compromise between flat response and a possible solution. Using this criteria, is therefore selected from Fig. 3. The values of and are chosen as the same to simplify the design; therefore is the reciprocal of . The positive minimal value is chosen here for a compact size. is determined by substituting and into (17). Equations (2)–(4) show the theoretical design requirements and theoretical limitation of proposed coupler. The design criteria is substituted into (3), equals .

WONG et al.: QUASI-ARBITRARY PHASE-DIFFERENCE HYBRID COUPLER

Fig. 4. (a) First derivative of the phase characteristic against electrical length . (b) Comparison of phase characteristics for different percentage back-offs.

Fig. 5(a) and (b) shows the theoretical response of the 45 coupler, which are obtained using the first derivative approach. At the center frequency, the power is equally divided from Port 1 to Port 2 and 4 with the desired phase difference of 45 . The return loss and isolation at the center frequency are all greater than 70 dB. At port 3, the coupler gives equal power division with 135 phase difference, excellent return loss ( 70 dB), and isolation ( 70 dB) at the center frequency. In theory, the coupler has 17% bandwidth defined here as the return loss and isolation are greater than 10 dB, small amplitude imbalance ( 1 dB), small error of coupling factor (3.5 dB 0.5 dB), and phase offset within 5 . B. Coupler With 60 and

120 Phase Difference

Hybrid couplers with 120 phase difference are used as the fundamental building block of antenna arrays reported in [3]. In the past, there have been no such hybrid couplers, and inter-connecting traditional hybrid couplers with phase shifters is the only choice. Here, a new 60 /120 coupler is designed and verified using the proposed topology. Again, the design work starts with (15), a suitable solution is then found from the response variation coefficient (18) and the realizable value. Fig. 6(a) and (b) shows the design curve,

1533

Fig. 5. Theoretical response of 45 coupler ( , , , , ). (a) -parameters with Port 1 excitation (magnitude and phase difference). (b) -parameters with Port 3 excitation (magnitude and phase difference).

respectively. is also chosen here, (17) give no solutions of when is greater than 1. Fig. 6(a) shows the critical points at when , 10% back-off is also applied that makes . With determined , other unknowns are calculated using (16) and (17) accordingly. Thus, the circuit parameters are , , , , and . The theoretical response for the transmission-line model is shown in Fig. 7. Bandwidth of the designed coupler is 19%, which is slightly wider than that of the 45 coupler previously described. The main reason for the difference in bandwidth can be found by looking at the denominator of (18), which shows a square of a secant function of the required phase difference. The larger denominator results in a better response in the passband; the secant function is at a maximum value when the phase difference is . Thus, the phase difference of the 60 coupler has a larger denominator than for the 45 coupler. This is the main reason for the difference in bandwidth. Both couplers are able to demonstrate the validity of the topology that provides the desired phase difference in the passband without the use of additional phase shifter. All the lines with characteristic impedance below 50 are easy to

1534

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 6. Design curve of coupler with 60 /120 phase difference.

implement and fabricate using most circuit materials and easily available fabrication technologies. The coupler with nonstandard phase difference has been theoretically explored with two examples in the previous sections. Here, a general design method is summarized, since coupler design can be complex due to many criteria that other components would not be concerned with. The phase difference between two output ports, return loss, isolation, and power division ratio are the major concern in coupler design. 1) Determine the target phase difference and center frequency. The parameters of the proposed coupler are mainly determined by the target phase difference. 2) With the target phase difference given in (15), all possible combination of and that are potential solutions to construct the desired coupler can be found. The optimal solution for a flat response is determined by its changing rate of the passband (18), is chosen to give the minimum value of (18). 3) is calculated according to (15) with the obtained and target phase difference. is chosen to be same as for simplicity. The electrical length of can be calculated to be the arctangent of reciprocal tangent . 4) The is calculated by putting and into (17), if is not found to be a positive real number, there is no

Fig. 7. Theoretical response of coupler with 60 /120 phase difference. (a) Port 1 response (magnitude and phase difference). (b) Port 3 response (magnitude and phase difference).

practically realizable solution and the design will have to restart at 2) with another potential solution. 5) Once all the electrical lengths and characteristic impedances are calculated to be positive real numbers, they can be converted to physical dimension according to the substrate parameters. 6) Finally, the full-wave simulation software is used to verify the numerical results and takes into account the junction effect, which is not considered in the transmission-line model. III. EXPERIMENTAL RESULT To demonstrate and verify the analysis, a 45 and 60 coupler are fabricated using Roger Duriod 5870 with , mm. To accommodate the parasitic effects of junction discontinuity, the final layout is designed with the help of commercial electromagnetic full-wave simulation software Ansoft HFSS. Fine adjustment is necessary mainly due to the frequency shift caused by the microstrip bends and T-junction discontinuities. The final layout of the design is shown with the pertinent dimension in Fig. 8 and Table I. According to (16), is not equal to , resulting in the longer transmission line

WONG et al.: QUASI-ARBITRARY PHASE-DIFFERENCE HYBRID COUPLER

1535

Fig. 8. Layout of the proposed coupler with nonstandard phase difference.

TABLE I PHYSICAL DIMENSION OF THE COUPLER WITH 45 /135 PHASE DIFFERENCE

TABLE II PHYSICAL DIMENSION OF THE COUPLER WITH 60 /120 PHASE DIFFERENCE

Fig. 9. Top view of the fabricated coupler.

with electrical length being meandered for ease of port location. The initial value from the theoretical calculation and corresponding physical values for the layout design are listed in Tables I and II separately. Fig. 9 shows the fabricated couplers. The coupler on the right is the one with 45 /135 phase difference, while the one on the left is the coupler with 60 /120 phase difference. Fig. 10 shows the simulated and measured result for the 45 coupler. The measurements agreed well with the simulated results. Using the bandwidth definition given in Section II, the bandwidth of the 45 coupler is 13.4% at a center frequency of 2.45 GHz. The measured bandwidth of the 45 coupler is 12.2%

Fig. 10. Simulated and measured results of the coupler with 45 phase difference.

at a center frequency of 2.45 GHz. Simulated phase differences within the bandwidth are 45 2 and 135 3.4 , the measured phase differences are 45 1.6 135 2.5 . For the magnitude difference in the passband, the simulated maximum magnitude difference is 0.11 dB, while the measured magnitude difference is 0.17 dB. Small differences are observed between the simulated and measured results. A slightly compressed bandwidth is found from the measurement, which is due to fabrication errors, imperfect dielectric materials, and measurement errors.

1536

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

are 60 5 and 120 2 , while the measured phase differences in the bandwidth are 60 2.7 and 120 3 . For the maximum magnitude difference in the passband, the simulated is 0.6 dB, while the measured is 0.65 dB. The major difference is the insertion loss in the passband, with the measured being larger than the simulated. This leads to a narrowing of the bandwidth due to the coupling factor definition for the bandwidth 3 dB 0.5 dB . The extra insertion loss comes mainly from SMA connectors and fabrication tolerance. Measured results agree well with simulation for both couplers. Single-pole response in the return loss and isolation are found in the theoretical analysis, simulation, and measurement. Their results agree well with each other, which validates the design equation. The degradation of return loss and isolation is due to the parasitic effect from the microstrip discontinuities. Both couplers give the desired phase difference without the use of additional phase shifters and matching network. In addition, the 60 coupler is slightly flatter than the 45 coupler for both simulated and measured results. The measured bandwidth shows good agreement with the theoretical analysis in Section II. IV. CONCLUSION A coupler with nonstandard phase difference is proposed together with the design methodology, which hinges on the first derivative (18). Two design examples were fabricated, built, and tested to validate the proposed structure. The coupler provides a desired phase difference together with small amplitude imbalance without additional phase shifters. Formulation of the design equations for various parameters has been presented. The proposed structure allows for a simple layout to be constructed, and thus is easy to fabricate due to its low characteristic impedance, which results in wider linewidths. This makes it practical to use, and less susceptible to fabrication tolerance. APPENDIX

Fig. 11. Simulated result and measured result of the coupler with 60 phase difference.

The simulated and measured results for the 60 coupler are shown in Fig. 11. Fig. 11(a) shows the magnitude of -parameters for Port 1 and Fig. 11(b) shows the magnitude of -parameters Port 3 excitation. Fig. 11(c) shows the phase response. Measured bandwidth for this coupler is 14.3% at 2.42 GHz, and it is slightly narrower than the simulated bandwidth of 16.7% at 2.41 GHz. The simulated phase differences in the passband

The detailed relationship among the parameters are illustrated and derived here. In the derived equations, the complex number manipulation and coupler design criteria are correlated. In Part A, the physical design criteria of the coupler is presented as a mathematical expression, while the definitions of individual parameters and derived equations are presented in Part B. Part A: In even-odd mode analysis, a four-port coupler is decomposed into two two-port networks, with each two-port network resulting in two parameters: reflection and transmission coefficient. Two different networks are obtained for the two different excitation mode. The -parameters of the coupler is obtained using (A1)–(A4). represents the even-mode reflection coefficient, while represents the odd-mode reflection coefficient; this notation is also used for the transmission coefficient and . The design requirements for a coupler are good match (A1), high isolation (A3), and equal power division. Transmission coefficients (A2) and (A4) are nonzero values. Zero value of , which equals the sum of two reflection coefficients , indicates perfect match (A1). However, the difference of and equals , the only solution to

WONG et al.: QUASI-ARBITRARY PHASE-DIFFERENCE HYBRID COUPLER

this problem (A2) is two reflection coefficients of the same magnitude, but opposite in sign. A similar situation occurs in good isolation and another output ; therefore, (A2) approach can also be applied here to obtain (A4) (A1) (A2) (A3) (A4) Part B: The definitions of parameters are shown here. The general expression for reflection and transmission coefficient is shown in (A5) and (A6), this expression is based on the two-port matrix. The reflection (A5) and transmission (A6) coefficient are found to share an equal denominator; therefore, is defined (A7) as the numerator of the reflection coefficient for ease of presentation. , reflection, and transmission coefficients expressed in terms of characteristic impedance are shown as follows:

(A5)

1537

(A6) (A7) and as (A8)–(A13), shown at the bottom of this page. Using the design criteria (A1)–(A4) and parameters relationship (A5)–(A13), algebraic manipulation can be performed. For perfect match, the even–odd-mode reflection coefficients should be equal, while for perfect isolation the even–odd-mode transmission coefficient should be equal. The organized relationship is shown in (A14) in terms of . For high isolation, (A14) is further manipulated resulting to obtain (A15). Equation (A16) is the summarized relationship between the two nominators. represents the even-mode numerator having the same value as the odd mode (A14) (A15) (A16) and are complex numbers, with the real and imaginary parts orthogonal to each other. Comparing the imaginary parts, the relationship between electrical length and is found and shown in (A17). Equation (A19) is obtained in a similar fashion by comparing the real part using (A17). Equation (16) is the result of (A17) and (A18). Since the characteristic impedance is,

(A8)

(A9)

(A10)

(A11)

(A12)

(A13)

1538

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

in general, a positive real number, that fulfills (A17), (A18), and (16)

is a simple solution

(A17) (A18) (17) is determined for high isolation since two transmission coefficients are equal in magnitude, but opposite in sign. is derived using (A18) and (A19) to eliminate the trigonometric functions. The derived expression for is found in terms of and . The phase difference between the two output ports are defined in (15) with the more detailed definition given in (A20). Based on previous results, (A20) can be simplified to (15) (A19) (A20)

ACKNOWLEDGMENT The authors would like to thank the editors and reviewers of for their valuable comments, which greatly helped in improving the contents of this paper. REFERENCES [1] J. Reed and G. J. Wheeler, “A method of analysis of Symmetrical fourport networks,” IRE Trans. Microw. Theory Tech., vol. MTT-4, no. 10, pp. 246–252, Oct. 1956. [2] M. Elhefnawy and W. Ismail, “A microstrip antenna array for indoor wireless dynamic environments,” IEEE Trans. Anetnnas Propag., vol. 57, no. 12, pp. 3998–4002, Dec. 2009. [3] M. Abbak and I. Tekin, “Microstrip patch antenna array for range extension of RFID applications,” in IEEE Antennas Propag. Soc. Int. Symp. Dig., 2008, pp. 1–4. [4] K.-K. M. Cheng and F.-L. Wong, “A novel approach to design and implementation of dual-band compact planar 90 branch-line coupler,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 11, pp. 2458–2463, Nov. 2004. [5] S. Y. Zheng, W. S. Chan, K. S. Tang, and K. F. Man, “Vestigial dualband branch line coupler with aligned phase and improved bandwidth response,” Electron. Lett., vol. 44, no. 21, pp. 1264–1265, Oct. 2008. [6] C.-W. Tang and M.-G. Chen, “Design of multipassband microstrip branch-line couplers with open stubs,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 1, pp. 196–204, Jan. 2009. [7] M. Muraguchi, T. Yukitake, and Y. Naito, “Design of compact directional couplers for UWB applications,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 2, pp. 189–194, Feb. 2007. [8] Y.-H. Chun and J.-S. Hong, “Compact wideband branch-line hybrids,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 2, pp. 704–709, Feb. 2006. [9] C.-W. Tang, M.-G. Chen, Y.-S. Lin, and J.-W. Wu, “Broadband microstrip branch-line coupler with defected ground structure,” Electron. Lett., vol. 42, no. 25, pp. 1458–1460, Dec. 2006. [10] C.-L. Hsu, J.-T. Kuo, and C.-W. Chang, “Miniaturized dual-band hybrid couplers with arbitrary power division ratios,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 1, pp. 149–156, Jan. 2009. [11] J.-A. Hou and Y.-H. Wang, “Design of compact 90 and 180 couplers with harmonic suppression using lumped-element bandstop resonators,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 11, pp. 2932–2939, Nov. 2010. [12] J. Gu and X. Sun, “Miniaturization and harmonic suppression rat-race coupler using C-SCMRC resonators with distributive equivalent circut,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 12, pp. 880–882, Dec. 2005.

[13] K. M. Shum, Q. Xue, and C. H. Chan, “A novel microstrip ring hybrid incorporating a PBG cell,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 6, pp. 258–260, Jun. 2001. [14] S. Uysal and J. Watkins, “Novel microstrip multifunction directional couplers and filters for microwave and millimeter-wave applications,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 6, pp. 977–985, Jun. 1991. 4 Nolen matrix for multiple [15] N. J. G. Fonseca, “Printed -band 4 beam antenna applications,” IEEE Trans. Antennas Propag., vol. 57, no. 6, pp. 1673–1678, Jun. 2009. -band 4 4 nolen [16] T. Djerafi, N. J. G. Fonseca, and K. Wu, “Planar matrix in SIW technology,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 2, pp. 259–266, Feb. 2010. [17] C.-J. Chen and T.-H. Chu, “Design of a 60-GHz substrate integrated waveguide butler matrix-a systematic approach,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 7, pp. 1724–1733, Jul. 2010. [18] R. J. Mailloux, Phased Array Antenna Handbook, 2nd ed. Norwood, MA: Artech House, 2005, ch. 8. [19] Y.-X. Guo, Z.-Y. Zhang, and L. C. Ong, “Improved wideband Schiffman phase shifter,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 3, pp. 1196–1200, Mar. 2006. [20] S. Y. Zheng, W. S. Chan, and K. F. Man, “Broadband phase shifter using loaded transmission line,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 9, pp. 498–500, Sep. 2010. [21] A. M. Abbosh, “Broadband fixed phase shifters,” IEEE Trans. Microw. Wireless Compon. Lett., vol. 21, no. 1, pp. 22–24, Jan. 2011. [22] H. Hayashi, D. A. Hitko, and C. G. Sodini, “Four-element planar Butler matrix using half-wavelength open stubs,” IEEE Trans. Microw. Wireless Compon. Lett., vol. 12, no. 3, pp. 73–75, Mar. 2002. [23] C.-H. Tseng, C.-J. Chen, and T.-H. Chu, “A low-cost 60 GHz switchedbeam patch antenna array with Butler matrix network,” IEEE Antennas Wireless Propag. Lett., vol. 7, pp. 432–435, Dec. 2008. [24] K. Wincza, S. Bruszynski, and J. Borgosz, “Microstrip antenna array with series-fed ‘through-element’ coupled patches,” Electron. Lett., vol. 43, no. 9, pp. 487–489, 2007. [25] J. J. Yao and S. P. Yeo, “Six-port reflectometer based on modified hybrid couplers,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 2, pp. 493–498, Feb. 2008. [26] J. J. Yao, S. P. Yeo, and M. E. Bialkowski, “Modifying branch-line coupler design to enhance six-port reflectometer performance,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2009, pp. 1669–1672. [27] J. J. Yao, Y. Chen, and S. P. Yeo, “Modifying hybrid coupler design to enhance six-port reflectometer performance,” in Proc. Eur. Microw. Conf., Oct. 2005, pp. 256–259. [28] D. M. Pozar, Microwave Engineering, 3rd ed. New York: Wiley, 2005, pp. 311–312.

Yuk Shing Wong (S’10) received the Bachelor degree in electronic and communication engineering from the City University of Hong Kong, Kowloon, Hong Kong, in 2009, and is currently working toward the Ph.D. degree at the City University of Hong Kong. His research interests include RF circuits design.

Shao Yong Zheng (S’07–M’11) was born in Fujian Province, China. He received the B.S. degree in electronic engineering from Xiamen University, Fujian, China, in 2003, and the M.Sc., M.Phil, and Ph.D. degree in electronic engineering from the City University of Hong Kong, Kowloon, Hong Kong, in 2006, 2008, and 2011, respectively. From 2006 to 2008, he was a Research Assistant with the Department of Electronic Engineering, City University of Hong Kong. He is currently a Research Fellow with the Department of Electronic Engineering, City University of Hong Kong. His research interests include RF and microwave passive and active circuits.

WONG et al.: QUASI-ARBITRARY PHASE-DIFFERENCE HYBRID COUPLER

Wing Shing Chan (M’94) received the B.Sc. (Eng) degree in electronic engineering from Queen Mary College, University of London, London, U.K., in 1982, and the Ph.D. degree from the City University of Hong Kong, Kowloon, Hong Kong, in 1995. From 1982 to 1984, he was with the Solid-State Techniques Department, Plessey RADAR, as an Engineer as part of a team that produced the world’s first solid-state RADAR transmitter in -band. From 1984 to 1988, he was with Microwave Engineering Designs Limited, as a Senior Design Engineer with

1539

RF/microwave amplifiers. In 1988, he joined the Department of Electronic Engineering, City University of Hong Kong, as a Lecturer. He is also an Associate Professor with the Department of Electronic Engineering, City University of Hong Kong. Dr. Chan is a Chartered Engineer of the Engineering Council, U.K. He has been a member (MIEE) of the IEE since 1991. He was past chairman of the IEEE Antennas and Propagation (AP)/Microwave Theory and Techniques (MTT) Chapter, Hong Kong Section. He was a member of the Radio Spectrum Advisory Committee (RSAC), Office of the Telecommunications Authority.

1540

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Stepped-Impedance Coupled Resonators for Implementation of Parallel Coupled Microstrip Filters With Spurious Band Suppression Apisak Worapishet, Senior Member, IEEE, Kunnthphong Srisathit, Member, IEEE, and Wanlop Surakampontorn, Senior Member, IEEE Abstract—A parallel-coupled microstrip bandpass filter based on the stepped-impedance coupled resonator (SICR) for suppression of harmonic spurious response is presented. The SICR relies upon the incorporation of different stepped impedances for the even and odd propagation modes of the coupled microstrip lines. This is to align the modal parallel resonance frequencies, and thereby effectively equalize the modal phase velocities. Its structural simplicity enables closed-form analytical formulation, and the derived equations are effectively utilized to explicitly guide parameters selection based on classical synthesis methodology of coupled microstrip filters. Practical feasibility of the SICR and the integrity of the analysis are demonstrated via designs and implementations of two third-order 0.01-dB ripple Chebyshev bandpass filters with a passband center frequency of 2.0 GHz and 3-dB fractional bandwidths of 15% and 25%. Good agreement between design, simulation, and measurement is obtained, where suppressions of the first harmonic spurious responses by more than 40 dB are achieved in both filters. Index Terms—Harmonic suppression, microstrip filter, nonuniform coupled microstrip line, stepped-impedance resonator (SIR).

I. INTRODUCTION

S

INCE ITS first inception by Cohn [1], the parallel-coupled microstrip bandpass filter has been widely used in wireless microwave and millimeter-wave applications. One major drawback of this type of filter is, however, the spurious response at twice the fundamental passband frequency , yielding poor stopband performance and asymmetric passband response [2]. This is primarily attributed to the difference between the modal phase velocities because the dielectric medium of the coupled microstrip lines is intrinsically inhomogeneous, causing the odd mode to propagate faster than the even mode. Over the past decade, numerous techniques have been proposed to overcome such a drawback. In [2], the traditional

Manuscript received August 30, 2011; revised February 16, 2012; accepted February 21, 2012. Date of publication April 17, 2012; date of current version May 25, 2012. A. Worapishet is with the Mahanakorn Microelectronics Research Center, Mahanakorn University of Technology, Nong-Chok, Bangkok 10530, Thailand (e-mail: [email protected]). K. Srisathit is with the Department of Telecommunication Engineering, Mahanakorn University of Technology, Bangkok 10530, Thailand (e-mail: [email protected]). W. Surakampontorn is with the Department of Electronics, King Mongkut’s Institute of Technology Lardkrabang, Bangkok 10520, Thailand (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2190743

parallel-coupled filter structure was modified for additional capacitive coupling between stages, which effectively introduces transmission zeros at the spurious frequency band. The wiggly-line filter structures in [3] make use of continuous strip-width variation to modulate the wave impedances so that the spurious responses at can be suppressed. To improve the harmonic suppression characteristic, the periodical square grooves under Bragg reflection condition in [4] and the periodically nonuniform line structure in [5] were applied to coupled microstrip lines. The use of over-length coupled lines was reported in [6] and [7] to extend the odd-mode electrical length in order to compensate the difference in the modal phase velocities for spurious band suppression. Also, based on the use of different lengths in each propagation mode for the modal velocity equalization is the corrugated structure in [8] that extends the traveling path for the odd mode, thereby slowing down the odd-mode velocity. On the other hand, the meandered structure using coupled-Schiffman sections [9], and the fractal-shaped structure using Koch shape coupled lines [10], [11], essentially speed up the even-mode phase velocity. The substrate suspension structure for equalization of the effective modal dielectric constants of the substrate was employed in [12] to equalize the even- and odd-mode velocities. The ground-plane aperture with and without a floating conductor underneath the coupled lines in [13] and [14] were also introduced to compensate for the unequal modal phase velocities. Although effective in improving the spurious stopband response, the aforementioned structures invariably possess certain disadvantages. Most notably is the lack of accurate closed-form analysis that can be employed to guide optimal design. As a consequence, circuit and/or electromagnetic (EM) simulation inevitably became an integral part of the design flows. This is typified by the extensive use of simulation for generation of empirical design graphs [9], [12], or for guidelines of empirical parameters adjustment via design iterations until the suppression performances were satisfied [7], [8], [10], [11]. Alternatively, algorithms and techniques for full-wave numerical computation were developed to facilitate design optimization [5], [13], [14]. Other disadvantages are the requirement of special fabrication processing steps [13], [14] or special installation requirement and difficulty in practical implementation [12]. In this paper, the extension of the concept of the SIRs [15] to parallel coupled microstrip filters for spurious band suppression is developed. It was shown in [15] that the first parallel resonance frequency of a SIR can be shifted via adjustment of

0018-9480/$31.00 © 2012 IEEE

WORAPISHET et al.: SICRS FOR IMPLEMENTATION OF PARALLEL COUPLED MICROSTRIP FILTERS

1541

Fig. 1. SIR. (a) Circuit schematic, and microstrip layouts at: (b) and (c) .

Fig. 2. Open-ended coupled microstrip resonator under even- and odd-mode excitations with outlines of field configurations.

the stepped-impedance ratio, and this was employed to control the locations of the spurious frequency bands in the filters. With such understanding, the incorporation of the steppedimpedance structure into a coupled microstrip resonator to form the stepped-impedance coupled resonator (SICR) also enables us to align the even- and odd-mode resonance frequencies by appropriate selections of the stepped-impedance ratios in each mode. This effectively equalizes the modal phase velocities with the consequent benefit to spurious response suppression. The principal characteristic of the SIR and its extension to the SICR are first discussed. This is followed by a detailed analysis of the SIR and the analytical formulation of the SICR. An outline of systematic synthesis guidelines for a parallel-coupled microstrip filter using the SICRs is given, based on the derived formula. Demonstration of the SICR-based bandpass filters is provided via simulation and measurement of two prototype circuits at 2.0-GHz passband center frequency.

higher, and that the effective phase velocity is slower. For the opposite case when or in Fig. 1(c), is moved to a higher frequency than . Thus, the phase constant is lower and the phase velocity is faster. Having provided the overview of the SIR characteristic, we are now ready to describe the operational principle of the SICR. Let us consider the schematics of the symmetric open-ended uniform impedance coupled microstrip resonator when it is decomposed into a superposition of the even- and odd-mode excitations, as shown in Fig. 2. As evident, because the field configurations are different due to the air-dielectric interface, the effective dielectric constants of the two excitation modes are different. As a consequence, the phase constants, phase velocities, and parallel resonance frequencies in the even and odd modes are different, giving rise to spurious response around when employed in coupled microstrip filters. Another important observation from the modal decomposition of the microstrip coupled resonator in Fig. 2 is the fact that it can actually be treated as a single transmission line under each excitation mode. Such recognition is of crucial significance since it enables us to extend the concept of the SIR to each of the modal transmission lines. In particular, the resonance frequencies in the even and odd modes, and , of the SICR can be aligned by appropriate selection of the modal characteristic impedances, and . This essentially equalizes the effective modal phase velocities, and , and the effective modal phase constants, and , of the stepped-impedance coupled lines, with consequent benefit to suppressing the first harmonic spurious response in the SICR. The structure and analysis of the SICR will be the subject of Section II-C.

II. SICRs A. Operational Principle The schematic of the open-ended SIR is shown in Fig. 1(a) where it is formed by a cascade of three transmission line sections 1, 2, and 3 with the characteristic impedances and , and the electrical lengths and , respectively [15]. Without loss of generality, it is assumed here that the electrical length associated with each section is identical at . Note that this electrical length condition will be employed in the SICR-based coupled microstrip filter implementations in Section III. By virtue of the stepped impedances of the transmission line sections, the first parallel resonance frequency of the steppedimpedance resonator, , can be adjusted as compared to the parallel resonance frequency of the uniform impedance counterpart, , with or , where is the stepped-impedance ratio. It is important to note that this can also be viewed as adjustments of the effective phase constant and phase velocity of the stepped-impedance line. In the case that or , as shown in Fig. 1(b) for a stepped-impedance microstrip line, the resonance frequency is moved to a lower frequency than that of its uniform counterpart at . This indicates that the effective phase constant is

B. SIR Analysis The aim of this section is to provide the analysis of the SIR in order to establish the closed-form analytical equations that are useful to the analysis of the SICR. With reference to the SIR schematic in Fig. 1(a), it can be shown that the input impedance is given as

(1)

1542

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

where , as previously defined. It should be noted that the impedance characteristic in (1) is, in fact, equivalent to the inverse of the SIR’s admittance given in [15]. However, these equations are different because the assigned variables are different. Under the parallel resonance condition at the resonance frequency , the cumulative electrical length of all the SIR sections as a function of the impedance ratio and the electrical length can be derived from (1), and this is given by

Fig. 3. Electrical length ratio .

of each SIR section at resonance versus impedance

(2) where the relationship between

and

at

is (3)

In the case that the electrical length of each SIR section is set identical, i.e., , it follows from (2) and (3) that (4) can be With the use of (4), the dependence between and plotted, and this is shown in Fig. 3. As indicated by the plot, can be adjusted by the impedance ratio , where for (or equivalently, ), and for (or ). Note that when (or ), (4) yields at the resonance frequency , which is the case of a uniform resonator. For the input impedance , it can be rewritten from (1) under the condition , as given by

(5) of the Based on (5), the effective characteristic impedance SIR, under the series resonance condition , where the center passband frequency of the bandpass filter using the SICRs is located, can be derived. By treating the SIR as a uniform impedance resonator around , it can be shown that (6) The derivations in (4) and (6) form the basic SIR equations, which will be subsequently employed to analyze the SICRs. C. Structure and Analytical Formulation of SICR As depicted by the modal decomposition of the uniform impedance coupled microstrip lines in Fig. 2, the field of the even mode is mainly confined in the substrate’s dielectric, while that of the odd mode is significantly extended into the air. As a result, the effective even-mode dielectric constant is higher than the odd mode, i.e., . This therefore results in a slower

phase velocity and a higher phase constant in the even mode as compared to the odd mode, i.e., and , where . In a uniform impedance coupled microstrip resonator, this yields a lower even-mode resonance frequency than that of the odd mode, i.e., . By incorporating the stepped-impedance transmission lines into the structure of the coupled microstrip lines to form the SICR, these modal parameters can be adjusted. For the analysis that follows, we chose to focus on the alignment of the modal resonance frequencies and of the SICR since the formulation is considerably less involved by simply considering only one of its uniform sections. Note that the equalization of the effective modal phase velocities, , and the effective phase constants, , are automatically accomplished by the resonance frequencies alignment. Fig. 4 illustrates three possible ways to align and at a frequency denoted by . It is noted that and at . For case A, both and are shifted toward a lower . From the relationship between the electrical length and frequency of a wave traveling on a uniform transmission line, , where is the physical length and is the phase velocity, the modal electrical lengths and in each uniform transmission-line section of the SICR are thus smaller. As indicated by the dependence plot in Fig. 3, this can be accomplished by setting the impedance ratios of the SICR in both the even and odd modes at less than unity, i.e., . Opposite to case A is case B, where both and are shifted toward a higher , by setting the impedance ratios at larger than unity, i.e., . For case C, is moved down and moved up to an intermediate frequency , by setting and . Also depicted in Fig. 4 are the outlines of the corresponding symmetric layouts of the SICR for each case. These layout configurations were approximated based on the impedance ratio settings as summarized above, together with the use of the evenand odd-mode characteristic impedance design graphs, similar to that in [16], to determine the relative changes in linewidth and line spacing between the coupled microstrip sections. As noticed from the figure, the linewidth is narrower than , and the line spacing is wider than , for the layout configuration under case A. For case B, , and for case C, is changed from depending on the values. Also, for cases B and C, we have . It is important to note that

WORAPISHET et al.: SICRS FOR IMPLEMENTATION OF PARALLEL COUPLED MICROSTRIP FILTERS

1543

From (8), it is straightforward to show that if , we have , and vice versa. This is in accordance with the discussion of Fig. 4. For the effective even- and odd-mode characteristic impedances, and , of the SICR at , they can be computed by following the derivation of (6) as given by

(9a) (9b)

Fig. 4. Alignments of resonance frequencies under cases A, B, and C, and resulting SICR layout configurations.

the exact layouts depend upon the values. As clearly suggested by the plot of Fig. 3, the electrical lengths , and hence, the resonance frequency , can be adjusted more sharply with than with . This implies that case A of Fig. 4 with requires less impedance steps in each mode. Thus, its corresponding layout should suffer less impact from the nonidealities including discontinuities at the stepped impedance transitions, and may lend itself more to practical realization. Practical selection of the layout configuration under cases A, B, or C will be explored in Section III-B. Let us now consider each uniform section of the SICR in Fig. 4 where identical electrical lengths in each mode, i.e., and , are assumed. Note that these conditions may not be satisfied simultaneously because it is typical that the electrical and physical lengths of each coupled-line section vary differently in the even and odd modes. Nevertheless, this assumption is a good approximation that helps greatly simplify the analysis. As will be evident in Section III-B, the resulting analytical derivation is proven to be sufficiently accurate for practical designs. With the use of (4), the modal resonance frequencies of the SICR can be given by

The derivations in (8) and (9) provide the analytical basis to the design and synthesis of spurious-suppressed stepped impedance coupled microstrip resonators and filters, which will be detailed in Section III. It is also important to point out that the higher order spurious frequencies of the SICR filters at frequencies beyond twice the passband frequency, , can be suppressed by exploiting the basic resonance shifting property of the SIR based upon the design methods for extended optimal rejection [20] and multiorder spurious-mode suppression [21], [22]. This is achieved by appropriate selection of the impedance ratios, , and possibly the electrical length ratios between section 1 (or section 3) and section 2 of the SICR, in order to push the higher order resonances to as high frequencies as possible [20] or to stagger the higher order resonance frequencies [21], [22], while maintaining the frequency alignment of the first even- and odd-mode parallel resonances for spurious suppression at . Nevertheless, since the main focus of this study is to explore the intrinsic performance of the SICR, these higher order spurious suppression methods are not exploited in the prototype filter designs of Section III-B. Also note that, as with the conventional uniform coupled-line filter, each individual SIR in the SICR filter may be folded into a hairpin shape for miniaturization. In this case, certain modification to the above analysis is required, and this is beyond the scope of this study. III. SICR-BASED FILTER DESIGN AND VERIFICATION A. Synthesis of Filters Using SICRs

(7) are the efwhere is the speed of light in free space and fective relative dielectric constants for the even and odd modes. Note that, by using (7) for a uniform impedance coupled resonator, i.e., , we arrive at , and , which is equivalent to as summarized before. In order to move at to an identical resonance frequency , the even- and odd-mode impedance ratios of the SICR can be derived, by using (7), as

(8)

The synthesis of a spurious-suppressed coupled microstrip filter using the SICRs starts with the classical filter design using uniform impedance resonators, where the modal characteristic impedances and around the passband frequency for each stage of the coupled uniform microstrip lines are determined for the required filter response (type, order, passband ripple, and fractional bandwidth). Given the parameters of the employed substrate, these are translated to the physical dimensions, i.e., width , spacing , and length of the lines. Subsequently, the resulting effective modal dielectric constants and the modal resonance frequencies for each uniform stage can be determined. It is noted that the above design methodology is conventional and can be invoked by either using the quasi-TEM coupled microstrip equations [17], or more conveniently, a calculation tool and circuit simulator such

1544

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

TABLE I ELECTRICAL AND PHYSICAL PARAMETERS OF FILTER I USING UNIFORM RESONATORS

as LineCalc and ADS, respectively, from Agilent Technologies, Palo Alto, CA [18]. At this design phase, the stepped impedances are incorporated to align the modal resonance frequencies of each coupled line stage. The resulting SICR layout configuration depends upon the selected location of the aligned frequency with reference to and , under cases A, B, or C in Fig. 4. The modal impedance ratios and can then be calculated using (8). Note that for an optimum spurious suppression, should be identical in all the SICR stages. Under the constraint to preserve the passband response, the effective characteristic impedances at the center passband frequency and of all the SICR stages must be maintained as their corresponding uniform line stages. Following this, the even- and odd-mode stepped impedances and for each section of the SICR can be computed using (9). It is noted that since the equation is valid only at , certain deviation of the effective impedances at a frequency offset from , and hence, a slight discrepancy of the passband response, particularly the fractional bandwidth, is anticipated. Nevertheless, this can be accounted for by pre-compensating the fractional bandwidth of the filter response, where a few iterations are typically required until the desired response can be obtained. The calculated modal stepped impedances and for each uniform section of the SICR are then translated to the width , spacing , and length , using the conventional methodology as in the case of synthesizing a uniform stage. These dimensions are then checked for suitability and reliability in practical implementation with an available fabrication process. If not acceptable, another for the resonance frequency alignment can be selected and the same design cycle is executed until the dimensions are satisfied. The above synthesis procedure is practically based upon analytical equations with the help of a calculation tool and a circuit simulator to speed up the task. Thus far, no second-order effects, such as a slight shift in the center passband frequency due to the use of the SICRs, and layout discontinuities at the stepped-impedance transitions and the junctions between two coupled resonators, were considered. Nevertheless, these can be accounted for at the final refinement phase of the design, by fine adjustments of the dimensions and in each section of the SICR stages with the help of a full-wave EM simulator. A gradual tapered transition can also be employed to mitigate the discontinuity effect if the transition of the linewidths at the impedances step is too large. As will be evident in the filters implementation of Section III-B, the initially computed dimensions are close to the final designs after EM simulation, thereby validating the integrity of the developed analytical equations and the filter synthesis strategy.

B. Simulated and Experimental Results Two microstrip bandpass filters with different fractional bandwidths using the SICRs were designed and optimized by following the outlined systematic design methodology. The filter responses are of third-order Chevbyshev types with 0.01-dB passband ripple and 2.0-GHz center frequency. The 3-dB fractional bandwidths were selected at % for filter I and % for filter II. The circuits were fabricated on an RF-35 microwave substrate from Taconic, Petersburgh, NY, with a relative dielectric constant , a thickness mm, a loss tangent of , and a copper cladding of 0.5 oz. Let us consider the coupled microstrip filter I as a design case example. Listed in Table I are the even- and odd-mode impedances of each uniform coupled resonator stage for a 0.01-dB Chevbyshev response at GHz and %, following the synthesis method in [19] with the image impedance of 100 . Also listed are the detailed dimensions and of each uniform stage, and its modal relative effective dielectric constants , calculated using LineCalc. The modal parallel resonance frequencies for each uniform stage, based on simulation using ADS, are also included in this table. By allocating the modal resonance frequencies under the alignment conditions of cases A, B, and C (see Fig. 4), the even- and odd-mode impedances and for each section of the SICR stages of filter I were determined using (8) and (9). The detailed physical dimensions and were then calculated using LineCalc. Table II(a) and (b) summarizes the modal impedances and dimensions for the layout configurations under cases A and C at the selected alignment of the modal resonance frequencies of 3.65 and 3.95 GHz, respectively. For case B design, however, it was found that the layouts of stages 2 and 3 are detached because they have . Thus, case B design is impractical and not for further consideration. The layouts are shown in Fig. 5(a) for case A and Fig. 5(b) for case C. Also given in Table II are the simulated even- and odd-mode resonance frequencies, for each SICR stage of the layouts. As noticed, and are almost aligned to the same selected frequency locations for both case A and case C designs. The frequency responses, based on circuit simulation, are depicted in Fig. 6 (solid lines) where considerable suppression of the spurious bands is evident. Also shown in Fig. 6 are the successive responses (dashed lines) when the impedance ratios approach the calculated optimal values based on (8) for case A filter design in Table II. Clearly, it is illustrated that the spurious responses at of the filter are not shifted to higher

WORAPISHET et al.: SICRS FOR IMPLEMENTATION OF PARALLEL COUPLED MICROSTRIP FILTERS

INITIAL ELECTRICAL

PHYSICAL PARAMETERS (a) CASE A AT

AND

FOR

1545

TABLE II SICRs OF FILTER I UNDER RESONANCE FREQUENCIES ALIGNMENTS: GHz. (b) CASE C AT GHz

Fig. 5. Layouts of SICR-based Filter I under: (a) case A and (b) case C.

frequencies, but are successively attenuated when the modal resonance frequencies of the SICRs are better aligned. By taking into account the discontinuity at the stepimpedance transitions as a selection criterion, the layout configuration under case A is the most suitable for a practical realization. This is because, as suggested by Fig. 5, its overall linewidth transitions at the impedance steps are smaller in comparison to the layout under case C. After fine tuning with the help of an EM simulation using Momentum [18], the final dimensions of filter I based on case A design are listed in Table III. The circuit photograph is shown in Fig. 7(a), where the dimensions excluding two SMA connectors are approx-

Fig. 6. Simulated frequency responses for Filter I with optimal values for resonance alignment in the SICRs under cases A and C (solid lines), and values under case A (dashed lines). nonoptimal

imately 29 mm 94 mm. It is noticed from the figure that tapered width transitions were also employed to further mitigate the discontinuity effect. The measurement of the filter was

1546

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

TABLE III FINAL DESIGN PARAMETERS OF SICR-BASED FILTER I UNDER CASE A

TABLE IV FINAL DESIGN PARAMETERS OF SICR-BASED FILTER II UNDER CASE A

Fig. 7. Filter I under case A design. (a) Fabricated circuit photograph. (b) Simulated and measured frequency responses.

Fig. 8. Filter II under case A design. (a) Fabricated circuit photograph. (b) Simulated and measured frequency responses.

performed using an Agilent N5230A vector network analyzer with the short-open-load-thru (SOLT) calibration.

The simulated and measured -parameters of filter I are depicted in Fig. 7(b). The measured insertion loss is better than

WORAPISHET et al.: SICRS FOR IMPLEMENTATION OF PARALLEL COUPLED MICROSTRIP FILTERS

0.78 dB at the passband center frequency of 2.0 GHz, whereas the measured return loss is better than 22.1 dB. The attenuation levels of the harmonic spurious response at (4 GHz) are more than 57.2 dB in simulation and 54.9 dB in experiment. When compared to the peak spurious response of the filter using uniform resonators, the measured spurious suppression is more than 46.6 dB. Over the frequency range from 2.52 to 5 GHz, the upper stopband is suppressed by more than 34.4 dB. The measured filter exhibits a 3-dB fractional bandwidth of 22.52%, from 2.194 to 1.746 GHz. The measured group delay over the passband is less than 2.15 ns. A similar design flow as above was also conducted for the design of filter II at %. Table IV summarizes the filter parameters and dimensions after final refinement using EM simulation. Note that case A design was also employed, based on the same selection criterion as filter I. The circuit photograph is shown in Fig. 8(a) where the fabricated filter size is approximately 35 mm 96 mm excluding two SMA connectors. In Fig. 8(b), the measured filter exhibits a 3-dB fractional bandwidth of 15.2%, and an insertion loss better than 1.21 dB. The lower and upper stopband rejections, from dc to 1.57 and from 2.3 to 5 GHz, respectively, are greater than 30 dB. The return loss is better than 13.8 dB within 1.87–2.13 GHz. The measured filter also exhibits a spurious suppression by more than 41.7 dB, whereas the spurious attenuation level is better than 50 dB at . Within the passband, the measured group delay is below 2.64 ns. From the measured results of both filter I and filter II in Figs. 7(b) and 8(b), it can be deduced that the measurement agrees well with EM simulation. IV. DISCUSSION AND CONCLUSION The stepped-impedance coupled-line resonator for spurious suppressed microstrip bandpass filters has been extensively investigated and verified. The SICR is simple to analyze, design, and implement, but yet highly effective to equalize the first parallel even- and odd-mode resonance frequencies for spurious suppression of the coupled-line filter at , twice the passband frequency. While other techniques, including [2]–[14], usually lack accurate closed-form equations and thus require complicated empirical design iterations, as well as extensive time-consuming EM simulation, the SICR offers compact and accurate analytical formula, which brings to light different SICR layout configurations. This consequently yields a design methodology of the SICR-based filter similar to the classical microstrip filter synthesis with a few additional calculations of the stepped impedances based on the developed analytical equations to guide optimal design, where EM simulation is only required at the final refinement phase. Moreover, unlike the techniques in [12]–[14], the SICR lends itself to simple implementation and it needs no special fabrication steps. Validations on the feasibility of the SICR and the integrity of the analysis and design methodology have been given via practical implementations of two parallel-coupled microstrip bandpass filters, which achieved the first harmonic spurious suppression by more than 40 dB. With the inherent capability of spurious rejection at , the SICR holds promise for utilization in conjunction with other wide-stopband filter techniques, such as

1547

those in [20]–[24], for greater design flexibility by mainly focusing on suppression of higher order resonances beyond . In essence, this should make the SICR one of the viable approaches to future implementation of parallel coupled-line microstrip filters with enhanced stopband characteristics. ACKNOWLEDGMENT The authors would like to acknowledge Agilent Technology, Palo Alto, CA, for providing access to Advanced Design System (ADS) software under the Agilent EESof EDA University License Agreement with the Mahanakorn University of Technology. The authors also thank Taconic Inc., Petersburgh, NY, for kindly supplying the RF-35 microwave substrate. The Rajamangala University of Technology Rattanakosin, Thailand, is also acknowledged for providing the measurement equipment. REFERENCES [1] S. B. Cohn, “Parallel-coupled transmission line,” IRE Trans. Microw. Theory Tech., vol. MTT-6, no. 2, pp. 223–231, Apr. 1958. [2] C.-Y. Chang and T. Itoh, “A modified parallel-coupled filter structure that improves the upper stopband rejection and response symmetry,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 2, pp. 310–314, Feb. 1991. [3] T. Lopetegi, M. A. G. Laso, J. Hernández, M. Bacaicoa, D. Benito, M. J. Garde, M. Sorolla, and M. Guglielmi, “New microstrip ‘wigglyline’ filters with spurious passband suppression,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 9, pp. 1593–1598, Sep. 2001. [4] B. S. Kim, J. W. Lee, and M. S. Song, “An implementation of harmonic-suppression microstrip filters with periodic grooves,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 9, pp. 413–415, Sep. 2004. [5] S. Sun and L. Zhu, “Periodically nonuniform coupled microstrip-line filters with harmonic suppression using transmission zero reallocation,” IEEE Trans. Microw. Theory Tech, vol. 53, no. 5, pp. 1817–71822, May 2005. [6] A. Riddle, “High performance parallel coupled microstrip filters,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1988, pp. 427–430. [7] J.-T. Kuo, S.-P. Chen, and M. Jiang, “Parallel-coupled microstrip filters with over-coupled end stages for suppression of spurious responses,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 10, pp. 440–442, Oct. 2003. [8] J.-T. Kuo, W.-H. Hsu, and W.-T. Huang, “Parallel coupled microstrip filters with suppression of harmonic responses,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 10, pp. 383–385, Oct. 2002. [9] S.-M. Wang, C.-H. Chi, M.-Y. Hsieh, and C.-Y. Chang, “Miniaturized spurious passband suppression microstrip filter using meandered parallel coupled lines,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 2, pp. 747–753, Feb. 2005. [10] I. K. Kim, N. Kingsley, M. Morton, R. Bairavasubramanian, J. Papapolymerou, M. M. Tentzeris, and J.-G. Yook, “Fractal-shaped microstrip coupled-line bandpass filters for suppression of second harmonic,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2943–2948, Sep. 2005. [11] W.-L. Chen and G.-M. Wang, “Effective design of novel compact fractal-shaped microstrip coupled-line bandpass filters for suppression of the second harmonic,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 2, pp. 74–76, Feb. 2009. [12] J.-T. Kuo, M. Jiang, and H.-J. Chang, “Design of parallel-coupled microstrip filters with suppression of spurious resonances using substrate suspension,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 83–89, Jan. 2004. [13] M. C. Velázquez, J. Martel, and F. Medina, “Parallel coupled microstrip filters with floating ground-plane conductor for spurious-band suppression,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 5, pp. 1823–1828, May 2005. [14] M. C. Velázquez, J. Martel, and F. Medina, “Parallel coupled microstrip filters with ground-plane aperture for spurious band suppression and enhanced coupling,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 1082–1086, Mar. 2004.

1548

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

[15] M. Makimoto and S. Yamashita, “Bandpass filters using parallel coupled stripline stepped impedance resonators,” IEEE Trans. Microw. Theory Tech., vol. MTT-28, no. 12, pp. 1413–1417, Dec. 1980. [16] D. M. Pozar, Microwave Engineering, 3rd ed. New York: Wiley, 2003, ch. 7. [17] G. I. Zysman and A. K. Johnson, “Coupled transmission line networks in an inhomogeneous dielectric medium,” IRE Trans. Microw. Theory Tech., vol. MTT-17, no. 10, pp. 753–759, Oct. 1969. [18] Advanced Design System (ADS) 2009. Agilent Technol., Palo Alto, CA, 2009. [Online]. Available: http://www.agilent.com/find/eesof-ads [19] D. Ahn, C.-S. Kim, M.-H. Chung, D.-H. Lee, D.-W. Lew, and H.-J. Hong, “The design of parallel coupled line filter with arbitrary image impedance,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1998, pp. 909–912. [20] J.-T. Kuo and E. Shih, “Microstrip stepped impedance resonator bandpass filter with an extended optimal rejection bandwidth,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 5, pp. 1554–1559, May 2003. [21] C.-F. Chen, T.-Y. Huang, and R.-B. Wu, “Design of microstrip bandpass filters with multi-order spurious-mode suppression,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 12, pp. 3788–3793, Dec. 2005. [22] S.-C. Lin, P.-H. Deng, Y.-S. Lin, C.-H. Wang, and C. H. Chen, “Wide-stopband microstrip bandpass filters using dissimilar quarter-wavelength stepped-impedance resonators,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 3, pp. 1011–1018, Mar. 2006. [23] H.-M. Lee and C.-M. Tsai, “Improved coupled-microstrip filter design using effective even-mode and odd-mode characteristic impedances,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2812–2818, Sep. 2005. [24] S. Lee and Y. Lee, “Generalized miniaturization method for coupledline bandpass filters by reactive loading,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 9, pp. 2383–2391, Sep. 2010.

Apisak Worapishet (M’00–SM’10) received the B.Eng. degree (first-class honors) in electrical engineering from the King Mongkut’s Institute of Technology, Ladkrabang, Bangkok, Thailand, in 1990, the M.Eng.Sc. degree in electrical engineering from the University of New South Wales, Kensington, N.S.W., Australia, in 1995, and the Ph.D. degree in electrical engineering from Imperial College, London, U.K., in 2000. Since 1990, he has been with Mahanakorn University of Technology, Bangkok, Thailand, where he is currently a Professor of electronic engineering. He is also the Director of the Mahanakorn Microelectronics Research Center (MMRC), and a Lecturer with the Department of Telecommunication Engineering. His current research interests include very low-voltage CMOS analog integrated circuits, wirelined and wireless RF CMOS circuits, and microwave passive and active circuits.

Dr. Worapishet is a member of the Analog Signal Processing Technical Committee (ASPTC), IEEE Circuit and System Society (CASS) and the Institute of Electronics, Information and Communication Engineers (IEICE).

Kunnthphong Srisathit (S’08–M’12) was born on July 6, 1973, in Khonkaen, Thailand. He received the B.Eng. (with honors) and M.Eng. degrees from the Mahanakorn University of Technology (MUT), Nong-Chok, Bangkok, Thailand, in 1996 and 2002, respectively, both in electrical engineering, and the D.Eng. degree in electrical engineering from the King Mongkut’s Institute of Technology Ladkrabang (KMITL), Ladkrabang, Bangkok, Thailand, in 2012. Since 1997, he has been a member of the Faculty of Engineering, Department of Telecommunication Engineering, MUT, where he is currently an Assistant Professor of telecommunication engineering and Head of the Microwave Research Group (MRG). Upon completion of the Master’s degree, he became involved with the design of RF and microwave circuits for wireless communication applications. His current research interests include microwave circuits and devices and wireless communication systems. Dr. Srisathit is a member of the Electrical Engineering/Electronics, Computer, Telecommunication, and Information Technology Association (ECTI-Association).

Wanlop Surakampontorn (S’82–M’82–SM’03) was born in Bangkok, Thailand. He received the B.Eng. and M.Eng. degrees in electrical engineering from the King Mongkut’s Institute of Technology Ladkrabang (KMITL), Bangkok, Thailand, in 1976 and 1978, respectively, and the Ph.D. degree in electronics from the University of Kent, Canterbury, Kent, U.K., in 1983. From 1978 to April 2012, he was a Senior Professor of electronic engineering with the Department of Electronics, Faculty of Engineering, KMITL. In May 2012, he serves as the Executive Director of the Thailand Advanced Institute of Science and Technology (THAIST), Bangkok, Thailand. His research interests are in the areas of analog and digital integrated circuit designs, real-time application of PC computers and microprocessors, digital signal processing, electronic instrumentation, and very large scale integration (VLSI) signal processing. Dr. Surakampontorn is a member of the Institute of Electronics, Information and Communication Engineers (IEICE). He was the recipient of the 1996 Outstanding Scientist of Thailand Award and 1998 National Award for Distinguished Researcher, Thailand.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

1549

Design Method for Butter–Cheby Bandpass Filters With Even Number of Resonators Hee-Ran Ahn, Senior Member, IEEE, and Sangwook Nam, Senior Member, IEEE

Abstract—A design method for the bandpass filters with even number of resonators is presented for compacter size and wider bandwidth. The design method is based on the conventional filters with two resonators defined as a scattering parameter at a given frequency and a characteristic impedance of a 0 lumped-element equivalent circuit. The filter designed in this paper can be terminated in equal impedances and may have ripple responses at the same time for the wider bandwidths. Since the filter suggested in this paper has advantages that both Butterworth and Chebyshev filters possess, it is called a Butter–Cheby filter to distinguish from conventional filters. For better performance of the Butter–Cheby filter, a way to make transmission zeros is also discussed. To verify the design method, a Butter–Cheby filter with four resonators having 0.01-dB ripple is fabricated with distributed and lumped elements and measured at a design center frequency of 1 GHz. The measured results are in good agreement with the prediction, achieving less than 0.4-dB insertion loss, more than 20-dB return loss, and a transmission zero of 2 GHz. Index Terms—Butter–Cheby bandpass filters (BPFs), Butterworth and Chebyshev filters, design method of wideband filters, lumped-element BPFs.

I. INTRODUCTION

F

OR WIRELESS communication systems, remarkable improvements have been achieved in reducing mass and volume. A significant portion of such improvement has come from numerous innovations in the design of microwave filters and multiplexers [1]–[7]. The multiplexer, consisting of several filters, is indispensable for compact-sized front-end design and has therefore received substantial attention from circuit designers. For the various applications of the multiplexers, the bandpass filter (BPF) design is important and the name of the BPFs is determined depending on which filtering functions being used. They are Bessel [8], [9] Butterworth, Chebyshev [10], and Jacobian elliptic filters [11]. The Bessel and Butterworth filters have maximally flat response in the passband. The Chebyshev-type 1 or 2 filters have ripples in the passband or stopband, respectively. The elliptic filter has ripples in both passband and stopband. If no ripple of the elliptic filters is assumed in the stopband, the filter characteristics are very similar Manuscript received October 25, 2011; revised January 19, 2012; accepted January 26, 2012. Date of publication April 04, 2012; date of current version May 25, 2012. This work was supported by the Korea Government [Ministry of Education, Science and Technology (MEST)] under National Research Foundation of Korea (NRF) Grant 2011-0001270. The authors are with the School of Electrical Engineering and Computer Science, Seoul National University, Seoul 151-742, Korea (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2189122

to those of the Chebyshev-type 1 filter. The Chebyshev filter in this paper is meant as the Chebyshev-type 1 filter hereafter. If the number of resonators of the BPF is even, it has advantages to build multiplexers [1], [2]. With even-order , the Butterworth low-pass prototype is terminated in equal impedances, but no ripple response is possible. The Chebyshev filter is able to have ripple responses, but equal termination impedances are impossible. If both ripple response and equal termination impedances are needed at the same time, additional J- or K-inverters like 90 (270 ) transmission-line sections, gaps, discontinuities, or coupling structures are required for the Chebyshev BPF responses [12]. In this paper, a design method for the BPFs with an even number of resonators is presented to have equal termination impedances for compacter size and ripples for wider bandwidth without any additional impedance (admittance) inverter. All the poles of the Chebyshev filter with an even number of resonators are located at different frequencies where perfect matching can be achieved, and no pole exists at the design center frequency, which is the reason why the termination impedances should be different. On the other hand, all the poles of the Butterworth filters are located at a design center frequency, which is the reason why perfect matching can be achieved at a design center frequency. In order that any filter with an even number of resonators can be terminated in equal termination impedances and may have ripple response at the same time, at least two poles should be located at a design center frequency, and the rest of poles should be placed outside of the center frequency to have ripple responses. For this, a method how to split the poles overlapped at the design center frequency to outside of the center frequency is suggested by using a relation between a characteristic impedance of 0 lumped-element equivalent circuit (0 LEC) and the element values of Butterworth low-pass prototype. The 0 LEC will be derived later. The resulting filter does not belong to either Butterworth or Chebyshev filters. Thus, the filters suggested in this paper are called Butter–Cheby filters to distinguish from the conventional filters. Several examples of the Butter–Cheby filters with – are demonstrated, and a way to make transmission zeros is treated for better filter performance. To verify the design method, a Butter–Cheby filter with is fabricated on a substrate (RT/Duroid 5870, mm) with lumped and distributed elements. Measured results are in good agreement with the predicted ones. II. FILTERS WITH EVEN NUMBER OF RESONATORS A. Suitable Number of Resonators To design a channel filter in a multiplexer, the number of resonators may be determined in accordance with the filter

0018-9480/$31.00 © 2012 IEEE

1550

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 1. Input impedances of two types of filters. (a) Two resonators. (b) Three resonators. TABLE I INPUT IMPEDANCES OF BUTTERWORTH BPFs WITH

AND

performance required. All the channel filters designed to meet the requirement are connected to build a multiplexer, and conventional designs of the multiplexers include common port approaches [3]–[5], [7] that all the channel filters are connected at a common port in parallel [3]–[5], [7] or in series. In the case of the parallel connection, if the input impedance of the channel filter is near open-circuited at the resonance frequencies of other channel filters, it is advantageous to build the multiplexer [1], [2]. Two Butterworth filters with two and three resonators are designed at 1 GHz, and absolute values of the input impedance are calculated/compared in Fig. 1 and in Table I where is the number of resonators. In this case, fractional bandwidth and termination impedances of both filters are 0.4 and 50 . At a design center frequency of 1 GHz, the input impedances of both filters are equally 50 . At 0.84 GHz, close to the center frequency of 1 GHz, the input impedance with is 136.2 , while that with is 42.4 . At 0.5 GHz, slightly outside of the center frequency, that with is 13.8 , whereas 256.1 with . At 3 and 5 GHz, those with are 466.2 and 845.6 , while those with are 7.58 and 4.18 . The filter with two resonators are near open circuit even slightly outside of the design center frequency, which may be advantageous for the multiplexers, whereas those with are near short circuit. Due to the advantage of the BPFs with an even number of resonators, the filters with even will be investigated. B. Butter–Cheby Filters The low-pass prototype is, in general, defined as the low-pass filter, of which the element values are normalized to source re-

sistance or conductance to make the source resistance or conductance equal to unity, defined by and . With even order , the two termination impedances (source and load) should be different for the Chebyshev filters, but equal to each other for the Butterworth filters. In this paper, new types of filters having both advantages that Chebyshev and Butterworth filters possess will be discussed. That is, the filters with even order can be terminated in equal termination impedances and may have ripple responses. The filters are called Butter–Cheby filters to distinguish from the conventional Butterworth or Chebyshev filters. For example, with , the Chebyshev filter has four poles located at different frequencies, by which ripples are generated. The ripple characteristics may be explained from the Butterworth filter whose four poles are overlapped at a design center frequency. Fig. 2 explains the pole locations and frequency responses of where those of the Chebyshev filter are in Fig. 2(a) and (b) and those of the Butter–Cheby filter are in Fig. 2(c) and (d). To have the Chebyshev ripple response, two of four poles of the Butterworth filter overlapped at a design center frequency are separated from the center frequency to the right and left frequencies by and , as detailed in Fig. 2(a), where is a design center frequency. The remaining two poles are also split in a similar way by and . The frequency distances of and are approximately the same, but not equal to each other. So do those of and . The resulting frequency response is plotted in Fig. 2(b) where almost perfect matching appears at the pole locations, but does not at . Due to the ripple, the Chebyshev filter has steeper roll-off and wider bandwidth. The termination impedances should, however, be different with . To have the same termination impedances of the Chebyshev filter, additional - or -inverters like 90 (270 ) transmission-line sections, gaps, discontinuities, and coupling structures are needed. Just like the ripple of the Chebyshev filter was elucidated, the ripple may be produced by using the Butterworth design method to have equal termination impedances and wider bandwidth. For the equal termination impedances to be possible, two of four poles are left at the center frequency, and two others are moved to the right and left frequencies by and in Fig. 2(c). The consequential frequency response is plotted in Fig. 2(d). The way to generate ripples by moving the poles overlapped at a center frequency will be discussed using the characteristic impedance of 0 LEC of a transmission-line section and a conventional design method of the BPFs with two resonators [1]. III. FILTER DESIGNS A. 0 Lumped-Element Equivalent Circuit A transmission-line section with a characteristic impedance and electrical length of is depicted in Fig. 3(a), of and its lumped-element equivalent circuit in Fig. 3(b) [13]. For the transmission-line section in Fig. 3(a), the even- and oddmode impedances are (1a) (1b)

AHN AND NAM: DESIGN METHOD FOR BUTTER–CHEBY BPFs WITH EVEN NUMBER OF RESONATORS

1551

Fig. 4. 0 LEC. (a) Connecting two half circuits with positive and negative close to . electrical lengths. (b) Moving the positive inductance of (c) Final circuit of 0 LEC.

For

Fig. 2. Pole locations and resulting frequency responses of . (a) Pole location of Chebychev filter. (b) Frequency response of the Chebychev filter. (c) Pole location of Butter–Cheby filter. (d) Frequency response of the Butter–Cheby filter.

in Fig. 3(c), substituting into in (3) results in and in Fig. 3(d). Connecting in cascade two halves of each transmission-line section with positive or negative electrical length results in zero phase delay of a transmission-line section, as described in Fig. 4(a). Since a parallel connection of and results in 0 susceptance, the inductance of can be moved close to like the circuit in Fig. 4(b). Negative reactance of and negative susceptance of become positive susceptance and positive reactance such as

(4a) (4b) Fig. 3. Equivalent circuits of transmission-line sections with a characteristic . (a) Transmission-line section with electrical length of impedance of . (b) Lumped-element equivalent circuit with . (c) Transmission-line sec. (d) Lumped-element equivalent circuit with tion with electrical length of .

Applying the relation in (4), the final circuit of 0 LEC is obtained as that in Fig. 4(c). Associated with angular resonance frequency of , the following relation yields: (5)

In the lumped-element equivalent circuit in Fig. 3(b), the evenand odd-mode impedances are (2a)

The characteristic impedance tion in Fig. 4 is

of the transmission-line sec-

(2b)

(6)

From the two sets of equations in (1) and (2), the inductance and capacitance in Fig. 3(b) are computed as

With the length of close to 0 in (6), the characteristic impedance of the transmission line section in Fig. 4 becomes and the value is

(3a) (3b)

(7)

1552

Fig. 5. BPF with

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

.

B. Filter Design With A BPF with is described in Fig. 5 where it consists of series and shunt resonators having inductances and and capacitances and . The BPF in Fig. 5 has the same form as that of 0 LEC in Fig. 4(c), and therefore is expressed with the characteristic impedance of the 0 LEC. The two termination impedances are equally , and is defined as . The design equations of the BPF related with the [1] are (8a) (8b) where

Fig. 6. Frequency responses of Butter–Cheby filters with . (b) .

. (a)

and are fixed, the fractional bandwidth of is only one value. The bandwidth may, however, be changeable by varying and in (11) where the fractional bandwidth is inversely proportional to and . As far as the termination impedances are equal to each other, perfect matching appears at a design center frequency, but the maximum bandwidth can be achieved when in Fig. 5. To see the influence of and on the frequency response of the filter, the new element values and are defined as (12)

(9) where

and are operating and resonance frequencies, and is a transmission scattering parameter at a given frequency , where . Linking those (8) and (9) to the conventional Butterworth filter design [11], [12], [14], the characteristic impedance and in (8) and (9) are expressed as (10a) (10b)

where and are the element values of Butterworth low-pass prototype, and with and passband edges (3-dB insertion-loss edges). From (9) and (10), the attenuation of the filter is calculated as

(11)

where is a real positive number. Several filters were simulated at a design center frequency of 1 GHz by varying , and the simulated frequency responses are plotted in Fig. 6. With , the filter is the same as the conventional Butterworth filter. With , the filter has the maximally flat response, but the 3-dB bandwidth is reduced. When , the bandwidth increases, and more bandwidths are obtained with smaller. The bandwidth may be broadened by controlling the value of and , but the return-loss performance does not seem to be better accordingly. To have both insertionand return-loss bandwidths increased, ripples are needed for the Butterworth filter design. As explained in Fig. 2(c), two poles are required for the perfect matching at a design center frequency. Therefore, any ripple with two resonators in Fig. 5 is not easy. C. Filter Design With For more than 2, the design equations (8)–(10) need to be generalized such as (13a) (13b)

where is and a mapping function to transfer a low-pass prototype into a BPF with and . With [11], [12], [14] and the attenuation in (11) is therefore 3 dB at and . In the conventional design, since the element values of

(13c) (13d)

AHN AND NAM: DESIGN METHOD FOR BUTTER–CHEBY BPFs WITH EVEN NUMBER OF RESONATORS

1553

TABLE II INDUCTANCE AND CAPACITANCE VALUES FOR THE BUTTER–CHEBY FILTER WITH GHz, , AND

Fig. 7. BPF with

.

where (13e) (13f) where is a positive integer and possible to and are element values of the Butterworth low-pass prototype, and is the ratio of to . With , the value of is only 1. The inductances and capacitances become and in (8) and in (10a), and in (10b). With is 1 and 2 and two parallel and two series resonators are therefore needed as displayed in Fig. 7. The element values with of the Butterworth low-pass prototype are and [11], [12], [14]. The characteristic impedance made by the first and second resonators (Fig. 7) is and by the third and fourth ones . In this case, to have perfect matching at a design center frequency. When and [11], [12], [14]. The product of is also unity. For is also unity. To have the ripple responses with even, i.e., to move the poles overlapped at a design center frequency, needs to be changed to , but the following relation should be satisfied to have perfect matching at a design center frequency: (14) With should be

, to satisfy the relation in (14),

and

Fig. 8. Frequency responses of Butter–Cheby filters with . (b) .

to

(15a) (15b) where is a real positive number. Varying in (15), the filters with and were designed at a center frequency of 1 GHz, and the calculated capacitances and inductances are written in Table II. The frequency responses are plotted in Fig. 8 where the frequency responses of and are in Fig. 8(a) and (b), respectively. When , the frequency response is the same as that of the conventional Butterworth filter. When , it has the maximally flat response, but the bandwidth decreases. With

. (a)

, the filters have ripples. The frequency responses with meet those with at four frequencies. Two of them are located at the design center frequency, and two others are placed on the frequency response with , where peak values of between two poles [see Fig. 8(b)] exit. The two frequencies where the peak value of is produced are not symmetric with respect to the center frequency, because the filter itself with is inherently asymmetric. When , the peak value is about 28.3 dB [see Fig. 8(b)], when , it is about 16.5 dB and when , it about 9.7 dB. With decreasing, the peak values of between two poles increase. Due to the ripples in Fig. 8, the bandwidths of the filters may be enlarged, compared to those of the conventional Butterworth filter, or, . The filters with were designed based on the Butterworth element values, but the frequency responses have ripples (Fig. 8). Therefore, the filters are called

1554

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 9. Frequency responses of the Butter–Cheby filters with are compared with the conventional Chebyshev filters. (a) 0.1-dB ripples. (b) 0.01-dB ripples. TABLE III INDUCTANCE AND CAPACITANCE VALUES FOR THE BUTTER–CHEBY FILTER WITH 0.01-dB RIPPLE

Fig. 10. Frequency responses of the Butter–Cheby filters with different bandwidths.

only pole locations are different. The results (Fig. 9) show that the Butter–Cheby filters can possess the advantages that both Chebyshev and Butterworth filters have; steeper roll-off, wider bandwidths, and equal termination impedances. Varying means changing the element values of the Butterworth low-pass prototype. If the element values of the Butter–Cheby filters are and , they are connected with such as (16a)

Butter–Cheby filters to distinguish from the conventional Butterworth or Chebyshev filters. The Butter–Cheby filters are compared with two conventional Chebyshev filters in Fig. 9. The Chebyshev filters were chosen to have 0.01- and 0.1-dB insertion-loss ripples and the equi-ripple bandwidth 0.4. Note that the fractional bandwidth is a 3-dB bandwidth and different from the ripple bandwidth defined by the Butter–Cheby or Chebyshev filter having ripples. The insertion losses of 0.01 and 0.1 dB are return losses of 26.383 and 16.4277 dB, respectively, under the assumption of lossless elements. From the frequency responses of Butter–Cheby filters in Fig. 8(b), to have 16.4277-dB return loss, should be approximately 0.8. For 26.383-dB return loss, should be between 0.8 and 0.9 [see Fig. 8(b)], and the exact value of for the return loss is 0.887. With close to unity, the ripple bandwidths become smaller. For a 40% Butter–Cheby filters with 0.01-dB ripple, is calculated, and for a 40% Butter–Cheby filters with 0.1-dB ripple, is the same as that of the conventional Butterworth filter. That is, the fractional bandwidth of is a parameter to design the Butter–Cheby filters, but the resulting ripple bandwidth of the Butter–Cheby filters is not always the same as the fractional bandwidth of the conventional Butterworth filter. Data of the Butter–Cheby filter with the 0.1-dB ripple are those with and in Table II and those with 0.01-dB ripple in Table III. The four filters are compared in Fig. 9 where the solid and dotted lines are the frequency responses of the Butter–Cheby and Chebyshev filters, respectively. The frequency responses with 0.1-dB ripple are in Fig. 9(a) and those with 0.01-dB ripple in Fig. 9(b). Two types of filter responses in Fig. 9 are identical in terms of bandwidths and skirt characteristics, but

(16b) With close to unity, the peak value of between two poles becomes smaller [see Fig. 8(b)]. This tendency is independent of the fractional bandwidth of , but the frequencies where the two frequency responses with and intersect are dependent on the fractional bandwidth. The new element values of and in (16) can be used just like those of the conventional Chebyshev or Butterworth filters. For the Butter–Cheby filters with 0.01-dB ripple in Fig. 9(b), the new element values are and . Using them, four Butter–Cheby filters were additionally simulated by varying the factional bandwidths 0.54, 0.65, 0.75, and 0.85 and compared with the conventional Chebyshev filter with in Fig. 10 where the frequency response with a dotted line is that of the conventional Chebyshev filter. The Butter–Cheby filter being compared to the Chebyshev filter with , number and location of the poles are about the same, but sharper slope characteristic is shown with the Butter–Cheby filter, as expected. The bandwidth of the Butter–Cheby filter with shows the widest, while that with shows the smallest. The frequency responses of the Butter–Cheby filters in Fig. 10 are the results scaled by the fractional bandwidths, or, the ripple bandwidths. The new elements of and may also be employed for termination impedance and frequency scaling as well. D. Filter Design With The Butter–Cheby filter with may be considered as a filter that one filter with is placed in the middle of another filter with . The values of for and for are

AHN AND NAM: DESIGN METHOD FOR BUTTER–CHEBY BPFs WITH EVEN NUMBER OF RESONATORS

Fig. 11. Frequency responses of Butter–Cheby filters with . (b)

. (a)

.

therefore used. The following relations hold: (17a) (17b) (17c) Two types of simulation were carried out for the Butter–Cheby filters with by fixing and varying . The simulation results are plotted in Fig. 11 where those with and are in Fig. 11(a) and (b), respectively. In both plots, the dotted lines without symbols are the conventional Butterworth filters. As expected, two poles are located at the design center frequency of 1 GHz and four others are located at other different frequencies. With fixed in Fig. 11(a), when , two poles outside of the center frequency are located nearby and the peak value of between poles is 35.6 dB. With and , those are 23.14 and 16.42 dB. With smaller, the two poles outside of the center frequency are separated further. When is fixed in Fig. 11(b), the two poles outside of 1 GHz are located nearby with and separated further with smaller. With , the two poles outside of the center frequency look like being overlapped. By the combination of and , the Butter–Cheby filters may be designed to satisfy the filter performance demanded. E. Filter Designs With The Butter–Cheby filter with may be considered as a filter that one filter with is placed in the middle of another filter with . Two different values of and are therefore needed. The following relations hold: (18a) (18b) (18c) (18d)

Fig. 12. Frequency responses of Butter–Cheby filters with . (b) .

1555

. (a)

Several Butter–Cheby filters with were simulated by fixing and varying , and the simulation results are plotted in Fig. 12 where those of the Butter–Cheby filters with and in Fig. 12(a) and (b), and thin dotted lines are the frequency responses of the conventional Butterworth filters with . The frequency responses with are very similar to those with in Figs. 8–10. Four poles are overlapped at the design center frequency of 1 GHz, and two poles are degenerated at each pole location outside the design center frequency. The Butter–Cheby filter with may be seen as a filter where one filter with is inserted into the center of another filter with . Three values of and are therefore needed such as (19a) (19b) (19c) (19d) (19e) The Butter–Cheby filters with were simulated by varying and and the simulation results are plotted in Fig. 13 where those of the Butter–Cheby filters with and in Fig. 13(a) and (b), and thin dotted lines are the frequency responses of the conventional Butterworth filters with . The number of poles overlapped at the design center frequency is four and two poles are degenerated at each pole location outside the center frequency. Therefore, the pole locations of the Butter–Cheby filters with are similar to those with . The Butter–Cheby filter with may be considered as a filter that one filter with is inserted into the center of another filter with . That with is regarded as a filter that one filter with is located at the center of another filter with . Therefore, the concept may be expanded to the Butter–Cheby filters with an even number of resonators.

1556

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 14. Filter with

Fig. 13. Frequency responses of Butter–Cheby filters with and . (b) and .

. (a)

TABLE IV ELEMENT VALUES FOR THE BUTTER–CHEBY FILTERS WITH

F. Influence of Variables ( and ) on Pole Locations , the element values for the For Butter–Cheby filters in Fig. 11 are given in Table IV where are those of the conventional Butterworth filter. The conventional values are between 0.517 and 1.931, while those for the Butter–Cheby filters are greater than 0.157 and less than 1.931. When in Fig. 11(a), two poles with outside the center frequency are separated further than those with or . When fixed in Table IV, only is changed. The value of (1.352) with is less than those with or and closer to unity. That is, if is closer to unity, the poles are located in wider range of frequencies in Fig. 11(a). When and in Table IV, since the value of is 1.159 and three values of and become closer to unity, their poles in Fig. 11(b) spread wider than those with and in Fig. 11(a). For the design of the Butter–Cheby filters, it is, therefore, important to make all the element values close to unity by varying and . When and in Fig. 11(b), the four poles outside the center frequency are located wider than any other case, but it is natural that the return-loss response deteriorates to connect two nearby poles naturally. Since the wider pole-location does not mean the wider bandwidth, any optimization is therefore desired for the Butter–Cheby filter designs. For and . In this case, since the difference between and is very small, the possibility to choose

having a transmission zero.

between two values of 1.08 and 0.92 is restricted, keeping the relation in (14). The same reason occurs for the Butter–Cheby filters with . Due to the reason, two poles are degenerated at each pole location in Figs. 12 and 13. IV. TRANSMISSION ZEROS A. Transmission Zeros The frequency responses of a filter with even are not symmetric with respect to the center frequency and show gentler slope characteristic in the frequencies higher than the center frequency. Due to this, a transmission zero is needed, and a filter configuration with for the transmission zero is depicted in Fig. 14 where a transmission-line section is inserted between a parallel resonator and ground. The parallel resonator (Fig. 14) with an inductance and a capacitance is connected in series with the transmission-line section having the characteristic impedance and the electrical length . The impedance made by the parallel resonator and the transmission-line section is (20) outside of the resonance freTo have a transmission zero quency, the impedance of needs to be zero, which leads to (21) where . To have the frequency response of the filter in Fig. 14 similar to that in Fig. 5 in the passband, the relation between these two types of filters in Figs. 5 and 14 holds (22) To satisfy the equation in (22), the following relation yields: (23) Equation (23) means that the design equations in (8)–(10) and (13)–(19) may be used for the transmission zeros. To satisfy the relation in (22), the transmission zero should be located at a frequency higher than the center (resonance) frequency. B. Frequency Response With Transmission Zeros To investigate the transmission-zero frequency , the filter with was simulated by fixing the characteristic

AHN AND NAM: DESIGN METHOD FOR BUTTER–CHEBY BPFs WITH EVEN NUMBER OF RESONATORS

1557

Fig. 15. Frequency responses with transmission zeros.

TRANSMISSION ZEROS

TABLE V BUTTER–CHEBY FILTER WITH , AND

OF THE

,

Fig. 16. Realization of capacitances and inductances. (a) Series capacitance. (b) and (c) Series inductance. (d) Shunt inductance. (e) Shunt capacitance.

impedance to 50 and varying the transmission-line length (Fig. 15). In this case, the center frequency is 1 GHz, and . The resulting inductance and capacitance values are nH, pF, nH, and pF. The length of is 14.76 at GHz, at GHz, and is inversely proportional to . The calculation results are in Table V where is the electrical length at its own transmission zero frequency. The filter responses are compared with and without the transmission zeros in Fig. 15 where the transmission zeros are really produced as designed. The frequency responses are almost the same with each other lower than 1 GHz, but different in the frequencies higher than the center frequency (Fig. 15). The frequency responses in Fig. 15 again verify that the design formulas without the transmission zeros in (8)–(10) and (13)–(19) may be used for the transmission zeros. With GHz in Fig. 15, the transmission zero is located very close to the center frequency, but scattering parameter of again jumps up to less than 20 dB. When GHz, the transmission zero is a little bit far from the center frequency, but the final scattering parameter of after jumping up is less than 40 dB. Depending on applications such as multiplexers, the transmission-zero frequency can be adjustable.

small to be realized with commercial lumped elements, when the center (resonance) frequency of the filter increases. How to realize the series capacitances exactly with distributed elements only or distributed and lumped elements combined will be discussed. Further, other inductances and capacitances will also be investigated. A. Series Capacitance One set of coupled transmission-line sections with two open circuits (distributed element) and its -type equivalent circuit (lumped element) are depicted in Fig. 16(a). The even- and oddmode impedances of the coupled transmission-line sections are and , and the electrical length is . The even- and odd-mode admittances and of the distributed element in Fig. 16(a) are (24a) (24b) . where Those of the lumped equivalent circuit in Fig. 16(a) are (25a) (25b) By equating the two equations in (24) and (25), the lumped eland are ement values of (26a) (26b)

V. REALIZATION For the fabrication of the lumped-element Butter–Cheby filters, all the inductance and capacitance values required are not easy to get from the manufacturers. The series capacitances are of the biggest problem among the lumped elements because the filter performance is very sensitive to the series capacitance value and the capacitance needs to be realized as exactly as possible. The value of the series capacitance is also sometimes too

Applying tween and

to (26), the relation beis obtained as (27)

where

is a coupling coefficient.

1558

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

The electrical length and the coupling coefficient associated with the lumped elements [see Fig. 16(a)] are therefore obtained as (28a) (28b) To realize the series capacitance with the distributed element [see Fig. 16(a)], the shunt capacitance of should be so small to be regarded as an open circuit. If the value of is more than 400 , the distributed element may be equivalent to the series capacitor of only [see Fig. 16(a)]. To have higher value of , the even-mode impedance of should be chosen as high as possible and the length of as small as possible. The distributed element may then be equivalent to the series capacitance of only. The capacitance produced by the distributed element is generally small and becomes smaller with the operating frequency higher. The series capacitance of the filters in Figs. 5 and 7 may be realized with distributed elements and lumped elements combined together.

Fig. 17. Fabricated Butter–Cheby filter.

B. Other Values To have exact values of series inductance , shunt inductance , and shunt capacitance in Fig. 16, lumped elements and transmission-line sections combined together may be used. A transmission-line section with the characteristic impedance of and electrical length of may be equivalent to a circuit consisting of a series inductance and two identical shunt capacitances [13] in Fig. 16(b). Their values are expressed as (29a) (29b) For the equivalent circuit [see Fig. 16(b)], absolute reactance is 685 with and , value of while is 3437 with and . When and is 1660 , while is 8020 with and . If is greater than 60 and is less than 10 , the transmission-line section [see Fig. 16(b)] may therefore be considered as a series inductance of only. Due to the fact, the series inductance in Fig. 16(c) may be obtained as (30) is a lumped element given by the manufacturers. where The exact values of the shunt inductance and shunt capacitance connected to the ground in Fig. 16(d) and (e) may also be obtained by lumped elements of and and transmission-line sections combined together, as shown in Fig. 16(d) and (e). The inductance of is greater than , but the capacitance of is less than . VI. MEASUREMENTS To verify the design method, one microstrip Butter–Cheby filter with 0.01-dB ripple was fabricated on a substrate (RT/

Fig. 18. Results measured and predicted are compared. (a) and (b) . (c) .

Duroid 5870, mm) with lumped and distributed elements. The data in Tables III were therefore used for the fabrication. If the designed values of the capacitances or inductances meet those supplied by the manufactures, lumped elements only were employed for the fabrication. Otherwise, distributed and lumped elements were combined. The lumped elements supplied by American Technical Ceramics (ATC) were

AHN AND NAM: DESIGN METHOD FOR BUTTER–CHEBY BPFs WITH EVEN NUMBER OF RESONATORS

utilized based on the data sheets giving scattering parameters from 0.05 to 20 GHz. A transmission-line section for the transmission zero was inserted between a parallel resonator with and (Fig. 7) and ground to have GHz. The capacitances and inductances of and (Table III) were fabricated with lumped elements only because of nH, pF, and pF. The other values were realized by the combination of distributed and lumped elements. The inductance of nH is made of a lumped inductor of nH and a transmission-line section with and [see Fig. 16(c)]. That of nH consists of a lumped inductor of 2 nH and a transmission-line section with and . That of nH comprises a lumped inductor of 12 nH and a transmission-line section with and . That of pF is fabricated with a lumped capacitor of 9 pF connected in parallel with another capacitance of 0.66 pF. The capacitance of 0.66 pF is realized with the form of [see Fig. 16(e)] where pF, , and . The fabricated Butter–Cheby filter is displayed in Fig. 17 and the frequency responses measured and predicted are compared in Fig. 18 where frequency responses of scattering parameter of are in Fig. 18(a) and (b) and those of are in Fig. 18(c). As designed, the transmission zero is located around 2 GHz, and the measured return and insertion losses are better than 20 and 0.4 dB, respectively. In general, the measured results are in good agreement with the predicted ones, given fabrication errors. VII. CONCLUSION In this paper, a new design method for the BPFs with an even number of resonators has been suggested for compacter size and wider bandwidth. The filters designed in this paper can be terminated in equal impedances and may have ripple responses at the same time. The resulting filter characteristics are therefore quite different from those of the conventional Chebyshev and Butterworth filters, and the filters suggested in this paper are called Butter–Cheby filters to characterize their own properties. The element values for the Butter–Cheby low-pass prototype can be generated arbitrarily depending on the filter performance and scaled by bandwidth, frequency, and termination impedances. The element values of the Butter–Cheby filters may be obtained easily, by which bandpass, bandstop, and low-pass Butter–Chebyshev filters are also possible for the compacter size and wider bandwidths. REFERENCES [1] H.-R. Ahn and T. Itoh, “Design method for a lumped-element bandpass filter with two resonators and its application to multiplexers,” IET Microw., Antennas, Propag., vol. 5, no. 7, pp. 804–810, 2011. [2] H.-R. Ahn and T. Itoh, “Multiplexers using unit-cell filters of CRLH TLs,” in Asia–Pacific Microw. Conf. Dig., 2010, pp. 674–677.

1559

[3] S. Hong and K. Chang, “A 10–35 GHz six-channel microstrip multiplexer for wideband communication systems,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 4, pp. 1370–1378, Apr. 2006. [4] J.-A. Gong and W.-K. Chen, “Computer-aided design of a singly-matched (S-M) multiplexer with a common junction,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 5, pp. 886–890, May 1993. [5] P. M. Latourrette and J. L. Roberds, “Extended-junction combline multiplexers,” in IEEE MTT-S Int. Microw. Symp. Dig., 1978, pp. 214–216. [6] H.-R. Ahn and S. Nam, “Wideband coupled-line microstrip filters with high impedance short-circuited stubs,” IEEE Microw. Wireless Compon. Lett., vol. 21, no. 11, pp. 586–588, Nov. 2011. [7] J. D. Rhodes and R. Levy, “A generalized multiplexer theory,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 2, pp. 99–111, Feb. 1979. [8] P. M. Shankar, “Quantitative measures of boundary and contrast enhancement in speckle reduction in ultrasonic B-mode images using spatial Bessel filters,” IEEE Trans. Ultrason., Ferroelectr., Freq. Control, vol. 56, no. 10, pp. 2086–2096, Oct. 2009. [9] U.-K. Moon and B.-S. Song, “Design of a low-distortion 22-kHz fifthorder Bessel filter,” IEEE J. Solid-State Circuits, vol. 28, no. 12, pp. 1254–1264, Dec. 1993. [10] H.-R. Ahn and T. Itoh, “Impedance-transforming symmetric and asymmetric DC blocks,” IEEE Trans. Microw. Theory Tech, vol. 58, no. 9, pp. 2463–2474, Sep. 2010. [11] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, ch. 3. [12] G. L. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks and Coupling Structures. Norwood, MA: Artech House, 1980. [13] H.-R. Ahn, Asymmetric Passive Components in Microwave Integrated Circuits. New York: Wiley, 2006, p. 78. [14] D. M. Pozar, Microwave Engineering. New York: Wiley, pp. 481–483. Hee-Ran Ahn (S’90–M’95–SM’99) received the B.S., M.S., and Ph.D. degrees in electronic engineering from Sogang University, Seoul, Korea, in 1988, 1990, and 1994, respectively. Since April 2011, she has been with the School of Electrical Engineering and Computer Science, Seoul National University, Seoul, Korea. From August 2009 to December 2010, she was with the Department of Electrical Engineering, University of California at Los Angeles (UCLA). From July 2005 to August 2009, she was with the Department of Electronics and Electrical Engineering, Pohang University of Science and Technology, Pohang, Korea. From 2003 to 2005, she was with the Department of Electrical Engineering and Computer Science, Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea. From 1996 to 2002, she was with the Department of Electrical Engineering, Duisburg–Essen University, Duisburg, Germany, where she was involved with the habilitation dealing with asymmetric passive components in microwave circuits. She authored Asymmetric Passive Component in Microwave Integrated Circuits (Wiley, 2006). Her interests include high-frequency and microwave circuit design and biomedical application using microwave theory and techniques. Sangwook Nam (S’87–M’88–SM’11) received the B.S. degree from Seoul National University, Seoul, Korea, in 1981, the M.S. degree from the Korea Advanced Institute of Science and Technology (KAIST), Seoul, Korea, in 1983, and the Ph.D. degree from The University of Texas at Austin, in 1989, all in electrical engineering. From 1983 to 1986, he was a Researcher with the Gold Star Central Research Laboratory, Seoul, Korea. Since 1990, he has been a Professor with the School of Electrical Engineering and Computer Science, Seoul National University. His research interests include analysis/design of electromagnetic (EM) structures, antennas and microwave active/passive circuits.

1560

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Novel Wideband Differential Bandpass Filters Based on T-Shaped Structure Wenjie Feng and Wenquan Che, Senior Member, IEEE

Abstract—Two novel wideband differential bandpass filters based on a T-shaped structure are proposed in this paper. Broad passband and wideband harmonic suppression for the differential/common mode can be easily achieved for the wideband differential filter without cross coupling, due to the controlled resonator frequencies of the shorted/open T-shaped structure. In addition, another more compact differential filter using cross coupling is proposed with two transmission zeros close to the passband to improve the selectivity for the differential mode. To verify the presented concept, two prototypes ( , mm) with 3-dB fractional bandwidth of 70% and 70.7% for the differential mode and insertion loss greater than 13.5 dB for common mode (0–19 GHz) are designed and fabricated. Good agreement can be observed between measured results and theoretical expectations. Index Terms—Bandpass filter, differential/common mode, fractional bandwidth, T-shaped structure, wideband.

I. INTRODUCTION

B

ALANCED circuits have attracted great attention with the rapid growth of modern wireless communication systems. For balanced circuits, differential filters with the advantages of higher immunity to the environmental noises, better dynamic range, and lower electromagnetic interference (EMI) are imperatively needed. For the balanced filters, the differential mode should have excellent out-of-band rejection and high selectivity for the desired frequency band, while the common mode should be suppressed over a wider frequency band. Several approaches have been demonstrated for single band, dual-band, and wideband differential filters [1]–[8]. In [6] and [7], two microstrip differential filters with good common-mode suppression in the passband and high selectivity for the differential mode were designed, but the out-of-band suppression of common-mode signals is not good. Another differential ultra-wideband (UWB) bandpass filter based on a double-sided parallel-strip line (DSPSL) with good common-mode suppression was illustrated in [8]. However, the upper stopband for the differential mode is very narrow, and wideband transitions should be added between the DSPSL and

Manuscript received August 25, 2011; revised February 07, 2012; accepted February 09, 2012. Date of publication March 21, 2012; date of current version May 25, 2012. This work was supported by the National Natural Science Foundation of China (60971013) and by the Major State Basic Research Development Program of China (973 Program: 2009CB320201). The authors are with the Department of Communication Engineering, Nanjing University of Science and Technology, 210094 Nanjing, China (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2188538

Fig. 1. (a) T-shaped structure with a shorted stub. (b) T-shaped structure with an open stub.

microwave devices for practical application, which result in larger circuit size. The T-shaped structure with controlled resonator frequencies has been widely used in dual/tri-band filters, couplers, and power dividers [9]–[16]. However, little research has described the application of the T-shaped structure in the wideband differential filters. In this paper, two novel wideband differential bandpass filters based on T-shaped structure are firstly proposed. Due to the shorted/open characteristic of the central stub for the T-shaped structure, controlled resonator frequencies for the differential/common mode can be easily adjusted by changing the impedance of the T-shaped structure. Good transmission characteristic for the differential mode and broadband common mode suppression can be achieved for the two proposed wideband differential filters. Detailed theoretical design, simulation, and experimental results are demonstrated and discussed. II. SHORTED/OPEN T-SHAPED STRUCTURE Fig. 1(a) shows the T-shaped structure with a shorted stub ( , ) shunt-connected in the center of two transmission lines ( , ). The input admittance of the T-shaped structure with the shorted stub is

(1)

are chosen, and

For simplicity,

(2)

When

0018-9480/$31.00 © 2012 IEEE

, we have

(3)

FENG AND CHE: NOVEL WIDEBAND DIFFERENTIAL BANDPASS FILTERS BASED ON T-SHAPED STRUCTURE

Fig. 3. Analysis of resonator frequencies versus

When

1561

(

).

,

(7)

Fig. 2. (a) Analysis of resonator frequencies versus ( ). (T-shaped structure with (b) Normalized resonant frequencies versus the shorted stub).

In this way, a resonant frequency will occur at the center frequency of the T-shaped structure. In addition, when , we can get another two resonant frequencies

(4) Fig. 2(a) and (b) plots the resonant frequencies versus and different impedance ratio for and . When the electrical length for the T-shaped structure is fixed, the two resonant frequencies and can be adjusted by changing the characteristic impedances and within a wide range. In addition, the T-shaped structure with an open stub is shown in Fig. 1(b). The input admittance of the T-shaped structure with an open stub is

(5)

When

,

(6)

Similarly, a resonant frequency will occur at the center frequency of T-shaped structure with the open stub. Moreover, considering the numerator of (6), when and , , two resonant frequencies will be realized at dc and of the T-shaped structure. Fig. 3 plots the resonant frequencies versus . Obviously, three resonant frequencies are located at dc, , and for the T-shaped structure with the open stub. Based on above analyses, when the proposed T-shaped structures with the shorted/open stubs are used to design a wideband differential bandpass filter, the three resonant frequencies of the T-shaped structure with the shorted stub are used to realize a wide passband for the differential mode by changing the characteristic impedances and , and the central resonant frequency of the T-shaped structure is the desired center frequency of the differential filter. In addition, because the three resonant frequencies of the T-shaped structure with the open stub do not change with the characteristic impedance and , a wide stopband can be easily achieved for the common mode. For further demonstration, detailed theoretical design for the two wideband differential filters will be given below.

III. ANALYSIS OF PROPOSED DIFFERENTIAL FILTER WITHOUT CROSS COUPLING The top view and the ideal circuit of the wideband differential bandpass filter without cross coupling are shown in Fig. 4. From port 1 to port 2 (and also from port 1’ to port 2’), two coupling transmission lines with electrical lengths and characteristic impedance are located symmetrically. A load with electrical length is attached to the center of the T-shaped structure. Four microstrip lines with characteristic impedance are connected to ports 1, 1’ and ports 2, 2’. Due to the symmetry of the circuit in Fig. 4(b), the equivalent half circuits of the differential/common-mode can be

1562

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 6. Simulated frequency responses of the differential mode. (a) ( , ). (b) ( , ).

EVEN-

Fig. 4. (a) Top view of the wideband differential bandpass filter without cross coupling. (b) Ideal circuit of the wideband differential filter. (c) Equivalent circuit for the differential mode. (d) Equivalent circuit for the common mode.

TABLE I ODD-MODE CHARACTERISTIC IMPEDANCES IN CASES DIFFERENT PARAMETERS OF THE COUPLED LINES

AND

,

OF

Fig. 7. Ideal lossless equivalent circuit of the shorted stub in the center frequency of .

A. Differential Mode Analysis

Fig. 5. Simulated

for parallel-coupled line versus gap size

.

used for theoretical analysis conveniently [17], as shown in Fig. 4(c) and (d).

When the differential mode signals are excited from ports 1 and 1’ in Fig. 4(b), a virtual short appears along the symmetric line, and the center coupling structure for the differential filter is a T-shaped structure with a shorted stub [17], as shown in Fig. 4(c). As discussed in [18]–[20], in order to realize a wide passband for the differential mode, tight couplings of the coupled lines (even/odd-mode characteristic impedance and ) between the two sides of the T-shaped structure are required. High-impedance of the two parallel-coupled lines could be applied to realize tight coupling. The external quality factor of the parallel -coupled line can be given by [19], [20]

(8)

FENG AND CHE: NOVEL WIDEBAND DIFFERENTIAL BANDPASS FILTERS BASED ON T-SHAPED STRUCTURE

Fig. 8. Simulated frequency responses of the common mode ( , ).

1563

,

Fig. 9. Ideal lossless equivalent circuit of the open stub in the center frequency of .

Fig. 11. (a) Top view of the differential bandpass filter with cross coupling. (b) Ideal circuit of the wideband differential filter. (c) Equivalent circuit for the differential mode. (d) Equivalent circuit for the common mode. Fig. 10. Simulated results for the differential filter without cross coupling. (a) Differential mode. (b) Common mode.

Once and of the parallel-coupled line are known, the linewidth and gap can be simulated from the software of Ansoft Designer or the Advanced Design System (ADS). In addition, the loaded quality factor and the 3-dB bandwidth of the parallel-coupled line is related by (9)

Fig. 5 plots the simulated versus the gap for different linewidths. For the lossless case, when , , and are specified, and of the parallel-coupled line can be determined, and the parameters of the parallel-coupled line can be obtained. For example, when GHz and GHz, gap mm can be obtained for the case of . From (8), we can obtain and , and then the linewidth can be determined. The simulated frequency responses of Fig. 4(c) are shown in Fig. 6(a) and (b). Here we choose GHz. Table I

1564

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

TABLE II EVEN-

AND ODD-MODE CHARACTERISTIC IMPEDANCE IN CASES OF DIFFERENT PARAMETERS OF THE COUPLED LINES

Fig. 13. Simulated results for the differential filter with cross coupling. (a) Differential mode. (b) Common mode.

Fig. 12. Simulated frequency responses for the differential filter with cross , coupling. (a) Differential mode. (b) Common mode ( ).

lists the even- and odd-mode characteristic impedances simulated from Ansoft Designer v3.0 in cases of different parameters of the coupled lines. is the coupling coefficient of the two coupled lines, where . Obviously, the lower and upper cutoff frequencies of the wide passband for the differential mode are mainly determined by the two resonant frequencies and , and the transmission zero appearing at is created by the shorted stub of the T-shaped structure. Actually, when the characteristic impedance of the shorted stub becomes smaller, the T-shaped structure can be viewed as improved multimode resonators [18]. Since the shorted stub of the T-shaped structure is a quarter-wavelength at the center frequency , half size reduction can be achieved for the T-shaped resonator structure when compared with the multimode resonators [18]. In addition, the bandwidth for the differential mode increases with the increase of the characteristic impedance [as shown in Fig. 6(b)]. As a matter of fact, when the characteristic

impedance of the shorted stub for the T-shaped structure becomes smaller, the capacitance of the shorted stub increases while the inductance decreases, and the shorted stub can be seen as an ideal lossless parallel resonance circuit in the center frequency (as shown in Fig. 7). Since the factor of the passband for the shorted stub is proportional to the susceptance slope parameter , when the factor increases, the bandwidth for the passband will become narrower [21]. B. Common-Mode Analysis When the common-mode signals are excited from ports 1 and 1’, a virtual open appears along the symmetric line in Fig. 4(b), and the center coupling structure for the differential filter is a T-shaped structure with an open stub [17], as shown in Fig. 4(d). Fig. 8 shows the simulated frequency responses of Fig. 4(d), indicating that broadband good common mode suppression can be easily achieved with the decrease of characteristic impedance . In addition, the three resonant frequencies at dc, , and for the T-shaped structure with the open stub do not change with the characteristic impedance and , as discussed in Section II. Fig. 9 shows the open stub and its ideal lossless equivalent circuit at the center frequency of the common mode [21], and the open stub can be seen as an ideal lossless series resonance circuit in the center frequency . When the characteristic impedance of the open stub becomes smaller, the capacitance of the open stub increases and the inductance decreases. Since the factor of the stopband for the stub is proportional to the reactance slope parameter , the factor thus decreases and results in

FENG AND CHE: NOVEL WIDEBAND DIFFERENTIAL BANDPASS FILTERS BASED ON T-SHAPED STRUCTURE

1565

Fig. 14. Photographs of two proposed wideband differential filters. (a) Without cross coupling. (b) With cross coupling.

Fig. 16. Measured and simulated results for the differential filter with cross coupling in Fig. 11. (a) Differential mode. (b) Common mode.

Fig. 15. Measured and simulated results for the differential filter of Fig. 4. (a) Differential mode. (b) Common mode.

a wider bandwidth for the stopband [21]. Therefore, broadband common mode suppression can be easily achieved with the decrease of characteristic impedance , due to the three transmission zeros at dc, , and for the T-shaped structure with the open stub. C. Proposed Wideband Differential Bandpass Filter To clarify the proposed filter design, the design procedures of wideband differential bandpass filter are summarized as follows.

1) Based on (8) and (9), choose the desired center frequency of the differential bandpass filter and the 3-dB bandwidth of the parallel-coupled line, determine the width of the parallel-coupled line and gap . 2) Choose the characteristic impedance of the T-shaped structure to determine specified resonant frequencies and in (4), and obtain the desired 3-dB bandwidth for the differential mode. 3) Adjust the characteristic impedance to maximize the common mode suppression and further optimize the transmission characteristic for the differential mode. Referring to the discussions and the simulated results in Sections III-A and III-B, the three resonant frequencies for the common mode do not change with the characteristic impedance and so the differential-mode response generation and common-mode response suppression can be tuned independently to a certain degree. It greatly simplifies and clarifies the design and tuning of the differential filter. Based on the above theoretical analysis, the final parameters for the filter circuit of Fig. 4(b) are , , , , , and GHz. The structure parameters for the differential filter (35 mm 27 mm, ) in Fig. 4(a) are mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, and mm. The simulated results with Ansoft HFSS v.10 and Ansoft Designer v3.0 are shown in Fig. 10. The two-port differential/common mode -parameters for the differential filter are deduced from the

1566

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

TABLE III COMPARISONS OF MEASURED RESULTS FOR SEVERAL WIDEBAND DIFFERENTIAL FILTER STRUCTURES

simulated four-port -parameters [17], and they are (10) (11) (12) (13) As shown in Fig. 10(a), for the differential mode, four transmission poles are achieved in the passband (3-dB fractional bandwidth is approximately 71%, 4.45–9.3 GHz). The insertion loss is less than 0.5 dB, while the return loss is over 15 dB from 4.7 to 9 GHz. Furthermore, over 35-dB upper stopband is obtained from 10.5 to 15.5 GHz ( ). For the common mode, the insertion loss is greater than 15 dB from 0 to 19 GHz ( ), indicating very good wideband rejection, as shown in Fig. 10(b). IV. PROPOSED DIFFERENTIAL FILTER WITH CROSS COUPLING In order to further improve the selectivity and reduce the size of the differential bandpass filter without cross coupling, another compact wideband differential bandpass filter using cross coupling proposed in [22]–[25] is introduced. The top view and the circuit of the differential filter with cross coupling are shown in Fig. 11(a) and (b) with cross coupling realized by the input/ output side-coupled lines. In addition, the half equivalent circuits for the differential/common mode are shown in Fig. 11(c) and (d) [17]. Table II lists the even- and odd-mode characteristic impedance in cases of different parameters of the coupled lines. The simulated results for the differential/common mode in three coupling cases are shown in Fig. 12, and the two transmission zeros near the passband for the differential mode become closer with the increase of the source/load coupling between ports 1 and 2 (ports 1’ and 2’), leading to a quasi-elliptic function that improves the passband and out-of-band performances for the differential mode. However, in order to realize better broadband common mode suppression, the coupling strength between ports 1 and 2 (ports 1’ and 2’) should be controlled to a certain degree.

Based on the above discussion and the theoretical analysis in Section III, the final parameters for the differential filter with cross coupling are , , , , , , , and GHz. The final structure parameters for the differential filter (36 mm 22 mm, ) in Fig. 11(a) are mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, and mm. The simulated results for the structure and circuits of Fig. 11 are shown in Fig. 13. Good agreement can be found between the HFSS and circuit simulation results. For the differential mode shown in Fig. 13(a), two transmission zeros are located at 2.5 and 10.3 GHz, respectively, while four transmission poles are also realized in the passband (3-dB fractional bandwidth is approximate 72%, 4.3–9.25 GHz). The simulated insertion loss is less than 0.8 dB, while the return loss is over 12.5 dB from 4.4 to 8.9 GHz, over 25-dB upper stopband is achieved from 10 to 17.6 GHz ( ). For the common mode shown in Fig. 13(b), the simulated insertion loss is greater than 13.5 dB from 0 to 19 GHz ( ). Compared with the proposed wideband differential bandpass filter without cross coupling, the selectivity for the differential mode has been improved, while the circuit size reduction has also been achieved. V. MEASURED RESULTS AND DISCUSSIONS Fig. 14 shows the photographs of the two wideband differential filters with sizes of 35 mm 27 mm ( ) and 36 mm 22 mm ( ), which are fabricated on the substrate with , mm, and . The measured results for the differential/common mode of the two wideband differential bandpass filters are shown in Fig. 15 (without cross coupling) and Fig. 16 (with cross coupling). As shown in Fig. 15(a), for the differential mode of the wideband bandpass filter without cross coupling, the measured insertion loss is less than 1.65 dB, while the return loss is over 15 dB from 4.9 to 8.9 GHz (3-dB fractional bandwidth is approximately 70%). Furthermore, over 30-dB upper stopband is achieved from 10 to 17.9 GHz ( ). The measured group delay is less than 0.38 ns from 5 to 9 GHz. For the common

FENG AND CHE: NOVEL WIDEBAND DIFFERENTIAL BANDPASS FILTERS BASED ON T-SHAPED STRUCTURE

mode shown in Fig. 15(b), over 14.5-dB stopband is obtained from 0 to 19 GHz ( ), indicating very good wideband common-mode rejection. From Fig. 16(a), for the differential mode of the wideband bandpass filter with cross coupling, two transmission zeros are located at 2.5 and 10.9 GHz (3-dB fractional bandwidth is approximately 70.7%), respectively. The measured insertion loss is less than 1.8 dB, while the return loss is over 12 dB from 4.6 to 8.7 GHz. Furthermore, over 20-dB upper stopband is achieved from 11 to 19 GHz ( ). The measured group delay is less than 0.4 ns from 4.8 to 9.1 GHz. For the common mode shown in Fig. 16(b), over 13-dB stopband is obtained from 0 to 19.5 GHz ( ). The slight frequency discrepancies between the measured and simulated results are mainly caused by the limited fabrication precision and measurement errors. In addition, Table III illustrates the comparisons of measured results for several wideband differential structures. Compared with three other differential filters [6]–[8], the effective circuit sizes of two proposed wideband differential bandpass filters are and , which are more compact, and the upper stopbands for the differential mode of the two filters stretch up to ( dB) and ( dB), wide stopbands for the common mode stretch up to ( dB) and ( dB). Moreover, to achieve tighter coupling and wider passband for the differential mode, multilayer coupling technology [20] and patterned ground-plane technology [26]–[28] can be used in the two proposed wideband differential bandpass filters. VI. CONCLUSION In this paper, two novel wideband differential bandpass filters based on T-shaped structure have been proposed. Good transmission characteristic for the differential mode and broadband common mode suppression can be easily achieved by the controlled resonator frequencies of the T-shaped structure for the two proposed wideband differential filters. Compared with former wideband differential structures [6]–[8], the proposed wideband differential filters have more compact effective circuit size, simpler design theory, and wider common-mode suppression. Good agreements between simulated and measured responses of the filters have been demonstrated, indicating the validity of the design strategies. REFERENCES [1] A. Ziroff, M. Nalezinski, and W. Menzel, “A 40 GHz LTCC receiver module using a novel submerged balancing filter structure,” in Proc. Radio Wireless Conf., 2003, pp. 151–154. [2] C. H. Wu, C. H. Wang, and C. H. Chen, “Novel balanced coupled-line bandpass filters with common-mode noise suppression,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 2, pp. 287–295, Feb. 2007. [3] C. H. Wu, C. H. Wang, and C. H. Chen, “Stopband-extended balanced bandpass filter using coupled stepped-impedance resonators,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 7, pp. 507–509, Jul. 2007. [4] J. Shi and Q. Xue, “Dual-band and wide-stopband single-band balanced bandpass filters with high selectivity and common-mode suppression,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 8, pp. 2204–2212, Aug. 2010. [5] J. Shi and Q. Xue, “Balanced bandpass filters using center-loaded halfwavelength resonators,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 4, pp. 970–977, Apr. 2010.

1567

[6] T. B. Lim and L. Zhu, “A differential-mode wideband bandpass filter on microstrip line for UWB application,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 10, pp. 632–634, Oct. 2009. [7] T. B. Lim and L. Zhu, “Highly selective differential-mode wideband bandpass filter for UWB application,” IEEE Microw. Wireless Compon. Lett., vol. 21, no. 3, pp. 133–135, Oct. 2011. [8] X. H. Wang, Q. Xue, and W. W. Choi, “A novel ultra-wideband differential filter based on double-sided parallel-strip line,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 8, pp. 471–473, Oct. 2010. [9] W. H. Tu and K. Chang, “Compact second harmonic-suppressed bandstop and bandpass filters using open stubs,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 6, pp. 2497–2502, Jun. 2006. [10] X. Y. Zhang, J.-X. Chen, Q. Xue, and S.-M. Li, “Dual-band bandpass filters using stub-loaded resonators,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 8, pp. 583–585, Aug. 2007. [11] F. C. Chen, Q. X. Chu, and Z. H. Tu, “Tri-band bandpass filter using stub loaded resonators,” Electron. Lett., vol. 44, no. 12, pp. 747–749, Jun. 2008. [12] P. Mondal and M. Mandal, “Design of dual-band bandpass filters using stub-loaded open-loop resonators,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 1, pp. 150–155, Jan. 2008. [13] W. J. Feng, Q. Xue, and W. Q. Che, “Compact dual-band bandpass filter based on stepped impedance resonators and T-shaped line,” Microw. Opt. Technol. Lett., vol. 52, no. 12, pp. 2721–2724, Dec. 2010. [14] K.-K. M. Cheng and F.-L. Wong, “A novel rat-race coupler design for dual-band applications,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 8, pp. 521–523, Aug. 2005. [15] C. Liou, M. Wu, J.-C. Yeh, Y. Chueh, and S. Mao, “A novel triple-band microstrip branch-line coupler with arbitrary operating frequencies,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 11, pp. 683–685, Nov. 2009. [16] Y. L. Wu, Y. A. Liu, Y. Zhang, J. Gao, and H. Zhou, “A dual band unequal Wilkinson power divider without reactive components,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 1, pp. 216–222, Jan. 2009. [17] W. R. Eisenstant, B. Stengel, and B. M. Thompson, Differential Circuit Design Using Mixed-Mode -Parameters. Boston, MA: Artech House, 2006. [18] L. Zhu, S. Sun, and W. Menzel, “Ultra-wideband (UWB) bandpass filters using multiple-mode resonator,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 11, pp. 796–798, Nov. 2005. [19] Y.-C. Chiou, J.-T. Kuo, and E. Cheng, “Broadband quasi-Chebyshev bandpass filters with multimode stepped-impedance resonators (SIRs),” IEEE Trans. Microw. Theory Tech., vol. 54, no. 8, pp. 3352–3358, Aug. 2006. [20] K. J. Song and Q. Xue, “Inductance-loaded Y-shaped resonators and their applications to filters,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 4, pp. 978–984, Apr. 2010. [21] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998. [22] K. T. Jokela, “Narrow-band stripline or microstrip filters with transmission zeros at real and imaginary frequency,” IEEE Trans. Microw. Theory Tech., vol. MTT-28, no. 6, pp. 542–547, Jun. 1980. [23] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001. [24] R. J. Cameron, “Advanced coupling matrix synthesis techniques for microwave filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 1–10, Jan. 2003. [25] H. Shaman and J. S. Hong, “Input and output cross-coupled wideband bandpass filter,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 12, pp. 2562–2568, Dec. 2007. [26] M. C. Velazquez, J. Martel, and F. Medina, “Parallel coupled microstrip filters with floating ground-plane conductor for spurious-band suppression,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 5, pp. 1823–1828, May 2005. [27] Z. Y. Zhang, Y. X. Guo, L. C. Ong, and M. Y. W. Chia, “Improved planar Marchand balun with a patterned ground plane,” Int. J. RF Microw. Comput.-Aided Eng., vol. 15, no. 3, pp. 307–316, May 2005. [28] W. J. Feng and W. Q. Che, “Ultra-wideband bandpass filter using broadband planar Marchand balun,” Electron. Lett., vol. 47, no. 3, pp. 198–199, Feb. 2011.

1568

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Wen Jie Feng was born in Shangqiu, Henan Province, China, in 1985. He received the M.Sc. degree from the Nanjing University of Science and Technology (NUST), Nanjing, China, in 2010, and is currently working toward the Ph.D. degree at NUST. From November 2009 to February 2010, he was a Research Assistant with the City University of Hong Kong. From October 2010 to March 2011, he was an exchange student with the Institute of High-Frequency Engineering, Technische Universität München, Munich, Germany. He has authored or coauthored over 20 journal and conference papers. He is a Reviewer for the International Journal of Electronics. His research interests include ultra-wideband (UWB) circuits and technologies, substrate integrated components and systems, microwave/millimeter-wave devices, circuits, and systems. Mr. Feng is a reviewer for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS, and IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS.

Wen Quan Che (M’01–SM’11) received the B.Sc. degree from the East China Institute of Science and Technology, Shanghai, China, in 1990, the M.Sc. degree from the Nanjing University of Science and Technology (NUST), Nanjing, China, in 1995, and the Ph.D. degree from the City University of Hong Kong (CITYU), Kowloon, Hong Kong, in 2003. In 1999, she was a Research Assistant with the City University of Hong Kong. From March 2002 to September 2002, she was a Visiting Scholar with the Polytechnique de Montréal, Montréal, QC, Canada. She is currently a Professor with the Nanjing University of Science and Technology, Nanjing, China. From 2007 to 2008, she conducted academic research with the Institute of High Frequency Technology, Technische Universität München. During the summers of 2005–2006 and 2009–2011, she was with the City University of Hog Kong, as Research Fellow and Visiting Professor. She has authored or coauthored over 80 articles in refereed international journals. She has been a Reviewer for IET Microwaves, Antennas and Propagation. Her research interests include electromagnetic computation, planar/coplanar circuits and subsystems in RF/microwave frequency, and medical application of microwave technology. Dr. Che has been a reviewer for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION, IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS, and IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. She was the recipient of the 2007 Humboldt Research Fellowship presented by the Alexander von Humboldt Foundation of Germany and the 5th China Young Female Scientists Award in 2008.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

1569

A Tunable Combline Bandpass Filter Loaded With Series Resonator Xu-Guang Wang, Young-Ho Cho, Student Member, IEEE, and Sang-Won Yun, Member, IEEE

Abstract—This paper proposes a varactor-tuned microstrip combline bandpass filter (BPF) that is loaded with lumped series resonators instead of the short-circuited end of combline. This configuration has the advantage of enhancing the tunability of the suggested BPF by varactor diodes in series resonators, which leads to a wide tuning range even with a small capacitance ratio of the varactor. Therefore, a low controlled bias voltage varactor-tuned BPF can be achieved. In addition, due to the controllable slope parameter of the proposed resonator, the coupling between resonators can be controlled. As a result, the proposed tunable BPF can keep nearly constant bandwidth over the wide tuning range. The insertion loss resulting from the varactors is compensated using an active capacitance circuit with negative resistance. Theoretical analysis and design approach are described. Experimental results of a demonstrative BPF show of only 2.6 a 500-MHz tuning range (1.7–2.2 GHz) with (1–5-V bias voltage) while maintaining nearly constant absolute bandwidth and low insertion loss. The measured performance of the fabricated filter shows good agreement with the simulated one. Index Terms—Combline bandpass filter (BPF), microstrip filter, tunable filter, varactor diode, wide tuning range.

I. INTRODUCTION

T

UNABLE bandpass filters (BPFs) are essential for multiband communication systems and have been extensively studied in recent years. The tunability of such filters is usually accomplished by using different tunable components such as: RF microelectromechanical systems (MEMS) devices [1], [2], p-i-n diodes [3], barium–strontium–titanate (BST) varactors [4], [5], and silicon/GaAs varactor diodes [6]–[17]. Among various tuning technologies, varactor-tuned tunable BPFs are highly attractive due to their compactness, high tuning speed and low cost. Hunter and Rhodes were first to describe a method for the design of tunable combline BPFs, and they realized a two-pole stripline tunable BPF at 3500–4500 MHz with 200 20-MHz absolute bandwidth and 3–5-dB insertion loss [6]. However, their approach cannot be applied to microstrip technologies due

Manuscript received November 01, 2011; revised January 20, 2012; accepted January 23, 2012. Date of publication March 19, 2012; date of current version May 25, 2012. This work was supported by the Ministry of Knowledge Economy (MKE)/Korea Evaluation Institute of Industrial Technology (KEIT) under the Information Technology (IT) Research and Development (R&D) Program [10039067]. The authors are with the Department of Electronic Engineering, Sogang University, Seoul 121-742, Korea (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2189123

to the difference between the even- and odd-mode phase velocities. Brown and Rebeiz presented a varactor-tuned BPF that employed interdigital configuration with a wide tuning range from 700–1330 MHz [7]. However, this design suffers from large bandwidth variation. Sanchez-Renedo et al. demonstrated a bandwidth control method that incorporated combline BPFs by inserting a coupling reducer between the neighboring resonators [8]. This, however, increases the complexity and size of the filter. Microstrip tunable BPFs with constant absolute bandwidth have also been reported. An analytic approach was introduced to design a tunable combline BPF with nearly constant frequency response shape and bandwidth [9]. This design approach was able to remove the drawbacks of the filter reported in [7], but only showed a tuning range of 16% (250 MHz). More recently, tunable BPFs that have relatively wide tuning range and constant absolute bandwidth were reported [10]–[17]. An analytical design method was described for microstrip tunable filters with a prescribed frequency response [10]. A tunable planar combline filter with source/load-multiresonator coupling was proposed to achieve high selectivity [11]. Based on the independent electric and magnetic coupling scheme, tunable filters with different predefined bandwidth variations versus frequency were designed [12]. The corrugated microstripcoupled line was used to control the coupling coefficient, which then resulted in a constant absolute bandwidth tunable BPF [13]. A tunable BPF with two adjustable transmission zeros was proposed using a pair of coupled lines [14]. Tunable BPFs using varactor-tuned dual-mode resonators were also reported in the literature [15]–[17]. However, all of these designs rely or high bias voltage on the high capacitance ratio of varactor diodes to achieve wide tuning ranges. The tuning ranges in these previous reports were generally less than 40%, with a of more than four and bias voltage up to 20 V. In this paper, we propose a simple technique for the design of tunable combline BPF with a wide frequency tuning range and constant absolute bandwidth. Based on the concept of the combline resonator loaded with lumped series resonator, which has been used to design dual-band BPF in our earlier work [18], a series resonator composed of an extra varactor and a chip inductor is added into the proposed filter in place of the short-circuited end of combline. Therefore, a wide tuning range is posof the loading varactors. This sible, in spite of the small configuration also gives the proposed resonator a controllable slope parameter by loading varactors. Therefore, the coupling between resonators can be controlled so that the constant bandwidth requirement is satisfied with reasonable design parameter

0018-9480/$31.00 © 2012 IEEE

1570

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 1. (a) Conventional tunable combline BPF. (b) Proposed tunable combline BPF loaded with series resonator.

values. The insertion loss resulting from the varactor diodes are compensated through active capacitance circuits with negative resistance [19].

Fig. 2. Schematics of the tunable combline resonators. (a) Conventional structure. (b) Proposed structure loaded with a series resonator.

Defining

II. DESIGN THEORY As shown in Fig. 1(a), the conventional tunable microstrip combline BPF employs a varactor diode as the tuning element, which is connected to the coupled line via a dc block capacitor. All of the opposite ends are short-circuited. In this design, unlike the conventional tunable combline BPF, center frequency tuning can also be achieved by the additional varactors loaded on the short-circuited end of the transmission line section, as shown in Fig. 1(b). Since the connected series resonator looks like zero impedance at the resonant frequency, it will not change the characteristic of the initial BPF in the passband. The theoretical analysis and detailed design procedure will be described below. A. Tunable Resonator With the Enhanced Tuning Range Fig. 2(a) and (b) shows the schematics of the conventional combline resonator and the proposed resonator with varactors attached to both ends, respectively. Variable capacitances and are supposed to be the loading varactors. A reference port is added for deriving the input admittance. In the conventional case, the input admittance can be obtained as (1) while the input admittance of the proposed one is calculated as

(2) with (3) and are the characteristic admittance and electrical where length for the transmission line section and represents the susceptance of the loaded series resonator.

(4) Then, (2) can be further expressed as (5) The resonant frequency can be found from (6) Assume that the loading varactors are identical with capacitance ( and ) varying from to . The following two groups of equations corresponding to the conventional and the proposed tunable combline resonators, respectively, can then be obtained according to the resonant condition of the resonators: (7) (8) and (9) (10) where and are the electrical lengths of the transmission line section at the frequency tuning range lower limit and upper limit , respectively. Moreover, is the electrical length at when achieves maximum value, and is the electrical length at and achieves minimum value. By dividing (7) and (8) and (9) and (10), the theoretical tuning ranges of both the conventional and proposed tunable resonator are obtained (11) (12)

WANG et al.: TUNABLE COMBLINE BANDPASS FILTER LOADED WITH SERIES RESONATOR

1571

electrical lengths of the coupled line are identical to the value of because the loading varactors and are more important factors than the electrical lengths in the coupled line for the constant bandwidth of the proposed filter. The relationship between the voltages and currents is derived as

(14)

where (15)

Fig. 3. Theoretical tuning range against the electrical length of transmission line and the capacitance ratio of the varactor diode.

(16) where

is the capacitance ratio of the loading varactors and

(17)

(13)

(18)

The tuning range of the resonant frequency against the electrical length of transmission line section and the of loading varactor, based on the conventional and the proposed structure, are plotted in Fig. 3 for comparison. The calculated results show that a shorter electrical length or a larger will produce a larger frequency tuning range. Using the identical transmission line section and same loading varactors (same ), the proposed resonator in this design clearly has an enhanced tuning range. B. Resonator Analysis for Constant Bandwidth In the tunable bandpass filter design, the characteristic of passband bandwidth variation as the tuning of center frequency is another important issue. With the tuning of resonant frequency by using the loading varactors, the slope parameter and the coupling coefficient of the resonators are also changed. The coupling coefficient variation determines the passband bandwidth variation. For instance, a constant coupling coefficient between resonators will result in a constant fractional bandwidth when the center frequency is tuned. However, in most practical applications, a constant absolute passband bandwidth (effectively a decreasing fractional bandwidth) is desired, independent of tuned frequency. To assure constant filter response shape and absolute bandwidth, coupling coefficients must vary inversely with the tuning frequency. The conventional combline tunable BPF is limited by the fact that a constant absolute bandwidth is difficult to achieve when non-TEM resonator (e.g., microstrip) is used. For designing a tunable filter with the constant bandwidth, the coupling coefficient and the external quality factor in the proposed tunable filter should satisfy the conditions described in [9]. The coupling coefficient in the proposed filter in Fig. 1(b) can be calculated by considering a symmetrical pair of resonators and defining the voltages and currents at each port as shown in Fig. 4(a). It is assumed that the even- and odd-mode

On the other hand, equation:

,

,

, and

satisfy the following

(19) Substitute (19) to (14) to obtain (20) where

(21) and

(22) The equivalent circuit of a symmetrical pair of resonators can be obtained as shown in Fig. 4(b), where , , and are (23) (24)

1572

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 4. (a) Definitions of the voltages and currents at each port of a symmetrical pair of resonators in Fig. 1(b). (b) Its equivalent circuit.

Therefore, the slope parameter

Fig. 5. Coupling coefficients of the circuit in Fig. 4 as a function of the center , , , , at frequency. ( at 1.7 GHz.) 1.7 GHz, and fractional bandwidth

in Fig. 4(b) is derived as

(25) And the coupling coefficient of the proposed circuit in Fig. 4(a) can be calculated as (26) The graph in Fig. 5 is plotted by using (26), where the of is 2.3 (0.7–1.6 pF) and the of is 2.0 (0.8–1.6 pF). It is clear that the coupling coefficient should be inversely proportional to the center frequency for constant absolute bandwidth within the tuning range. Using the proposed configuration ( , , , , at 1.7 GHz, and fractional bandwidth at 1.7 GHz), the tuning range of 350 MHz (1.8–2.15 GHz) is shown with constant bandwidth. If the allowed percentage change of bandwidth is 5%, nearly 500 MHz (1.7–2.2 GHz) frequency tuning range can be obtained. On the other hand, the tuning range of 630 MHz (1.6–2.23 GHz) is achieved when the bandwidth has the maximum change of 10% at the whole tuning range. Therefore, allowing a larger variation of the bandwidth permits a wider tuning range to be realized. III. FILTER DESIGN AND EXPERIMENTAL DEMONSTRATION Based on the analysis above, a design example of the proposed tunable BPF is presented in this section to demonstrate its validity. Here, we use the coupling coefficient method proposed in [20], together with the full-wave EM simulation, to determine the circuit dimensions for prescribed specifications. The designed filter was simulated using ADS 2008 [21] and fab-

Fig. 6. Layout of the proposed wide tunable BPF without active capacitance circuits.

ricated in microstrip technology with the following specifications: frequency tuning range: 1.7–2.2 GHz; passband bandwidth: 100 MHz; number of poles: two; type: 0.01-dB Chebyshev. A. Design Procedure A similar design procedure outlined in [9] can be used to design the proposed tunable BPF. The design parameters are determined as follows. Step 1) Select the second-order low-pass prototype with elements , . Then calculate the input and output external quality factors and , and the intercoupling coefficient of the combline resonators (27) (28)

WANG et al.: TUNABLE COMBLINE BANDPASS FILTER LOADED WITH SERIES RESONATOR

1573

TABLE I FILTER PARAMETERS FOR FABRICATION

Fig. 7. Layout of the proposed wide tunable BPF with active capacitance circuits.

where is the 3-dB fractional bandwidth. Step 2) Determine the dimensions of the proposed tunable resonator. Initially, the impedance of the transmission line is conveniently selected as 72 ( mm, as referred to Fig. 6), and the electrical length of the coupled line is set to 53 degrees at 1.7 GHz mm , according to the required frequency tuning range and the of varactor. Note that a smaller electrical length will result in a low of the resonator and thus a large insertion loss. The spacing between the two lines can then be determined. An initial value for is chosen such that the coupling coefficient of the coupled resonators satisfies the bandwidth requirement according to (28) at the lowest frequency. The series resonator, which consists of a varactor and a chip inductor, is then connected to the other end of the coupled line. The value of the chip inductor is selected according to the varactor capacitance to make it resonate at the lowest frequency. Therefore, the connected series resonator looks like zero impedance at the resonant frequency and it will not change the characteristics of the initial BPF in the passband. Step 3) The rest of the design parameters are then determined. The coupling I/O structure is adopted to satisfy the constant bandwidth requirement over a wide tuning range because it has wideband matching behavior. The input/output line is typically chosen to be a high impedance line for tight coupling. and are then chosen to satisfy and according to (27). The filter design is completed

Fig. 8. Measured and simulated . (b) . (a)

-parameters of the proposed tunable BPF.

using the well-known coupling coefficient/external Q method described in [20]. Step 4) The wideband active capacitance circuit covering the entire center frequency tuning range is designed using the method introduced in [19] and it is inserted into the designed tunable BPF to compensate for the circuit loss. With the active capacitance circuit parameters determined, more exact values for , , , and are obtained using (27) and (28) with the active capacitance circuit included. Step 5) Finally, some necessary optimization is carried out, making use of electromagnetic (EM)/circuit cosimulation in ADS2008. The estimated circuit dimensions from previous steps are substituted into a full-

1574

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 9. Summary of the measured and simulated performance.

Fig. 12. Fabricated wide tunable combline BPF with constant absolute bandwidth including active capacitance circuits.

B. Experimental Verification Fig. 10. Comparison of the measured results of the proposed tunable BPF with and without insertion loss compensation.

Fig. 11. Measured filter responses with different power level of the input signal.

wave EM simulator for including discontinuity, viahole, and nonadjacent coupling effects. A detailed layout with the active capacitance circuit and biasing scheme is shown in Fig. 7. Table I gives the parameters for the final layout of the proposed tunable BPF, obtained after fine tuning, for RO3003 [22] substrate ( and thickness mil), as referred to Fig. 6 and Fig. 7.

The proposed tunable BPF was fabricated on the RO3003 substrate with 30-mil thickness for experimental demonstration. The varactor diode used in this design is MA46H201 of M/A-COM [23] and the ATF34143 transistor in Avago Technologies [24] is utilized to generate the negative resistance. The dc-blocking chip capacitor of 1 pF is connected in series with upside varactor to isolate the anode from the ground. The 3.3-nH chip inductor is connected with the downside varactor to form the series resonator. The bias circuit is realized using 10resistor to minimize RF signal leaking. The equivalent circuit model of the varactor is derived by Deloach method in [25] for EM/circuit cosimulation in ADS 2008. The measured frequency responses obtained using Agilent 8753 ES network analyzer are in good agreement with the simulated ones, as shown in Fig. 8. The fabricated tunable BPF exhibits a nearly constant 1-dB bandwidth (91–105 MHz) over the entire center frequency tuning range from 1.7 to 2.2 GHz, with the insertion loss varying from 2 to 1.6 dB. The bandwidth slightly increases until the midband frequency and then decreases to maintain a constant absolute characteristic. The measured return loss is better than 15 dB for all states. The reverse voltage applied on the varactors ranges from 1 to 5 V, resulting in the capacitance changing from 2.0 to 0.67 pF. The summary of the measured filter performance is shown in Fig. 9. Note that the capacitor-varactor series connection reduces the overall capacitance ratio due to the fixed value of the chip capacitor. Therefore, the measured tuning range is somewhat smaller than the theoretical one shown in Fig. 3. A larger

WANG et al.: TUNABLE COMBLINE BANDPASS FILTER LOADED WITH SERIES RESONATOR

TABLE II COMPARISON WITH RELATED REFERENCE

1-dB bandwidth

tuning range can be achieved by using higher reverse voltage or alternative varactors with larger capacitance ratio. A comparison of the measured insertion loss of the proposed tunable BPF with and without the active capacitance circuit is shown in Fig. 10. A substantial improvement in the insertion loss is achieved while maintaining the filter shape and tunable characteristic. The proposed filter has an IIP3 ranging from 7.2 dBm to 12.7 dBm, which is due to the low bias voltage of varactor diodes, as both controlling voltages increase. Fig. 11 plots the filter responses with different input power levels to examine the power-handling capability. The filter can handle 5–8 dBm for a bias voltage of 1–5 V before a considerable distortion in S21 is observed. A photograph of the fabricated tunable BPF is shown in Fig. 12. Comparison with related work is summarized in Table II.

IV. CONCLUSION This paper has presented a varactor-tuned microstrip BPF that employs combline configuration loaded with lumped series resonators. The filter shows a wide tuning range even with a low capacitance ratio of the varactors. A nearly constant absolute bandwidth is achieved over the tuning range. A two-pole varactor-tuned filter is demonstrated with frequency coverage of 1.7–2.2 GHz and an insertion loss less than 2 dB due to the active capacitance circuit across the tuning range. The proposed filter shows a nearly constant 1-dB absolute bandwidth of 98 7 MHz. Good agreement between simulated and measured results is obtained.

1575

[5] A. Tombak, J.-P. Maria, F. T. Ayguavives, J. Zhang, G. T. Stauf, A. I. Kingon, and A. Mortazawi, “Voltage-controlled RF filters employing thin-film barium-strontium-titanate tunable capacitors,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 462–467, Feb. 2003. [6] I. C. Hunter and J. D. Rhodes, “Electronically tunable microwave bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 30, no. 9, pp. 1354–1360, Sep. 1982. [7] A. R. Brown and G. M. Rebeiz, “A varactor-tuned RF filter,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 7, pp. 1157–1160, Jul. 2000. [8] M. Sanchez-Renedo, R. Gomez-Garcia, J. I. Alonso, and C. Briso-Rodriguez, “Tunable combline filter with continuous control of center frequency and bandwidth,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 191–199, Jan. 2005. [9] B. W. Kim and S. W. Yun, “Varactor-tuned combline bandpass filter using step-impedance microstrip lines,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1279–1283, Apr. 2004. [10] J. Lee and K. Sarabandi, “An analytic design method for microstrip tunable filters,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 7, pp. 1699–1706, Jul. 2008. [11] M. Sanchez-Renedo, “High-selectivity tunable planar combline filter with source/load-multiresonator coupling,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 7, pp. 513–515, Jul. 2007. [12] S. J. Park and G. M. Rebeiz, “Low-loss two-pole tunable filters with three different predefined bandwidth characteristics,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 5, pp. 1137–1148, May 2008. [13] M. A. El-Tanani and G. M. Rebeiz, “Corrugated microstrip coupled lines for constant absolute bandwidth tunable filters,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 4, pp. 956–963, Apr. 2010. [14] J. Long, C. Li, W. Cui, J. Huangfu, and L. Ran, “A tunable microstrip bandpass filter with two independently adjustable transmission zeros,” IEEE Microw. Wireless Compon. Lett., vol. 21, no. 2, pp. 74–76, Feb. 2011. [15] Y. H. Chun and J. S. Hong, “Electronically reconfigurable dual-mode microstrip open-loop resonator filter,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 7, pp. 449–451, Jul. 2008. [16] L. Athukorala, D. Bondar, and D. Budimir, “Compact high linearity tunable dual-mode microstrip filters,” in Proc. 40th Eur. Microw. Conf., Sep. 2010, pp. 834–837. [17] W. Tang and J. S. Hong, “Tunable microstrip quasi-elliptic function bandpass filters,” in Proc. 39th Eur. Microw. Conf., Oct. 2009, pp. 767–770. [18] Y. H. Cho, H. I. Baek, H. S. Lee, and S. W. Yun, “A dual-band combline bandpass filter loaded by lumped series resonators,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 10, pp. 626–628, Oct. 2009. [19] Y. H. Chun, J. R. Lee, S. W. Yun, and J. K. Rhee, “Design of an RF low-noise bandpass filter using active capacitance circuit,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 2, pp. 687–695, Jan. 2005. [20] J. S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001. [21] Advanced Design System (ADS). Agilent Technol. Inc, Santa Rosa, CA, 2008. [22] “Roger RO3000 series high frequency circuit materials data sheet” Rogers Corporation, Roger, CT, 2006. [23] “M/A-COM MA46H201 Data Sheet” M/A-COM, Lowell, MA, 2006. [24] “ATF-34143 Data Sheet” Avago Technologies, Fort Collins, CO, 2009. [25] B. C. Deloach, “A new microwave measurement technique to characterize diodes and 800-Gc cutoff frequency varactor at zero volts bias,” IEEE Trans. Microw. Theory Tech., vol. 12, no. 1, pp. 15–20, Jan. 1964.

REFERENCES [1] M. A. El-Tanani and G. M. Rebeiz, “High-performance 1.5–2.5 GHz RF MEMS tunable filters for wireless applications,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 6, pp. 1629–1637, Jun. 2010. [2] V. Sekar, M. Armendariz, and K. Entesari, “A 1.2–1.6-GHz substrateintegrated-waveguide RF MEMS tunable filter,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 4, pp. 866–876, Apr. 2011. [3] C. Lugo and J. Papapolymerou, “Dual mode reconfigurable filter with asymmetrical transmission zeros and center frequency control,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 9, pp. 499–501, Sep. 2006. [4] J. Nath, D. Ghosh, J.-P. Maria, A. I. Kingon, W. Fathelbab, P. D. Franzon, and M. B. Steer, “An electronically tunable microstrip bandpass filter using thin-film barium-strontium-titanate (BST) varactors,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2707–2712, Sep. 2005.

Xu-Guang Wang was born in Changzhi, Shanxi Province, China, in 1982. He received the B.Sc. degree in electronic engineering from Qingdao University, Qingdao, China, in 2006, the M.Sc. degree in radio science and engineering from Korea Maritime University, Busan, Korea, in 2008, and is currently working toward the Ph.D. degree in electronic engineering at Sogang University, Seoul, Korea. His research interests include RF/microwave filters, and associated passive and active circuits.

1576

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Young-Ho Cho (S’06) received the B.Sc. degree in electronic engineering from Sogang University, Seoul, Korea, in 2005, and is currently working toward the Ph.D. degree in electronic engineering from Sogang University, Korea. His research interests include synthesizers, RF filters, and RF systems.

Sang-Won Yun (M’84) received the B.Sc. and M.Sc. degrees in electronic engineering from Seoul National University, Seoul, Korea, in 1977 and 1979, respectively, and the Ph.D. degree in electrical engineering from The University of Texas at Austin, Austin, in 1984. Since 1984, he has been a Professor with the Department of Electronic Engineering, Sogang University, Seoul, Korea. From January 1988 to December 1988, he was a Visiting Professor with The University of Texas at Austin. From October 2009 to September 2011, he worked for the Korea Communications Commission (KCC) as a Project Manager. His research interests include microwave and millimeter-wave devices and circuits. Dr. Yun was the chairman of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Korea Chapter from 2000 to 2004. He was the president of the Korea Institute of Electromagnetic Engineering and Science (KIEES) in 2008.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

1577

Cascaded Coupled Line Filter With Reconfigurable Bandwidths Using LCP Multilayer Circuit Technology Alexander Miller, Student Member, IEEE, and Jiasheng Hong, Fellow, IEEE

Abstract—This paper presents a new design method for reconfigurable coupled line filters. The main aim is to develop an analytical design procedure for designing this type of reconfigurable filter with any orders and bandwidths. The new design procedure allows not only making the design easy, but also increasing the passband performance by eliminating the impedance transformers needed for matching purposes used in previous filters. A reconfigurable bandpass filter of this type is designed that can switch between three distinct bandwidth states ranging from around 26% to 50% ripple fractional bandwidth (FBW) centered at 2 GHz. In addition, liquid crystal polymer (LCP) multilayer circuit technology, which offers a great flexibility in realizing required even- and odd-mode impedances for a wideband coupled line filter, is deployed to implement the designed filter. The reconfigurable filter exhibits insertion losses ranging from 0.57 dB for the widest passband state to 1.95 dB for the narrowest passband state. The fabricated filter shows good agreement with EM simulated results. Index Terms—Bandpass filter, microstrip filter, reconfigurable filter, tunable filter.

I. INTRODUCTION

R

ECONFIGURABLE filters are becoming key components for future communication and radar systems across a number of key areas in commercial, civil and defense sectors. This is due to their multifunction and multi-band characteristics, while controlling the spectrum of RF signals and eliminating interference whilst preserving the dynamic range under any signal receiving conditions. They must conserve their transmission and reflection coefficient over the tuning range specified, regardless of the tuning method used. Typical applications that these components could be applied to are receiver modules and RF converters where they could replace filter banks due to their reduced size and flexibility. The earliest tunable filters presented dealt with centre frequency tuning [1]–[16]; which was accomplished by varying the electrical lengths of the resonators either continuously or in discrete steps. Compared with frequency tunability there are Manuscript received November 07, 2011; revised February 07, 2012; accepted February 16, 2012. Date of publication March 19, 2012; date of current version May 25, 2012. This work was supported in part by a U.K. EPSRC Industrial CASE Award. The authors are with the Department of Electrical, Electronic and Computer Engineering, School of Engineering and Physical Sciences, Heriot-Watt University, Edinburgh, EH14 4AS, U.K. (e-mail: [email protected]; [email protected]. uk). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2189242

more challenges with regard to bandwidth tunability. This is mainly due to the lack of methods to vary the inter-resonator coupling, which is essential for bandwidth manipulation [17]. However, there have been a number of papers which have addressed this issue using a variety of methods; with some dealing with simultaneous control of the center frequency and bandwidth [17]–[21] and others concentrating solely on bandwidth tunability at a fixed center frequency [22]–[26]. Table I shows a comparison of various electronically reconfigurable bandpass filters. On inspection of the literature, it is clear that most of the reported methods of bandwidth tunability are for narrowband applications. However, there is an increasing demand for high order reconfigurable wideband filters with high selectivity for wideband communications, radar and electronic warfare (EW) systems for processing signals involving large information with high data rate. This work aims to enhance the previous work and show a distinct design method for the development of wideband reconfigurable coupled line filters. The new design procedure not only makes the design easy, in particular for high order reconfigurable filters, but also eliminates the input and output impedance transformers needed in the previous work. The impedance transformer requires not only more circuit area, but also has too much effect on the passband of a wideband reconfigurable filter. For these reasons, a more effective design method is needed to eliminate this. Another objective of this paper is to demonstrate a wideband reconfigurable filter using liquid crystal polymer (LCP) multilayer circuit technology. LCP is chosen not only due to its desirable characteristics [27], but also due to the added flexibility of multilayer circuitry. For example, it allows enough flexibility in order to obtain the required even and odd mode impedances using the various coupling structures in particular for wideband applications. This paper utilizes a re-entrant structure that makes the design and realization simple. II. DESIGN THEORY A general circuit schematic for the design of the proposed reconfigurable filter is shown in Fig. 1, which consists of n-1 coupled line sections in cascade and n switchable or reconfigurable shunt short-circuit stubs, hence n being equivalent to the number of poles. A systematic design procedure will be developed next to obtain a high order reconfigurable filter to any specification of this type without the need for impedance transformers at the input and output. Without the switchable stubs, the proposed reconfigurable filter is operated at a state of the desired largest bandwidth. This

0018-9480/$31.00 © 2012 IEEE

1578

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

TABLE I COMPARISON OF VARIOUS ELECTRONICALLY RECONFIGURABLE BANDPASS FILTERS

Fig. 1. Reconfigurable cascaded coupled line filter.

state could be designed initially in light of the equivalent circuit of Fig. 2 using design equations available in [28]. All the stubs and connecting lines are 90 at the center or midband frequency. Once this filter from Fig. 2 has been obtained, it can then be converted into couple line sections. In order to make the design and implementation easy for the reconfigurable parallel coupled line structure of Fig. 1, the following assumptions are made: • Connecting lines have equal impedance. • Input and output stubs have equal impedance. • Interior stubs are of equal impedance.

• The input and output stubs are double the impedance of the interior short circuit stubs. The reason for this is that, firstly, each coupled line section once converted from its equivalent circuit obtained will have equal even and odd mode impedances; and secondly, stubs needed for reconfigurability only depend on a single variable, i.e., the characteristic impedance Zs, as shown in Fig. 3 for the simplified reconfigurable cascaded coupled line filter. There is also no need for impedance transformers as the filter is already matched from the design equations. In order to meet these assumptions the following equations are used: (1) (2) (3) where, as referring to Fig. 2

MILLER AND HONG: CASCADED COUPLED LINE FILTER WITH RECONFIGURABLE BANDWIDTHS

1579

Fig. 2. Equivalent stub filter circuit.

Fig. 3. Simplified reconfigurable cascaded coupled line filter.

All the coupled line sections in Fig. 3 are identical having the even- and odd-modal impedances given by (4) and (5) Fig. 4 shows a comparison of filter responses with and without the simplification, which validate the proposed simplification. With the simplified circuit of Fig. 3, which can be decomposed into several identical sections in cascade, the design and implementation of reconfigurable filters become easier. The single identical section with loaded short circuit stubs and its equivalent circuit are illustrated in Fig. 5 for our further discussion. The circuit decomposition can be seen as a succession of the filter building block presented in [24], i.e., sections. For given Zoe and Zoo, determined by the desired largest bandwidth using (1)(4)to (5), one can compute the reconfigurable frequency response when the characteristic impedance, i.e., Zs, of the loaded stubs is varied. Fig. 6 plots typical reconfigurable bandwidth responses for the proposed reconfigurable filter of Fig. 3. As can be seen, the bandwidth of the filter can be tuned effectively by a single parameter, i.e., the characteristic impedance Zs of the loaded stubs. Furthermore, the passband characteristics such as the return loss or S11 do not change when the bandwidth varies over a wide range. These features are all desired for the development of reconfigurable filters. In Summary, the design procedure steps are as follows:

1) 2) 3) 4) 5)

design short circuit stub equivalent filter; apply assumptions for easy implementation; convert to couple line section filter; load Stubs for reconfigurability; obtain bandwidth states needed from the cascade of identical sections by varying . III. FILTER EXPERIMENTAL DEMONSTRATION

A. Filter Design Using the method and theory described above; an ( coupled line sections) short circuit stub filter can be obtained with a maximum ripple fractional bandwidth of 50%, with a center frequency and a ripple constant of 0.04321 dB (Chebyshev lowpass prototype element values: , , , ). Using the design equations in [28] the following is obtained for the equivalent circuit:

(6) To meet the assumptions discussed in Section II, then, applying (1)–(3) gives

(7)

1580

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 4. Comparison between simplified and non-simplified values; and .

Fig. 6. Typical reconfigurable bandwidth responses of Fig. 3 for filter, , (a) S11 (b) S21.

Fig. 5. (a) Short circuit stub filter block with stubs switched on for reconfigurability. (b) Equivalent circuit.

The resultant circuit is shown in Fig. 7(a), which can further be decomposed into four identical sections in cascade, as depicted in Fig. 7(b). A comparison of the circuit responses with and without the assumptions is given in Fig. 8, which shows that there is very little difference whether the assumptions are made, with a negligible change in ripple bandwidth. However, it can be seen that

, max

if the assumptions are not made the impedances for each section are not equal. This makes it a lot harder when converting to the coupled line structure for the implementation of the proposed reconfigurable filter. It also makes the choice of impedances for the stubs for reconfigurability more complex. If the calculated values of (6) are used, the interior stub impedances for reconfigurability will all be different (i.e., ). The input and output stubs are also not exactly double of the interior stubs. Thus, by using the assumed values, such as those given in (7), the reconfigurable stubs can be calculated much easier when added in parallel to the stubs from Fig. 7(a). Using (4) and (5), the even and odd mode impedances for the given largest FBW (state 1) of the proposed reconfigurable filter can be obtained; and Fig. 7(b) can be converted to the equivalent coupled line structure of Fig. 9. Reconfigurable short circuit stubs can then be added to the above coupled line circuit for other desired bandwidths. From computed filter frequency responses with varying , the ripple fractional bandwidth can be extracted against the loaded short circuit stub impedance; and the results are plotted in Fig. 10. It is apparent that the stub impendence is limited by the substrate chosen [29]. Size considerations need to be adhered to, for example in the case of the low impedance stubs

MILLER AND HONG: CASCADED COUPLED LINE FILTER WITH RECONFIGURABLE BANDWIDTHS

1581

Fig. 7. (a) Circuit schematic if the assumptions are met. (b) Decomposed circuit for cascade of the identical sections.

Fig. 10. Variation of ripple fractional bandwidth against loaded short circuit stub impedance, Zs.

Fig. 8. Comparison with and without assumptions implemented.

Fig. 9. Coupled line circuit derived from equivalent short circuit stub filter.

From this curve the impedance for the short circuit stubs can be chosen in order to obtain the required fractional bandwidth in each state. For a 40% fractional bandwidth, a stub impedance of 83 is required, and for a 30% fractional bandwidth, an impedance of 28 is required. The final circuit design with switchable short circuit stubs for the reconfigurable filter is shown in Fig. 11, where the even- and odd-mode impedances are the same as those given in Fig. 9. All line lengths are at the center frequency of 2 GHz. Figs. 12 and 13 show the theoretical and . The three states are achievable by the following switching arrangement for each state: • 50%—all switches turned off. • 40%—switches 1, 2, 3, 4, and 5. • 30%—switches 6, 7, 8, 9, and 10. B. Physical Implementation and EM Simulation

the width may be too big and the aspect ratios might become too high.

The designed filter circuit was implemented using LCP multilayer circuit technology. To obtain the desired coupling, a broadside coupling arrangement with a floating conductor was

1582

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 11. Final circuit design for the reconfigurable filter having three reconfigurable bandwidth states (50%, 40% and 30%).

Fig. 12. Theoretical S11.

Fig. 14. 3-D view of cascaded coupled line filter.

Fig. 13. Theoretical S21.

chosen (see Figs. 14 and 15). The reason for this was ease of fabrication and the solid ground is desired for system integration. For instance in the case of slotted ground plane it is much harder to package it on a PCB than the arrangement used in

this work. The thickness of each of the layers are mm and mm. Each coupled line section has a gap of mm, a line length and width of, 22.5 mm and 1.4 mm respectively. The floating conductor on the second layer has a length of 21.15 mm and width of 3 mm. For each of the short circuit stub line impedances shown in Fig. 11, the dimensions are as follows: • 83 : mm, mm • 41.5 : mm, mm • 28 : mm, mm • 14 : , mm In order to obtain the thicknesses of each layer, a succession of core layers and bonding layers of LCP are used. The stack up of the structure is illustrated: Where is the coupled line conductor widths, s is the gap and W is the width of the floating conductor. In order to obtain

MILLER AND HONG: CASCADED COUPLED LINE FILTER WITH RECONFIGURABLE BANDWIDTHS

1583

Fig. 15. LCP stack up.

Fig. 17. (a) Top layer and (b) second layer of the designed multilayer reconfigurable filter.

Fig. 16. (a) Variation of width of coupled lines on even and odd mode impedances, (b) Variation of gap on even and odd mode impedances.

the required even and odd mode impedances from Fig. 9 the method from [30] and [31] can be used in which (8)

Fig. 18. Simulated S11 of the three distinct bandwidth states.

(9) These only hold if the floating conductor is larger than the sum of the coupled line widths and the gap. In (8) and (9), is the impedance of one of the lines in the first layer and is the impedance of the floating conductor in the second layer. It is stated in [30] that the coupling coefficient mostly depends on the dielectric constant and thickness, meaning the gap, s,

can be fixed to 0.2 mm. From (8) and (9), and . By using a transmission line calculator in [32], the dimensions of the coupled line structure can then be obtained as previously. As a final check, the full-wave EM simulator [33] can be used to extract the even and odd mode impedances, which match the dimension values calculated using

1584

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 19. Simulated S21 of the three distinct bandwidth states.

Fig. 21. Measured S11 of the three distinct bandwidth states.

TABLE II SIMULATED PERFORMANCE

Fig. 22. Measured S21 of the three distinct bandwidth states.

TABLE III MEASURED PERFORMANCE

Fig. 20. Fabricated reconfigurable filter.

(8) and (9). For instance, Fig. 16(a) shows the change of modal impedances against when W and s are kept constant as 3 and 0.2 mm respectively. If mm and mm are kept unchanged while varying s; the behavior of the even and odd mode impedances are shown in Fig. 16(b). It can be seen that the modal impedances is less dependent on the gap , which is desired as a tiny gap can be avoided to relax the fabrication tolerance. PIN diodes are deployed for switching on/off the short circuit stubs and the designed layout including dc bias elements on the top layer is shown in Fig. 17(a); while the floating circuit on the second layer is shown in Fig. 17(b). The filter was simulated using [33], with ideal components being used to mimic

the behavior of the diode. A resistor value of 4 was used for switch on and a capacitor value of 0.025 pF was used for switch off; these values were extracted from the data sheet (diode used: MA/COM’s MA4AGBLP912). Similar to [24], two pin diodes were used for isolation of each stub to eliminate any unwanted spikes. The bias voltage was chosen based on the biasing current required from the data sheet (2.8 V—as there are two pin diodes in series). The choice of inductors and capacitor for the bias circuits were chosen very carefully. The inductance needs to be high enough in order to isolate the circuit from the RF. However care needs to be taken when selecting the components, due to self resonance. In this filter the inductors and capacitors

MILLER AND HONG: CASCADED COUPLED LINE FILTER WITH RECONFIGURABLE BANDWIDTHS

1585

tributed to the fabrication tolerance as well as the ideal components assumed in the simulation. Also, there is an improvement of the spurious response level in the measured results. More accurate simulation would have been done by incorporating S2P files if available, instead of ideal components. Nevertheless, as shown in Figs. 23, 24, the theoretical, simulated and measured results are coherent. IV. CONCLUSION

Fig. 23. Comparison of theoretical, simulated and measured results (S11) of state 2.

In conclusion, this paper presents a new design method for an electronically reconfigurable wideband coupled line filter. The new design procedure makes design of this type of reconfigurable filter easy while eliminating the need for an impedance transformer to improve wideband performance For the demonstration, the newly developed design procedure has been deployed to design a three-state reconfigurable wideband filter of this type and validated by both EM simulation and experiment. The designed filter has been implemented based on a LCP multilayer circuit technology, which is very flexible and effective. The fabricated filter has shown good agreement with simulated results. It is envisaged that the proposed design procedure and multilayer implementation technique are useful for the design and further development of electronically reconfigurable filters. ACKNOWLEDGMENT The authors would like to thank A. Burdis and C. Bird at SELEX Sensors & Airborne Systems Ltd. for their continued support and encouragement throughout this research work. REFERENCES

Fig. 24. Comparison of theoretical, simulated and measured results (S21) of state 2.

chosen were Coilcraft’s 0201 DS Series and Johanson’s GRM Series respectively, due to their high self resonances, current handling capabilities and size. It can be shown that the LC bias circuit shown in Fig. 17(a) with an inductor value of 14 nH and a capacitor value of 33 pF can provide about 30 dB isolation to RF at 2 GHz, which is sufficient for this filter design. Figs. 18, 19 show the simulated filter responses including the out-of-band spurious. The second spurious at is caused by unequal phase velocities of the even and odd modes. Table II summarizes the performance of the simulated filter. C. Fabricated Results The filter was fabricated using an LCP lamination technique as per the stack up from Fig. 15. A photograph of the fabricated filter is illustrated in Fig. 20. The measured filter responses including the out-of-band spurious are plotted in Figs. 21, 22. The measured performance of the fabricated filter is summarized in Table III. In general, the fabricated filter shows good agreement with the simulated results. However, there are some differences with the center frequencies and fractional bandwidths, which are at-

[1] I. C. Hunter and J. D. Rhodes, “Electronically tunable microwave bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-30, no. 9, pp. 1353–1360, Sep. 1980. [2] J. Uher and W. J. R. Hoefer, “Tunable microwave and millimeterwave Bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 39, pp. 643–653, Apr. 1991. [3] G. Torregrosa and J. I. , “A simple method to design wideband electronically tunable combline filters,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 1, pp. 172–177, Jan. 2002. [4] A. R. Brown and G. M. Rebeiz, “A varactor-tuned RF filter,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 7, pp. 1157–1160, Jul. 2000. [5] B. W. Kim and S. W. Yun, “Varactor-tuned combline bandpass filter using step-impedance microstrip lines,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1279–1283, Apr. 2004. [6] W. M. Fathelbab, “A new class of reconfigurable microwave bandpass filter,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 55, no. 3, pp. 264–268, Mar. 2008. [7] Y.-H. Chun and J.-S. Hong, “Electronically reconfigurable dual-mode microstrip open-loop resonator filter,” IEEE Microw. Wireless Components Lett., vol. 18, no. 7, pp. 449–451, Jul. 2008. [8] J. Nath, D. Ghosh, J.-P. Maria, A. I. Kingon, W. Fathelbab, P. D. Franzon, and M. B. Steer, “An electronically tunable microstrip bandpass using thin-film barium-strontium-titanate (BST) varactors,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2707–2712, Sep. 2005. [9] Y.-H. Shu, J. A. Navarro, and K. Chang, “Electronically switchable and tunable coplanar waveguide-slotline bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 39, pp. 548–554, Mar. 1991. [10] C. Lugo and J. Papapolymerou, “Dual mode reconfigurable filter with asymmetrical transmission zeros and center frequency control,” IEEE Microw. Wireless Components Lett., vol. 16, no. 9, pp. 499–501, Sep. 2006. [11] E. Fourn, A. Pothier, C. Champeaux, P. Tristant, A. Catherinot, P. Blondy, G. Tanne, E. Ruis, C. Peron, and F. Huret, “MEMS switchable interdigital coplanar filter,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 320–324, Jan. 2003.

1586

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

[12] C. A. Hall, R. C. Luetzalschwab, R. D. Streeter, and J. H. VanPatten, “A 25 watt RF MEMS-tuned VHF bandpass filter,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, vol. 1, pp. 503–506. [13] A. Abbaspour-Tamijani, L. Dussopt, and G. M. Rebeiz, “Miniature and tunable filters using MEMS capacitors,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 7, pp. 1878–1885, Jul. 2003. [14] A. Pothier, J.-C. Orlianges, G. Zheng, C. Champeaux, A. Catherinot, D. Cros, P. Blondy, and J. Papapolymerou, “Low-loss 2-bit tunable bandpass filters using MEMS DC contact switches,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 354–360, Jan. 2005. [15] I. C. Reines, C. L. Goldsmith, C. D. Nordquist, C. W. Dyck, G. M. Kraus, T. A. Plut, P. S. Finnegan, F. Austin, IV, and C. T. Sullivan, “A low loss RF MEMS Ku-band integrated switched filter bank,” IEEE Microw. Wireless Components Lett., vol. 15, no. 2, pp. 74–76, Feb. 2005. [16] K. Entesari and G. M. Rebeiz, “A 12–18 GHz three-pole RF MEMS tunable filter,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 8, pp. 2566–2571, Aug. 2005. [17] M. S. Renedo, R. G. García, J. I. Alonso, and C. B. Rodríguez, “Tunable combline filter with continuous control of center frequency and bandwidth,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 191–199, Jan. 2003. [18] E. Pistono, M. Robert, L. Duvillaret, J.-M. Duchamp, A. Vilcot, and P. Ferrari, “A compact tune-all bandpass filter based on coupled slowwave resonators,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 6, pp. 2790–2799, Jun. 2006. [19] D. Mercier, J.-C. Orlianges, T. Delage, C. Champeaux, A. Catherinot, D. Cros, and P. Blondy, “Millimetre-wave tune-all bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1175–1181, Apr. 2004. [20] B. E. Carey Smith, P. A. Warr, M. A. Beach, and T. Nesimoglu, “Wide tuning-range planar filters using lumped-distributed coupled resonators,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 2, pp. 777–785, Feb. 2005. [21] Y.-C. Chiou and G. M. Rebeiz, “Quasi elliptic function 1.75–2.25 GHz 3-pole bandpass filter with reconfigurable bandwidth,” IEEE Trans. Microw. Theory Tech., vol. 60, no. 2, pp. 244–249, Feb. 2012. [22] C. Rauscher, “Reconfigurable bandpass filter with a three-to-one switchable passband width,” IEEE Trans. Microw. Theory Tech., vol. 51, pp. 573–577, Feb. 2003. [23] L. Zhu, V. Devabhaktuni, C. Wang, and M. Yu, “Adjustable bandwidth filter design based on interdigital capacitors,” IEEE Microw. Wireless Components Lett., vol. 18, pp. 16–18, Jan. 2008. [24] A. Miller and J. Hong, “Wideband bandpass filter with reconfigurable bandwidth,” IEEE Microw. Wireless Components Lett., vol. 19, no. 12, pp. 28–30, Jan. 2010. [25] A. Miller and J. Hong, “Wideband bandpass filter with multiple reconfigurable bandwidth states,” in Proc. Eur. Microw. Conf., Sep. 2010. [26] A. Miller and J. Hong, “Reconfigurable cascaded coupled line filter with four distinct bandwidth states,” IET Microw. Antennas Propag., vol. 5, no. 14, pp. 1730–1737, 2011. [27] D. C. Thompson, O. Tantot, H. Jallageas, G. E. Ponchak, M. Tentzeris, and J. Papapolymerou, “Characterization of liquid crystal polymer (LCP) material and transmission lines on LCP substrate from 30 to 110 GHz,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1343–1352, Apr. 2004.

[28] G. L. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance Matching Networks and Coupling Structures. Norwood, MA: Artech House, 1985. [29] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001. [30] R. K. Mongia, I. J. Bahl, P. Bhartia, and J. Hong, RF and Microwave Coupled-Line Circuits. Norwood, MA: Artech House, 2007. [31] A. M. Pavio and S. K. Sutton, “A microstrip re-entrant mode qudrature coupler for hybrid and monolithic circuit applications,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig., May 2004, no. 1, pp. 573–576. [32] “User’s Manual,” ver. 7.5, Applied Wave Research Inc., Jun. 2007. [33] “EM User’s Manual,” ver. 12 NY, Sonnet Software Inc., 2009.

Alexander Miller received the B.Eng. (hons) degree in electronic and electrical engineering from HeriotWatt University, Edinburgh, U.K., in 2008, where he is currently working towards the Ph.D. degree. His research interests are microwave theory based and include passive and reconfigurable filter design.

Jiasheng Hong (M’94–SM’05–F’12) received the D.Phil. degree in engineering science from the University of Oxford, Oxford, U.K., in 1994. His doctoral dissertation concerned EM theory and applications. In 1994, he joined the University of Birmingham, UK, where he was involved with microwave applications of high temperature superconductors, EM modeling, and circuit optimization. In 2001, he joined the Department of Electrical, Electronic and Computer Engineering, Heriot-Watt University, Edinburgh, UK, and is currently a professor leading a team for research into advanced RF/microwave device technologies. He has authored and coauthored over 200 journal and conference papers, and also two books, Microstrip Filters for RF/Microwave Applications (Wiley, 2001) and RF and Microwave Coupled-Line Circuits, Second Edition (Artech House, 2007). His current interests involve RF/microwave devices, such as antennas and filters, for wireless communications and radar systems, as well as novel material and device technologies including multilayer circuit technologies using package materials such as liquid crystal polymer, RF MEMS, ferroelectric and high temperature superconducting devices.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

1587

Compact, Low-Loss, Wideband, and High-Power Handling Phase Shifters With Piezoelectric Transducer-Controlled Metallic Perturber Jing Wu, Student Member, IEEE, Jing Lou, Ming Li, Student Member, IEEE, Guomin Yang, Member, IEEE, Xi Yang, Student Member, IEEE, Jason Adams, Student Member, IEEE, and Nian X. Sun, Member, IEEE

Abstract—Compact phase shifters with large phase shift, low loss, and high-power-handling capability are desired for a variety of applications. In this paper, a new type of compact meander line phase shifter with metallic perturber controlled by a piezoelectric transducer (PET) has been designed, fabricated, and tested. The new phase-shifter design led to a large phase shift of 360 with a 3 dB, control voltage of 50 V at 3.5 GHz, a low insertion loss of a wide range of operation frequency of 1 6 GHz, a high-powerhandling capability beyond 30 dBm on a compact meander line of 18 mm 18 mm, compared with similar phase shifters with a dielectric perturber which exhibited very limited phase shift at the -band. In addition, this is a low-cost phase-shifter design that -band and beyond. Combined with a low is extendable to the loss, large phase shift, compact size, and a high-power-handling capability, the new meander line phase shifter with PET-controlled metallic perturber showed great potential to be used in different RF/microwave systems. Index Terms—Meander line, perturber, phase shifter, piezoelectric transducers (PETs).

P

I. INTRODUCTION

HASE shifters are essential microwave components that provide controllable phase shifts of microwave/RF signals. They are widely used for beam steering and beam forming for phased arrays, phase equalizers, and timing recovery circuits [1]. With thousands of phase shifters that are usually required for a phased-array antenna system, it is crucial to have phase shifters with small sizes, light weights, and low costs. It is also important for phases shifter to have low loss, minimized power consumption, and large power-handling capability. Different techniques and approaches have been adopted for achieving phase shift in RF/microwave components, such as Manuscript received October 24, 2011; revised February 11, 2012; accepted February 16, 2012. Date of publication April 03, 2012; date of current version May 25, 2012. This work was supported in part by the Air Force Research Laboratory under Grant UES FA8650-090-D-5037, the National Science Foundation under Grant ECCS—0746810, the Office of Naval Research under Grant N0001411M0187 and Grant N00014-10-M-0117, and the MIT Lincoln Laboratory. J. Wu, J. Lou, M. Li, X. Yang, J. Adams, and N. X. Sun are with the Department of Electrical and Computer Engineering, Northeastern University, Boston, MA 02115 USA (e-mail: [email protected]; [email protected]; [email protected]; [email protected]; [email protected]; [email protected]). G. Yang is with the Key Laboratory of Wave Scattering and Remote Sensing Information, Department of Communication Science and Engineering, Fudan University, Shanghai 200433, China (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2189240

magnetic field-tuned ferrite-based phase shifters [2], ferroelectric varactor-based phase shifters [3], p-i-n diodes [4], field-effect transistor (FET) switches [5], and RF micro-electro-mechanical systems (MEMS) switched line phase shifters [6]. Nevertheless, the state-of-the-art phase shifters listed above have their own limitations. Ferrite phase shifters have large powerhandling capability, but typically have limited bandwidth, large size, high power consumption, and slow tuning. FET switches, p-i-n diodes, and ferroelectric varactor-based phase shifters typically have high insertion loss at the -band and exhibit limited frequency range. RF MEMS phase shifters show good performance on bandwidth, insertion loss, size, and power consumption [7], [8]; however, they show limited power handling of 1 W 30 dBm . These limitations prevent their applications in mission-critical phased arrays, such as high-power radars and electronic warfare. Chang et al. reported a new type of phase shifter with dielectric perturber controlled by piezoelectric transducers (PET) on a planar microstrip transmission line such has those reported in [9]–[12]. With the introduction of the dielectric perturber that is closely placed above a microstrip transmission line, the characteristic impedance of the line is only slightly altered, while its effective dielectric constant can be changed significantly, which leads to phase shift. However, such phase shifters still have problems, such as limited phase shift, large size, and high insertion loss when the dielectric perturber is closely placed on the microstrip for achieving a large phase shifter. For example, a phase shifter with a size of approximately 30 mm can only produce a controlled phase shift of less than 80 in Sthe -band [9], which is far from the typical requirement for a 360 phase shift. Most recently, we have reported a similar phase-shifter design with PET-controlled magneto-dielectric perturber, which compared leads to significantly enhanced phase change with a PET-controlled dielectric perturber approach due to the increased miniaturization factor, which is related to the high permeability of the magneto-dielectric disturber. At the same time, the increased permeability of the magneto-dielectric disturber leads to better wave impedance match to the free space and, therefore, much lower reflection due to the loading of the perturber and lower insertion loss [2]. This leads to high phase shift per decibel loss of 500 dB insertion loss. However, this approach has its own limited bandwidth of less than 3 GHz due to the increased loss tangent of the self-biased magneto-dielectric perturber, and it still could not meet the need for ultra-wideband (UWB) phased arrays, such as used for electronic warfare.

0018-9480/$31.00 © 2012 IEEE

1588

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 2. Equivalent circuit of meander line with piezoelectric bending actuator.

itor. Therefore, the variable phase constant perturbation can be calculated as

caused by the

(2) Fig. 1. Schematic and photograph of the meander line phase shifter with PETcontrolled metallic perturber.

In this paper, we present a novel distributed phase-shifter design that is compact, and wideband, low-loss and has high power handling. This phase-shifter design consists of a meander microstrip line, a PET actuator, and a Cu film perturber, which has been designed, fabricated, and tested. This compact phase shifter with a meander line area of 018 mm 18 mm has been demonstrated at -band with a large phase shift of at 4 GHz with a maximum insertion loss of 3 dB, and a high power-handling capability of 30 dBm was demonstrated. In addition, a UWB low-loss and compact phase shifter that operates between 1 and 6 GHz was successfully demonstrated. Such a phase shifter has great potential for applications in phased arrays and radars systems. II. DEVICE CONSTRUCTION AND THEORETICAL ANALYSIS

The variable capacitance can be tuned electrically by applying variable voltage on the piezoelectric bending actuator. Hence, the phase shift can be estimated as (3) and denote the capacitance variance. where 2) Loss Analysis: For microstrip meander lines, most losses are contributed by dielectric and conductor losses, given that the radiation loss is small. The dielectric loss in dB/cm [13] caused by the finite conductivity of the dielectric layers is given by (4) , dewhere the substrate loss tangent denotes the efnotes the dielectric constant of the substrate, fective dielectric constant for the microstrip transmission line, and denotes the wavelength in the substrate. [14]–[16] can be obtained from The conductor loss

Similar to the previous PET phase shifter using a dielectric perturber, the structure of the designed phase shifter is shown in Fig. 1. The PET used in the design is a commercially available piezoelectric bending actuator (PI PICMA PL140.10), which features a multilayer structure that reduces the voltage needed for large deflection. The dimension of the PET is approximately 45 mm in length and 11 mm in width and can be deflected up and down for a total range of 2 mm with a control voltage ranging from 0 to 60 V. 1) Equivalent Circuit Model for Meander Line With Copper Perturber: A microstrip meander line structure is widely used in phase shifter designs due to their broadband, low insertion loss, and ease of manufacturing. The characteristics impedance and phase velocity of a typical microstrip transmission line can be expressed as

denotes the surface impedance, denotes the width where of the strip line, denotes the conductivity, denotes the characteristic impedance, and denotes the angular operating frequency. With a piezoelectric bending actuator, a variable capacitance leads to variable characteristics impedance. The return loss due to perturber in dB/cm will increase due to the impedance mismatch to a standard 50- port, which can be described as

(1)

The final form of the loss calculation is a function of loss metal thickness, strip width and conductivity, frequency, and distance to the perturber. The insertion loss in decibels for a perturbed length of the phase shifter is given by

where and indicates the equivalent capacitance and inductance. As a distributed transmission line, meander lines with piezoelectric bending actuator can be also modeled as an L-Ccircuit, as shown in Fig. 2. The variable distance from the copper perturber to the meander line leads to an equivalent variable capac-

dB cm

(5)

(6)

(7) 3) Device Construction: The meander line was designed to possess a characteristic impedance of 50 , which has a conductor width of 0.356 mm. As each of the segments of the me-

WU et al.: HIGH-POWER HANDLING PHASE SHIFTERS WITH PET-CONTROLLED METALLIC PERTURBER

Fig. 3. Design dimensions for the meander line phase shifter. The gray area shows the size and position of the metallic perturber.

ander line is 10.8 mm and each of the corners is 0.71 mm, the total length of the meander line is about 4.5 in within an area of 12.8 mm 12.8 mm, as shown in Fig. 3. Also shown in Fig. 3 is the dimension and position of the metallic perturber, which is a 12.8 mm 12.8 mm copper square that covers the majority of the meander line. Without the metallic perturber, the meander line structure is essentially a transmission line with a working frequency range of 0 4 GHz. The maximum insertion loss of the meander line is less than 1 dB at 4 GHz. For broadband true-time-delay phase shifters (e.g., 1 6 GHz), there is an important design tradeoff between the highest and lowest operating frequencies, that is, the size of the phase shifter should be smaller than half wavelength at the highest frequency, e.g., 25 mm at 6 GHz, and a sufficiently large phase shift should be achieved at the lowest frequency, say 90 . Clearly, we need to make the phase shifter small enough to fit size requirement while simultaneously achieving a moderate phase shift at lower frequencies. A substrate with relatively high K was used for the meander line design. Rogers TMM 10i has a nominal dielectric constant of 9.8, and a thickness of 0.38 mm was chosen to accomplish both longer length of the meander line and higher power-handling requirement. III. SIMULATION RESULTS Simulations of the device were carried out by High Frequency Structure Simulator (HFSS) before the meander-line -band transmission line was fabricated. To match the traveling distance of the PET of 2 mm, the maximum and minimum distances between the metallic perturber and the substrate were set to be 1.80 and 0.13 mm, respectively. Fig. 4 shows the transmission coefficient of the meander-line phase shifter with different distances between the metallic perturber and the substrate. Clearly, when the metallic perturber is far away from the substrate (1.8 mm), the insertion loss of the phase shifter stays at a relatively low level of 1 dB throughout the entire -band. However, when the metallic perturber approaches the substrate, the insertion loss starts to increase due to the impedance mismatch introduced by the metallic perturber. Nevertheless, the maximum insertion loss of the phase shifter is less than 2 dB at a 0.13-mm spacing between the metallic perturber and the meander line.

1589

Fig. 4. Simulated of the meander line with different distances between the metallic perturber and the substrate.

Fig. 5. Simulated of the meander line with different distances between the metallic perturber and the substrate.

Fig. 5 shows the reflection coefficient of the phase shifter with different metallic perturber distances. As one may expect, when the distance between the perturber and the substrate is 1.8 mm, the return loss is greater than 20 dB, while, with the perturber getting closer to the substrate, the return loss eventually reaches a minimal level of about 8 dB for a 0.13-mm distance. The and spectra show clear ripples associated with the meander line structure, as shown in Figs. 4 and 5. The amplitude of the ripples increases with the approaching of the metallic perturber to the substrate, and their positions as well as their separations also vary. This is attributed to the change of the capacitance per unit length of the transmission line due to the metallic perturber. This increased leads to changes of the characteristic impedance of the meander line transmission line expressed by , where is the inductance per length of the meander transmission line and therefore decreased return loss and increased insertion loss as shown in Figs. 4 and 5. At the same time, the increased also decreases the phase velocity of the meander line, . As a result of such changes of the phase velocity of the microstrip line, the relative phase shift changes dramatically as a function of the distance

1590

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 6. Simulated relative phase shift of the phase shifter with different distances between the metallic perturber and the substrate.

Fig. 7. Measured PET.

of the meander line with different voltage applied on the

Fig. 8. Measured the PET.

of the meander line with different voltages applied on

between the metallic perturber and the substrate, as shown in Fig. 6. From Fig. 6, it is very clear that the phase shift of the meander line can be readily tuned by varying the distance between metallic perturber and the substrate, although it is not a linear function of the distance. For example, the phase shift is only 28 when the disturber–meander line gap is 1.12 mm at 4 GHz and is 54 when the distance is 0.80 mm. However, the phase shift reaches a value of 266 and 352 at a gap of 0.20 and 0.13 mm, respectively. IV. MEASUREMENT RESULTS The meander line was fabricated by printed circuit board (PCB) fabrication technique, and the phase shifter was assembled as schematically shown in Fig. 1. Measurement of the meander-line phase shifter was done on an Agilent PNA series vector network analyzer (VNA). With a control voltage applied on the PET changing from zero to 50 V, the distance between the metallic perturber and the substrate can be tuned. It should be mentioned that, due to the difficulty of accurately measuring the distance between the perturber and the meander line, the applied voltage should only be used for reference purpose to compare with the actual distance. However, after careful calibration, these two values should be able to be preciously linked to each other. Fig. 7 shows the transmission coefficient of the meander-line phase shifter with different voltage applied on the PET. When the voltage is 0 V, which corresponds to the largest distance between the metallic perturber and the meander line, the insertion loss shows very flat response with a maximum loss of 1 dB, which matches well with simulated data shown in Fig. 4. With the increase of the voltage applied on the PET, the distance between the metallic perturber and the substrate was reduced, which led to degraded insertion loss. Since the performance of the phase shifter is very sensitive to the distance between the perturber and the meander line, waviness of the perturber surface may introduce additional loss in the device. As we can see from Fig. 7, compared with simulated results, the insertion loss of the device is slightly larger at higher

voltage. Nevertheless, the overall insertion loss is still less than 2 dB over the entire -band. Similar to the simulated results, the measured reflection coefficient has the same trend, as shown in Fig. 8. For a control voltage of 0 V, the return loss stays at very low level of 25 dB. However, for higher voltages, a maximum return loss of 7 dB is observed for 50 V of control voltage, which is in close match with the simulated data. The maximum travelling distance of the PET is 2 mm for 60-V applied dc voltage. Starting from a 1.8-mm gap with 0 V, the PET bent down and the gap between the perturber and the meander can be approximated as V mm (PL140 Data sheet, Piezo University), where V is the applied voltage on the PET. For 50-V dc voltage, the gap is 0.13 mm, where the measured relative phase shift has a maximum phase shift of 362 at 4 GHz as shown in Fig. 9. HFSS simulation showed a 352 phase shift, indicating a decent match between measurement and simulation results. Also, compared with the published phase shifter based on a dielectric perturber, this accounts for enhancement of one order of magnitude [5]. Furthermore, it can be found that the relative phase shift is very sensitive to the voltage change at higher control voltages as well. The phase shift from 40 to 50 V contributes to almost 70% of the total

WU et al.: HIGH-POWER HANDLING PHASE SHIFTERS WITH PET-CONTROLLED METALLIC PERTURBER

Fig. 9. Measured and simulated relative phase shift of the meander-line phase shifter with different voltage applied on the PET. The symbols indicate simulated results from HFSS.

phase-shift range. This agrees well with the simulated results that the phase shift is particularly sensitive to the distance between the perturber and the substrate when the distance is small. This phenomenon leads to the conclusion that it is possible to use a much smaller tunable distance between the metallic disturber and the meander line, which means that large phase shift can be achieved with a shorter PET and/or at a smaller voltage span in order to gain majority of the phase shift capability. As an alternative, one can start with a smaller distance between the perturber and the substrate as an initial reference state, and a much lower control voltage of 20 V can lead to a phase shift of 300 . This will dramatically reduce the need for high control voltage and is needed to reduce the power consumption of the device. Compared with other phase-shifter designs, this phase-shifter design showed significantly enhanced phase shift and lower loss [9]. Unlike most semiconductor-based planar phase shifters that can only handle very limited microwave input power of 30 dBm [1]–[4], our phase-shifter design with a PET-controlled metallic disturber on the meander line has the potential to handle a much larger range of input power since the phase shifter has just copper and dielectric substrates. As a result, power handling of such phase shifters will mainly be limited by Joule heating at large RF/microwave power level. We measured the insertion loss of our phase shifter at 3 GHz under different microwave input powers at 3 GHz, with both 0 and 50 V applied to the PET, as shown in Fig. 10. Clearly, the insertion losses of both cases stay nearly straight at different microwave input power levels, with only a negligible increase in the insertion loss at a control voltage of 50 V and at 30 dBm. The maximum power level was only tested to up to 30 dBm due to the limited power output level in our laboratories, while simple extrapolation of the two curves in Fig. 10 indicate that the phase shifter shows much higher power-handling capability than 30 dBm. The high microwave power-handling capability of the meander-line phase shifter is critical for high-power phased array radars. V. EXTENDED DESIGN FOR 1–6 GHZ Some applications, such as satellite communication and radar system, require controllable phase shifts in a wider band, such

1591

Fig. 10. Measured insertion loss of the meander-line phase shifter with different input power at 3 GHz.

Fig. 11. Design dimensions for the extended meander-line phase shifter.

as 1 to 6 GHz, which covers L the -band, -band, and part of the -band. Hence, it is also important for phase shifters to have a wide working bandwidth and the properties of low profile, low loss, minimized power consumption, and large power-handling capability. Fig. 11 shows an extended meander line phase shifter working from 1 GHz to 6 GHz. The meander line was designed to have the conductor width of 14 mils. With each of the segments of the meander line being 5.58 mm and each of the corners being 0.508 mm, the total length of the meander line is about 223 mm within an area of 18 18 mm. The same metallic perturber has been use to tune the capacitance through different heights. It should be mentioned that the performance of the phase shifter is very sensitive to the distance between the perturber and the meander line. In addition, the bending actuator brings an inclined copper surface, which leads to additional insertion loss and nonlinearity of phase shifts. These are more critical at closer distance. Therefore, in the extended meander-line approach, the perturber was placed at the closest distance and completely parallel to the meander line, when the voltage is 0 V. Then, it would be bent up when higher voltages were applied. With the metallic perturber far away from the substrate, the phase shift due to the metallic surface will be neglected. Thus, we set the 25-V applied voltage as the reference point for relative phase shift measurement.

1592

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 12. Measured relative phase shift of the extended meander-line phase shifter with different voltages applied on the PET.

Fig. 13. Measured applied on the PET.

of the extended meander line with different voltage

Fig. 14. Measured applied on the PET.

of the extended meander line with different voltage

TABLE I MEASURED RELATIVE PHASE SHIFT OF THE EXTENDED MEANDER-LINE PHASE SHIFTER AT 6 GHZ WITH DIFFERENT VOLTAGES APPLIED ON THE PET.

Fig. 12 shows the phase shifts of the meander-line phase shifter with different voltages applied on the PET. It is very clear that the phase shift of the meander line can be readily tuned by varying the distance between the metallic perturber and the substrate through variable voltage applied. The measured relative phase shift showed a maximum phase shift of 367 at the center frequency 3.5 GHz with a control voltage of 0 V on the PET, 88 at 1 GHz, and 807 at 6 GHz. With the increase of the voltage applied on the PET, the distance between the metallic perturber and the substrate was increased. Then, the reduced capacitance leads to smaller phase shifts. For example, if we set 6 GHz as the working frequency, we get the following phase shifts as shown in Table I. Fig. 13 show the transmission coefficient of the meander-line phase shifter with different voltages applied on the metallic perturber. Clearly, with the higher voltage (25 V), where the metallic perturber was far away from the substrate, the insertion loss of the phase shifter stays at a relatively low level of dB throughout the entire band of 1-6 GHz. However, when the applied voltage was reduced, the metallic perturber approaches the substrate. The insertion loss starts to degrade to 3.8 dB at 6 GHz, which is the maximum insertion loss throughout the entire band. However, it should be mentioned that 360 phase shift is sufficient for most applications. In our design, the phase shift exceeded the 360 phase shift requirement in the frequency band of 3.5–6 GHz, with the majority of bad insertion loss cases. A customized voltage set can be used to achieve the required phase shift while maintaining relatively low insertion loss. For example, at 6 GHz, the tuning range of 8–25 V can achieve 360 phase shift, with a maximum

insertion loss 2.85 dB; at 5 GHz, the tuning range of 3.5–25 V can achieve 360 phase shift, with a maximum insertion loss of 3.53 dB. Fig. 14 shows the return loss of the meander line phase shifter with different voltage applied on the metallic perturber. A high 6.5 dB was observed when the voltage was 0 V, and the perturber was very close to the meander line. Once the voltage was increase and the metallic perturber was sufficiently far and had less impact on the meander line, went beyond 10 dB. Compared with the original design (working at 2–4 GHz), the extended meander-line shifter has a small insertion loss increase. Loss was then analyzed by applying (4) and (5). The estimated of the meander line at 6 GHz is 0.1035 dB/cm for conductivity loss and 0.0262 dB/cm for dielectric loss. The total effective length of the meander line is 22.2976 cm. Therefore, the total loss can be estimated as 2.3 dB for conductivity loss, 0.58 dB for dielectric loss, 0.8 dB for metallic perturber according to the measurement results in Table I, and the rest 0.12 dB for impedance mismatching of original perfect conductor meander line. Apparently, the majority of the loss comes from finite conductivity of copper transmission line,

WU et al.: HIGH-POWER HANDLING PHASE SHIFTERS WITH PET-CONTROLLED METALLIC PERTURBER

1593

TABLE II PERFORMANCE COMPARISON OF PHASE SHIFTERS WITH DIFFERENT DEVICE TECHNIQUES

which is also the bottleneck of meander-line phase shifter. However, it achieved much wider bandwidth (1–6 GHz), which is very important for some application desired of wide operation frequency band. Table II shows the performance comparison of the fabricated phase shifter in this work with the other reported phase shifters. The measured degree/decibel low insertion loss of 212 is found to be better than those of the previously reported phase shifters. Also, the device size is the smallest among PET phase shifters, although larger than others. VI. CONCLUSION A novel type of phase shifter was proposed and demonstrated utilizing a PET-controlled metallic transducer on a meander transmission line. Compared with phase shifters with PET-controlled dielectric or magnetodielectric perturbers, the phase shifter with a PET-controlled metallic perturber exhibited significantly enhanced phase shift and bandwidth, reduced size, and insertion loss. A compact -band meander-line phase shifter with metallic perturber controlled by a PET has been designed, fabricated, and tested. The total dimension of the meander line is only 18 18 mm . Compared with a dielectric perturber that only exhibits very limited phase shift at the -band, our design reached a phase shift of 360 with a low controlling voltage of 25 V at 3.5 GHz, along with a wide operating bandwidth from 1 to 6 GHz. In addition, there is no fundamental limit of the frequency range for such a phase shifter, as the frequency limit is mainly from the design of the meander line. While the meander line can be easily designed for frequencies of -band was demonstrated in this work, similar phase shifter designs can be made for the -band, -band, -band, and beyond from our simulations, and even an extremely wideband phase shifter can be achieved with a straight transmission line and a PET-controlled metallic disturber. High power handling of 30 dBm has been experimentally demonstrated in a compact -band phase shifter, with an expected power-handling limit of 50 dBm. With the combined low insertion loss, large phase change, compact size, high microwave power-handling capability, and the extended abilities to other frequency bands, the new meander-line phase shifter with a PET-controlled metallic perturber shows great potential for different phased array systems.

ACKNOWLEDGMENT The authors would like to thank Dr. S. Berkowitz for the highpower measurements. REFERENCES [1] B. York, A. Nagra, and J. Speck, “Thin-film ferroelectrics: Deposition methods and applications,” in IEEE MTT-S Int. Microw. Symp., Boston, MA, Jun. 2000, vol. 47, pp. 3732–3735. [2] G. M. Yang, O. Obi, G. Wen, Y. Q. Jin, and N. X. Sun, “Novel compact and low-loss phase shifters with magnetodielectric disturber,” IEEE Microw. Wireless Compon. Lett, vol. 21, no. 5, pp. 240–242, May 2011. [3] J. B. L. Rao, D. P. Patel, and V. Krichevsky, “Voltage-controlled ferroelectric lens phased arrays,” IEEE Trans. Antennas Propagat., vol. 47, no. 3, pp. 458–468, Mar. 1999. [4] M. Teshiba, R. V. Leeuwen, G. Sakamoto, and T. Cisco, “A SiGe MMIC 6-Bit PIN diode phase shifter,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 12, pp. 500–501, Dec. 2002. [5] A. S. Nagra and R. A. York, “Distributed analog phase shifters with low insertion loss,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 9, pp. 1705–1711, Sep. 1999. [6] B. Pillans, S. Eshelman, A. Malczewski, J. Ehmke, and C. Goldsmith, -band RF MEMS phase shifters,” IEEE Microw. Guided Wave “ Lett., vol. 9, no. 12, pp. 520–522, Dec. 1999. [7] N. S. Barker and G. M. Rebeiz, “Optimization of distributed MEMS transmission-line phase shifters—U-band and W-band designs,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 11, Nov. 2000. [8] G. M. Rebeiz, G. L. Tan, and J. S. Hayden, “RF MEMS phase shifters: Design and application,” Microw. Mag., vol. 3, pp. 72–81, Jun. 2002. [9] T. Y. Yun and K. Chang, “Analysis and optimization of a phase shifter controlled by a piezoelectric transducer,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 1, pp. 105–111, Jan. 2002. [10] T. Y. Yun and K. Chang, “A low-cost 8 to 26.5 GHz phased array antenna using a piezoelectric transducer controlled phase shifter,” IEEE Trans. Antennas Propag., vol. 49, no. 9, pp. 1290–1298, Sep. 2001. [11] T. Y. Yun and K. Chang, “A low-loss time-delay phase shiter controlled by piezoelectric transducer to perturb microstrip line,” IEEE Microw. Guided Wave Lett., vol. 10, no. 3, pp. 96–98, Mar. 2000. [12] J. M. Pond, S. W. Kirchoefer, H. S. Newman, W. J. Kim, W. Chang, and J. S. Horwitz, “Ferroelectric thin films on ferrites for tunable microwave device applications,” in Proc. 12th IEEE Int. Symp. Applic. Ferroelectrics, 2000, vol. 1, pp. 205–208. [13] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Application. New York: Wiley, 2011, p. 83. [14] B. C. Wadell, Transmission Line Design Handbook. Norwood, MA: Artech House, 1991. [15] H. A. Wheeler, “Transmission-line properties of a strip on a dielectric sheet on a plane,” IEEE Trans. Microw. Theory Tech., vol. MTT-25, no. 8, pp. 631–647, Aug. 1977. [16] M. V. Schneider, “Microstrip lines for microwave integrated circuits,” Bell Syst. Tech. J., vol. 48, pp. 1422–1444, 1969. [17] J. G. Yang and K. Yang, “Ka-band 5-Bit MMIC phase shifter using InGaAs PIN switching diodes,” IEEE Microw. Wireless Compon. Lett, vol. 21, no. 3, pp. 151–153, Mar. 2011.

1594

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

[18] M. Hangai, M. Hieda, N. Yunoue, Y. Sasaki, and M. Miyazaki, “S- and C-band ultra-compact phase shifters based on all-pass networks,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 1, pp. 44–47, Jan. 2010. [19] A. Malczewski, S. Eshelman, B. Pillans, J. Ehmke, and C. L. Goldsmith, “X-band RF MEMS phase shifters for phased array applications,” IEEE Microw. Guided Wave Lett., vol. 9, no. 12, pp. 517–519, Dec. 1999. [20] S. Sheng, P. Wang, X. Chen, X. Y. Zhang, and C. K. Ong, “Two Sr TiO ferroelectric varactors series connected paralleled Ba coplanar waveguide microwave phase shifter,” J. Appl. Phys., vol. 105, 2009, Art. ID 114509. [21] S. G. Kim, T. Y. Yun, and K. Chang, “Time-delay phase shifter controlled by piezoelectric transducer on coplanar waveguide,” IEEE Microw. Wireless Compon. Lett, vol. 13, no. 1, pp. 19–20, Jan. 2003. [22] C. Kim and K. Chang, “A reflection-type phase shifter controlled by a piezoelectric transducer,” Microw. Opt. Technol. Lett., vol. 53, no. 4, pp. 938–940, Apr. 2011.

Jing Wu (S’08) received the B.Sc. degree in electrical engineering from the University of Science and Technology of China, Hefei, China, in 2006, and the M.Sc. degree from Northeastern University, Boston, MA, in 2009, where he is currently working toward the Ph.D. degree. Since 2009, he has been a Graduate Research Assistant with the Sun Group at Northeastern University, Boston, MA. His research interests include theory and applications of novel magnetic, ferroelectric and multiferroic materials for RF integrated circuits (ICs), monolithic mircrwave ICs, and power electronics, different RF/microwave devices, integrated tunable multiferroic electromagnetic subsystems, waves interactions in complex media, and photonic bandgap structures.

Jing Lou received the B.S. degree in physics from Nanjing University, Nanjing, China, in 2003, and the M.S. degree in physics and Ph.D. degree in electrical engineering from Northeastern University, Boston, MA, in 2005 and 2010, respectively. He is a Postdoctoral Research Associate with the Electrical and Computer Engineering Department, Northeastern University, Boston, MA. His main research interests include synthesis, microstructure, and properties of magnetic and magnetoelectric materials for applications in RF and microwave devices. Novel devices based on magnetoelectric concept are also his focus.

Ming Li (S’10) received the B.Sc. degree from Wuhan University, Wuhan, China, in 2006, and the M.Sc degree in physics from the Institute of Physics, Chinese Academy of Sciences, Beijing,China, in 2009. He is currently working toward the Ph.D. degree in electrical and computer engineering at Northeastern University, Boston, MA. His research interests include ultra-wideband antennas, antenna miniaturization, tunable and nonreciprocal microwave/RF devices, and magnetic and ferroelectric materials and their application in microwave devices design.

Guomin Yang (S’07–M’10) was born in Zhejiang Province, China, in 1979. He received the B.S. degree (with honors) in communication engineering from Xi’an University of Technology, Xi’an, China, in 2002, the M.S. degree in electronic engineering from Shanghai Jiao Tong University, Shanghai, China, in 2006, and the Ph.D. degree in electrical and computer engineering from Northeastern University, Boston, MA, in 2010. In 2010, he joined the faculty of School of Information and Technology at Fudan University, where he is currently an Assistant Professor. His research interests include antenna miniaturization, magneto-dielectric materials, metamaterials, frequency selective surfaces, UWB filters, UWB antennas, computational electromagnetics, and inverse scattering problems in electromagnetics. He has authored 16 journal publications and 14 conference papers. Dr. Yang was the recipient of National Graduate Student Scholarship in 2006. He is a member of the Editorial Board of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES.

Xi Yang (S’11) received the B.Sc. degree in electrical engineering from the Shanghai Jiao Tong University, Shanghai, China, and the M.Sc degree from Jilin University, Changchun, China, in 2008 and 2010, respectively. He is currently working toward the Ph.D. degree at Northeastern University, Boston, MA. Since 2010, he has been a Graduate Research Assistant with the Sun Group, Northeastern University, Boston, MA. His research interests include novel magnetic, ferroelectric, and multiferroic materials for microwave applications, different RF/microwave devices, and integrated tunable multiferroic electromagnetic subsystems.

Jason Adams (S’08) received the B.Sc. and M.Sc. degrees in electrical engineering from Boston University, Boston, MA, in 2006 and 2008, respectively. He is currently working toward the Ph.D. degree at Northeastern University, Boston. Since 2008, he has been an Electrical Engineer with Raytheon. Additionally, he has been conducting research in the Sun Group, Northeastern University, Boston, MA, since 2010. His research interests include RF/microwave devices and systems, as well as the theory and application of novel magnetic, ferroelectric and multiferroic materials for RFIC, MMIC and power electronics.

Nian X. Sun (S’98–M’02) received the Ph.D. degree from Stanford University, Stanford, CA, in 2002. He is currently an Associate Professor with the Electrical and Computer Engineering Department, Northeastern University, Boston, MA. Prior to joining Northeastern University, he was a Research Scientist with IBM and Hitachi Global Storage Technologies between 2001 and 2004. He has authored and coauthored over 80 publications and holds nearly 20 patents and patent disclosures. His research interests include novel magnetic, ferroelectric ,and multiferroic materials, devices, and subsystems. Dr. Sun was the recipient of the National Science Foundation CAREER Award, the Office of Naval Research Young Investigator Award, the U.S. Air Force Summer Faculty Fellowship, and the first prize IDEMA Fellowship. One of his papers published in 2009 was selected as the “ten most outstanding in Advanced Functional Materials”. papers in the past decade

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

1595

An All-Metal Micro-Relay With Bulk Foil Pt–Rh Contacts for High-Power RF Applications Fatih M. Ozkeskin, Member, IEEE, Sangjo Choi, Student Member, IEEE, Kamal Sarabandi, Fellow, IEEE, and Yogesh B. Gianchandani, Fellow, IEEE Abstract—This paper describes the performance of stainless-steel micro-relays, with platinum–rhodium metal foil contact elements, in handling high-power RF signals. The electrical and thermal responses are described for electrostatically actuated three-terminal micro-relays that are directly assembled on printed circuit boards. The preliminary designs have footprints of 6.4 mm . Fabricated relays have 90-V turn-on (pull-down) voltage. The down-state insertion loss and up-state isolation were better than 0.2 and 25 dB over the band of dc–5 GHz, respectively. The devices can accommodate incident RF power up to 18.5 W at 3 GHz when cooled by forced air from a mini-fan. Index Terms—Cantilever, heat inum–rhodium (Pt–Rh), RF switch.

sink,

micro-relay,

plat-

I. INTRODUCTION

M

ICRO-RELAYS are widely used in signal switching for applications in the aerospace sector, RF communications, and portable electronics. There has been extensive research on the miniaturization and integration of micro-relays as a result of the recent developments in the microfabrication techniques [1]–[5]. Compared to solid-state counterparts, the micromechanical RF switches hold the promise of advantages including high linearity, low loss, and low power consumption [6]–[11]. However, the focus has been on devices that handle relatively low levels of RF power, i.e. below 100 mW. High RF power handling in micro-relays is needed for satellite transmitters and earth-based communications stations [12], [13]. This paper is directed at options for direct contact micro-relays that can accommodate power levels exceeding 1 W. Challenges include not only self-actuation in the up-state, but also dominant failure mechanisms such as metal softening and micro-welding in the down-state. Contact failure mechanisms

Manuscript received August 01, 2011; revised March 02, 2012; accepted March 08, 2012. Date of publication April 26, 2012; date of current version May 25, 2012. This work was supported in part by the Microsystems Technology Office (MTO), Defense Advanced Research Projects Agency (DARPA) under Contract W31P4Q-09-1-0009. F. M. Ozkeskin was with the Mechanical Engineering Department, The University of Michigan at Ann Arbor, Ann Arbor, MI 48109 USA. He is now with Applied Materials, Santa Clara, CA 95054-3299 USA (e-mail: [email protected]). S. Choi and K. Sarabandi are with the Radiation Laboratory, Electrical Engineering and Computer Science Department, The University of Michigan at Ann Arbor, Ann Arbor, MI 48109 USA (e-mail: [email protected]; [email protected]). Y. Gianchandani is with the Electrical Engineering Department and the Mechanical Engineering Department, The University of Michigan at Ann Arbor, Ann Arbor, MI 48109 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2192744

that define the power-handling capability of the ohmic-contact micro-relays are related to the nature of the contact metals [14], [15]. Most of the past efforts in high-power RF micro-relays have focused on surface micromachined contact materials for increased power handling [16], [17]. Surface micromachining techniques only allow the use of metals that can be sputtered or electroplated. Micro-relays micromachined from bulk foils can utilize metal alloys, and take advantage of the properties such as high hardness and high melting point, and thereby accommodate high RF power. Platinum–rhodium (Pt–Rh) is a mechanically robust and chemically inert metal alloy that is used in very high-quality crucibles. Pt–Rh alloy with 20% Rh content has higher hardness and higher melting point than pure platinum [18], [19]. Platinum group contact metals are also known to considerably reduce stiction [20], [21]. These properties make Pt–Rh an attractive candidate for high-power RF micro-relays; it is expected to be resistant to softening and micro-welding at elevated temperatures that may rise during high-power signal transmission. This paper describes the performance of electrostatically actuated three-terminal micro-relays that utilize a cantilever, a contact bridge, and an on-device heat sink.1 Section II describes the micro-relay design, and Section III details the fabrication and assembly processes for the device. Experimental evaluation is presented in Section IV. These include dc, small-signal, and high-power RF characterization, thermal performance, and lifetime test for the device. Section V provides discussion and conclusions. II. DESIGN The micro-relay has a three-terminal structure (Fig. 1). The design has a footprint of 6.4 mm and it is composed of vertically stacked components directly located and assembled on a printed circuit board (PCB). The PCB uses a Rogers 4003 substrate with Cu–Ni–Au traces (75-, 4-, and 0.15- m thicknesses, respectively) for reduced loss at microwave frequencies. The PCB traces have four regions. The first region includes two transmission line segments with 50- characteristic impedance and provides input and output paths for the RF signal. At the end of each line, the terminals accommodate contact regions (200 m 200 m each). The second region includes the dc ground. Two types of vias are defined on the dc ground: isolated vias provide the locations for anchoring and assembly purposes, and plated vias short dc and RF grounds. The third region includes an L-shaped electrode for the placement of a dc-blocking 1Portions of this work have been reported in conference abstract form in [22].

0018-9480/$31.00 © 2012 IEEE

1596

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

A micromachined aluminum heat sink 600 m 600 m 1000 m with four fins (each 150 m m and 950- m tall) is used to dissipate local contact heating through active cooling when combined with a commercially available mini-fan (Sunon-UF3A3) placed 1.5 mm above the transmission line. The gap between the fins is defined to allow the location of the micro-rod. Heat-sink design follows the principles described in [23]. Two criteria were followed for designing the heat sink fins, which are: 1) heat loss limit should be larger than 1 and 2) fin efficiency should be larger than 0.75. The heat loss limit, , is defined by Fig. 1. Exploded view of the three-terminal micro-relay. Four regions of the PCB are shown. Cantilever and ground spacers, both from stainless steel, are placed to elevate the cantilever above the signal line to define the actuation and the contact gaps. Gold posts align and hold the spacers and the cantilever over the gold-coated copper traces on the PCB. Cantilever pushes the Pt–Rh contact bridge, located at the distal end, via a micro-rod, which couples both components. An Al heat sink is integrated atop the point of contact. Device footprint (as defined by the footprint of the cantilever) is 6.4 mm .

capacitor. It also acts as a shield to further reduce the RF signal coupling from the transmission line. The fourth region includes the pull-down electrode; it is used to electrostatically actuate the cantilever. The PCB design is obtained through iterative optimization and sensitivity studies to provide the desired switching RF performance. The simulations are described toward the end of this section. The stainless-steel cantilever is positioned orthogonally with respect to the transmission line and suspended above a break. It defines the device footprint (2000 m 3200 m, including anchor; 2700- m suspended length; 50- m thick). The cantilever is elevated above the pull-down electrode on the PCB by a cantilever spacer 2000 m 400 m 110 m . Two identical ground spacers (4000 m 2350 m 50 m each) are stacked on the ground electrode. For electrostatic actuation, an interelectrode gap of 10 m is maintained between the bottom surface of the cantilever and the top surface of the ground spacer stack. The actuation electrode size is defined by the trapezoidal overlap area between ground spacers and the cantilever (1.8 mm ). A pair of gold alignment posts (400- m height, 300- m diameter each) perforates and anchors the cantilever spacer and the cantilever to the pull-down electrode and the PCB substrate through tightly fitting into the isolated vias. Another pair of alignment posts with same diameter similarly anchors ground spacers to the ground electrode. At the distal end of the cantilever is a multilevel vertically stacked structure. A Pt–Rh contact bridge 600 m 1000 m 30 m , provides an ohmic contact between two open ends of the microstrip underneath. A thermally conductive sapphire micro-rod (700- m height, 250- m diameter, 50-W K m thermal conductivity, Meller Optics Inc.) is used to mechanically couple the contact bridge with the cantilever and electrically separate them. It also performs as a thermal conductor to take the heat away from the contact regions. The micro-rod rests on a 5- m-deep blind hole at the center of the contact bridge and centers the stacked components by perforating the concentric holes on them. The gap between the cantilever and the contact bridge is designed so that the latter stands 12 m above the transmission line.

(1) where is the thermal conductivity of the sink material W K m , is the heat transfer coefficient W/m K and is the fin width (m). At room temperature (300 K), for air ambient and a fin width of 150 m, the heat loss limit is 4.91. Fin efficiency is defined by (2) where is the fin height. For a fin height of 950 m, the fin efficiency is 0.876. Electrostatic modeling of the RF micro-relay was performed in the ANSYS Workbench finite-element analysis (FEA) environment. Fig. 2 shows the displacement and stress distribution. The model consisted only of the micro-relay and the transmission line. Other electrodes on the PCB were neglected. The “bonded contact” boundary conditions were used for touching surfaces. The “fixed geometry” boundary condition was applied around the alignment posts on the anchor points. For a 12- m vertical tip displacement, pull-down voltage was 85 V. The FEA results show that the displacement across the 10- m interelectrode gap over the ground electrode was approximately 4 m when the contact occurs, therefore pull-in was prevented. The stiffness of the entire micro-relay including the cantilever, heat sink, contact bridge, and micro-rod was 142 N/m. The contact force per contact region was 0.36 mN (with 1.44 mN evenly distributed over four contact regions) for an increased actuation voltage of 130 V. The switching time was 16 ms. An estimate of the contact resistance is required for two reasons: for accurately modeling -parameters in the High Frequency Structure Simulator (HFSS), and for use as a boundary condition in the thermal model to account for high-power joule heating. The contact resistance model is based on Holm’s plastic deformation theory [24]. The model suggests that (3) is the actual mechanical conwhere is the contact force, tact area of overlapping contact asperities between touching surfaces, is the contact metal hardness, and is an empirical factor, which depends on material and approaches 1 for clean surfaces. Incorporating contact radius into this model gives (4)

OZKESKIN et al.: ALL-METAL MICRO-RELAY

1597

Fig. 2. Electrostatic FEA for RF micro-relay. (a) Tip displacement was 12 m as a result of 85-V pull-down voltage. (b) Von Mises stress distribution after the contact. All four contact points had the same stress. Simulated total contact force and actuation time were 1.44 mN (evenly distributed over four contact regions) and 16 ms for 130-V actuation voltage, respectively.

where is the radius of Holm’s contact spot ( -spot) representing a cluster of multiple small contact spots. Contact radius can be used to estimate the Maxwell spreading resistance between two contacting surfaces assuming that the contact radius is much larger than the electron mean free path length of contacting materials. This spreading resistance is also known as constriction resistance (5) Here, and are the electrical resistivities of the contacting metals [25]. Referring to [26], it can be assumed that the contact pressures on a contacting pair of asperities are equal to the flow pressure of the softer of the two contacting materials. However, it is worth noting that in a multilayered contact design, the effective hardness is primarily determined by the thicker bottom layer material. Determining an exact value for the hardness in the multilayered Cu–Ni–Au patterns used for the PCB traces is nontrivial. Since the thickness of the Ni layer (4 m) is sufficiently larger than the thickness of the Au (0.15 m), the effective hardness was chosen as that of Ni. Using (4) and (5), a total series contact resistance of 1.09 was analytically estimated for the RF micro-relay, assuming a contact hardness of 6 GPa—the approximate Meyer hardness of Ni, estimated total resistivity of 30 cm for Pt–Rh/Cu–Ni–Au stacked contacts, and 0.36-mN contact force per contact region, as noted above. The resulting

radius for Holm’s -spot, i.e., single contact spot representing a cluster of multiple small contact spots was 137 nm. For experimental validation, a Pt–Rh beam with the same dimensions as in the proposed design was pressed against the open signal lines on the PCB. A precision force gauge (Aurora Scientific, Model 403A), which was mounted on a motorized – – stage, supplied the contact force. The force was applied in 80- N increments, up to 1.44 mN, over a 1-mm contact diameter. Contact resistance was measured using a four-wire technique with a 5-mA test current. The total series resistance was 1.34 for 1.44-mN total contact force. The empirically estimated radius of the -spot was 111 nm. The transmission line and the dc electrodes were refined to reduce the RF coupling and the fringing, which commonly appear in high-frequency RF devices. Geometric optimization involved iterative RF full-wave simulations in HFSS. The simulations were performed for the micro-relay in both the downand up-state. In the down-state, the contact resistance of 1.34 was used as a lumped element. The electric field distribution at 10 GHz guided the geometric modifications depicted in three configurations (Figs. 3 and 4). In Configuration-1, the dc ground and the pull-down electrode had only isolated vias for anchoring the structural elements; hence, significant coupling was observed between the transmission line, the pull-down electrode, and the dc ground both in the up- and down-state. In Configuration-2, the dc ground electrode was shunted to the RF ground through the conductive plated vias. In addition, the contact pad for the pull-down electrode was flipped horizontally to face away from the input transmission line, and all the electrode corners were rounded to reduce the level of fringing fields. Although the coupling between the transmission line and the dc ground electrode was reduced significantly, the electric field was not completely suppressed on the pull-down electrode. Configuration-3 was the final layout geometry and included: 1) the L-shaped electrode, which acted as a shield to reduce the coupling from the input transmission line; 2) the fork-shaped open ends, which reduced the up-state capacitance and dissipation through the contact bridge; and 3) smooth variation of linewidth for reduced reflection. A 1-pF capacitor was chosen to short circuit the RF path on the pull-down electrode and acted as a dc block. The coupling was considerably suppressed both in the down- and up-states. Fig. 5 shows the -parameters for each configuration in the down- and up-state. In the down-state, Configuration-3 resulted in overall better insertion loss and return loss compared to the other configurations at higher frequencies. Similarly, in the up-state, Configuration-3 has return loss better than 0.4 dB at 10 GHz, whereas the isolation is best throughout the bandwidth. Thermal modeling of the micro-relay involved the extraction of the electric field distribution at 3 GHz and 30 W of RF power. The contact resistance was included in the model. The solution was then imported into the ANSYS Multiphysics solver to serve as the boundary condition. These values were chosen due to the experimental limits. The thermal adhesive between micro-rod, cantilever and heat sink was modeled as a shell with a thermal conductivity of 5 W/m K following the specifications of a commercially available epoxy (Aavid Thermalloy). Contact temperature modeling considered two on-state times: 1 and

1598

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 3. Electric field distribution at 10 GHz for three configurations in the down-state. (a) In Configuration-1, the dc ground electrode had no plated vias. (b) In Configuration-2, the dc ground electrode had plated vias shunted to RF ground. In addition, the corners of the electrodes were rounded and the contact pad for the pull-down was flipped away from the transmission line. (c) In Configuration-3, the L-shaped electrode was placed next to the pull-down electrode and shunted to the RF ground through plated vias. A 1-pF dc-blocking capacitor was assumed. The transmission line was designed to have smooth variation of width. In addition, it had fork-shaped open ends to reduce the capacitance with the contact bridge and the dissipation through it. Configuration-3 provided an electric field distribution with minimized coupling to dc ground and pull-down electrode.

20 s. Forced cooling was assumed in the model; the manufacturer-specified upward air flow rate of 0.22 m/s was assumed to exist in an imaginary tube enclosing the contact bridge and heat sink (1.5-mm height, 1-mm diameter). Fig. 6 shows a temperature increase of approximately 185 K on contacts for 30 W of RF power that was continuously transmitted for 1 s in an unforced cooling setup. The simulation showed that forced cooling reduces the contact temperatures by approximately 25 K. Temperature rise times were 0.9 and 5.8 s for unforced and forced cooling cases, respectively. III. FABRICATION AND ASSEMBLY Micro-electrodischarge machining EDM is a lithography-compatible electrothermal machining technique, suitable

Fig. 4. Electric field distribution at 10 GHz for three configurations in the up-state. The geometries in (a)–(c) correspond to the geometries (a)–(c) in Fig. 3.

for metal alloy foil devices. Micromachining of features with sizes as small as 5 m can be achieved, both in serial mode (using a cylindrical wire-tip electrode), and in batch mode (using a lithographically patterned and electroplated chip as a “cookie-cutter”) [27]. For the micro-relay, the contact bridges were serially fabricated using EDM in Pt–Rh (Alfa Aesar Corporation, 80:20 concentration, 99.99% purity) down to 30- m final thickness using 50- m-thick stock metal foils. The lowest available discharge energy of 24.5 nJ was used at contact bridges to ensure a smooth surface finish. Surface roughness on the bottom unmachined side of the contact bridges was measured using a Zygo NewView 5000 interferometer. Average roughness was approximately 25 nm. The heat sinks were also fabricated using EDM from 1-mmthick Al 3003 alloy foil (99% purity). The discharge energy was increased to 16.5 J for faster machining of the fins. A hole of 250- m diameter was machined at the base of the heat sink for the subsequent assembly of the micro-rod and the contact bridge.

OZKESKIN et al.: ALL-METAL MICRO-RELAY

1599

Fig. 6. Multiphysics electrothermal FEA results for 1 s into the on-state. The HFSS high-power electric field distribution was imported to ANSYS as a joule heating boundary condition. Contact area temperatures for: (a) unforced and (b) forced cooling (3-GHz 30-W incident RF power, 1.34- modeled contact resistance, 300-K ambient temperature, 0.22-m/s upward flow). Fig. 5. Full-wave HFSS simulation results showing -parameters for threeconfigurations. (a) In the down-state, Configuration-3 provides the best insertion loss and return loss at higher frequencies. (b) In the up-state, Configuration-3 presents return loss better than 0.4 dB at 10 GHz. The isolation is also better than other configurations by about 4 dB over the bandwidth.

The cantilever spacer was also fabricated using EDM down to 110- m thickness from 125- m-thick stainless-steel (SS304) stock foil. For each micro-relay, two perforations of 300- m diameter were positioned on the cantilever spacers to allocate the alignment posts for the attachment to the cantilever, and to the pull-down electrode below. The cantilever and ground spacers were photochemically machined from 50- m-thick SS304 foils (Kemac Technology Inc.). Two perforations of 300- m diameter were located on the cantilever for the assembly over the cantilever spacer and the PCB, whereas another perforation of 250- m diameter was situated toward the tip of the cantilever for subsequent assembly of the contact bridge, micro-rod, and heat sink. Similarly, two perforations of 300- m diameter were positioned on the ground spacers for the attachment to the ground electrode. 500- m-thick Rogers 4003 was used as the substrate for the RF transmission line (Advanced Circuits Inc.). Cu traces provided the bias electrodes and the surface microstrip. In such PCBs, Ni was used as an adhesion layer on the Cu base, and an outer gold layer provided a low-resistivity electrical contact. Cu–Ni–Au metal tracing with the same thicknesses was used on the plated vias, whereas isolated vias were left unplated. Alignment posts were fabricated using EDM from 300- m -diameter gold wire, and tightly fitted into the isolated vias on the PCB [see Fig. 7(a)]. Conical wire tips facilitated the alignment and the insertion of the perforated components. The can-

tilever spacers and the ground spacers were assembled over the posts [see Fig. 7(b)] and fixed by applying an electrically conductive epoxy (Creative Materials, volume resistivity: 300 cm). The assembly of the cantilever and heat sink followed this [see Fig. 7(c)]. The heat sink was attached onto the cantilever by aligning the perforation at its base to the one on the cantilever tip, and securing with high temperature epoxy (Cotronics Duralco 4703, 645-K maximum temperature). The flatness of the cantilever, as well as critical gap of 10 m, was maintained during the assembly process with the help of a high-resolution laser displacement sensor (Keyence LK-G32) raster scanning over the region and giving continuous feedback. The contact bridge was aligned and placed on the transmission line. The sapphire micro-rod was then tightly fitted into the overlapping perforations on the heat sink and cantilever. It rested on the blind hole at the center of the contact bridge, further aligning it for a precise contact. High-temperature epoxy was applied to fix the parts [see Fig. 7(d)]. A total of five devices were fabricated and assembled. The distribution of those devices is as follows: one device for dc on-state resistance characterization and hysteresis study; one device for small-signal characterization; two devices for high power testing and for thermal characterization; and one device for lifetime characterization. IV. EXPERIMENTAL EVALUATION A. Electrical Testing Electrical testing of the micro-relay consisted of dc, smallsignal RF, and high-power RF characterizations. The actuation

1600

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 8. (a) Circuitry for testing of three-terminal RF micro-relay. Actuation was used for the electrostatic actuation. was monitored to devoltage , and was always equal to . tect any leakage with a 1-M resistor, was the on-state resistance and included the contact resistance, bridge resistance, and parasitics. A 1-pF surface mount capacitor was used for dc blocking. RF input and outputs were realized via SMA coaxial connectors. (b) High-power RF test setup (limited to 45 dBm, 30 W of maximum RF power at 3 GHz).

Fig. 7. Assembly sequence for the RF micro-relay. (a) Alignment posts inserted. (b) Cantilever and ground spacers (SS304) aligned on top of the posts through the perforations and anchored with electrically conductive epoxy. (c) Cantilever and the heat sink placed on top. Interelectrode gap of 10 m and stacked PCB-spacer-cantilever structure are shown in the inset. (d) Contact bridge placed on the signal line and coupled with the cantilever through an embedded micro-rod fixed with epoxy in the midsection of the heat sink, ensuring uniform contact when actuated. Contact gap is shown in the inset.

concept and the test circuit for the micro-relay are shown in Fig. 8(a). The inputs are the actuation voltage , electrical ground, and two-port RF in and RF out, which were applied on

the pull-down electrode, dc ground electrode, and transmission line through SMA-type connectors, respectively. The RF signal was replaced with a line current (limited to 10-V compliance) for dc ohmic-contact characterization. The cantilever isolation is monitored by voltage . Under normal operation no current flow across the isolation resistor, (1 M ), is expected. Therefore, and are normally the same. The leakage current will cause a voltage drop across if the cantilever is shorted to the dc ground electrode, and result in a lower than . Testing circuitry also included a 1-pF dc blocking capacitor that was surface mounted between the pull-down electrode and the dc blocking electrode. All the tests were run in air ambient with unpackaged devices. The on-state resistance of the micro-relay, , was characterized in dc by an I–V sweep. The components of included contact resistance, contact bridge resistance, and the parasitics due to assembly imperfections. The pull-down voltage for the micro-relay was 90 V and the corresponding on-state resistance for tested devices was approximately 2.5 . was reduced to 1.8 for an actuation voltage of 130 V. Past this point, did not decrease significantly. Fig. 9 shows the hysteresis in the tip displacement of the cantilever. The displacement was recorded using the laser displacement sensor. The voltage was swept from 0 to 150 V and back to 0 V. The pull-down voltage was around 90 V, in good agreement with the 85-V design value. During the release, electrostatic force and mechanical restoring force are equal in magnitude, assuming the absence of adhesion, van der Waals adhesion

OZKESKIN et al.: ALL-METAL MICRO-RELAY

1601

Fig. 9. Switching hysteresis. Vertical displacement at the tip of the cantilever was recorded using a laser displacement sensor with 0–150–0-V actuation voltage sweep. Device pull-down and turn-off voltages were 90 and 62 V, respectively. Device stiffness of 159 N/m was extracted.

forces, and self-actuation. The spring constant can then be extracted from the turn-off voltage of approximately 62 V using the following equation [6]: (6) The mechanical spring constant was 159 N/m. This is slightly larger than the simulated value of 142 N/m, possibly due to structural assembly flaws. The electrostatic actuation and mechanical recoil forces were extracted as 4.5 and 3 mN, respectively. Small-signal RF analysis involved experimental characterization of -parameters, and comparison to previously performed small-signal analysis for Configuration-3. In the down-state, characteristic impedance of the contact bridge was 64 [see Fig. 10(a)]. The down-state insertion loss was better than 0.2 and 0.4 dB for up to 5 and 10 GHz, respectively [see Fig. 10(b)]. In the up-state, 110-fF capacitance was extracted from the simulations [see Fig. 11(a)]. The isolation was better than 25 and 13 dB up to 5 and 10 GHz, respectively [see Fig. 11(b)]. The resonance, which occurred around 5 GHz, was mainly due to the dc-block capacitor and the inductance of the pull-down electrode. Overall, experimental data were in good agreement with results from HFSS. The high-power testing setup is shown in Fig. 8(b). The test consisted of progressive sweeps through 11 discrete gain levels, reaching the amplifier limit of 30 W of incident RF power at 3 GHz (Amplifier Research 30W1000B). Changes in the down-state insertion loss and the up-state isolation were observed under hot-switching conditions for two on-state times: 1 and 20 s. For the down-state, was maintained constant at 130 V. During the tests, heat management with upward forced cooling (0.22 m/s air flow) was used by suspending the mini-fan above the device. The effect of prolonged on-state times on was observed. For 1-s on-state times, insertion loss in the down-state was better than 0.25 dB for up to 10 W of RF power and it increased to over 0.4 dB at around 18.5 W, where the device failed due to microwelding. The up-state isolation was approximately 26 dB over the power sweep range. Only minimal fluctuations were present and self-actuation was not observed. For 20-s on-state times, insertion loss in the

Fig. 10. (a) Equivalent circuit for the switch in the down-state. An actuation voltage of 130 V was maintained. Characteristic impedance of the contact bridge was 64 . (b) Down-state small-signal analysis for 500 MHz–10 GHz. Experimental data compared with HFSS. The down-state insertion loss was below 0.2 dB for up to 5 GHz.

Fig. 11. (a) Equivalent circuit for the switch in the up-state. Up-state capacitance of 110 fF was extracted from the full-wave simulations. (b) Up-state small-signal analysis for 500 MHz–10 GHz. Experimental data compared with HFSS. The isolation was better than 25 dB up to 5 GHz.

down-state degraded noticeably faster to near 0.4 dB at 7 W at which point the device failed. Similarly, isolation remained around 26 dB for upto 30 W and no self-actuation was observed (Fig. 12). B. Thermal Testing The characterization of the micro-relay contact temperatures was performed with 1- and 20-s on-state times under the forced air cooling conditions. A preliminary assessment of the thermal

1602

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 12. High-power testing for the RF micro-relay. Tests were performed at 3 GHz and at power levels progressively reaching 30 W, at 130-V actuation voltage and in air ambient. Heat management was used throughout the testing with upward forced cooling (compatible with Sunon UF3A3 mini fan, 10 10 3 mm size, 0.22 m/s air flow). For 1-s on-state times, insertion loss in the downstate was below 0.25 dB for up to 10 W and increased to over 0.4 dB at around 18.5 W where the device failure occurred due to micro-welding. The up-state isolation was around 26 dB throughout and self-actuation was not observed. For 20-s on-state times, the down-state insertion loss increased significantly faster to approximately over 0.4 dB at 7 W where microwelding occurred. In the up-state, isolation was around 26 dB up to 30 W and no failures were observed.

Fig. 14. Lifetime characterization of the micro-relay. The test was run under the hot switching conditions in air ambient with forced air cooling. Test conditions included 1 W of RF power at 3 GHz and 130-V actuation voltage. The switching frequency was 0.5 Hz and duty cycle was 50%. A drastic increase in the down-state insertion loss was observed past 2800 cycles leading to failure in the 3074th cycle at nearly 1 dB. Isolation was reduced slightly to 22.5 dB at the point of failure.

C. Lifetime Testing The lifetime characterization of the micro-relay was realized under the hot switching conditions. Continuous RF power of 1 W was applied at 3 GHz. The micro-relay was operated at 0.5-Hz frequency with 50% duty cycle following 1-s on-state times. Actuation voltage, was maintained at 130 V during the on-state. The test was carried out in air ambient with forced cooling. for both the up- and down-state was recorded up to the point of device failure. The down-state insertion loss was near constant below 0.2 dB and increased notably past 2800 cycles (Fig. 14). The device failure occurred in the down-state at the 3074th cycle with 1-dB insertion loss. The up-state isolation was degraded slightly from 26 dB and it was recorded 22.5 dB at the point of failure. V. DISCUSSION AND CONCLUSIONS

Fig. 13. Average contact temperature for 1- and 20-s on-state times in air ambient with the forced cooling. Contact temperatures were measured using an infrared thermometer. Experimental data was compared with the thermal model. The device with 1- and 20-s on-state times failed at 18.5 and 7 W, respectively.

conditions was done by measuring the contact temperature at the end of each 1- and 20-s actuation time periods during which varying levels of RF power were maintained. The thermal and high-power testing described previously were carried out simultaneously on the same devices. The measurements were performed using an infrared thermometer (Optris LaserSight, 1-mm laser diameter). The ambient temperature was 300 K. The laser was directed at the contact regions and average contact temperature was measured at the end of each actuation cycle. The experimental results were compared with the thermal model. Fig. 13 shows the average contact temperatures. Failures associated with micro-welding at 18.5 and 7 W occurred past 400 K for devices with 1- and 20-s on-state times, respectively. The experimental results and the thermal model overall agreed well.

Electrostatically actuated micro-relays with Pt–Rh contacts were directly assembled on a PCB iteratively optimized for RF performance. Unpackaged test structures with 6.4-mm footprints and 90-V pull-down voltage, were tested for small-signal performance and high power-handling capability in an air ambient. For small signal, the down-state insertion loss and the up-state isolation were better than 0.2 and 25 dB up to 5 GHz, respectively. Experimental data were in good agreement with results from HFSS. High-power testing was performed for up to 30 W at 3 GHz for both 1- and 20-s on-state times. Heat management was employed through forced air cooling using a commercially available mini-fan placed above the devices. Elongated on-state times lead to an early device failure. Microwelding occurred in the down-state at 18.5 and 7 W for 1and 20-s on-state times, respectively. No self-actuation was observed in the up-state. It is envisioned that shorter on-state times can potentially provide higher peak power handling. The lifetime of an unpackaged micro-relay operating in air was 3074 cycles under the hot switching conditions of 1-W RF power. One of the potential contributors degrading device lifetime is the frictional polymerization, which is known to be

OZKESKIN et al.: ALL-METAL MICRO-RELAY

caused by the wipe and pure normal contact between Pt group contact metals [28]. Device packaging is essential to potentially eliminate this phenomenon and to elongate the device lifetime. The test structures evaluated in this study were comparable in size with the packaged RF microelectromechanical systems (MEMS) devices. The power handling was from 4 to 5 higher than that reported for other RF MEMS relays of similar size [16], [29], and [30]. Conversely, on-state resistances were relatively high. The main goal of this effort has been to investigate the RF power-handling limits of micro-relays with bulk foil contact elements. Based on the performance of the RF micro-relays described in this study, the use of chemically inert and mechanically robust bulk foil alloys such as Pt–Rh appears promising for high-power applications. Future efforts may include the batchmode mass production of the structure, and the device packaging. REFERENCES [1] S. Lucyszyn, “Review of radio frequency microelectromechanical systems technology,” in Proc. IEEE Sci., Meas. Technol., 2004, vol. 151, no. 2, pp. 93–103. [2] H. J. Santos, G. Fischer, H. A. C. Tilmans, and J. T. M. Beek, “RF MEMS for ubiquitous wireless connectivity,” IEEE Microw. Mag., vol. 5, no. 4, pp. 36–49, 2004. [3] J. Costa, T. Ivanov, J. Hammond, J. Gering, E. Glass, J. Jorgenson, D. Dening, D. Kerr, J. Reed, S. Crist, T. Mercier, S. Kim, and P. Gorisse, “Integrated MEMS switch technology on SOI-CMOS,” in Proc. SolidState Sens., Actuators, Microsyst. Workshop, Hilton Head, SC, 2008, pp. 18–21. [4] H.-S. Lee, C. H. Leung, J. Shi, S.-C. Chang, S. Lorincz, and I. Nedelescu, “Integrated microrelays: Concept and initial results,” J. Microelectromech. Syst., vol. 11, no. 2, pp. 147–153, Apr. 2002. [5] R. Robin, O. Millet, K. Segueni, and L. Buchaillot, “Low actuation voltage SPDT RF-MEMS -band switch using a single gold membrane,” in Proc. 19th IEEE Int. Microelectromech. Syst. Conf., 2009, pp. 872–875. [6] G. M. Rebeiz, RF MEMS: Theory, Design and Technology. Hoboken, NJ: Wiley, 2003. [7] P. D. Grant and M. W. Denhoff, “A Comparison between RF switches and semiconductor switches,” in Proc. IEEE Int. MEMS, Nano, Smart Syst. Conf., 2004, pp. 515–521. [8] R. Chan, R. Lesnick, D. Becher, and M. Feng, “Low-actuation voltage RF MEMS shunt switch with cold switching lifetime of seven billion cycles,” J. Microelectromech. Syst., vol. 12, no. 5, pp. 713–719, Oct. 2003. [9] H. S. Newman, J. L. Ebel, D. Judy, and J. Maciel, “Lifetime measurements on a high-reliability RF-MEMS contact switch,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 2, pp. 100–102, Feb. 2008. [10] A. Fruehling, R. Pimpinella, R. Nordin, and D. Peroulis, “A single crystal silicon DC–40 GHz RF MEMS switch,” in IEEE MTT-S Int. Microw. Symp. Dig., 2009, pp. 1633–1636. [11] C. D. Patel and G. M. Rebeiz, “RF MEMS metal-contact switches with mN-contact and restoring forces and low process sensibility,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 5, pp. 1230–1237, May 2011. [12] B. Norvell, R. Hancock, J. Smith, M. Pugh, S. Theis, and J. Kviatkofsky, “Micro electro mechanical switch (MEMS) technology applied to electronically scanned arrays for space based radar,” in Proc. IEEE Aerosp. Conf., 1999, vol. 3, pp. 239–247. [13] K. Van Caekenberghe, “RF MEMS on the radar,” IEEE Microw. Mag., vol. 10, no. 6, pp. 99–116, 2009. [14] H. Kwon, D.-J. Choi, J.-H. Park, H.-C. Lee, Y.-H. Park, Y.-D. Kim, H.-J. Nam, Y.-C. Joo, and J.-U. Bu, “Contact materials and reliability for high power RF-MEMS switches,” in Proc. 20th IEEE Int. Microelectromech. Syst. Conf., 2007, pp. 231–234. [15] B. D. Jensen, L. L. W. Chow, K. Huang, K. Saitou, J. L. Volakis, and K. Kurabayashi, “Effect of nanoscale heating on electrical transport in RF MEMS switch contacts,” J. Microelectromech. Syst., vol. 14, no. 5, pp. 935–946, 2005.

1603

[16] R. A. Coutu, P. E. Kladitis, K. D. Leedy, and R. L. Crane, “Selecting metal alloy electric contact materials for MEMS switches,” J. Micromech. Microeng., vol. 14, pp. 1157–1164, 2004. [17] N. E. McGruer, G. G. Adams, L. Chen, Z. J. Guo, and Y. Du, “Mechanical, thermal, and material influences on ohmic-contact-type MEMS switch operation,” in Proc. 19th IEEE Int. Microelectromech. Syst. Conf., 2006, pp. 230–233. [18] B. Fischer, A. Behrends, D. Freund, D. F. Lupton, and J. Merker, “High temperature mechanical properties of the platinum group metals,” Platinum Metals Rev., vol. 43, no. 1, pp. 18–28, 1999. [19] G. Dereli, T. Cagin, M. Uludogan, and M. Tomak, “Thermal and mechanical properties of Pt–Rh alloys,” Philosoph. Mag. Lett., vol. 75, pp. 209–217, 1997. [20] S. Duffy, C. Bozler, S. Rabe, J. Knecht, L. Travis, P. Wyatt, C. Keast, and M. Couker, “MEMS microswitches for reconfigurable microwaves circuitry,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 3, pp. 106–108, Mar. 2001. [21] S. Majumder, J. Lampen, R. Morrison, and J. Maciel, “A packaged, high-lifetime ohmic MEMS RF switch,” in IEEE MTT-S Int. Microw. Symp. Dig., 2003, vol. 3, pp. 1935–1938. [22] F. M. Ozkeskin, S. Choi, K. Sarabandi, and Y. B. Gianchandani, “Metal foil RF micro-relay with integrated heat sink for high power applications,” in Proc. 24th IEEE Int. Microelectromech. Syst. Conf., 2011, pp. 776–779. [23] S. Cimtalay and R. E. Fulton, “Parameter design of heat sink: Multiple trade-offs,” in Proc. ASME Annu. Winter Meeting, 1994, vol. EEP-9, pp. 53–59. [24] R. Holm, Electric Contacts. Berlin, Germany: Springer-Verlag, 1968. [25] , P. G. Slade, Ed., Electrical Contacts: Principles and Applications. New York: Marcel Dekker, 1999. [26] F. P. Bowden and D. Tabor, Friction and Lubrication of Solids. Oxford, U.K.: Oxford Univ. Press, 1964, vol. 2. [27] K. Takahata and Y. B. Gianchandani, “Batch mode micro-electro-discharge machining,” J. Microelectromech. Syst., vol. 11, no. 2, pp. 102–110, Apr. 2002. [28] W. H. Abbott and W. K. Campbell, “Frictional polymer formation on precious metals. Experimental observation,” in Proc. 9th Int. Elect. Contact Phenomena Conf., Chicago, IL, 1978, pp. 359–363. [29] E. S. Shim, W. Choi, Y. Kim, Y. Kwon, J. S. No, S. Nam, and D.-I. Cho, “Hot-switching test of non-contact type MEMS switch,” in IEEE MTT-S Int. Microw. Symp. Dig., 2007, pp. 1809–1812. [30] A. Stehle, C. Siegel, V. Ziegler, B. Schoenlinner, U. Prechtel, H. Seidel, and U. Schmid, “High-power handling capability of a low complexity -band,” IEEE Microw. Electron. Lett., vol. RF-MEMS switch in 43, no. 24, pp. 1367–1368, 2007.

Fatih M. Ozkeskin (S’11–M’12) received the B.S. degree in mechatronics engineering from Sabanci University, Istanbul, Turkey, in 2006, the M.S. degree in mechanical engineering from Texas A&M University, College Station, in 2008, and the M.S. degree in electrical engineering and Ph.D. degree in mechanical engineering from The University of Michigan at Ann Arbor, both in 2011. He is currently a Process Engineer with Applied Materials, Santa Clara, CA. His research interests include MEMS devices and micro/nano manufacturing.

Sangjo Choi (S’09) received the B.S. degree in electrical engineering from The University of Texas at Dallas, in 2008, the M.S. degree in electrical engineering from The University of Michigan at Ann Arbor, in 2010, and is currently working toward the Ph.D. degree in applied electromagnetics at The University of Michigan at Ann Arbor. He is currently a Graduate Research Assistant with the Radiation Laboratory, The University of Michigan at Ann Arbor.

1604

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Kamal Sarabandi (S’87–M’90–SM’92–F’00) received the B.S. degree in electrical engineering from the Sharif University of Technology, Tehran, Iran, in 1980, and the M.S. degree in electrical engineering, M.S. degree in mathematics, and Ph.D. degree in electrical engineering from The University of Michigan at Ann Arbor in 1986, 1989, and 1989, respectively. He is currently the Director of the Radiation Laboratory, and the Rufus S. Teesdale Professor of Engineering with the Department of Electrical Engineering and Computer Science, The University of Michigan at Ann Arbor. He possesses 25 years of experience with wave propagation in random media, communication channel modeling, microwave sensors, and radar systems and leads a large research group including two research scientists and 14 Ph.D. students. He has graduated 37 Ph.D. candidates and supervised numerous post-doctoral students. He has served as the Principal Investigator on many projects sponsored by the National Aeronautics and Space Administration (NASA), Jet Propulsion Laboratory (JPL), Army Research Office (ARO), Office of Naval Research (ONR), Army Research Laboratory (ARL), National Science Foundation (NSF), Defense Advanced Research Projects Agency (DARPA), and a large number of industries. He currently leads the Center for Microelectronics and Sensors, funded by the Army Research Laboratory under the Micro-Autonomous Systems and Technology (MAST) Collaborative Technology Alliance (CTA) Program. He has authored or coauthored many book chapters and over 180 papers in refereed journals on miniaturized and on-chip antennas, metamaterials, electromagnetic scattering, wireless channel modeling, random media modeling, microwave measurement techniques, radar calibration, inverse scattering problems, and microwave sensors. He has also had over 420 papers and invited presentations in many national and international conferences and symposia on similar subjects. His research interests include microwave and millimeter-wave radar remote sensing, metamaterials, electromagnetic wave propagation, and antenna miniaturization. Dr. Sarabandi was a member of the National Aeronautics and Space Administration (NASA) Advisory Council appointed by the NASA Administrator in two consecutive terms from 2006 to 2010. He is a vice president of the IEEE Geoscience and Remote Sensing Society (GRSS). He is a member of the Editorial Board of the PROCEEDINGS OF THE IEEE. He was an associate editor of the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION and the IEEE SENSORS JOURNAL. He is a member of Commissions F and D, URSI. He is listed in American Men and Women of Science, Who’s Who in America, and Who’s Who in Science and Engineering. He was the recipient of the Henry Russel Award of the Regent of The University of Michigan at Ann Arbor, the

1999 GAAC Distinguished Lecturer Award of the German Federal Ministry for Education, Science, and Technology, the 1996 Electrical Engineering and Computer Science (EECS) Department Teaching Excellence Award, a 2004 College of Engineering Research Excellence Award, the 2005 IEEE GRSS Distinguished Achievement Award, The University of Michigan at Ann Arbor Faculty Recognition Award, the 2006 Best Paper Award of the Army Science Conference, the 2008 Humboldt Research Award of the Alexander von Humboldt Foundation of Germany, the Best Paper Award of the IEEE Geoscience and Remote Sensing Symposium, and the 2010 Distinguished Faculty Achievement Award of The University of Michigan at Ann Arbor. The IEEE Board of Directors announced him as the recipient of the 2011 IEEE Judith A. Resnik Medal. In the past several years, joint papers presented by his students at a number of international symposia (IEEE APS’95,’97,’00,’01,’03,’05,’06,’07; IEEE IGARSS’99,’02,’07,’11; IEEE IMS’01, USNC URSI’04,’05,’06,’10, and AMTA’06, URSI GA 2008) have received Best Paper Awards.

Yogesh B. Gianchandani (S’86–M’86–SM’05– F’10) received the B.S. degree from the University of California at Irvine, in 1984, the M.S. degree from the University of California at Los Angeles (UCLA), in 1986, and the Ph.D. degree from The University of Michigan at Ann Arbor, in 1994, all in electrical engineering. He is currently a Professor with The University of Michigan at Ann Arbor, with a primary appointment with the Electrical Engineering and Computer Science Department and a courtesy appointment with the Mechanical Engineering Department. He also serves as the Director for the Center for Wireless Integrated MicroSensing and Systems WIMS . From 2007 to 2009, he was also the Program Director for Micro and Nano Systems within the Electrical, Communication, and Cyber Systems Division (ECCS), National Science Foundation (NSF). He has authored or coauthored over 250 papers in journals and conferences. He holds approximately 35 U.S. patents (issued or pending). He was a Chief Co-Editor of Comprehensive Microsystems: Fundamentals, Technology, and Applications (Elsevier, 2008). His research interests include all aspects of design, fabrication, and packaging of micromachined sensors and actuators and their interface circuits. Dr. Gianchandani has been an editor or a member of the Editorial Board of several journals. He was a general co-chair for the 2002 IEEE/ASME International Conference on MEMS.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

1605

A Narrowband CMOS Ring Resonator Dual-Mode Active Bandpass Filter With Edge Periphery of 2% Free-Space Wavelength Li Su, Student Member, IEEE, and Ching-Kuang Clive Tzuang, Fellow, IEEE

Abstract—This paper presents a monolithic transmissionline-based ring resonator dual-mode active bandpass filter (BPF) fabricated in a standard 0.13- m complementary metal–oxide–semiconductor (CMOS) technology. The dual-mode ring resonator comprises a quasi-TEM complementary-conductive- strip transmission-line loop and a shunt metal–insulator–metal perturbation capacitor. Two CMOS cross-coupled pairs are integrated with the ring resonator symmetrically, forming a robust approach, which both enhances the quality factor of dual modes and reduces the resonator size significantly. Basic characteristics of the filter are discussed, including the unloaded resonant frequencies, transmission zeros, coupling coefficients, -enhancement mechanism, linearity, noise, and power consumption. The area of the proposed dual-mode active 270 m ( ) without dc-biasing BPF is 270 circuits and pads. The measured results, in good agreement with the simulated data, demonstrate 0-dB insertion loss at the center operating frequency of 24.1-GHz, bandwidth of 640 MHz (2.32% of fractional bandwidth), passband ripple of 0.556 dB, 25.43 dBm, of 10.57 dBm, and noise figure of 14.7 dB under voltage supply of 1.8 V and current of 3.0 mA. Index Terms—Active filters, complementary metal–oxide–semiconductor (CMOS), CMOS integrated circuits (ICs), filter design, RF circuits.

I. INTRODUCTION

T

HE DUAL-MODE filter receives high consideration in wireless communication systems due to its narrowband and high-selectivity characteristics. The planar dual-mode bandpass filter (BPF), first reported by Wolff in [1], comprises a ring resonator with a perturbation element (discontinuity) to split the two fundamental degenerate modes of the ring resonator. A planar ring resonator dual-mode BPF with symmetric configuration possessing quasi-elliptic response was investigated first in [2], revealing steep skirts provided by two transmission zeros. This basic configuration then evolved into various geometrical shapes of ring resonator with various perturbation methodologies, which were analyzed by patches, shunt stubs, or step-impedance sections [2]–[24]. Besides, dual-mode filters have also been implemented in various Manuscript received July 29, 2011; revised February 03, 2012; accepted February 06, 2012. Date of publication April 05, 2012; date of current version May 25, 2012. The authors are with the Graduate Institute of Communication Engineering, National Taiwan University, Taipei 10617, Taiwan (e-mail: d96942031@ntu. edu.tw). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2190742

topologies such as the annular slot line [26], open-loop [27], or patch [28], [29]. The dual-mode active BPF, on the other hand, was proposed first in [3], implementing two single-ended GaAs field-effect transistors (FETs) to compensate the loss of microstrip lines by the negative resistance of the FETs, and demonstrating 2.16% fractional bandwidth and 0-dB insertion loss (IL) while preserving excellent shape of the response. There are several approaches for reducing the size of a dualmode ring resonator. First is the use of capacitive-loaded resonators [7]–[15], [41]. The second is using a meandered line to implement the ring resonator [15]–[22], at the potential cost of adjacent coupling of lines, which may distort the original transmission line properties of the ring resonator. The latest development adopted the artificial transmission-line of a high slow-wave factor, such as the patterned-grounded microstrip line, enabling a compact dual-mode filter design [24]. This paper presents the application of the synthetic quasi-TEM transmission lines, called complementary-conducting-strip transmission lines (CCS TLs) [30], [31], providing good isolation between meandered signal lines and high slow-wave factor. The CCS TLs has been applied for passive ring-resonator-based dual-mode filters [15], [19], revealing good ability in size miniaturization. The complementary metal–oxide–semiconductor (CMOS) active BPF[32]–[34] also presents an approach simultaneously achieving narrowband and near 0-dB IL without oscillation by applying active compensation based on negative differential resistance of the CMOS cross-coupled pair. The main objective of this paper is the implementation of an innovative CMOS dual-mode active BPF with the following features: • large capacitance loading from the transistor, leading to only a fraction of one guided wavelength required for the dual-mode filter design; • synthetic quasi-TEM transmission line, rendering compact layout by meandering the transmission-line resonator; • low-power differential negative resistance, enhancing the quality factor of the ring resonator to the order of 500. Tradeoffs in applying capacitive and negative resistive properties with various sizes of transistors will also be discussed. In Section II, an overview of the proposed dual-mode active BPF will be made, including the schematic and layout of the filter and the design parameters of the CCS TL. Section III will discuss the parasitic capacitors of the cross-coupled pairs together with capacitive loading effects on the ring resonator in detail.

0018-9480/$31.00 © 2012 IEEE

1606

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 1. Schematic of the proposed dual-mode active BPF. (a) Capacitor-per) and cross-coupled-pair-loaded ( and ) ring resonator turbed ( and ) ports. Node A with tapped capacitor-coupled input and output ( loaded with the ring resonator. Node C and and node B are the location loaded with the ring resonator. Dimensions are node D are the location m, fF, and fF. (b) Circuit diagram of the cross-coupled pair and . V and tuning bias voltage V Voltage source dB. when the passband response of the filter

Section IV will discuss the negative resistance compensation mechanism and the issue on linearity and noise. Section V will show simulation results, measurement results, discussions, and comparisons. Section VI presents a conclusion.

Fig. 2. Layout of the dual-mode active BPF. The size of a CCS TL unit cell is 30 m 30 m.

Fig. 3. CMOS CCS TL. (a) 3-D view. (b) Top view of a CCS TL straight line unit cell. (c) Top view of a CCS-TL bending line unit cell.

II. DESIGN OF CMOS DUAL-MODE ACTIVE BPF A. Overview Fig. 1 shows the complete schematic and constituent parts of the proposed dual-mode active BPF. In Fig. 1(a), the filter comprises a ring-type transmission-line resonator with total length , a shunt capacitor perturbation , input and output coupling capacitors and , and two cross-coupled pairs and providing negative resistance and capacitive loading. The transmission-line ring resonator comprises eight line segments, – . The perturbation capacitor and input and output coupling capacitor are realized by metal–insulator–metal (MIM) capacitors, whose size are much smaller than operating wavelength and can be regarded as lump elements. In this study, every transmission-line segment, – , are all of 180 m length. The total transmission-line length is thus 1440 m. The schematic of a cross-coupled pair is shown in detail in Fig. 1(b), comprising one pair of nMOSs and one pair of pMOSs. The drain terminal of N1 is directly connected to the gate terminal of N2, and vice versa. The pMOS pair, comprising P1 and P2, is with the same interconnection. Two ports, A and B, of the cross-coupled pair are connected on the resonator horizontally in Fig. 1(a), whereas is vertically connected to the resonator with ports C and D. As the crossed-coupled pairs are integrated with the dual-mode filter, the differential negative resistance can improve the quality factor of the resonator, and the parasitic capacitors can shifts the resonating frequency, influencing the coupling coefficient of the ring resonator. Fig. 2 is the physical layout of the dual-mode active BPF. The ring resonator composed of a CCS TL is meandered in point symmetric sense. The center of the loop resonator is a 90 m 90 m square, where the two cross-coupled pairs are

placed. The perturbation capacitor is placed beside the CCS TL. The ring resonator and the cross-coupled pair occupy an area of only 270 m 270 m ( ), where is the free-space wavelength of the 24-GHz wave. B. CCS TL Fig. 3(a) shows the 3-D CCS TL fabricated in a CMOS metal layer. Fig. 3(b) shows a straight-line unit cell, and Fig. 3(c) shows a bending line unit cell. The light-gray region is the signal line, consisting of a patch with four connecting arms for four-way interconnections, in which at least two arms are employed for connections of cells. At the bottom of the unit cell is the ground plane (the dark gray grid), formed by a 2-D meshed periodical structure with the dimension much smaller than the free-space wavelength . In the particular case study, the cell size of 30 m is approximately 0.25% of . The values of unit cell parameters, including periodicity , linewidth , inner slot dimension , and the central septa width are all variables to synthesize required guiding characteristics, including characteristic impedance and propagation constant. Fabricated in a Silterra 1P8M 0.13- m CMOS process, the thickness of the topmost CCS TL signal line is 3.3 m, and the meshed ground plane is made of M1–M6 layers with 4.49- m thickness. The distance between signal line and ground plane is 2.3 m. The chosen CCS TL structure parameters are shown in Table I. The guiding properties of CCS TL are solved by Ansoft HFSS 12.0. At 24.00 GHz, characteristic impedance ( ) of the CCS TL is . The normalized propagation constant ( ), normalized attenuation constant ( ), and factor ( ) of the CCS TL are 2.284, 0.112, and 10.13, respectively. The transmission line has a high slow-wave factor, which is

SU AND TZUANG: NARROWBAND CMOS RING RESONATOR DUAL-MODE ACTIVE BPF

1607

TABLE I DIMENSION

AND PROPERTIES OF CCS-TL FOR DUAL-MODE ACTIVE BANDPASS FILTER DESIGN

*The complex propagation constant is of definition of is the phase constant and is the attenuation constant. in free space (see [39, eq. (4-14)]).

, where is the wavenumber

Fig. 5. Analysis of capacitive loading effects on a dual-mode ring resonator. (a) Common-mode analysis. (b) Differential-mode analysis. (c) Equivalent circuit considering all parasitic capacitors. (d) Architecture of the CCS TL resonator for EM analysis.

Fig. 4. Parasitic capacitors of the cross-coupled pair. Nodes A–D are the connections to the ring resonator.

schematic of common-mode analysis, is a ring resonator connected with four equal shunt capacitors on the nodes A–D, representing the total common-mode parasitic capacitors of the cross-coupled pair. Compared with Fig. 4, is represented as

larger than , the square root of the relative dielectric constant of the CMOS dielectric layer. For detailed design guides of CCS TLs, see [30] and [31].

(1)

III. CAPACITIVE LOADING EFFECTS OF DUAL-MODE RING RESONATOR

A

Theories show that capacitive loadings on both sides of a half-wavelength resonator can reduce the physical length of the resonator while maintaining the same resonator frequency [35], [36]. In this paper, the concept is extended to a ring resonator. A. Equivalent Circuits of the Dual-Mode Ring Resonator Fig. 4 shows all parasitic capacitors of the cross-coupled pair. From this schematic, the drain–source and gate–source capacitances of nMOS pairs ( and ) and pMOS pairs ( and ) are the common-mode capacitors connected between the resonator and ground, and the gate–drain capacitors of nMOS pairs ( ) and pMOS pairs ( ) are the differential-mode capacitors connected across the ring resonator. Besides the parasitic capacitors of the transistors, and represent the parasitic common- and differential-mode capacitance of line interconnections, which are not included in the transistor, respectively. The equivalent-circuit model analysis procedure of the dual-mode BPF in Fig. 1 is described in Fig. 5. Fig. 5(a), the

Fig. 5(b), the schematic of differential-mode analysis, describes the total differential-mode parasitic capacitors , in parallel with the differential negative conductance ( ), connected across the ring resonator. The total differential-mode capacitance is represented as

(2) Since the equivalent circuit in Fig. 5(b) is symmetric, crossed sections A–B and C–D can be regarded as virtual ground planes. At resonant frequency, the phase difference between nodes A and B (also C and D) is 180 . When the voltage magnitude maxima are at nodes C and D, the crossed section A–B becomes the virtual ground. Thus, the effect of the capacitor crossing A–B vanishes and the capacitor crossing C–D is equivalent to two shunt capacitors connected on the nodes C and D, both with value . By the same reason, setting C–D as a virtual ground plane causes two equivalent shunt capacitors on nodes A and B. The complete equivalent circuit of a dual-mode resonator is constructed by adding a perturbation capacitor into the superposition of Fig. 5(a) and (b), as shown in Fig. 5(c). If is

1608

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

, , and are the matrix of a transmission-line segment with length and shunt capacitor and , respectively,

TABLE II PROPERTIES OF CMOS TRANSISTORS AT 24 GHz

(6)

(7) small, the total equivalent shunt loading capacitance produced by the cross-coupled pair is represented approximately as

and (8)

(3) indicates that the differential-mode The coefficient 2 of capacitors contribute more in reducing the size of the resonator than common-mode capacitors. The function of the perturbation capacitance is breaking the symmetry of the ring resonator slightly in order to split the resonant frequencies. When , the resonator provides two degenerate orthogonal fundamental modes. When , two modes are coupled and the mode frequencies split. In the CMOS process, large capacitive loadings can be assigned on the ring resonator consuming almost no area due to its thin linewidth and narrow line spacing. Also, a multifinger CMOS transistor provides large parasitic capacitance with small size. Thus, using transistors with relatively large sizes is a beneficial choice. In this study, the channel width of nMOS is 33.6 m and the channel width of pMOS is 67.2 m for both cross-coupled pairs. The channel lengths of all transistors are 0.13 m. The resulting transistor parameters, listed in Table II, are calculated by the transistor model and the parameter extraction method proposed in [38] (see [38, eqs. (6)–(12)]). For parasitic effects of interconnections, one simply uses parallel-plate capacitance formula for estimation. To maximize capacitive loadings, interconnection lines are arranged to produce the inter-line capacitance as large as possible. B. Analysis of Unloaded Resonance Modes

By (4), the unloaded resonance frequencies can be obtained by solving an eigenequation of corresponding to eigenvalue 1. Rearranging (4), the eigenequation is thus

(9) is the wavenumber of the propagating wave in the transmission line. The resonant frequencies of the equivalent circuit are obtained by solving (6) with root-finding programs. C. Analysis of Transmission Zeros A ring resonator dual-mode filter typically reveals two transmission zeros on the complex plane. The transmission zeros arise when the transfer admittance of the dual-mode filter is equal to 0. In this paper, the derivation of of the schematic in Fig. 5(c) follows the method in [23] by summing the parameters of the upper signal path and lower signal path in the ring resonator. The equation of transmission zeros is thus

Consider the equivalent circuit shown in Fig. 5(c) while neglecting the input/output coupling capacitor and and assuming loss free. The boundary condition of resonance is that both voltage and current are continuous in phase everywhere on the resonator. Let be the matrix that cascades the matrices of every transmission-line segments and shunt capacitors on the resonator. Assuming no transmission-line loss and no negative resistance, the boundary condition of resonance is represented as (4) where (5)

(10)

SU AND TZUANG: NARROWBAND CMOS RING RESONATOR DUAL-MODE ACTIVE BPF

1609

Also, similar with (6), the transmission zeros are obtained by solving (7) with root-finding programs. D. Comparison of Analytical Solutions and Simulation Results Fig. 5(d) shows the CCS TL ring resonator with lump elements for electromagnetic (EM) analysis. Since HFSS does not allow a negative resistance set for compensating the material loss in the actual case, we simply assume that all the materials are lossless. Following the method in [36] and [37], the unloaded resonance frequency of the dual-mode resonator is extracted by replacing the input/output coupling capacitors and with two tiny capacitors of 0.01 fF for small external coupling. The results solved from (6) and (7) are then compared with EM simulation results. Fig. 6(a) shows the variation of unloaded resonant frequencies and transmission zeros by varying the value of and fixing the value of at 40 fF. In Fig. 6, indicates the frequency of unloaded resonant frequencies, and indicates the frequency of transmission zeros. The resonant frequencies and transmission zero frequencies reduce considerably as increases. Fig. 6(b) shows the theoretical and simulated transmission zeros and unloaded resonant frequencies, but by varying and fixing at 650 fF. The resonant frequencies split farther as increases because large makes larger mode coupling. The transmission zeros also splits with reflection zeros. From Fig. 6, the EM simulation results are well matched to theoretical analysis results, revealing the validation of the equivalent-circuit model, together with the analytical equations, on the actual circuit architecture. The CCS-TL-based resonator preserves transmission-line properties well even when the transmission line is meandered in an arbitrary direction with small line spacing, preventing the adjacent coupling issues of the meandered-line resonator. The two transmission zeros always remain on the axis and at both sides of the two resonant frequencies, ensuring the required steep skirt response of the proposed filter. Moreover, because of the large , the fundamental resonance of the dual-mode ring resonator remains at 24 GHz even if the length of the resonator is only 1440 m ( ), which is only 27% of the regular size. E. Coupling Coefficients and External Quality Factors

Fig. 6. Two unloaded fundamental resonant frequencies ( ) and two transmission zeros ( ) of the proposed dual-mode resonator (assume no transmission-line loss). (a) Resonant frequencies ( ) and transmission zero frequenwhen is 40 fF. (b) and versus , when cies ( ) versus is 650 fF. Solid line: theoretically calculated unloaded resonance frequencies. Dashed lines: theoretically calculated transmission zeros. Asterisk (*): simulated unloaded resonance frequencies. Diamond ( ): simulated transmission zeros.

The coupling coefficient of two perturbed modes calculated from (9) is given by

filter has two split minima, two perturbed modes of the ring resonator are to be overcoupled, satisfying the following condition [36], [40]:

(11)

(12)

where and are resonance frequencies. From (11) and the data shown in Fig. 6(b), coupling coefficients are obtained, as shown in Fig. 7(a). This figure indicates that the coupling coefficient increases as the perturbation capacitance increases. In this filter topology, the couplings between input/output and resonator are determined by the capacitors and in Figs. 1(a) and 5. If the transmission function of the dual-mode

or, more precisely,

(13) is the external quality factor, is the unloaded where quality factor, and are the two minima of IL, and is

1610

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 7. External quality factors and mode coupling coefficients. (a) Coupling coefficients computed from (11) and results in Figs. 6(b). (b) Extracted external fF and fF, and quality factors of Figs. 5(c), by fixing and . varying input/output capacitors

the midband frequency. Under overcoupling, the filter has a hump response with a high IL in the middle of the passband. Moreover, if external is small such that , (13) is invalidate and the two modes of the resonator are undercoupled, possessing no split poles and no hump response. If , the two modes are critically coupled. For a detailed discussion, see [36, Ch. 11]. Assuming no transmission line loss here, the unloaded is infinite and the loaded is just one half of the external since this network is doubly loaded (see [36, Ch. 11]). By (13), knowing the coupling coefficient, the external is computed under overcoupling case. Fig. 7(b) shows the relationship between the input/output coupling capacitors and when fixing the values of and . When the resonator is weakly coupled to the load, or say, and are small, the resonator sees a high , separating two poles farther, but causing midband IL to be very high, and thus, a strong in-band ripple. As and increase, decreases and in-band ripple is reduced. In this design, and are chosen in the overcoupled range, but nearing the critically coupled point, showing a hump response, but with a small ripple. Methods for extracting external are discussed in [36] and [37] in detail. IV. ACTIVE COMPENSATION OF A DUAL-MODE BPF A.

-Enhanced Ring Resonator

The factor enhancement mechanism of a half-wavelength CCS TL resonator by a cross-coupled pair was discussed in [33]. In this paper, this concept will be extended to a ring resonator. Through discussion in [33], a cross-coupled pair shown in Fig. 1(b) can amplify the differential-mode signal and attenuate the common-mode signal, matching the resonance condition of the resonator. Thus, for a ring resonator with one wavelength, one inference is that the cross-coupled pair only amplifies the signal near resonance and attenuates the signal far from resonance. The condition of differential signal amplification should match the condition of resonance also. Both the resonance frequencies of the active ring resonator and input/output coupling point can affect the filter response and the extent of enhanced factor. The existence of transmission zeros is also related to the position of the perturbation capacitor and the input/output coupling points [23].

Fig. 8. Unloaded responses of an active ring resonator incorporating CMOS factors of both modes are enhanced separately. cross-coupled pairs. The Mode 1 is the higher frequency mode, and Mode 2 is the lower frequency mode.

From the above discussions, the proposition of the active dual-mode filter configuration in this study is based on the following requirements. First, two cross-coupled pairs are needed because one cross-coupled pair compensates only one mode. Second, the operating condition of the differential negative conductance should match the condition of the resonance of the capacitive-loaded ring resonator. Thus, in the proposed prototype, two cross-coupled pairs are placed in an orthogonal arrangement (one is at the A–B crossed section and the other is at C–D crossed section) because the field of the two modes also exhibits orthogonality. By results in Figs. 6–8, the proposed filter configuration is proven satisfy the above requirement and possessing the desired response. The total equivalent conductance of the two differentially driven active resonant modes can be expressed by (14) is the negative differential conductance of the crosscoupled pair , whereas is the one of . This negative conductive part is expressed by (15) where and are the transconductance of nMOS and PMOS, respectively. Both and are a function of bias condition, transistor sizes, and operating frequency. and represent the loss of two resonance modes, contributed from transmission line loss, resistive loadings of transistors, interconnections, etc. Note that the magnitude of and are not the same because the factors and frequencies of the two modes are different. This is why the design preserves the freedom of controlling the bias voltages separately on the two cross-coupled pair. However, factors of the two modes are very close for both the simulation and measured results. The proposed filter configuration is verified by the EM simulation results in Figs. 8 and 9. Fig. 8 shows the extracted unloaded factors of two fundamental resonant modes of the ac-

SU AND TZUANG: NARROWBAND CMOS RING RESONATOR DUAL-MODE ACTIVE BPF

1611

tive dual-mode resonator under various bias conditions. The extraction method of the unloaded factors follows the procedure reported in [36] and [37]. In Fig. 8, as the bias voltage increases from 660 to 740 mV, the factor of the compensated resonating mode also increases. When only is on ( ), only the factor of the higher frequency mode is enhanced, and vice versa. When mV, the factor of the higher frequency mode is 353, and when mV, the factor of the lower frequency mode is 478. The proposed circuit configuration is successful in enhancing the quality factors of the two fundamental resonant modes simultaneously. Notably, the resonant frequency of the -enhanced resonator shifts slightly when bias voltage is increased because the gate capacitance is a function of bias voltage. B. Resonance Frequencies Fig. 9(a) and (b) are the simulated -parameters of the dualmode active BPF with three different transistor sizes. The bias voltages of the two cross-coupled pairs are tuned for nearly 0-dB IL. The sizes of the transistors and the corresponding voltage bias are described in the caption of Fig. 9. The results show that the operating frequency of the dual-mode active BPF is lower when the transistor size is larger. This is because that the parasitic capacitors of larger transistors are also larger, as discussed in Section III. When the size of CCS TL resonator is determined, the operating frequency is dominated by transistor size and IL is dominated by bias voltage. In Fig. 9(a), the transmission minimum at a higher frequency becomes less sharp than the one at the lower frequency. Since the negative conductance of the cross-coupled pair, transmission-line loss, and capacitor loss are all function of frequency, the stopband response, as well as the deepness of transmission minima, may be sacrificed at some extent when optimizing the in-band response characteristics such as IL and passband flatness. However, since these variations are small, the quasi-elliptic characteristic with steep skirts of the dual-mode BPF is still attainable. C. Linearity, Noise, and Power Consumption Different choices of transistor sizes not only change the operating frequency of the filter, but also affect other performances. From previous discussion, due to the variation of transmission line length and the transistor sizes, the amount of total passive loss varies and dc-bias conditions of the cross-coupled pairs need adjustments to provide appropriate negative for 0-dB IL response under specific operating frequency. As the sizes of transistors increase, the following effects occur simultaneously: 1) transmission line loss decreases since it needs to be shortened; 2) loss by transistor loadings and other interconnections increases; and 3) transconductance ( ) in (15) provided by the transistors increases linearly by the proportionality between the channel width and trans-conductance [52] (16)

Fig. 9. Simulated responses of the dual-mode active BPF by varying the tranand are channel widths of nMOS and pMOS, respectively. sistor sizes. m, m, V. Dashed lines: Solid lines: m, m, mV. Dashed–dotted lines: m, m, mV. (a) in decibels. in decibels. (b)

The resulting trends of these effects are complicated. In sum, modeling from EM simulation results show the following. First, total losses, and , actually rise slightly as transistor channel widths increases. Second, while and increase linearly with channel widths, the gate–source (also drain–source) voltages ( ) of the transistors should be lowered to some extents in order to provide appropriate in (14). Reducing the gate–source voltages of the transistors takes advantages on low power consumption, but degrades the linearity of the filter. Increasing also causes higher noise figure (NF) of the filter.

D. Temperature Carrier mobility of CMOS transistors decreases as temperature rises. Thus, under the same bias condition, as the temperature becomes higher, the trasconductance of the transistor will be smaller. Finally, the IL of the filter will increase. To maintain appropriate transmission property, the tuning voltages, and , should increase as temperature rises.

1612

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 11. Simulated and measurement -parameters of the dual-mode active in BPF in Fig. 1(a) when only one of two cross-coupled pairs is on. (a) in decibels. decibels. (b)

Fig. 10. Simulated and measurement parameters of the proposed dual-mode active BPF. (a) Narrowband responses from 20 to 28 GHz. (b) Wideband responses from 10 to 50 GHz.

V. RESULTS AND DISCUSSION A. Measurement Results In the final design stage, all characteristics of CCS TLs, capacitors, and every interconnection are simulated by HFSS 12.0. The EM simulation data, together with transistor RF models, are analyzed by software ADS 2009. The full-wave simulation results of the full circuit are shown as the dashed lines in Fig. 10. The -parameter measurement was performed after on-wafer short–open–load–thru (SOLT) calibration procedures carrying out to de-embed the parasitics of the contacting pads. In the measurements, the filter with external biasing circuits was fed by a supplying voltage 1.8 V with a current consumption of 3.0 mA. The power level of input signals is set at 30 dBm. The measured -parameter results are shown in Fig. 10(a). The measured central frequency of the dual-mode active BPF is 24.14 GHz, while the simulated result is 24.11 GHz. The IL is nearly 0 dB with a ripple of 0.556 dB. The bandwidth is

approximately 0.560 GHz (23.86–24.42 GHz) with 10-dB RL. The 3-dB bandwidth of this filter is 0.93 GHz (3.86%), and the 20-dB bandwidth is 1.99 GHz (8.26%). Two reflection zeros are at 23.96 and 24.32 GHz, and two transmission zeros are at 23.02 and 25.55 GHz. Additionally, the prototype can reject the low-side signal approximately 31.42 dB at 23.00 GHz and the high-side signal approximately 21.51 dB at 26.00 GHz. Out-band parameters are shown in Fig. 10(b). This study, as a quasi-elliptic filter, reveals high rejection near passband by the transmission zeros, but takes relatively lower rejection level than a Chebyshev filter far away from passband. At 12.00 GHz ( ), 36.00 GHz ( ), and 48.00 GHz ( ), the measurement rejection level are 15, 22, and 17 dB, respectively. Harmonic suppression is an inherent characteristic of the proposed active filter configuration because the gain of the cross-coupled pair becomes insufficient to compensate resonator loss in high frequency, especially when the sizes of transistors are large. In Fig. 10(b), second harmonic suppression is observed in both simulation and measurement results. Fig. 11 shows the filter response when one of the two crosscoupled pairs is turned off. When is off, only the factor of the higher frequency mode is enhanced, exhibiting only a reflection minimum at the higher frequency and an IL dip at the

SU AND TZUANG: NARROWBAND CMOS RING RESONATOR DUAL-MODE ACTIVE BPF

Fig. 12. Nonlinear characteristics of the dual-mode active BPF. Upper: input ). Lower: input third-order intermodulation in1-dB compression point ( ). tercept point (

low side. Inversely, when is off, only the factor of the lower frequency mode is enhanced, exhibiting only one reflection minimum at the lower frequency and an IL dip at the high side. Moreover, the two dips of in Fig. 11(b) (23.83 GHz for the higher frequency mode and 24.35 GHz for the lower frequency mode) are nearly the same as the two dips of the total filter response in Fig. 10(a) (23.97 and 24.30 GHz). These results verify the proposed mode compensation scheme discussed in Section IV. The nonlinear characteristics of the active BPF had also been investigated by measuring the input third-order intermodulation intercept point ( ) and the 1-dB compression point ( dB ). For the measurement of , the input continuous wave (CW) signal is at 24.00 GHz. For the measurement of , two signal generators were applied to generate two signals centered at 24.00 GHz with a separation of 10 MHz. The testing system, which includes the connectors and cables, was calibrated before the experiments. Additionally, the biasing conditions of the active BPF were kept the same as those in the -parameter experiments. The measured results, as shown in Fig. 12, indicate the input power levels for and are 25.43 and 10.57 dBm, respectively. The measured NF against frequency is plotted in Fig. 13, showing a minimum of 14.05-dB NF at 24.40 GHz. As discussed in Section IV-D, saving the physical length of transmission line and filter power consumption may sacrifice linearity and noise performances. These tradeoffs give the restriction in determining the amount of capacitive loadings. B. Comparison With Other Works The comparison in Table III lists the performance of several recently published monolithic CMOS dual-mode BPF, which are all passive, except this study. Active performance of the presented filter are then compared with other monolithic active filters (but not dual-mode filters), as listed in Table IV. In Tables III and IV, IL, return loss (RL), ripple, skirt factor (SF), input-referred , and NF are all in-band measurement data. The term normalized area is a factor expressed as the area of the filter excluding pads in terms of , where is the free-space

1613

Fig. 13. NF of the dual-mode active BPF.

TABLE III COMPARISON WITH MONOLITHIC PASSIVE DUAL-MODE BPFs

wavelength at the central frequency. The SF, to characterize the steepness of filter skirts, is defined as [41]. From Table III, this study succeeds in normalized area, IL, and 3-dB BW, showing the advantages of the techniques discussed in this paper for area miniaturization, 0-dB IL, and narrow bandwidth. Due to large capacitive loadings, the size of the proposed circuit is smaller than conventional microstrip and CCS-TL-based dual-mode BPFs [15], [19] and is even smaller then the work implemented by lump elements [24]. From Table IV, the filter presented in this study attains relatively small normalized area compared with other TL-based works, and also relatively small bandwidth, in-band ripple, SF, and power consumption. Note that normalized area of TL resonator with negative resistance is typically larger than active lump resonator because lump elements occupy less area. Comparison of SF shows that active quasi-elliptic filters take advantages in steep skirts than Chebyshev filters with the same order. Higher order is required for a Chebyshev filter to achieve small

1614

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

COMPARISON

OF

TABLE IV MONOLITHIC ACTIVE BPFs

SF. The condensed complementary-conducting-strip transmission line (C-CCS TL) is adopted in [32], achieving even smaller normalized area than the proposed filter. The C-CCS TL, modified from the CCS TL, allows multiple lines confined in single unit cell, and achieves more area reduced than CCS TL resonator with capacitive loadings. factor of a Note that although a relatively low unloaded passive dual-mode filter causes unfeasibility in designing narrowband response with low IL, steep skirt characteristic can still be achieved. The reason is that the filters of cross coupling scheme can provide two transmission valleys although the factor is not high. Skirts and rejection levels are then determined mainly by the transmission valleys. VI. CONCLUSION This paper for the first time reports a CMOS active ring resonator dual-mode BPF with differential negative resistive compensation. Revealing advantages including steep filter skirts and high out-band rejection by its quasi-elliptic response, this study also adopts strategies to achieve miniaturization of chip area, 0-dB IL, and narrow bandwidth, including the use of miniaturized CCS TLs and cross-coupled pairs, which simultaneously provide negative differential resistances and large capacitive loadings. Fundamental properties of the proposed filter, including transmission zeros, reflection zeros are investigated in both theory and simulation, and are verified in measurement. REFERENCES [1] I. Wolff, “Microstrip bandpass filter using degenerate modes of a microstrip ring resonator,” Electron. Lett., vol. 8, no. 12, pp. 302–303, 1972. [2] M. Guglielmi and G. Gatti, “Experimental investigation of dual-mode microstrip ring resonators,” in 20th Eur. Microw. Conf., 1990, pp. 901–906. [3] U. Karacaoglu, I. D. Robertson, and M. Guglielmi, “A dual-mode microstrip ring resonator filter with active devices fir loss compensation,” in IEEE MTT-S Int. Microw. Symp. Dig., Atlanta, GA, Jun. 1993, pp. 189–192.

[4] J. S. Hong and M. J. Lancaster, “Bandpass characteristics of new dualmode microstrip square loop resonators,” Electron. Lett., vol. 31, no. 1, pp. 891–892, 1995. [5] L. Zhu and K. Wu, “A joint field/circuit model of line-to-ring coupling structures and its application to the design of microstrip dual-mode filters and ring resonator circuits,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 10, pp. 1938–1948, Oct. 1999. [6] M. Matuso, H. Yabuki, and M. Makimoto, “Dual-mode steppedimpedance ring resonator for bandpass filter applications,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 7, pp. 1235–1240, Jul. 2001. [7] B. T. Tan et al., “A miniaturized dual-mode ring bandpass filter with a new perturbation,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 343–348, Jan. 2005. [8] W. Kang, W. Hong, and J. Y. Zhou, “Performance improvement and size reduction of microstrip dual-mode bandpass filter,” Electron. Lett., vol. 44, no. 6, pp. 421–422, 2008. [9] A. Gorur, C. Karpuz, and M. Akpinar, “A reduced-size dual-mode bandpass filter with capacitively open loop arms,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 9, pp. 385–388, Sep. 2003. [10] M. F. Lei and H. Wang, “Implementation of reduced size dual-mode ring filters in LTCC and MMIC processes at millimeter wave frequencies,” in Proc. 36th Eur. Microw. Conf., 2006, pp. 537–540. [11] S. W. Fok et al., “A novel microstrip square loop dual-mode bandpass filter with simultaneous size reduction and sprious response suppression,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 5, pp. 2033–2041, May 2006. [12] A. Gorur, “A novel dual-mode bandpass filter with wide stopband using the properties of microstrip open-loop resonator,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 10, pp. 386–388, Oct. 2002. [13] M.-F. Lei and H. Wang, “An analysis of miniaturized dual-mode bandpass filter using shunt-capacitance perturbation,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 861–867, Mar. 2005. [14] H. W. Hsu, C. H. Lai, and T. G. Ma, “A miniaturized dual-mode ring bandpass filter,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 10, pp. 542–544, Oct. 2010. [15] H. C. Lu, C. S. Yeh, and S.-A. Wei, “Miniaturised 60 GHz rectangular ring bandpass filter in 90 nm CMOS technology,” Electron. Lett., vol. 47, no. 7, pp. 448–450, 2011. [16] E. E. Djoumessi, “Compact packaged diplexer based on highly selective dual-mode bandpass filter,” IEEE Microw. Mag., vol. 12, no. 1, pp. 89–93, Feb. 2011. [17] J. S. Hong and M. J. Lancaster, “Microstrip bandpass filter using degenerate modes of a novel meander loop resonator,” IEEE Microw. Guided Wave Lett., vol. 5, no. 11, pp. 371–372, Nov. 1995. [18] A. Gorur and C. Karpuz, “Miniature dual-mode microstrip filters,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 1, pp. 37–39, Jan. 2007.

SU AND TZUANG: NARROWBAND CMOS RING RESONATOR DUAL-MODE ACTIVE BPF

[19] Y. C. Hsiao and C. H. Tzeng, “Design of 60 GHz CMOS bandpass filters using complementary conducting strip transmission lines,” in IEEE MTT-S Int. Microw. Symp. Dig., Anaheim, CA, 2010, pp. 1712–1715. [20] S. C. Chang, Y. M. Chen, S. F. Chang, Y. H. Jeng, C. L. Wei, C. H. Huang, and C. P. Jeng, “Compact millimeter-wave CMOS bandpass filters using grounded pedestal stepped impedance technique,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 12, pp. 3850–3858, Dec. 2010. [21] C. Y. Hsu, C. Y. Chen, and H. R. Chuang, “A 60-GHz millimeter-wave bandpass filter using 0.18- m CMOS technology,” IEEE Electron Device Lett., vol. 29, no. 3, pp. 246–248, Mar. 2008. [22] G. Y. Hsu, C. Y. Chen, and H. R. Chuang, “70 GHz folded loop dualmode bandpass filter fabricated using 0.18 m standard CMOS technology,” IEEE Microw. Guided Wave Lett., vol. 18, no. 9, pp. 587–589, Sep. 2008. [23] A. Gorur, “Description of coupling between degenerate modes of a dual-mode microstrip loop resonator using a novel perturbation arrangement and its dual-mode bandpass filter applications,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 671–677, Feb. 2004. [24] R. J. Mao, X. H. Tang, and F. Xiao, “Miniaturized dual-mode ring bandpass filters with patterned ground plane,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 7, pp. 1539–1547, Jul. 2007. [25] C. L. Yang, S. Y. Shu, and Y. C. Chiang, “Analysis and design of a chip filter with low insertion loss and two adjustable transmission zeros using 0.18- m CMOS technology,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 1, pp. 176–184, Jan. 2010. [26] C. H. Ho, L. Fan, and K. Chang, “Slotline annular ring elements and their applications to resonator, filter and coupler design,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 9, pp. 1648–1650, Sep. 1993. [27] J. S. Hong, H. Shaman, and Y. H. Chun, “Dual-mode microstrip openloop resonators and filters,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 8, pp. 1764–1770, Aug. 2007. [28] L. Zhu, P. M. Wecowski, and K. Wu, “New planar dual-mode filter using cross-slotted patch resonator for simultaneous size and loss reduction,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 5, pp. 650–654, May 1999. [29] J. A. Curtis and S. J. Fiedziuszko, “Miniature dual mode microstrip filters,” in IEEE MTT-S Int. Microw. Symp. Dig., 1991, pp. 443–446. [30] C. C. Chen and C. K. C. Tzuang, “Synthetic quasi-TEM meandered transmission lines for compacted microwave integrated circuits,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 6, pp. 1637–1647, Jun. 2004. [31] M. J. Chiang, H. S. Wu, and C. K. C. Tzuang, “Design of synthetic quasi-TEM transmission line for CMOS compact integrated circuit,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 12, pp. 2512–2520, Dec. 2007. [32] M. L. Lee, H. S. Wu, and C. K. C. Tzuang, “1.58 GHz third-order CMOS active bandpass filter with improved passband flatness,” IEEE Trans. Microw. Theory Tech., to be published. [33] C. K. C. Tzuang et al., “CMOS active bandpass filter using compacted synthetic quasi-TEM lines at -band,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 12, pp. 4548–4555, Dec. 2006. [34] K. K. Huang, M. J. Chiang, and C. K. C. Tzuang, “A 3.3 mW -band 0.18- m 1P6M CMOS active bandpass filter using complementary current-reuse pair,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 2, pp. 94–96, Feb. 2008. [35] J. S. Hong and M. J. Lancaster, “Theory and experiment of novel microstrip slow-wave open-loop resonator filters,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 12, pp. 2358–2365, Dec. 1997. [36] G. L. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance Matching Networks, and Coupling Structures. Norwood, MA: Artech House, 1980. [37] J. S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001. [38] M. Berroth and R. Bosch, “Broad-band determination of the FET small-signal equivalent circuit,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 7, pp. 891–895, Jul. 1990. [39] R. F. Harrington, Time–Harmonic Electromagnetic Fields. Piscataway, NJ: IEEE Press, 2001. [40] L. H. Hsieh and K. Chang, “Dual-mode quasi-elliptic-function bandpass filters using ring resonators with enhanced-coupling tuning stubs,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 5, pp. 1340–1345, May 2002.

1615

[41] Y.-M. Chen, S.-F. Chang, C.-Y. Chou, and K.-H. Liu, “A reconfigurable bandpass-bandstop filter based on varactor-loaded closed-ring resonators,” IEEE Microw. Mag., vol. 10, no. 1, pp. 138–140, Feb. 2009. [42] S. S. Choi and D. C. Park, “Design and fabrication of a new dual-mode microstrip ring resonator bandpass filter using micromachining technology,” in Korea–Japan Microw. Conf., Okinawa, Japan, Nov. 2007, pp. 101–104. [43] H. C. Lu, C. S. Yeh, S. A. Wei, and Y. T. Chou, “60 GHz CPW dualmode rectangular ring bandpass filter using integrated passive devices process,” in Proc. Asia–Pacific Microw. Conf., Yokohama, Japan, Dec. 2010, pp. 1883–1886. [44] S. Lucyszyn and I. D. Robertson, “Monolithic narrowband filter using ultrahigh and tunable active inductors,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 12, pp. 2617–2622, Dec. 1994. [45] M. Ito, K. Maruhashi, S. Kishimoto, and K. Ohata, “60-GHz-band coplanar MMIC active filters,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 743–750, Mar. 2004. [46] K. W. Fan, C. C. Weng, Z. M. Tsai, H. Wang, and S. K. Jeng, “ -band MMIC active bandpass filters,” IEEE Microw. Wireless Compon, Lett., vol. 15, no. 1, pp. 19–21, Jan. 2005. [47] B. P. Hopf, I. Wolff, and M. Guglielmi, “Coplanar MMIC active bandpass filters using negative resistance circuits,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 12, pp. 2598–2602, Dec. 1994. [48] R. Kaunisto, P. Alinikula, K. Stadius, and V. Porra, “A low-power HBT MMIC filter based on tunable active inductors,” IEEE Microw. Guided Wave Lett., vol. 7, no. 8, pp. 209–211, Aug. 1997. [49] T. Soorapanth and S. S. Wong, “A 0-dB IL 2140 30 MHz bandpass filter utilizing -enhanced spiral inductors in standard CMOS,” IEEE J. Solid-State Circuits, vol. 37, no. 5, pp. 579–586, May 2002. [50] J. Kulyk and J. Haslett, “A monolithic CMOS 2368 30 MHz transformer based -enhanced series-C coupled resonator bandpass filter,” IEEE J. Solid-State Circuits, vol. 41, no. 2, pp. 362–374, Feb. 2006. [51] B. Georgescu, I. G. Finvers, and F. Ghannouchi, “2 GHz -enhanced active filter with low passband distortion and high dynamic range,” IEEE J. Solid-State Circuits, vol. 41, no. 9, pp. 2029–2039, Sep. 2006. [52] A. S. Sedra and K. C. Smith, Microelectric Circuits, 5th ed. New York: Oxford Univ. Press, 2004.

Li Su (S’08) was born in Kaohsiung, Taiwan. He received the B.S. degree in electrical engineering from National Taiwan University, Taipei, Taiwan, in 2007, and is currently working toward the Ph.D. degree at the Graduate Institute of Communication Engineering, National Taiwan University. His research interests include filter design, terahertz, and infrared technology and signal processing.

Ching-Kuang Clive Tzuang (S’80–M’80– SM’92–F’99) received the B.S. degree in electronic engineering from National Chiao Tung University, Hsinchu, Taiwan, in 1977, the M.S. degree from the University of California at Los Angeles (UCLA), in 1980, and the Ph.D. degree in electrical engineering from The University of Texas at Austin, in 1986. From 1981 to 1984, he was with TRW, Redondo Beach, CA, where he was involved in the design of high-speed analog and digital data converter integrated circuits (ICs). In 1986, he became an Associate Professor with the Institute of Communication Engineering, National Chiao Tung University, and a Full Professor in 1991. In February 2004, he joined the Graduate Institute of Communication Engineering, Department of Electrical Engineering, National Taiwan University, Taipei, Taiwan, where he conducted research on advanced guiding structures for research and development of the RF

1616

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

sensor system-on-chip (SOC), integrating active and passive microwave/millimeter-wave RF signal-processing components into a single CMOS chip. His research activities also involved the field-theoretical analysis and design of waveguide structures and large-array antennas for integrating RF systems in a package. In January 2012, he retired from National Taiwan University, and joined the School of Electronic Information Engineering, Tianjin University, as a Distinguished Professor, where he carried out applications of RF SOC. From 1992 to 1994, he was a team member who supervised the installation of the tracking radar system placed at the Center for Space and Remote Sensing Research, National Central University, Chung Li, Taiwan. He helped execute an eight-year Academic Excellent Program (2000–2008) funded by the Ministry of Education and National Science Council of Taiwan, which focused on advanced microwave/millimeter-wave RF and communication technology development, leading to the investigation of scaled microwave RF SOC technology, and participation in the IEEE 802.15 TG3c 60-GHz wireless

personal area network (WPAN) standardization in collaboration with CoMPA, Yokosuka, Japan. He recently explored the feasibility of applying CMOS technology to the development of terahertz and millimeter-wave SOC, and RF sensors and system for vehicle detection applications. Since 2007, he has been the Independent Director of the Board of Directors, CyberTAN Technology Inc. He has supervised 29 Ph.D. students and 74 M.S. students. Dr. Tzuang helped establish the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Taipei Chapter, and was secretary, vice chairman, and chairman in 1988, 1989, and 1990, respectively. Since January 2010, he has been the editor-in-chief for the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. He was the recipient of the 2008 Excellent Project Award presented by the Ministry of Transportation and Communications for practically demonstrating the real-time multilane traffic sensor using a CMOS-based lightweight radar.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

1617

Design and Analysis of a -band Divide-by-Three Injection-Locked Frequency Divider Using Second Harmonic Enhancement Technique Yen-Liang Yeh, Student Member, IEEE, and Hong-Yeh Chang, Member, IEEE

Abstract—In this paper, we present design and analysis of a -band divide-by-three injection-locked frequency divider (ILFD) in 90 nm CMOS process. Based on the proposed topology, the locking range can be enhanced without additional dc power consumption due to the boost of the second harmonic in the ILFD, and the small input capacitance is more feasible for -Band PLL integration. The locking range of the ILFD is investigated to obtain a theoretical model. From the analysis, the locking range is proportional to the device size of the injectors and the amplitude of the injection signal. In addition, the locking range can be enhanced with a proper gate dc bias of the injectors. The measured locking range of the proposed ILFD is from 91.4 to 93.5 GHz without varactor tuning, and the output power is higher than 15 dBm. The core dc power consumption is 1.5 mW with a supply voltage of 0.7 V. Index Terms—CMOS, divide-by-three, injection-locked frequency divider (ILFD), phase-locked loop (PLL).

I. INTRODUCTION

Fig. 1. Conventional divide-by-three ILFD.

W

HILE CMOS device size is scaled down, unity gain frequency and maximum oscillation frequency have achieved higher than 100 GHz. The development of the silicon-based monolithic microwave integrated circuits (MMICs) in the millimeter-wave (MMW) bands has been increasing recently, such as 77-GHz FMCW radar systems [1], [2] and MMW phase-locked loops (PLLs) [3], [4]. In the MMW PLLs, the first-stage frequency divider is a critical component, since the operation frequency of the divider is required to cover the oscillation frequency of the voltage controlled oscillator (VCO). Several flip-flop-based frequency dividers with current-mode logic were reported in [5] and [6]. Although the flip-flop-based frequency dividers can be achieved in the MMW bands with wide bandwidth, they consume larger dc power consumption than injection-locked frequency dividers (ILFDs). Alternatively, the ILFD has great potential for the MMW applications due to its low dc power consumption and high speed operation. Manuscript received November 26, 2011; accepted February 01, 2012. Date of publication April 03, 2012; date of current version May 25, 2012. This work was supported in part by the National Science Council of Taiwan, under Grants NSC 99-2221-E-008-097-MY3 and NSC100-2221-E-008-118, and by the Industrial Technology Research Institute under Grant ITRI 52-1002T-1. The authors are with the Department of Electrical Engineering, National Central University, Taoyuan 32001, Taiwan (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2189244

The inductance-capacitance (LC) based divide-by-three ILFDs are suitable for the MMW applications due to their low dc power consumption and high division, and the ILFDs have been reported in [7]–[12]. Fig. 1 shows the conventional divide-by-three ILFD [7]. The transistor M3 transforms the injection voltage into the injection current. The transistor M1 performs as mixer, and M1 mixing the third harmonic of the injection current with the second harmonic of the ILFD generates the fundamental injection current. Therefore, the ILFD is locked by the one-third input frequency. Since the ILFD is locked by the mixed signal of the oscillator’s second harmonic and the injection signal, the weak second harmonic signal is the main cause of confining locking range in the ILFD [9]. A few divide-by-three ILFDs were realized using a singly balanced mixer with a divide-by-2 ILFD [9], [10]. Although the singly balanced mixer can enhance the second harmonic of the ILFD as well as the locking range, the dc power consumption and chip size are both increased. The locking range can be also improved using a feedback transformer [12], but the chip size is increased. On the other hand, several divide-by-three ILFDs are based on ring-type oscillators [13], [14], and they have compact chip sizes and low dc power consumption. Due to the ring-type ILFDs without an LC bandpass filter, the unwanted harmonics could possibly result in frequency-locking error [9]. In this paper, we present design and analysis of a -band divide-by-three ILFD in a standard low power 90-nm CMOS

0018-9480/$31.00 © 2012 IEEE

1618

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 2. Block diagram of the proposed divide-by-three ILFD.

technology. A theoretical model of the locking range is presented for the proposed ILFD, and the calculated results agree with the simulated results. The locking range of the proposed ILFD is enhanced due to the boost of the second harmonic in the circuit topology. The analysis result reveals that the locking range is proportional to the dc power consumption and the device size of the injectors. In addition, the layout considerations of the inductors in the LC resonator are presented to enhance the locking range. The measured free-running oscillation frequency of the proposed ILFD is 30.77 GHz, and the measured phase noise is 108 dBc/Hz at 10 MHz offset. The measured locking range is 2.1 GHz without varactor tuning. Under the locked condition, the measured phase noise is 124.1 dBc/Hz at 1 MHz offset when the input frequency is 92.3 GHz with an input power of 5 dBm. The measured output power is higher than 15 dBm. The overall chip size is mm , including the input balun, the RF and dc pads. The proposed ILFD demonstrates wide locking range with low dc power consumption, and it is suitable for the MMW PLL applications. This paper is organized as follows. The proposed circuit topology and theoretical analysis are presented in Section II and III, respectively. Based on the theoretical analysis, the design considerations of the ILFD are presented in Section IV. The experimental results are summarized in Section V, and conclusion is given in Section VI.

Fig. 3. Schematic of the proposed divide-by-three ILFD.

filter. The injectors, M3 and M4, with differential injections, perform as mixers, Mix1 and Mix2, respectively. III. LOCKING RANGE ANALYSIS The locking range of the ILFD can be expressed as [9], [15] (1) where is the resonant frequency of the ILFD, is the quality factor of the LC tank, is the oscillation drain current of M1 and M2, and is the injection current. Since (1) refers to the output frequency, the locking range referred to the input frequency must be multiplied by three for the divide-by-three ILFD. Therefore, the locking range of the divide-by-three ILFD related to the input frequency is (2) From (2), the locking range is proportional to

II. CIRCUIT TOPOLOGY The block diagram of the proposed divide-by-three ILFD is shown in Fig. 2. The proposed ILFD consists of a feedback loop with two mixers, Mix1 and Mix2, and an LC bandpass filter. As the input angular frequency mixes with the self-oscillation angular frequency , the angular frequency components of and are produced at the output of Mix1. The angular frequency components of mixing with the other input angular frequency generate angular frequency components of , and at the output of Mix2. The angular frequency components of and are suppressed by the LC bandpass filter. Consequently, the ILFD is locked at one-third input angular frequency . The schematic of the proposed ILFD is shown in Fig. 3. The cross-coupled pair transistors, M1 and M2, provide the negative resistance to compensate the LC-tank loss. The LC tank includes the inductances, L1 and L2, and the parasitic capacitances of the transistor M1–M4 between nodes “A” and “B” as the bandpass

and

.

A. Quality Factor of the LC Tank In order to acquire wide locking range, the dc bias is applied to the gate of the injectors [9]–[11], [16]–[19]. Because the injectors can be easily turned on with an appropriate dc bias and the quality factor of the LC tank is reduced, the locking range is increased. Since the ILFD shown in Fig. 3 is differential output, the AC ground is in the nodes “C”, “D,” and “E” shown in Fig. 4(a). The ILFD can be simplified to an equivalent half circuit shown in Fig. 4(b). At the node “B” of the equivalent half circuit, is the parasitic capacitances, including the drain-to-source capacitance of M2, and the gate-tosource capacitance of M1. and are the shunt inductance and shunt parasitic resistance of L2, respectively. and are the shunt capacitance and shunt channel resistance of M4, respectively. is the negative resistance generated by M1–M2. Assuming the quality factor of the capacitors is high, the quality factor of the LC tank is dominated by and . In this design, the injector is biased in the triode region for wide

YEH AND CHANG:

-BAND DIVIDE-BY-THREE INJECTION-LOCKED FREQUENCY DIVIDER

1619

Fig. 5. Schematic of the injectors of the divide-by-three ILFD.

where is the amplitude of the injection voltage, and is the injection angular frequency. The voltages at nodes “B” and “A” in Fig. 3 are and , respectively, and expressed as (7) and (8) Fig. 4. (a) ILFD with AC ground line and (b) the equivalent half circuit of the LC-tank.

locking range, and the ILFD also maintains the stable oscillation. The quality factor of the LC tank is expressed as

(3) where

is the overdrive voltage.

is expressed as (4)

where is the mobility of the charge carriers and is the gate-oxide capacitance per unit area. and are gate width and length of M4, respectively. From (3), the quality factor of the LC tank depends on the channel resistance of M4. Based on (2) and (3), the locking range can be enhanced by increasing the device size of the injector due to the degradation of the quality factor in the LC tank.

where is the amplitude of the core output voltage of the ILFD. Since the output voltages of the ILFD are differential, only even harmonics occur at node “ ”. Assume the high-order terms are very small and the voltage can be approximated to (9) where is the amplitude of and is equal to . To simplify the analysis, the short-channel effect is neglected. M3 and M4 are both biased in the triode region widening locking range. Therefore, the gate-to-drain voltages of the M3 and M4 are (10) and (11) where is the gate dc bias of M3 and M4, and is the threshold voltage. By using the triode region formula [20], and can be derived as

B. Injection and Oscillation Current

(12)

Fig. 5 shows the schematic of the injectors used in the divide-by-three ILFD. Assume and are the differential injection signals expressed as (5) and (6)

and

(13) To obtain related to and , (12) and (13) can be expanded to the odd- and even-mode operations.

1620

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

For the simplification, only the fundamental and second harmonic are considered. In the odd-mode operation, and can be derived from (12) and (13) as

(14) From (14), and are in-phase because and are out-of-phase in the odd-mode operation. In the even-mode operation, and can be expanded, excluding dc components, as Fig. 6. Simulated voltage waveforms for the conventional and the proposed divide-by-three ILFDs.

(15) From (15), and are out-of-phase and cancel out each other. Therefore, there is no AC current through M3 and M4 in the even-mode operation, and we have (16) Substituting (16) into (15),

can be derived as

The oscillation drain current of M1 and M2 without the injection signal can be expressed as

(19) where

is the transconductance of M1 and M2, is of M1 and M2, and is the overdrive voltage of M1 and M2. can be approximated to [21] (20)

(17) The first term in (17) shows that has a mixing signal generated by and , and it is also consistent with the equivalent circuit in Fig. 2. The second term is the second harmonic of the oscillator. According to (17), the second harmonic can be enhanced without additional dc power consumption. In general, the divide-by-three ILFD is locked by the mixed signal of the oscillator’s second harmonic and the input signal. Therefore, the proposed topology can further enhance the locking range due to the boost of the second harmonic. is inversely proportional to 4 because M3 and M4 will be absolutely turned on with large . The simulated time-domain voltage waveforms for the conventional and the proposed divider-by-three ILFDs are plotted in Fig. 6, where the voltage nodes for the proposed and the conventional ILFDs are “C”in Fig. 3 and “B”in Fig. 1, respectively. With the Fourier transformation, the second harmonic voltages of the proposed and the conventional ILFDs are 17 and 24.8 dBV, respectively. The second harmonic is improved by 7.8 dB without additional dc power consumption. By substituting (17) into (13), the injection current can be obtained as (18) From (18), the locking range widens with increasing the device size and the amplitude of the injection signal.

where

is the dc bias current. Hence,

can be expressed as (21)

The oscillation current .

is proportional to the dc bias current

C. Locking Range By substituting the amplitude of (3), (18), and (21) into (2), the locking range can be expressed as

(22)

If

is much larger than

, then (22) can be reduced to (23)

According to (23), the locking range can be enhanced with increasing the device size of the injectors and the dc current . When the device size of the injectors is increased, the quality factor of the LC tank is decreased. In order to maintain stable

YEH AND CHANG:

-BAND DIVIDE-BY-THREE INJECTION-LOCKED FREQUENCY DIVIDER

1621

Fig. 7. Layout of center-tap differential inductor with odd-mode excitation.

oscillation, high is needed to provide enough negative resistance to compensate the LC-tank loss for widening the locking range. The locking range can be extended using a varactor tuning, because the self-oscillation frequency is changed with the varactor’s capacitance. The self-oscillation angular frequency can be expressed as

Fig. 8. Locking ranges of the ILFD using the single-ended and center-tap differential inductors.

(24) and (23) can be rewritten as

(25) If the varactor is added in the ILFD, the locking range will be decreased, and can be expressed as

(26) where is the capacitance of the varactor. The locking range with varactor tuning is degraded for single tuning self-oscillation frequency, and more dc power consumption is required due to the finite quality factor of the varactor. The overall locking range with varactor tuning is usually wider than the ILFD without varactor tuning, but an additional dc bias is needed to control the oscillation frequency. D. Layout Considerations of the Inductor From (25), the locking range decreases when the parasitic capacitances increase. A center-tap differential inductor with the odd-mode (differential) excitation is shown in Fig. 7. Since the ILFD operates in the odd mode, the inductor will produce additional parasitic capacitance, . The locking range is also degraded. Hence, in order to reduce the capacitance, the center-tapped differential inductor can be separated into two identical single-ended inductors, L1 and L2. Based on the simulation, the inductances of the single-end and differential inductor are 0.43 and 0.36 nH, respectively. Because the differential inductor has more parasitic capacitances, the smaller inductance of the differential inductor is used for the same self-os-

Fig. 9. Complete schematic of the proposed divide-by-three ILFD.

cillation frequency. Fig. 8 shows the locking ranges of the ILFD using the single-ended and the center-tap differential inductors. With the single-ended inductors, the locking range can be enhanced by 36% under the same input power. For the upper-side band of the simulated locking range shown in Fig. 8, the ILFD cannot be locked with higher input power, because the phase shift provided by the injectors is too small to satisfy the oscillation condition [15]. IV. CIRCUIT DESIGN The complete schematic of the proposed divide-by-three ILFD is shown in Fig. 9. To reduce dc power consumption, the current source is removed. L1 and L2 are directly connected to the supply voltage, . The output buffers, M5 and M6, are used to reduce the loading effect. For the measurement considerations, the Marchand balun is used to generate the differential signals [22]. From (23), the theoretical calculation and the simulation of the locking ranges are plotted in Fig. 10, where the circuit is simulated using Agilent ADS software. The device size of M1–M2 is fixed with 10 m. The locking range can be enhanced by increasing the size of the injectors, and the

1622

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 10. Locking ranges versus device sizes of the injector with fixed M1–M2 gate width of 10 m.

Fig. 11. Locking ranges versus device sizes of M1, M2, M3, M4,

, and

.

reasons are: 1) the locking range is proportional to , and 2) from (3), the quality factor of the LC tank decreases with increasing device size of the injectors. Because the parasitic capacitance is not included in (23), such as gate-to-drain and drain-to-source capacitances, the simulated locking range will be degraded by the parasitic capacitance of the injector as the device size of the injector increases. On the other hand, for acquiring wide locking range and maintaining stable oscillation, the ILFD requires high to provide sufficient negative resistance as shown in Fig. 11. Although the wide locking range can be achieved using larger device size, the input capacitance of the ILFD is also increased. Therefore, the design of the ILFD is a tradeoff between the device size of the injectors and the locking range. To fairly compare with the conventional divide-by-three ILFD [7], the dc power consumptions of the proposed and conventional ILFD are both fixed by 1.5 mW. The simulated locking ranges of the proposed and conventional ILFD are shown in Fig. 12. The proposed and conventional locking ranges are 3.2 and 2.2 GHz, respectively. The locking range has a 45% improvement without additional dc power consumption due to the proposed second harmonic enhancement technique. In addition, since the injector provides dc current bias and injection current in the conventional ILFD, the large device size of the injector should be chosen. The input capacitance

Fig. 12. Simulated locking ranges of the proposed and conventional ILFD.

is also increased. Based on the simulation, the proposed and conventional input capacitances are 7 and 32 fF, respectively. The high input capacitance makes the PLL integration more difficult, especially for the MMW applications. In this design, the proposed ILFD with smaller input capacitance is acceptable for the load of the -band VCO. The input capacitance of the proposed ILFD is much smaller than the conventional ILFD, and therefore, the proposed topology is more suitable for high frequency applications. From (25), the locking range decreases when the parasitic capacitances increase. Therefore, the parasitic capacitances can be reduced using a NMOS cross-coupled pair instead of a complementary cross-coupled pair. In addition, the layout of the inductor in the LC tank should be properly designed to reduce the parasitic capacitance. Moreover, high quality factor of L1 and L2 is required to reduce the dc power consumption and maximize the locking range [16], [17]. The design procedures of the divide-by-three ILFD are summarized as follows. 1) Based on the theoretical analysis in Section III, wide locking range can be achieved using high and large device size of the injectors. In this design, is fixed at 0.7 V. With the design tradeoff between the input capacitance and the locking range, a gate width of 6 m for the device size of M3–M4 can be chosen. 2) To satisfy (10) and (11), is biased at 1.3 V. Therefore, the quality factor of the LC tank can be determined using (3). To compensate the loss of the LC tank and maintain the stable oscillation of the ILFD, a gate width of 10 m for M1–M2 can be chosen. 3) Using two identical single-ended inductors instead of the center-tapped differential inductor to reduce the parasitic capacitance. 4) Selecting high quality factor of the inductor to reduce the dc power consumption. The inductor can be designed using top thick metal with a width of wider than 10 m to reduce the metal loss. All the passive components, including inductors, capacitors, resistors, and transmission lines, are simulated using a full-wave simulator [23]. Based on the design procedures with the proposed topology, the wide locking range ILFD can be achieved.

YEH AND CHANG:

-BAND DIVIDE-BY-THREE INJECTION-LOCKED FREQUENCY DIVIDER

Fig. 15. Locking range versus

1623

.

Fig. 13. Chip photo of the proposed ILFD with a chip size of 0.8 0.7 mm .

Fig. 16. Simulated and measured locking ranges.

Fig. 14. Locking range versus

.

V. EXPERIMENTAL RESULTS AND DISCUSSIONS The proposed ILFD was fabricated using a TSMC 90-nm CMOS technology. There are nine metal layers and one poly layer for interconnection. The top metal with 3.4- m thick copper is provided to minimize the metal loss. In general, the NMOS exhibiting and are 100 and 160 GHz, respectively. The metal-insulator-metal (MIM) capacitors, spiral inductors, and poly resistors are also available in this CMOS process. The chip microphotograph is shown in Fig. 13 with a chip size of 0.8 0.7 mm . An Agilent E8257D signal generator with an OML S10MS-AG source module is used to generate the -band signal source. The phase noise and output power are measured using an Agilent E4448A spectrum analyzer. The simulated, calculated and measured locking ranges versus are plotted in Fig. 14. The device size of M3–M4 is fixed with a gate width of 6 m, and the locking range is proportional to . Fig. 15 shows the simulated and measured locking ranges versus with a fixed of 0.7 V. While the of the injectors is equal to , 0.7 V, the simulated locking range

is 0.7 GHz. Since the gate-to-source voltage of M3 (M4) is 0 V, is much larger than . From (3), the quality factor of the LC tank is approximated to . Therefore, the locking range is reduced using the high quality factor of the LC tank. As is increased, the quality factor is decreased while the locking range is increased. It is also consistent with the analysis in Section III-A. By selecting appropriate , wide locking range can be achieved. The dc power consumption of the buffer is 2.2 mW with a supply voltage of 0.7 V. The total dc power consumption is 3.7 mW. The simulated and measured input sensitivities are plotted in Fig. 16. Under an input power of 0 dBm, the simulated locking range is 3.2 GHz from 92.5 to 95.7 GHz. Due to the RF power limitation of the source module, the maximum input powers at 91.4 and 93.5 GHz are 2 and 0.5 dBm, respectively. Thus, the measured locking range is potentially wider than 2.1 GHz, when the input power is higher than 0 dBm. The main cause of the discrepancy between the simulation and the measurement is the inaccuracy model of the transistor in the MMW band. The simulated and measured output powers are plotted in Fig. 17 which shows a measured output power of higher than 15 dBm. The measured free-running phase noise, and input and output phase noises under locked condition are shown in Fig. 18. As the input frequency is 92.3 GHz with an input power of 5 dBm, the measured phase noises of the -band signal source and the ILFD’s output are 114.8 and 124.1 dBc/Hz at 1 MHz

1624

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

TABLE I COMPARISON OF CMOS DIVIDE-BY-THREE ILFDS AND THIS WORK

LR: locking range

tuning. In general, the ILFD can be evaluated with two figures of merit (FOM and ) expressed as [18], [24] (27) and (28) is the highest operation frewhere LR is the locking range, quency, and is the core dc power consumption. For the considerations of the input power and the locking range in percentage, another is defined as [25] Fig. 17. Simulated and measured output powers.

Division Number

(29)

is the center frequency, division number is the where frequency ratio of input and output frequencies, and is the input RF power in mW. This work demonstrates the highest , FOM, and operation frequency among all the listed LC-type divide-by-three ILFDs. VI. CONCLUSION

Fig. 18. Measured phase noise under the locked condition and free-running phase noise.

offset, respectively. The measured self-oscillation frequency is 30.77 GHz with a phase noise of 108 dBc/Hz at 10-MHz offset. The free-running phase noise is not good because the injector degrades the quality factor of the LC tank for wide locking range. Nevertheless, the ILFD can be treated as an ideal frequency divider, because the phase noise difference between the input and output is about 9.5 dB under the locked condition. Table I shows the performance summary of the previously reported divide-by-three ILFDs and this work. The locking ranges are usually specified for 0-dBm input power without frequency

This paper presents design and analysis of a divide-by-three ILFD for the MMW applications. A theoretical locking-range model of the proposed ILFD is also developed, and demonstrates agreement between the calculation and the simulation. The locking range of the proposed ILFD is enhanced without additional dc power consumption due to the second harmonic enhancement technique. Based on the proposed design methodology, a -band wide locking range ILFD has been successfully fabricated in a standard low power 90 nm CMOS technology. Without varactor tuning, the measured locking range is 2.1 GHz from 91.4 to 93.5 GHz. The core dc power consumption of the ILFD is 1.5 mW. It is very attractive for low power PLL applications in the MMW bands. ACKNOWLEDGMENT The chip was fabricated by the Taiwan Semiconductor Manufacturing Company (TSMC), Taiwan through the Chip Implementation Center (CIC), Taiwan. The authors would like to thank Prof. J.-S. Fu, National Central University, Jhongli city, Taiwan and A. P.-L. Chang, Taiwan Agilent, Jhongli

YEH AND CHANG:

-BAND DIVIDE-BY-THREE INJECTION-LOCKED FREQUENCY DIVIDER

city, Taiwan for the discussions and measurement helps. The RF probes and the RF cables for the on-wafer measurement were provided by the Allstron Corporation, Taoyuan, Taiwan, and the Bo-Jiang Technology Corporation, Tainan, Taiwan, respectively. REFERENCES [1] Y.-A. Li, M.-H. Hung, S.-J. Huang, and J. Lee, “A fully integrated 77 GHz FMCW radar system in 65 nm CMOS,” in IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, Feb. 2010, pp. 216–217. [2] T. Mitomo, N. Ono, H. Hoshino, Y. Yoshihara, O. Watanabe, and I. Seto, “A 77 GHz 90 nm CMOS transceiver for FMCW radar applications,” IEEE J. Solid-State Circuits, vol. 45, no. 4, pp. 928–937, Apr. 2010. [3] J. Lee, M. Liu, and H. Wang, “A 75-GHz phase-locked loop in 90-nm CMOS technology,” IEEE J. Solid-State Circuits, vol. 43, no. 6, pp. 1414–1426, Jun. 2008. [4] K.-H. Tsai and S.-L. Liu, “A 43.7 mW 96 GHz PLL in 65 nm CMOS,” in IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, Feb. 2009, pp. 276–277. [5] J.-O. Plouchart, J. Kim, V. Karam, R. Trzcinski, and J. Gross, “Performance variations of a 66 GHz static CML divider in 90 nm CMOS,” in IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, Feb. 2006, pp. 2142–2151. [6] D. Lim, J. Kim, J.-O. Plouchart, C. Cho, D. Kim, R. Trzcinski, and D. Boning, “Performance variability of a 90 GHz static CML frequency divider in 65 nm SOI CMOS,” in IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, Feb. 2007, pp. 542–543. Epi-CMOS di[7] H. Wu and L. Zhang, “A 16-to-18 GHz 0.18 vide-by-3 injection-locked frequency divider,” in IEEE Int. Solid-State Circuits Conf., Tech. Dig., Feb. 2006, pp. 2482–2491. [8] C.-H. Wang, C.-C. Chen, M.-F. Lei, M.-C. Chuang, and H. Wang, “A 66–72 GHz divide-by-3 injection-locked frequency divider in 0.13CMOS technology,” in Proc. IEEE Asian Solid-State Circuits Conf., Nov. 2007, pp. 344–347. CMOS [9] T.-N. Luo, S.-Y. Bai, and Y.-J. E. Chen, “A 60-GHz 0.13divide-by-three frequency divider,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 11, pp. 2409–2415, Nov. 2008. [10] T.-N. Luo, S.-Y. Bai, and Y.-J. E. Chen, “77 GHz CMOS injectionlocked Miller frequency divider,” Electron. Lett., vol. 45, no. 1, pp. 57–59, Jan. 2009. [11] T.-N. Luo, S.-Y. Bai, Y.-J. E. Chen, C.-L. Ko, C.-F. Chiu, and Y.-Z. CMOS prescaler,” in IEEE Radio Wireless Juang, “A 43 GHz 0.13 Symp. Tech. Dig., Jan. 2008, pp. 179–182. [12] H. M. Cheema, X. P. Yu, R. Mahmoudi, P. T. M. V. Zeijl, and A. V. Roermund, “A dual-mode mm-wave injection-locked frequency divider with greater than 18% locking range in 65 nm CMOS,” in IEEE MTT-S Int Microw. Symp. Dig., May 2010, pp. 780–783. [13] W.-Z. Chen and C.-L. Kuo, “18 GHz and 7 GHz superharmonic inCMOS technology,” in Proc. Eur. jection-locked dividers in 0.25 Soild-State Circuits Conf., Sep. 2002, pp. 89–92. [14] X. P. Yu, M. A. Do, J.-G. Ma, W. M. Lim, K. S. Yeo, and X. L. Yan, “Sub-1 V low power wide range injection-locked frequency divider,” IEEE Microw.Wireless Compon. Lett., vol. 17, no. 7, pp. 528–530, Jul. 2007. [15] B. Razavi, “A study of injection locking and pulling in oscillators,” IEEE J. Solid-State Circuits, vol. 39, no. 9, pp. 1415–1424, Sep. 2004. [16] C.-Y. Wu and C.-Y. Yu, “Design and analysis of a millimeter-wave direct injection-locked frequency divider with large frequency locking range,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 8, pp. 1649–1658, Aug. 2007.

1625

[17] C.-C. Chen, H.-W. Tsao, and H. Wang, “Design and analysis of CMOS frequency dividers with wide input locking ranges,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 12, pp. 3060–3069, Dec. 2009. [18] K.-H. Tsai, L.-C. Cho, J.-H. Wu, and S.-I. Liu, “3.5 mW W-band frequency divider with wide locking range in 90 nm CMOS technology,” in IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, Feb. 2008, pp. 466–467. [19] B.-Y. Lin, K.-H. Tsai, and S.-I. Liu, “A 128.24-to-137.00 GHz injection-locked frequency divider in 65 nm CMOS,” in IEEE Int. SolidState Circuits Conf. Dig. Tech. Papers, Feb. 2009, pp. 282–283. [20] B. Razavi, Design of Analog CMOS Integrated Circuits. New York: McGraw-Hill, 2001, ch. 2. [21] A. Hajimiri and T. H. Lee, “Design issues in CMOS differential LC oscillators,” IEEE J. Solid-State Circuits, vol. 34, no. 5, pp. 717–724, May 1999. [22] C.-S. Lin, P.-S. Wu, M.-C. Yeh, J.-S. Fu, H.-Y. Chang, K.-Y. Lin, and H. Wang, “Analysis of multiconductor coupled-line Marchand baluns for miniature MMIC design,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 6, pp. 1190–1199, Jun. 2007. [23] Sonnet User’s Guide, 12th ed. North Syracuse, NY: Sonnet Software, Inc., 2009. [24] T.-N. Luo and Y.-J. E. Chen, “A 0.8-mW 55-GHz dual-injection-locked CMOS frequency divider,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 3, pp. 620–625, Mar. 2008. [25] Y.-H. Kuo, J.-H. Tsai, H.-Y. Chang, and T.-W. Huang, “Design and analysis of a 77.3% locking-range divide-by-4 frequency divider,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 10, pp. 2477–2485, Oct. 2011. Yen-Liang Yeh (S’08) was born in Taoyuan, Taiwan, in 1984. He received the B.S. degree in electronic engineering from National Yunlin University of Science and Technology, Yunlin, Taiwan, in 2006, and is currently working toward the Ph.D. degree in electrical engineering from the National Central University, Taoyuan, Taiwan. His research interests include the microwave and millimeter-wave integrated circuits and frequency synthesizers.

Hong-Yeh Chang (S’02–M’05) was born in Kinmen, Taiwan, in 1973. He received the B.S. and M.S. degree in electric engineering from National Central University, Taoyuan, Taiwan, in 1996 and 1998, respectively, and Ph.D. degree in communication engineering from the National Taiwan University, Taipei, Taiwan, in 2004. From 1998 to 1999, he was with Chunghwa Telecom Laboratories, Taoyuan, Taiwan, where he was involved in the research and development of the code-division multiple-access (CDMA) cellular phone system. In 2004, he was a Postdoctoral Research Fellow with the Graduate Institute of Communication Engineering, National Taiwan University, working on the researches of advanced millimeter-wave integrated circuits. He joined the faculty of the Department of Electrical Engineering, National Central University, in February 2006, where he is currently an Associate Professor. His research interests are microwave and millimeter-wave circuit and system designs. Dr. Chang is a member of Phi Tau Phi.

1626

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

A Full 360 Vector-Sum Phase Shifter With Very Low RMS Phase Error Over a Wide Bandwidth Alireza Asoodeh and Mojtaba Atarodi, Member, IEEE

Abstract—An innovative vector-sum phase shifter with a full 360 variable phase-shift range in 0.18- m CMOS technology is proposed and experimentally demonstrated in this paper. It employs an I/Q network with high I/Q accuracy over a wide bandwidth to generate two quadrature basis vector differential signals. The fabricated chip operates in the 2.3–4.8 GHz range. The root-mean-square gain error and phase error are less than 1.1 dB and 1.4 over the measured frequency span, respectively. The total current consumption is 10.6 mA (phase shifter core: 2.6 mA) from a 1.8 V supply voltage and overall chip size is 0.87 0.75 mm . To the best of the authors’ knowledge, this circuit is the first demonstration of microwave CMOS phase shifter with very low phase error over a wide bandwidth employing the vector sum method for all monolithic microwave integrated circuit phase shifters with 360 phase-control range to date. Index Terms—Active phase-shifter, CMOS analog integrated circuit, differential amplifier, I/Q network, phased array.

Fig. 1. Building blocks of the 360 vector-sum phase shifter.

descriptions of the building blocks in detail. The implementation details and experimental results are discussed in Section IV. II. ARCHITECTURE

I. INTRODUCTION

O

NE of the most important applications of phase shifters is in phase array systems as electronic beam-steering elements [1]. For this application, relatively low-cost monolithic microwave integrated circuits (MMICs) using a CMOS process are preferable to realize phase shifters [20]. There are different types of phase shifting techniques suitable for integrated circuit (IC) implementation, including vector sum networks, LC-based circuits [2]–[6], all-pass networks [7], true-time-delay circuits [8], and others [9], [10]. In the vector sum method, after the generation of the in-phase/quadrature signal by the I/Q network, the output phase is adjusted by adding them with appropriate I/Q amplitudes and polarities. A precise quadrature signal generation is therefore an important circuit element of the active approach for exact phase shifting. Unfortunately, the generation of quadrature signals using passive couplers/dividers is not an attractive method on account of their narrow bandwidth. In this paper, we employ an I/Q network with high I/Q accuracy over a wide bandwidth to conduct a full-360 phase shifter with very low rms phase error in 0.18- m CMOS technology. The phase shifter architecture and performance requirements are described in Section II. Section III presents the circuit level Manuscript received July 10, 2011; revised January 31, 2012 and February 06, 2012; accepted February 14, 2012. Date of publication April 03, 2012; date of current version May 25, 2012. This work was supported in part by the U.S. Department of Commerce under Grant BS123456. The authors are with the Sharif University of Technology, Tehran, Iran (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2189227

The architecture of the differential vector-sum phase shifter is illustrated in Fig. 1. A differential input signal is split into quadrature phased - and -vector signals using an I/Q network, which also provides differential 50 matching with the previous stage. This stage, which is composed of three all-pass filters, generates very low quadrature phase error. To the best of the authors’ knowledge, this network has the lowest quadrature phase error over a wide bandwidth. In order to generate an interpolated output signal, a differential adder composed of two Gilbert-cell type signed variable gain amplifiers (VGAs) is used. It adds the - and -inputs from the I/Q network with proper polarities and amplitude weights, giving an output signal with a magnitude of and phase of . For phase resolution, the different amplitude weightings of each input of the adder can be accomplished by changing the gain of each VGA differently. A differential pair does this work by controlling the bias current of the VGAs. III. INTEGRATED CIRCUIT DESIGN A. I/Q Network In the phase synthesis using the vector-sum method, the accuracy of output phase heavily depends on the accuracy of this block. Two parameters that define the precision of this network and are the amplitude mismatch . The quadrature phase-error reason the amplitude mismatch affects the output phase is the dependence of output phases on the amplitude weightings of and -inputs. In [11], the structure was proposed that generated two quadrature basis vector differential signals with very low quadrature phase error over a wide bandwidth [Fig. 2(d)].

0018-9480/$31.00 © 2012 IEEE

ASOODEH AND ATARODI: A FULL 360 VECTOR-SUM PHASE SHIFTER

1627

Fig. 2. (a) and (b) All-pass filter. (c) Conventional I/Q network [13], [14]. (d) I/Q network proposed in [11]. (e) PCQAF. (f) SCQAF (the modified I/Q network for all frequencies).

Unfortunately, this structure has two obvious defects described below. 1) Basic operation and modification of structure proposed in [11]: As shown in Fig. 2(d), the quadrature generation is based on the orthogonal phase which split between and . This structure is the combination of the filters shown in Fig. 2(a) and (b). These two filters will have two chief advantages if including input impedance and output amplitude being independent of frequency. More clearly, in the event that relationship mentioned is satisfied, filters will be converted to all-pass filters and their input impedances will be equal to R in all frequencies. Therefore, if relationship (1) is satisfied, the amplitude mismatch of the structure of Fig. 2(d) will be equal to zero because all sub-filters will be converted to all-pass filters. Furthermore, its input impedance will be independent of frequency. Unfortunately, the biggest problem of this structure is its being very sensitive to the variation of , which is mainly originated from the input capacitance of transistors in the next stage (Adder Stage). To minimize the sensitivity, the filter of Fig. 2(b) is used as the - and second stage of -network as illustrated in Fig. 2(e) named PCQAF (Parallel Structure of Quadrature All-Pass Filter). Fig. 3(a) and (b) show the quadrature phase error and amplitude mismatch versus at GHz for the structure proposed in [11], conventional (Fig. 2(c)) and the PCQAF. These values are less than 1.5 and 2 dB for PSQAF, 5 and 3.8 dB for the conventional structure and 23 and 1 dB for the structure proposed in [11], respectively, with the variation of capacitor from 0 to 200 fF. The second problem with the structure proposed in [11] and also PSQAF is that they are not appropriate for frequencies below 5 GHz because a large value of the inductor is required. In order to solve this problem, the structure of Fig. 2(f) (SCQAF), which is the series counterpart of Fig. 2(e), is suggested. In this configuration, while their output amplitudes become half, the magnitudes of inductors are one quarter of its parallel counterpart. Of course, decreasing the output amplitudes can be compensated by increasing the amplifier gain. The reason for using the filters of Fig. 2(b) and (a) as the - and second stage of -net-

work, respectively, is minimizing the sensitivity of output parameters of SCQAF to the variation of . On the supposition that relation (2) is considered, the transfer function and phase error from 90 -relationships of SCQAF are given in (3) and (4), respectively (1) (2) (3)

(4) where

The derivative of the phase with respect to

(5) can be written

as

(6) The nominator of (6) has four roots. The product of two positive roots is (7) and are the angular frequencies at which the Where derivative of the phase will be equal to zero [Fig. 4(a)]. Also, in order to be equal the phase error at frequencies and [ at Fig. 4(a)] should satisfy (8)

1628

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 3. Quadrature errors of the different structures (conventional ([13] and [14]), proposed in [11] and modified structure at Amplitude mismatch.

Fig. 4. (a) Typical curve of output phase error of I/Q network. (b) Maximum quadrature phase error

versus bandwidth ratio

GHz). (a) Phase error. (b)

for the SCQAF.

Using the relationship

The substitution of (4) into (8) gives

(14) The sum of the roots in the quadratic polynomial in (10) is (15) (9) All of the terms in (9) will be equal to zero if after substituting (7) into (9). To determine the values of , and , set a result

and

(16)

; as

and , it can If we suppose be proven that in case we want to be . In order to prove this relationship , it is enough to substitute the relationships , in (9) in which and have been substituted with and , respectively. Therefore, with respect to the relationships (13), (14), and (16), the values of elements are given in below

(10) Using the relationship gives

The substitution of (12) into (14) gives

and its substitution into (10) (11) (12)

The product of the roots in the quadratic polynomial in (11) is (13)

(17)

ASOODEH AND ATARODI: A FULL 360 VECTOR-SUM PHASE SHIFTER

1629

Fig. 5. Quadrature errors of the SCQAF from the loading effect of at GHz. (a) Phase error. (b). Amplitude error. Quadrature errors of the SCQAF GHz. (a) Phase error. (b) Amplitude error. All simulations were done by SPECTRE with foundry passive models. from device mismatch at

The quadrature phase error versus below

is given in

(18) where

and (19)

Fig. 4(b) shows the maximum quadrature phase error versus the bandwidth ratio . Under the assumption of and GHz, the following calculations can be made:

mismatch, and modification of input impedance from this loading effect are given in (20), (21), and (22), respectively, for the SCQAF. Fig. 5(a) and (b) show the simulation results of the quadrature errors caused by at 3.1 GHz for the SCQAF, as well as the theoretical values evaluated from (20) and (21). Although the quadrature phase error of the SCQAF and conventional structure are approximately equal, its amplitude mismatch, which is a much more important parameter than quadrature phase error because of the dependency of output phase error on amplitude weightings of - and -input, is much lower than amplitude mismatch of the conventional structure (20) (21) If (22)

In the SCQAF, to provide differential 50 matching with the previous stage, the value of resistance must be chosen as 25 , while this value is equal to 100 in its parallel counterpart. 2) Loading effect: It is worthwhile to consider the errors caused by the loading effects on the SCQAF, which have been ignored for simplicity. This effect, which mainly originates from the input gate capacitance of a transistor in the next stage, can create the amplitude mismatch and modify phase error and the input impedance of the SCQAF. The phase error, amplitude

where

1630

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 6. Vector summing network. TABLE I VALUES OF ELEMENTS

and excess quadrature phase error. Fig. 5(c) and (d) show amplitude mismatch and quadrature phase error curve in terms of device variation individually. These two figures show the sensitivity of SCQAF to device variation is approximately low. B. VGAs

In this work, in order to minimize the area, the structure of the SCQAF is used. The I/Q network is designed with differential 50 [ in the SCQAF] for impedance matching with the previous stage. The final optimized values through SPECTRE simulations are given in Table I. This takes into account about 50 fF of input pad capacitance and 40 fF of , including the input capacitance of the following stage (a differential adder) and the parasitic layout capacitance. Also, to increase the density of the capacitor, a sandwich structure has been used. With connecting the metal 4 to the metal 6 and metal 3 to metal 5, the density of the capacitor will approximately tripled. 3) Device variation effect: In addition to loading capacitance that can affect output parameters of SCQAF, the factors including mismatch between two inductors , capacitors , inductors and capacitors can create amplitude mismatch

Fig. 6 shows the analog differential signed adder that adds the - and -signal from the I/Q network together in the current domain at the output node, synthesizing the required phase. The analog differential adder is composed of three blocks. The core of the network is the “vector summation block” where the vector addition happens and it consists of eight transistors . The size of these transistors is optimized through SPECTRE simulations with respect to the gain in the same stage and the phase and amplitude response in the prior stage. The second block is the “quadrant selection block”. The function of this block is switching the tail current from one side to the other side to provide the 180 phase state. For instance, when and , the 0 and 90 currents will be added at the output node, yielding an output signal in quadrant I. Table II lists the relationship between the state of switches, and , and the quadrant of the final output vector. Owing to the square-law gain dependency on bias current in CMOS, the voltage gain at the phase shifter output is approximated as (23), and the output phase is determined by the I/Q current ratio given in (24) dB

(23)

where constant (24) , the “amplitude conIn order to obtain the desired phases, trol block” shown on the left-hand side of Fig. 4 is used to change the tail current amplitudes. In this block, a differential

ASOODEH AND ATARODI: A FULL 360 VECTOR-SUM PHASE SHIFTER

1631

TABLE II QUADRANT SELECTION TABLE

State of switch:

Fig. 7. Sum of the currents . transistors

and

and

versus voltage

with and without

pair consisting of PMOS transistors and is biased with a supply current, . A DC control voltage is applied to the gate of to fully steer the current from transistor to and vice versa. As is steered between and , the drain voltages of these two transistors also change in a manner that tracks the current steering process. Since the drains of and are connected to the gates of transistors and , it is the manner in which we can accurately control the tail bias amplitudes. To remove the dependence of current on the drain-source voltage and also minimize the current variation to the temperature and process variation, two transistors are used. These transistors operate in the weak inversion region and cause and therefore , where is constant [12]. Consequently, the variation of has no effect on the . Fig. 7 shows the sum of currents and versus voltage with and without transistors . As it is expected, using these transistors will cause the sum of currents and to remain constant, and therefore the voltage gain [relationship (23)] will be constant with the variation of voltage . One chief advantage of the active phase shifter is the dependence of output phase on - and -path bias current ratio rather than the absolute value of the current, so the ratio of I/Q will track temperature variations, resulting in constant phase versus temperature. For the measurement purpose, the output buffer including the transistors , resistor and capacitor is used. The zero resulting from the parallel combination of will cause to increase the bandwidth of this stage on account of removing its dominant pole.

Fig. 8. Die microphotograph of the phase shifter.

IV. EXPERIMENTAL RESULTS The phase shifter is fabricated using a standard 0.18- m CMOS process. The fabricated die microphotograph is shown in Fig. 8. The core size without output buffer is 0.87 0.75 mm , and the total size including all the pads is 0.98 0.86 mm . The phase shifter is measured with external 180 hybrid couplers for differential signal inputs and outputs. The balun loss is calibrated out with a standard differential SOLT calibration technique using an Agilent 8510C vector signal network analyzer. The measurement of the output parameters of the phase shifter including phase and insertion gain at the 0 ( or ) and 90 ( or ) should reflect the I/Q network characteristics accurately [Fig. 9(a) and (b)]. The solid curves correspond to simulations with 40 fF loading capacitance. For the modified I/Q network used in the phase shifter (SCQAF), the peak I/Q phase error is less than 1 and gain error is less than 0.3 dB from 2.3 to 4.8 GHz. As the input reflection coefficient is dominantly set by the I/Q network, a changing phase at the adder does not disturb the characteristic. Fig. 10(a) shows the measurement results of the input return loss, together with the simulation curve. The reason is dependent on the frequency is the existence of inductances resulting from bonding wire that have been tuned out using two off-chip capacitors. Consequently, this series

1632

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 9. Quadrature error characteristics of the I/Q networks measured at the output of the adder. (a) I/Q phase error. (b) I/Q amplitude mismatch.

Fig. 10. Phase shifter characteristics. (a) Input return loss of the fabricated phase shifter. Measured insertion phase and gain versus frequency and control voltage, . (b) Insertion gain. (c) Insertion phase. (d) RMS phase and gain error versus frequency.

combination severely limits the bandwidth of the whole system [Fig. 10(b)].

error is below 1.4 over the 2.3–4.8 GHz band. The method for the calculation of rms phase error is based on the relationship below

A. Phase Shifter The measured versus frequency and voltage for this phase shifter is plotted in Fig. 10(b). Fig. 10(c) shows the measured phase shift as a function of the control voltages, (fine tuning) plus and (quadrant selection). The results indicate a full 360 variable phase shift range from 2.3 to 4.8 GHz. The curves are quite linear across this frequency range. Using the measurements plotted in Fig. 10(b) and (c), the rms phase error and gain error versus frequency were calculated and the results are shown in Fig. 10(d). The graph illustrates that the phase

(25) is the output phase in which the entire current pass transistor and is the output phase in a given value of whose average value at [2.3 GHz, 4.8 GHz] is equal to the

ASOODEH AND ATARODI: A FULL 360 VECTOR-SUM PHASE SHIFTER

1633

TABLE III PERFORMANCE SUMMARY AND COMPARISON TABLE WITH OTHER 360 IC PHASE SHIFTERS

A performance summary and comparison between this work and other phase shifters exhibiting a 360 variable phase range is shown in Table III. The works are arranged from lowest to highest operating frequency. Since the ICs in Table III cover different frequency bands, a simple one-to-one comparison of the performance metrics is not trivial. Therefore, we have calculated a very basic metric in Table III, which is the ratio of average to differential value of two frequencies and multiplied by the rms phase error (27)

Fig. 11. Measured RF power performance.

steps of a 6-bit phase shifter Likewise, the rms gain error can be defined as

.

dB

(26)

where

The is th insertion gain in dB-scale corresponding to th output phase and is the average insertion gain in dB-scale. The RF power performance of the phase shifter was measured for some phase shift angle including 45 , 90 , 180 , 250 , and 354 , and the results are plotted in Fig. 11. The input referred 1-dB compression point is minimum 0.6 dBm for 45 phase shift and maximum 3 dBm for 180 .

This metric is a measure of the rms phase error being large or small in a given bandwidth ratio. Using this simple metric reveals that the phase shifter fabricated with this I/Q network has the lowest rms phase over a wide bandwidth between the structures reported till now. V. CONCLUSION A full 360 variable active phase shifter IC with very low rms phase error over a wide bandwidth has been proposed and experimentally demonstrated in this paper. The fundamental operation of the active phase shifters is to interpolate the phases of the quadrature input signals by adding two I/Q inputs. The I/Q signals are generated by an I/Q network with high I/Q accuracy. The I/Q network used in this paper has been modified to have minimum sensitivity to the variation of . The measured characteristics are well matched with simulations from SPECTRE. To the best of the authors’ knowledge, this phase shifter is the first demonstration of microwave CMOS phase shifter with very low phase error over a wide bandwidth using the vector sum method for all MMIC phase shifters with 360 phase-control range to date.

1634

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

REFERENCES [1] K. M. Simon, M. J. Schindler, V. A. Mieczkowski, P. F. Newman, M. E. Goldfarb, E. Reese, and B. A. Small, “A production-ready, 6–18GHz, 5-b phase shifter with integrated CMOS-compatible digital interface circuitry,” IEEE J. Solid-State Circuits, vol. 27, no. 10, pp. 1452–1456, Oct. 1992. [2] Y. Zheng and C. E. Saavedra, “An ultra-compact CMOS variable phase shifter for 2.4-GHz ISM applications,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 6, pp. 1349–1354, Jun. 2008. [3] F. Ellinger, R. Vogt, and W. Bachtold, “Ultracompact reflective-type phase shifter MMIC at C-band with 360 phase-control range for smart antenna combining,” IEEE J. Solid-State Circuits, vol. 37, no. 4, pp. 481–486, Apr. 2002. [4] H. Zarei, C. T. Charles, and D. J. Allstot, “Reflective-type phase shifters for multiple-antenna transceivers,” IEEE Trans. Circuits Systems I—Reg. Papers, vol. 54, no. 8, pp. 1647–1656, Aug. 2007. [5] C. Lu, A. V. Pham, and D. Livezey, “A novel multi-band phase shifter with loss compensation in 180 nm RF CMOS technology,” in Proc. IEEE Midwest Symp. Circuits Syst. (MWSCAS), 2005, pp. 806–809. [6] S. H. Hagh and C. A. T. Salama, “A novel C-band CMOS phase shifter for communication systems,” in Proc. IEEE Int. Symp. Circuits Syst. (ISCAS), 2003, pp. 316–319. [7] K. Miyaguchi, M. Hieda, M. Hangai, T. Nishino, N. Yunoue, Y. Sasaki, and M. Miyazaki, “An ultra compact C-band 5-bit MMIC phase shifter based on all-pass network,” in Proc. Eur. Microw. Integr. Circuits Conf., Sep. 2006, pp. 277–280. [8] J. B. Hacker, R. E. Mihailovich, M. Kim, and J. F. DeNatale, “A Ka-band 3-bit RF MEMS true-time-delay network,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 305–309, Jan. 2003. [9] G. Velu, K. Blary, L. Burgnies, A. Marteau, G. Houzet, D. Lippens, and J. C. Carru, “A 360 BST phase shifter with moderate bias voltage at 30 GHz,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 2, pp. 438–444, Feb. 2007. [10] M. Abdalla, G. V. Eleftheriades, and K. Phang, “A differential 0.13m CMOS active inductor for high-frequency phase shifters,” in Proc. IEEE Int. Symp. Circuits Syst. (ISCAS), May 2006, pp. 3341–3344. [11] A. Asoodeh and M. Atarodi, “A 6-bit active digital phase shifter,” IEICE Electron. Exp., vol. 8, no. 3, pp. 121–128, Feb 2011. [12] H. B. Gabbouj, N. Hassen, and K. Besbes, “Low supply voltage high speed CMOS current mirror for analog design,” in IEEE ICM, Dec. 2007, pp. 369–372. [13] K. J. Koh and G. M. Rebeiz, “A 0.13- m CMOS digital phase shifter for K-band phased arrays,” in IEEE Radio Freq. Integr. Circuits Symp., 2007, pp. 383–386. [14] K. J. Koh and G. M. Rebeiz, “0.13- m CMOS phase shifters for X-, Ku-, and K-band phased arrays,” IEEE J. Solid-State Circuits, vol. 42, no. 11, pp. 2535–2546, Nov. 2007. [15] Y. Zheng and C. E. Saavedra, “Full 360 vector- sum phase shifter for microwave system applications,” IEEE Trans. Circuits Systems I—Reg. Papers, vol. 57, no. 4, pp. 1–7, Apr. 2009. [16] M. Meghdadi, M. Azizi, M. Kiani, A. Medi, and M. Atarodi, “A 6-bit CMOS phase shifter for S-band,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 12, pp. 3519–3526, Dec 2010.

[17] K. J. Kohl and G. M. Rebeiz, “A 6–18 GHz 5-bit active phase shifter,” IMS, pp. 792–795, 2010. [18] T. Yu and G. M. Rebeiz, “A 24 GHz 6-bit CMOS phased-array receiver,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 6, pp. 422–424, Jun. 2008. [19] D. W. Kang, H. D. Lee, C. H. Kim, and S. Hong, “Ku-band MMIC phase shifter using a parallel resonator with 0.18-um CMOS technology,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 294–301, Jan. 2006. [20] P. S. Wu, H. Y. Chang, M. D. Tsai, T. W. Huang, and H. Wang, “New miniature 15–20 GHz continuous phase/amplitude control MMICs using 0.18 um CMOS technology,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 10–19, Jan 2006. [21] X. Tang and K. Mouthaan, “Design of large bandwidth phase shifters using common mode all-pass networks,” IEEE Microw. Wireless Compon. Lett., pp. 1–3, 2011. [22] Q. Xiao, “A compact L-band broadband 6-bit MMIC phase shifter with low phase error,” in Eur. Microw. Integr. Circuits Conf., Oct. 2011, pp. 410–413. Alireza Asoodeh received the B.Sc. degree in electrical engineering from Shiraz University of Technology, Shiraz, Iran, in 2007, and the M.Sc. degree in electronic engineering from Sharif University of Technology, Tehran, Iran, in 2010. He is currently working as an IC Designer at the IC Design Center, Sharif University of Technology. His research interests include design of analog and RF CMOS integrated circuits.

Mojtaba Atarodi (M’93) received the B.S.E.E. degree from Amirkabir University of Technology (Tehran Polytechnic), Tehran, Iran, in 1985, the M.Sc. degree in electrical engineering from the University of California, Irvine, in 1987, and the Ph.D. degree from the University of Southern California (USC), Los Angeles, on the subject of analog IC design in 1993. From 1993 to 1996, he worked with Linear Technology Corporation, Milpitas, CA, as a Senior Analog Design Engineer and participated in the design of two IC products in the field of high-frequency high dynamic range filters. Since then, he has been consulting with difcontinuous-time ferent IC companies. He is currently an Associate Professor at Sharif University of Technology, Tehran, Iran. He has published more than 80 technical journal and conference papers in the area of analog/RF and mixed-signal integrated circuit design. He is the author of Analog CMOS IC Design. He has managed several IC design projects resulted in several IC products, namely, SIM card IC, smart card IC, PCM codec, and DVB-T/H tuner. He holds one U.S. patent. His main research interests are integrated bioelectronics, RF/analog/mixed-signal ICs, and integrated circuits for digital TV receivers.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

1635

Graphical Analysis of Stabilization Loss and Gains for Three-Port Networks Eng Leong Tan, Senior Member, IEEE, and Shi Zhao Fan

Abstract—This paper presents the graphical analysis of stabilization loss and gains for three-port networks. The analysis considers the three-port stabilization loss, the three-port maximum available gain and the three-port maximum stable gain, which are functions of three-port -parameters and third port termination . Extending its advantages, the three-port quasi-invariant stability parameter is exploited in introducing the new concept of stabilization loss, which corresponds to the loss of maximum available gain for more stabilization. To provide quick and apt representation in the plane, a graphical approach is developed using the plots of constant stabilization loss curves and constant maximum stable gain circles. Their constructions are facilitated via explicit quartic polynomial and circle equations. Moreover, constant maximum available gain curves can also be constructed readily with reference to the appropriate curves and circles. All these plots facilitate the selection of proper terminations for trade-off between stabilization loss and gains. Applications of graphical analysis for three-port network are illustrated along with measurements using an amplifier paralleled with feedback element. Index Terms—Gains, graphical analysis, stabilization loss, threeport networks.

I. INTRODUCTION

F

OR linear two-port networks, there has been extensive treatment on the stability criteria to determine their unconditional stability [1]–[5]. To that end, single-parameter stability criteria are more convenient because they involve a single condition that is necessary and sufficient for stability analysis. The most popular one of these is the geometrically derived parameter , which has been adopted in commercial CAD tools for microwave circuits [6]. It should be noted that the unconditional stability mentioned here and henceforth concerns (evenmode) positive real parts of input/output immittances, or input/ output reflection coefficient magnitudes being less than unity, cf. [1]–[5]. More rigorous statements of the stability criteria imposing Rollett proviso should be called upon to ascertain the absence of oscillation [5], [7]. For a practical amplifier circuit, what is more of interest would be the maximum gain figures of merit as well as the convenient approach to design for certain gain or trade-off

Manuscript received August 14, 2011; revised February 09, 2012; accepted February 21, 2012. Date of publication March 19, 2012; date of current version May 25, 2012. The authors are with Nanyang Technological University, School of Electrical and Electronic Engineering, Nanyang Avenue, 639798 Singapore (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2189410

between various performance specifications. Since the parameter above is not simply related to gain, we have presented an alternative quasi-invariant single-parameter criterion for linear two-port unconditional stability [8]. This quasi-invariant parameter features the advantages of symmetrical expression and invariance under reactive matching at either port when unconditional stability exists. Moreover, it is related to the maximum available gain of a two-port network in a simple and direct manner. Extending for linear three-port networks, it is simpler and more convenient to use the single-parameter condition (instead of three conditions in [9]) for their stability analysis [10]. Recently, an analytical approach for acquiring the explicit expressions of the unconditional stability boundaries of a three-port network has been presented [11], [12]. Extending its advantages, the quasi-invariant single-parameter criterion has also been exploited for linear three-port stability analysis [13], [14]. However, in all the previous works thus far [9]–[14], the main emphasis was merely dwelling on the boundaries of unconditionally stable regions for three-port networks. There is a lack of detailed analysis on the interiors of unconditionally stable regions for consideration of more stabilization. This may be due to the complexity in constructing the (non-circular) curves for the boundaries themselves, let alone the interior contours of unconditionally stable regions. Moreover, apart from being less prone to negative real parts of input/output immittances, the implication of ‘more stabilization’ is not too obvious on those interior contours. There is neither introduction nor exploitation of the concept of three-port gain figures of merit, such as maximum available gain, maximum stable gain or maximum unilateral transducer gain. It is also not clear how one can conveniently analyze the trade-off between these gains and any loss due to stabilization for three-port networks. In this paper, we present the graphical analysis of stabilization loss and gains for three-port networks. The analysis considers the three-port stabilization loss, the three-port maximum available gain and the three-port maximum stable gain, which are functions of three-port -parameters and third port termination . Extending its advantages, the three-port quasi-invariant stability parameter is exploited in introducing the new concept of stabilization loss, which corresponds to the loss of maximum available gain for more stabilization. Through such stabilization loss, the implication of ‘more stabilization’ becomes more obvious, apart from being less prone to negative real parts of input/output immittances. To provide quick and apt representaplane, a graphical approach is developed using tion in the the plots of constant stabilization loss curves and constant maximum stable gain circles. Their constructions are facilitated via

0018-9480/$31.00 © 2012 IEEE

1636

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 1. Schematic of a three-port network with third port terminated.

explicit quartic polynomial and circle equations. Moreover, constant maximum available gain curves can also be constructed readily with reference to the appropriate curves and circles. All terminations for these plots facilitate the selection of proper trade-off between stabilization loss and gains. Applications of graphical analysis for three-port network are illustrated along with measurements using an amplifier paralleled with feedback element. II. STABILIZATION LOSS AND GAINS NETWORKS

FOR

THREE-PORT

(6) provided

(7) Equation (4) can be expressed in decibel form as

Referring to Fig. 1, let be the corresponding reflection coefficient when a three-port network is terminated at port 3. Such could be realized in practice by, e.g., feedback element connected in series or parallel in a feedback amplifier. Henceforth, all with , 2, 3 denote the -parameters of three-port networks. For such three-port networks, our earlier works have delineated the graphical analysis of three-port stability parameter in the plane [13], [14] (1)

dB

dB

dB

(8)

where dB

dB (9) is directly in terms of only. Since the right-hand side of (9), being difference of two terms in the square brackets, is less intuitive for one to comprehend the change of dB with , it can be rewritten as dB

where

(2) (3) is the cofactor of -th element and is the determinant of three-port -parameter matrix. However, in these works as well as other previous ones, cf. [9]–[12], the main emphasis was merely dwelling on the boundaries and not the interior contours of unconditionally stable regions. Here, we extend the analysis to consider the three-port gain figures of merit as well as the trade-off between stabilization and gains for three-port networks. To that end, we substitute the reduced network parameters into two-port maximum gains and arrive at the three-port maximum available gain as (4) and the three-port maximum stable gain

Note that although their expressions resemble the two-port counterparts, and with subscript ‘3’ are introduced for three-port networks and they are explicit functions of three-port -parameters and (assuming not both and equal zero). Furthermore, is defined only when unconditional stability is satisfied, which is written more aptly in terms of (instead of ). At certain (which could be outside unity Smith chart) with , the resultant two-port becomes unilateral and approaches infinity, whereas becomes maximum unilateral transducer gain

as (5)

dB (10) From here, it is apparent that whenever is defined with , dB is always positive. Furthermore, gets larger when increases, i.e., when the network becomes more stable (less prone to negative real parts of input/output immittances). Equation (8) indicates that dB increases directly with dB and decreases directly with dB . In view of this and (10), can be aptly termed as stabilization loss, which quantifies the price to pay, i.e., loss of , for more stabilization with higher . Through such stabilization loss, the implication of ‘more stabilization’ becomes more obvious, apart from being less prone to negative real parts of input/output immittances. III. GRAPHICAL ANALYSIS WITH CONSTANT STABILIZATION LOSS AND GAINS CURVES Having presented the equations of , and in terms of three-port -parameters and , one can readily analyze the corresponding stabilization loss and gains for three-port networks. To that end, a graphical approach that provides quick and apt representation of their variations in the plane will be developed for such analysis as below.

TAN AND FAN: GRAPHICAL ANALYSIS OF STABILIZATION LOSS AND GAINS

A. Constant Stabilization Loss

1637

Curve

. In general, it is found that the constant

To determine how changes in the plane, it is instructive to plot the locus of curve with constant , i.e., in dB. For such constant curve, we denote and write

curve is

a circle (18) with center and radius given by (19) (20)

(11) This equation allows one to solve for yielding specified for three-port network. To facilitate the construction of constant curve, we square both sides of (11) and expand the polynomial explicitly for each angle ranging from 0 to as

This constant circle in the plane is analogous to the constant gain circles in or plane for two-port networks. When the value is specified to be (21) we do not get a circle but rather

(12) where (

in dB)

(22) This is a line equation in

plane with (23) (24)

(13)

(14)

(15)

(16)

(25) , one can extend the graphical analysis In addition to by plotting constant curves in the plane. Since the explicit expression of in terms of three-port -parameters and turns out to be rather unwieldy, we shall not present the detailed equations here. Furthermore, we note that much care needs to be exercised to enforce the condition during the numerical solutions of for certain specified (recall that we merely specify constant but not here, thus needs to be enforced for to be ever defined). To circumvent all these intricacies, we find it more convenient to plot only constant circles along with previous constant curves in the plane. Then by using (8), it is possible to construct constant curves readily as to be illustrated in the next section. IV. APPLICATIONS AND MEASUREMENTS

(17) Being an explicit quartic polynomial in , (12) can be solved for its roots in a manner much simpler than solving (11) directly. However, since squaring the equation may introduce fictitious roots, one needs to ensure only those ’s that are real positive and satisfy the original equation (11) (without squaring) upon substitution are regarded as correct solutions. B. Constant Gains (

,

) Curves

To determine how changes in the plot the locus of curve with constant , i.e.,

plane, we

To illustrate the applications of graphical analysis for threeport network, let us consider an amplifier paralleled with feedback element as shown in Fig. 2. The amplifier is realized using a NPN Bipolar Junction Transistor (BJT) Philips BFG425W. , , , and . TL1 to TL4 are 50 transmission lines, where TL1 and TL4 are the feeding lines of the circuit, while TL2 and TL3 connect the feedback element in parallel with the amplifier. The amplifier circuit is fabricated on Rogers4003 substrate with relative dielectric constant and thickness as shown in Fig. 3. By measuring the circuit without feedback element and performing the de-embedding procedure, we obtain the two-port -parameters of the amplifier, which are then

1638

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 2. Schematic of an amplifier paralleled with feedback element.

Fig. 4. Plots of various constant circles and constant curve in the plane. Point marked with ‘ ’ corresponds to or . and demonstrate increasing Points A and B (marked with ‘ ’) with with constant .

TABLE I SIMULATION AND MEASUREMENT RESULTS FOR CONSTANT

.

Fig. 3. Photograph of the fabricated amplifier circuit.

converted to three-port -parameters via indefinite matrix transformation. Using these three-port -parameters, various plots of constant , and can be constructed in the plane. As a specific example, we consider the three-port -parameters obtained from the measurement data at 1.34 GHz:

(26) A. Increasing

With Constant

With the aid of graphical analysis, it is possible to exploit more gain without sacrificing stability (stabilization loss). We shall consider the case of varying (increasing) without changing the stabilization loss, i.e., with constant . Suppose that a feedback element is to be added in parallel to an amplifier as a third port termination . Fig. 4 shows the plots of various constant circles and constant curve in the plane. Point marked with ‘ ’ corresponds to or . Referring to Fig. 4, in order to maintain (limit) the stabilization loss while varying , one could trace the feedback element along the constant dB curve. With the aid of various constant circles, we can find some yielding larger than certain initial value. This can be exemplified by the points A and B marked with ‘ ’ in Fig. 4. Point A with is the intersection point of constant dB curve and constant dB circle. Point

B with is the intersection point of constant dB curve and constant dB circle. With moving along constant dB curve from point A to point B, it demonstrates the feasibility of increased with unchanged. Table I lists the simulation and measurement results for constant . Due to the limited discrete component values available, the realized is slightly different compared to the required one. For verification, measurements of the amplifier with practical components as parallel feedback yield dB and dB for point A, and dB and dB for point B. The results of measurements agree well with simulations and justify that may be increased without changing . B. Reducing

with Constant

Consider next the case of reducing stabilization loss with constant . Fig. 5 shows the plots of various constant curves and constant circle in the plane. It is desirable to find some that reduce the stabilization loss without changing . This can be exemplified by points C and D marked with ‘ ’ in Fig. 5. Point C with is the intersection point of constant dB circle and constant dB curve. Point D with

TAN AND FAN: GRAPHICAL ANALYSIS OF STABILIZATION LOSS AND GAINS

Fig. 5. Plots of various constant curves and constant plane. Points C and D (marked with ‘ ’) with and with constant .} reducing stabilization loss

TABLE II SIMULATION AND MEASUREMENT RESULTS FOR CONSTANT

circle in the demonstrate

.

is the intersection point of constant dB circle and constant dB curve. With moving along constant dB circle from point C to point D, it demonstrates the feasibility of reduced with unchanged. Table II lists the simulation and measurement results for constant . For verification, measurements of the amplifier circuit with practical components as parallel feedback yield dB and dB for point C, and dB and dB for point D. The simulations and measurements results show good correspondence with each other, which justify that the stabilization loss may be reduced without changing . C. Application of Constant

1639

Curves

Besides constant curves and constant circles, one can also apply constant curves to aid three-port network design. In particular, by plotting these curves in the plane, one can identify the suitable terminations to optimize in a simple and direct manner. Construction of these curves is not trivial using (4) directly, which requires additional enforcement of the condition during the numerical solutions of for certain specified . On the other hand, we shall resort to referencing the plots of constant circles and constant curves. These are constructed readily since

Fig. 6. Construction of constant curves in the plane using (8) with curves and constant circles. The reference reference to constant ’s are 0, 2, 4, 6, 8 dB, while for values from outermost to innermost for the ’s are 17, 19, 21, 23, 25, 27 dB. Point E marked with ‘ ’ is . the

they are merely circles and curves facilitated via explicit quartic polynomials, respectively. Upon subtracting the appropriate values from values according to (8), one can readily construct the constant curves for certain specified . Fig. 6 illustrates the construction of constant curves in the plane using (8) with reference to constant curves and constant circles. The reference values from outermost to innermost for the ’s are 0, 2, 4, 6, 8 dB, while for the ’s are 17, 19, 21, 23, 25, 27 dB. By joining the intersection points (marked with triangles) of , 2, 4, 6, 8 dB and , 19, 21, 23, 25 dB, we obtain constant dB curve. Likewise, by joining the intersection points (marked with squares) of , 2, 4, 6, 8 dB and , 21, 23, 25, 27 dB, we obtain constant dB curve. From the figure, it can be observed that leads to a relatively large dB. The value can be calculated from the measured -parameters, and in this case it is improved from 15.320 dB (with ) to 18.823 dB (with ). Thus, a 3.503 dB improvement is achieved through the proper choice of termination. According to the definition, maximum gain is achieved only when simultaneous conjugate matching is implemented at both input and output ports. An additional experiment is then carried out to exemplify the feedback amplifier with simultaneous conjugate matching. We use double stub networks to match the source reflection coefficient and the load reflection coefficient . Fig. 7 shows the measured results of the reduced two-port -parameters with third port terminated by , and with simultaneous conjugate matching at input and output ports. The measured result yields 18.13 dB gain, where the slight difference with the calculated result is due to the loss of matching

1640

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 7. Measured results of the reduced two-port -parameters with third port , and with simultaneous conjugate matching at input and terminated by output ports.

networks. Still, a 2.81 dB gain improvement is achieved in measurement with simultaneous conjugate matching. V. CONCLUSION This paper has presented the graphical analysis of stabilization loss and gains for three-port networks. The analysis considers the three-port stabilization loss, the three-port maximum available gain and the three-port maximum stable gain, which are functions of three-port -parameters and third port termination . Extending its advantages, the three-port quasi-invariant stability parameter is exploited in introducing the new concept of stabilization loss, which corresponds to the loss of maximum available gain for more stabilization. To provide quick and apt representation in the plane, a graphical approach is developed using the plots of constant stabilization loss curves and constant maximum stable gain circles. Their constructions are facilitated via explicit quartic polynomial and circle equations. Moreover, constant maximum available gain curves can also be constructed readily with reference to the appropriate curves and circles. All these plots facilitate the selection of proper terminations for trade-off between stabilization loss and gains. Applications of graphical analysis for three-port network are illustrated along with measurements using an amplifier paralleled with feedback element. REFERENCES [1] G. Gonzalez, Microwave Transistor Amplifiers: Analysis and Design, 2nd ed. Upper Saddle River, NJ: Prentice Hall, 1997.

[2] G. D. Vendelin, A. M. Pavio, and U. L. Rohde, Microwave Circuit Design using Linear and Nonlinear Techniques, 2nd ed. New York: Wiley, 2005. [3] D. M. Pozar, Microwave Engineering, 3rd ed. New York: Wiley, 2005. [4] J. M. Rollett, “Stability and power gain invariants of linear two-ports,” IRE Trans. Circuit Theory, vol. 9, no. 3, pp. 29–32, Mar. 1962. [5] D. Woods, “Reappraisal of the unconditional stability criteria for active 2-port networks in terms of S parameters,” IEEE Trans. Circuits Syst., vol. CAS-23, no. 2, pp. 73–81, Feb. 1976. [6] M. L. Edwards and J. H. Sinsky, “A new criterion for linear 2-port stability using a single geometrically derived parameter,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 12, pp. 2303–2311, Dec. 1992. [7] M. Ohtomo, “Proviso on the unconditional stability criteria for linear twoport,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 5, pp. 1197–1200, May 1995. [8] E. L. Tan, “A quasi-invariant single-parameter criterion for linear twoport unconditional stability,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 10, pp. 487–489, Oct. 2004. [9] J. F. Boehm and W. G. Albright, “Unconditional stability of a threeport network characterized with S-parameters,” IEEE Trans. Microw. Theory Tech., vol. 35, no. 6, pp. 582–586, Jun. 1987. [10] E. L. Tan, “Simplified Graphical Analysis of Linear Three-Port Stability,” Proc. IEE Microw. Antennas Propag., vol. 152, no. 4, pp. 209–213, 2005. [11] R.-F. Kuo and T.-H. Chu, “Explicit expressions of the unconditional stability boundaries of a three-port network,” in Proc. Asia-Pacific Microw. Conf., 2009, pp. 1477–1480. [12] R.-F. Kuo and T.-H. Chu, “Unconditional stability boundaries of a three-port network,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 2, pp. 363–371, Feb. 2010. [13] E. L. Tan, “Quasi-Invariant single-parameter criterion for unconditional stability: Review and application,” in Proc. Asia-Pacific Microw. Conf., 2006, pp. 429–432. [14] E. L. Tan, X. Sun, and K. S. Ang, “Unconditional stability criteria for microwave networks,” in Proc. Progr. Electromagn. Res. Symp., Beijing, 2009, pp. 1524–1528. Eng Leong Tan received the B.Eng. (electrical) degree with first class honors from the University of Malaya, Malaysia, and the Ph.D. degree in electrical engineering from Nanyang Technological University, Singapore. From 1991 to 1992, he was a Research Assistant at the University of Malaya. From 1991 to 1994, he worked part time at Commercial Network Corporations Sdn. Bhd., Malaysia. From 1999 to 2002, he was a Member of Technical Staff at the Institute for Infocomm Research, Singapore. At present, he is an Associate Professor at the School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore. His research interests include RF and microwave circuit design, computational electromagnetics and acoustics.

Shi Zhao Fan received the B.Eng. degree from University of Electronic Science and Technology of China, Chengdu, China, and the M.Sc. degree from Nanyang Technological University, Singapore, in 2007 and 2009, respectively. He is currently working toward the Ph.D. degree in the School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore. His research interests include RF and microwave circuit design and antenna design.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

1641

Low-Power Very Low-Noise Cryogenic SiGe IF Amplifiers for Terahertz Mixer Receivers Damon Russell, Member, IEEE, and Sander Weinreb, Life Fellow, IEEE

Abstract—State-of-the-art radio astronomy terahertz receivers utilize clusters of super-conducting mixers with cryogenic IF amplifiers. The critical parameters of the IF amplifiers are noise temperature, bandwidth, power consumption, input return loss, and physical size. This paper presents test data on three approaches to the IF amplifier; two are silicon–germanium (SiGe) monolithic microwave integrated circuit designs and the third is a discrete SiGe transistor miniature module. The amplifiers provide noise temperatures in the range of 5–15 K, from 1 to 6 GHz, at power consumptions as low as 2 mW. Index Terms—Cryogenics, low-noise amplifier (LNA), low-power electronics, monolithic microwave integrated circuits (MMICs), radio astronomy, silicon–germanium (SiGe).

I. INTRODUCTION

F

UTURE terahertz receivers for radio astronomy will require large arrays of super-conducting mixers and IF amplifiers. The block diagram of a single pixel of such an array is shown in Fig. 1. A current cluster receiver [1] utilizes 64 superconductor–insulator–superconductor (SIS) mixers, giving a system noise temperature of 40 K at 345 GHz, while future receivers with thousands of mixers are contemplated. The superconducting mixer may be either a SIS or hot-electron bolometer (HEB), both of which require cooling to 4.2 K for operation. The mixers impose the following requirements on the IF amplifier. 1) Low noise temperature. Improves system sensitivity and/or reduces required observation time. 2) High bandwidth. Bandwidths of 2–4 GHz are required for the observation of spectral lines from distant galaxies. 3) Low power consumption. For ground-based receivers, it reduces the number of cryocoolers1 necessary to cool the array of mixers and IF amplifiers. For balloon experiments, the receivers are cooled by liquid helium (LHE). Lower power consumption for these receivers increases the observation time for the instrument.

Manuscript received September 06, 2011; revised March 04, 2012; accepted March 05, 2012. Date of publication April 10, 2012; date of current version May 25, 2012. The authors are with the Department of Electrical Engineering, California Institute of Technology, Pasadena, CA 91125 USA (e-mail: drussell@caltech. edu; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2190744 1Sumitomo’s RDK-415D is commonly used, capable of cooling a 1.5-W load to 4.2 K.

Fig. 1. Block diagram of a single pixel within a terahertz receiver array. The super-conducting mixer is either a SIS or HEB, both of which require cryogenic cooling to 4.2 K. IF frequency is typically within the range of 1–5 GHz.

4) Low input return loss. Reduces system errors produced by standing waves between the mixer and IF amplifier and (for HEBs) reduces electrothermal feedback (whereby power reflected from the amplifier changes the electron gas distribution within the mixer). 5) Small physical size and external wiring requirements. Increases the packaging density of receiver pixels and simplifies integration. Previous receivers [1] used InP HEMT low-noise amplifiers (LNAs) for IF amplification. Alternatively, SiGe HBTs have when cooled cryoshown significant improvement in and genically [2], producing similar noise to their InP and GaAs counterparts [3], [4] below 5 GHz. SiGe has the added benefit of high yield, due to the maturity of Si processing, a necessity for the manufacturing of terahertz receivers employing thousands of pixels. In addition, better input match at low IF frequencies can be obtained with SiGe amplifiers using resistive feedback. This paper discusses the design, evaluation, and tradeoffs between three terahertz cryogenic IF amplifiers using SiGe HBTs. Two of these amplifiers are monolithic microwave integrated circuits (MMICs) and the third is a discrete miniature amplifier module. The MMIC amplifiers are intended to be integrated with the super-conducting mixer, but are evaluated within 50modules to ease characterization. Both MMICs and the discrete transistors for the miniature amplifier were fabricated in a 130-nm BiCMOS9MW process. The image of the completed reticle containing both discrete devices and MMICs is shown in Fig. 2. As will be discussed, design tradeoffs are necessary between the previously mentioned requirements. Cryogenic measurements of the completed amplifiers were performed in two cryostats, one cooled by LHE to 4.2 K, the other cooled by a CTI-350 cold head to 19 K. Noise measurements were made using the cold attenuator method [5], with an estimated accuracy of 1 K. The completed test setup for the 4.2-K measurements is shown in Fig. 3.

0018-9480/$31.00 © 2012 IEEE

1642

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 2. Photograph of SiGe reticle, fabricated with ST-Microelectronics 130-nm BiCMOS9MW process through CMP. Dimensions are 4.25 2.48 mm. Discrete transistors occupy the left four columns of the reticle. MMIC amplifiers occupy the remainder of the reticle.

II. DEVICE CHARACTERIZATION The device model used initially for the design of the amplifiers was based on the evaluation of experimental SiGe HBTs by Bardin [6]. To access how closely the experimental model matched that of the SiGe process used in this study, the discrete transistors included in the reticle were characterized through dc and RF measurements. One of these discrete devices is shown in Fig. 4, mounted in a connectorized fixture. DC measurements of the device and are shown in Fig. 5 at 4.2, 19, 77, and 300 K. What is particularly interesting is that both and are unchanged between 4.2–19 K. This implies that the shot noise contributions from base and collector should also be unchanged between these two temperatures. As a result, any improvements in transistor noise with cooling should be due only to thermal noise from the base, collector, and emitter resistances. These measurements were treated to polynomial fits over a collector current range of 100 A to 20 mA and are provided in relations (1) and (2). For , is the natural logarithm of the collector current density in mA m . The expression for uses , which is the natural logarithm of the collector current in mA

Fig. 3. (top) Test setup used for measurement of amplifier noise temperature at cryogenic temperatures. For -parameter measurements, the attenuator is replaced with a through line and a vector network analyzer (VNA) is used in place of the noise source and noise analyzer. (bottom) Test setup on 4.2-K plate, with cryostat housing removed. (1) Input coaxial line, (2) cold attenuator and temperature sensor, (3) low-power MMIC module with temperature sensor affixed to its cover, (4) post-amplifier, (5) 4.2-K plate, and (6) output coaxial line. All brackets are machined from oxygen-free copper (OFHC) and mounted to the cold plate with indium gaskets, ensuring that the amplifiers physical temperature was within 0.25 K of the cold plate’s temperature.

(1) (2) These expressions can be used in a circuit simulator, such as Microwave Office, to find the optimum bias current density. The peak of these devices is a factor of 3 lower than the original experimental devices. This has been traced to a change in process parameters by the foundry. Fitting of -parameter measurements at 19 K, for device areas of 2.6 and 9.75 m , were used to complete the scalable small-signal model, shown in Fig. 6. This model is used in all MMIC and discrete amplifier simulations that follow.

Fig. 4. (left) Fixture used for transistor characterization. (right) Fixture with cover removed showing discrete transistor and bond-wire configuration, with the base on the left and collector on the right of the photograph. The transistor chip is mounted in 1-mm-diameter plated-through via with four emitter to ground wires (located at the top and bottom of the transistor).

RUSSELL AND WEINREB: LOW-POWER VERY LOW-NOISE CRYOGENIC SiGe IF AMPLIFIERS

1643

Fig. 7. (left) Low-power MMIC schematic. (right) Photograph of fabricated circuit. Dimensions after dicing are 550 m 925 m.

Fig. 5. DC measurements of and at 4.2-, 19-, 77-, and 300-K physical temperatures for a 2.6- m device. Both parameters are unchanged with cooling from 19 to 4.2 K. As a result, there should be no change in transistor shot noise between these two temperatures.

Fig. 6. Small-signal model of SiGe HBT at 19 K. is noiseless. and represent shot-noise sources for the base and collector, respectively. Relations are given by (1) and (2). Devices capacitances are scaled directly for and and resistances inversely by emitter area in m .

III. LOW-POWER MMIC IF AMPLIFIER Very large terahertz mixer receiver arrays will need IF amplifiers with low power consumption to ease the burden put on the cryogenics. For example, only two Sumitomo RDK-415D (4.2 K at 1.5-W load) cryo-coolers would be required to cool 1000 IF amplifiers, each dissipating 2 mW. In addition, such amplifiers should require a minimum number of external components to improve the manufacturability of the array. To meet these needs, a low-power MMIC IF amplifier was developed with an integral bias-tee, shown in Fig. 7. The bias-tee was realized using a pair of 5-k shunt resistors on-chip. One of these resistors is used to bias the SIS mixer ( 100 A), and the other is used within a feedback loop to regulate the voltage. The HBT emitter area was selected to optimize the noise and power

consumption when driven by a generator impedance of 100 in parallel with 300 fF, approximately equal to that of the SIS mixer’s IF port. To minimize power consumption, only a single stage was used. Additional gain can be placed after the amplifier, possibly on the primary stage of the cryogenic cooler, which has a larger thermal capacity. Due to the small area of the de2 of the HBT is quite large at low frequencies, as it vice, is inversely proportional to the device input capacitance [7]. To help compensate , an internal 2.8-nH spiral inductor was placed in the input of the amplifier. During the circuit layout, the use of salicided resistors was avoided due to their strong decrease in resistance with temperature.3 The poly-based resistors employed in the design were more stable with temperature, but have a higher sheet resistance (120 versus 11 for salicided resistors), and low valued resistors are therefore quite wide. Although this complicated the integrated circuit (IC) layout, it did not appreciably influence the frequency response of the amplifier. The MMIC was packaged into a 50- connectorized module for measurement of its input noise and -parameters. The module schematic and photograph are shown in Fig. 8. Measurements of the modules -parameter magnitudes at 19 K and noise temperature at 4.2 and 19 K are shown in Fig. 9, compared against simulation for a bias of mA (which resulted in a total dc power consumption of just under 2 mW). Agreement between the measured and simulated -parameter magnitudes is quite good. The measured noise temperature at 4.2 and 19 K, however, was 4–5 K higher than simulated, but was 10 K from 4 to 6 GHz, at 4.2-K physical temperature. The source of this additional noise is yet unknown. DC measurements of discrete transistors with the same emitter area and configuration (2, 10- m emitter stripes) as the low-power MMIC reveal that the base resistance, , is consistent with that determined using -parameter measurements. In addition, base heating does not appear to be occurring from the following observations. 2The optimal generator impedance, which minimizes the noise of the ampli. fier, is 3Measurements of salicided resistors included on test structures within the reticle showed a factor of 3 decrease in resistance from 300- to 19-K physical temperature.

1644

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 8. (top) Low-power MMIC test module schematic. Adding a 5.1-nH external inductor at the MMIC input gave 10-K noise from 2 to 3 GHz, at 4.2-K physical temperature. (bottom) Module photograph. Pins at top of module provide bias to the MMIC and route lines from integrated bias-tee.

1) Test of input noise versus dc power does not reveal a substantial increase in noise temperature with increasing bias, as would be expected if base heating were occurring. 2) The dc power required to heat the base resistance, to yield the level of added noise, is about an order of magnitude higher than used during the measurements. These calculations were based on conservative estimates of the thermal conductivity of Si and SiGe at cryogenic temperatures, and through the closed-form relations provided in [8]. Similar measurements were also completed on a simplified version of this MMIC, without the bias-tee or spiral inductor, to determine if these elements could be the source of the added noise. These measurements reveal that the noise between the two designs is approximately equal at 1 GHz, where the spiral inductor is having little effect on compensating . This implied that the bias-tee and spiral inductor are functioning acceptably at cryogenic temperatures and are not responsible for the additional noise. Interestingly, the differences between measurement and simulation of the noise temperature at 4.2- and 19-K physical temperatures are about equal. This suggests that Johnson noise is not the source of the additional noise. The low-power MMIC amplifier does have less than 15-K input noise temperature from 2 to 4 GHz, with 16 dB of gain over this same frequency range, while consuming 2 mW of dc power. Input and output return losses are 10 dB as well. To the authors’ knowledge, this is the lowest input noise, at this low power level of dc power consumption, achieved by an SiGe cryogenic amplifier operating over this frequency range. It should be noted that all noise temperature testing was conducted with a generator impedance of 50 , not the SIS mixer’s IF impedance the MMIC was designed for. Simulations reveal that the noise temperature drops 3–5 K, from 0.5 to 2 GHz, when

Fig. 9. Measured and simulated performance of the low-power MMIC amplifier at a bias of 1.3 V, 1.5 mA. Simulations at 4.2 K including the IF matching network and actual SIS IF impedance are designated by “(Match)” in the corresponding legend entries. (top) -parameter magnitudes. (bottom) Input noise temperature at 4.2- and 19-K physical temperatures. The noise is 4–5 K higher than simulated, the source of which is yet unknown.

an IF matching network4 is used in conjunction with a generator impedance equal to that presented by the SIS mixer, as illustrated in Fig. 9. IV. MODERATE POWER MMIC IF AMPLIFIER The main drawbacks to the low-power MMIC are its moderate gain and bandwidth. Its use in the system illustrated in Fig. 1 would require careful attention to the design of the stage following the IF amplifier, as well as the cable connecting them. Both of these impact the system noise temperature, the magnitude of which depends on the gain of the IF amplifier. In addition to lowering the gain, the low power MMIC’s use of a small device operated at a low current density also limits the bandwidth, due to the high value of . Both of these parameters are improved by increasing the current density through the transistor, with the cost of higher power consumption. The moderate-power MMIC IF amplifier, whose schematic and die photograph are shown in Fig. 10, was designed to improve upon the noise temperature and bandwidth constraints 4A 3.5-nH series inductor was used for IF matching to the SIS mixer’s impedance of 100 in parallel with 300 fF.

RUSSELL AND WEINREB: LOW-POWER VERY LOW-NOISE CRYOGENIC SiGe IF AMPLIFIERS

1645

Fig. 11. Moderate power MMIC module. Module dimensions are 37.6 mm 24.1 mm 7 mm. Connectors are SMA female. Fig. 10. (top) Schematic of the moderate power MMIC. (bottom) Photograph of completed MMIC. Unmarked pads are CGND2. Chip dimensions after dicing are 570 m 920 m.

placed upon the low-power MMIC. Two stages were utilized to increase gain and bandwidth. The emitter area of the input transistor was increased to move closer to 50 , lowering in the process. The lower value of avoids the need for a noise matching network in front of the first stage. The larger device also allowed a higher value of feedback resistor5 to be used on the first stage, reducing its noise contribution as a result. The use of a multistage design required careful attention to the design of the bypass network associated with the chips dc supply lines. Unlike GaAs and InP IC processes, the SiGe process does not have a backside ground plane. All connections from chip ground to chassis must be accomplished through bond pads. Although multiple bond pads and bond wires reduce the inductance to ground, a resonant circuit is ultimately formed between the bond-wire inductance and bond pad capacitance. This resonance typically occurs below 20 GHz, where the transistors still have a reasonable amount of gain. At the resonance frequency, the amplifier becomes unstable, as return currents are circulated within the IC instead of flowing to ground. Room-temperature amplifiers use on-chip bypass capacitance to mitigate this problem. Unfortunately, high-den5Besides

providing bias to the base, the feedback resistor also helps adjust the amplifiers input match and bandwidth.

sity n- and p-well capacitors (6.5 fF m ) lose a significant amount of capacitance at cryogenic temperature,6 limiting their usefulness. Metal–insulator–metal (MIM) capacitors work well at cryogenic temperatures, but their lower capacitance density (2.1 fF m ) and associated design rule restrictions limit their use to smaller values (5–10 pF in practice). Our solution was to separate the grounds for the first and second stages of the design, thereby breaking the existing feedback loop at resonance. All bypass capacitance was placed off chip. The completed schematic and photograph of the packaged MMIC are shown in Fig. 11. -parameter and noise measurements were made at multiple bias levels at 19-K physical temperature. The best tradeoff between noise, gain, and power consumption was found at a bias of mA and mA, for a dc power consumption of 8.34 mW. These measurements and the corresponding simulations are shown in Fig. 12. The measured -parameter magnitudes and input noise temperature agree well with simulation. The moderate power MMIC achieves less than 8 K of noise from 0.5 to 4 GHz with greater than 25 dB of gain over this same frequency range. Simulations reveal that the noise temperature only decreases 1 K with cooling to 4.2 K, due to the high gain associated with the first stage and its large value of feedback resistance. 6Measurements of n-well capacitors included on test structures within the reticle showed a factor of 6 decrease in capacitance from 300- to 19-K physical temperature.

1646

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 12. Measured and simulated performance of moderate power MMIC at V, mA, and V, 19-K physical temperature biased at mA. (top) -parameter magnitudes. (bottom) input noise temperature. Fig. 14. (top) Discrete transistor miniature amplifier schematic. (bottom) Amplifier with cover removed. Type GPO connectors are used for input and output and a quad ribbon wire cable is used for amplifier bias and to feed a built in bias-tee. The module size without connectors is 4.44 4.93 20.34 mm, which allows installation into an array with 5-mm pitch.

Fig. 13. Block diagram of a HEB mixer and discrete transistor miniature module (IF Amp), illustrating thermal isolation of adjacent components. Isolation is achieved through the use of UT-47 (Mfg by Micro-Coax, outer diameter is 1.19 mm) coaxial line with stainless-steel outer and center conductors.

V. DISCRETE TRANSISTOR MINIATURE MODULE The conflict of low-power dissipation at 4.2 K with amplifier performance can be relieved by operating a moderate-power IF amplifier at a higher temperature, say, 20 K, with a low thermal conductivity connection to the super-conducting mixer. The feasibility of doing this is shown in the Fig. 13 block diagram. A 2-cm length of UT-47 stainless-steel coaxial line is utilized to thermally isolate the IF amplifier from the mixer resulting in only 0.5 mW of heat flow into the 4.2-K cooler stage. The loss of this short coax at 4 GHz is 0.13 dB at an average temperature of 12 K; this contributes 0.64 K to the noise temperature. The variation of gain and noise temperature versus frequency due to the impedance transformation of the cable must also be considered. However the 5-GHz ripple period due the 2-cm length of coax, with propagation velocity of 0.7c, is wide and is not

likely to confuse the baseline for spectral lines to be observed with the system. A schematic and photograph of a two-stage discrete transistor amplifier module are shown in Fig. 14. This packaging could also be applied to a moderate-power MMIC IF amplifier such as that described in Section IV. However, an amplifier with discrete transistors has a advantage of easy modification and will be described in this section. The measured and simulated -parameters at 19 K are shown in Fig. 15 and the noise and gain at 22 K are shown in Fig. 16. The amplifier was designed using the small-signal model in Fig. 6, along with manual optimization of passive circuit elements in a standard cascaded common emitter topology. The feedback resistor between collector and base deserves special consideration. The parasitic capacitance in shunt with 0201-size surface-mount resistors is of the order of 30 fF and this degrades the high-frequency gain and noise of the amplifier. To mitigate this effect, the feedback was realized by three 510- 0201-size resistors in series, shown as “RFB” in Fig. 14. The three resistors are equivalent to 1530 in shunt with 10 fF. The results shown in Figs. 15 and 16 are for three bond wires, each 100- m long from the stage 1 emitter to ground. The , noise, and gain are strong functions of the emitter to ground inductance and performance for 1–4 bond wires is shown in

RUSSELL AND WEINREB: LOW-POWER VERY LOW-NOISE CRYOGENIC SiGe IF AMPLIFIERS

1647

TABLE II IF AMPLIFIER PERFORMANCE SUMMARY

Fig. 15. Measured and simulated -parameters of amplifier module at a physical temperature of 19 K, and at a bias of 1.5 V, 11 mA.

Fig. 17. Noise and gain versus dc power for each of the three amplifiers at 1.5 GHz, with a physical temperature of 19 K. DC power is varied through adjustment of the collector current through each HBT. Diminishing returns for noise and gain with increasing dc power are reached for collector current denmA m through the first stage of each amplifier. The corresities of sponding dc power at this point is indicated by the markers in each graph.

Fig. 16. Amplifier input noise temperature and gain at 22-K physical temperature with three emitter bond wires on the first stage. Measurements were made at a bias of 1.5 V, 16.8 mA.

NOISE AND

TABLE I VERSUS STAGE 1 EMITTER BOND WIRES TO GROUND

Table I. A good compromise is three bond wires, where, from 0.5 to 4 GHz, the noise is 10 K, input return loss is 8 dB, gain is 30 2 dB, and output return loss is dB. VI. PERFORMANCE SUMMARY The performance of the three IF amplifiers is summarized in Table II, compared against other cryogenic amplifiers operating within this frequency range. The tradeoff between noise, gain, and dc power consumption is clearly evident. The low-power MMIC operates at extremely low levels of dc power, but at the

expense of lower gain and bandwidth and higher noise. Likewise, the other two amplifiers from this study achieve better noise and gain, but with 4–12 times the dc power consumption. This may or may not present a problem with the design of the cryogenics used to cool the super-conducting mixer, unless a thermal isolation scheme similar to that in Fig. 13 is utilized. Noise and gain (both measured at a fixed frequency of 1.5 GHz) versus dc power is plotted in Fig. 17 for each of the three amplifiers. Increasing the dc power beyond a certain point yields diminishing improvement to both noise and gain. This point corresponds to a collector current density, , of mA m for the first stage of each amplifier. Referring to Fig. 5, this is just to the right of the peak in , where the cumulative noise contributions from the base and collector are minimized. VII. CONCLUSION Three approaches to the IF amplifier used in terahertz mixer receiver arrays have been presented. Two of these amplifiers are MMICs, the third a discrete transistor miniature module, each using SiGe HBTs fabricated in a commercially available 130-nm BiCMOS9MW process. The HBTs within these amplifiers were characterized and a scalable small-signal model presented. The design and evaluation of each amplifier was presented with comparisons between measurement and simulation.

1648

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

The amplifiers provide noise temperatures from 5 to 15 K at dc power consumptions of 2–25.2 mW. Tradeoffs between the approaches, in terms of noise, gain, and dc power consumption, were discussed. The low-power MMIC delivered 15 K of noise between 2–4 GHz with only 2 mW of dc power consumption. To the authors’ knowledge, this is the lowest input noise, at this dc power level, to be achieved by a cryogenic SiGe amplifier operating over this frequency range. ACKNOWLEDGMENT The authors would like to thank Prof. J. Bardin, University of Massachusetts at Amherst, for his help during the layout of the SiGe reticle, as well as for his suggestions regarding the design of the MMICs. The authors also wish to thank H. Navarrete, S. Siegel, and S. Smith, all with the California Institute of Technology, Pasadena, for their help during the assembly and testing of the amplifier modules. REFERENCES [1] C. Groppi, C. Walker, C. Kulesa, D. Golish, P. Pütz, P. Gensheimer, A. Hedden, S. Bussmann, S. Weinreb, G. Jones, J. Bardin, H. Mani, T. Kuiper, J. Kooi, A. Lichtenberger, T. Cecil, and G. Narayanan, “SuperCam: A 64 pixel superheterodyne camera,” in Proc. 18th Int. Space Terahertz Technol. Symp., Pasadena, CA, 2007, pp. 264–269. [2] S. Weinreb, J. Bardin, and H. Mani, “Design of cryogenic SiGe lownoise amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 11, pp. 2306–2312, Nov. 2007. [3] A. Mellberg, N. Wadefalk, I. Angelov, E. Choumas, E. Kollberg, N. Rorsman, P. Starski, J. Stenarson, and H. Zirath, “Cryogenic 2–4 GHz ultra low noise amplifier,” in IEEE MTT-S Int. Microw. Symp. Dig., Dec. 1999, pp. 775–778. [4] K. Kobayashi, J. Fernandez, J. Kobayashi, M. Leung, A. Oki, L. Tran, M. Lammert, T. Block, and D. Streit, “A DC–3 GHz cryogenic AlGaAs/GaAs HBT low noise MMIC amplifier with 0.15 dB noise figure,” in Int. Electron Devices Meeting Tech. Dig., 2004, vol. 1, pp. 161–163. [5] G. Fernandez, “A noise-temperature measurement system using a cryogenic attenuator,” Jet Propulsion Lab., Pasadena, CA, TMO Progress Rep. 42-135, Nov. 15, 1998. [6] J. Bardin, “Silicon–germanium heterojunction bipolar transistors for extremely low-noise applications,” Ph.D dissertation, Dept. Elect. Eng., California Inst. of Technology, Pasadena, CA, 2009. [7] J. Cressler and G. Niu, “Optimal sizing and biasing for LNA design,” in Silicon–Germanium Heterojunction Bipolar Transistors. Boston, MA: Artech House, 2003, ch. 7, sec. 4, pp. 280–287.

[8] A. Darwish, A. Bayba, and H. Hung, “Accurate determination of thermal resistance of FETs,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 306–313, Jan. 2005. [9] S. Weinreb, J. Bardin, H. Mani, and G. Jones, “Matched wideband lownoise amplifiers for radio-astronomy,” Rev. Sci. Instrum., vol. 80, no. 4, Apr. 2009, Art. ID 44702. [10] J. Bardin and S. Weinreb, “A 0.1–5 GHz Cryogenic SiGe MMIC LNA,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 6, pp. 407–409, Jun. 2009. Damon Russell (M’98) received the B.S. degree in electrical engineering from the University of California at Santa Barbara, in 1995, the M.S. degree in electrical engineering from Santa Clara University, Santa Clara, CA, in 1998, and is currently working toward the Ph.D. degree in electrical engineering at the California Institute of Technology, Pasadena. From 1995 to 2000, he was with Anritsu North America, where he developed microwave components for their test and measurement group. In 2001, he joined Optical Crossing Inc., where he designed optical–electrical transceivers and tracking electronics for the company’s free-space optical communications links. From 2003 to 2004, he was with Northrop Grumman Electronic Systems, Azusa, CA, where he was involved with radiometers for the National Aeronautics and Space Administration (NASA)’s Advanced Technology Microwave Sounder (ATMS). In 2004, he joined the Jet Propulsion Laboratory, Pasadena, CA, where he was Cognizant Engineer for the 5- and 10-GHz radiometer channels within NASA’s Jupiter Polar Orbiter (JUNO) spacecraft. Since 2009, he has been a Research Assistant with the California Institute of Technology. His research interests include low-noise cryogenic amplifiers, noise parameter measurements, radiometers, and cryogenic systems.

Sander Weinreb (S’56–M’63–SM’71–F’78–LF’02) was born in New York, NY, on December 9, 1936. He received the B.S. and Ph.D. degrees in electrical engineering from the Massachusetts Institute of Technology (MIT), Cambridge, in 1958 and 1963, respectively. Since 1999, he has been a Faculty Associate with the California Institute of Technology, Pasadena, and a Principal Staff Member with the Jet Propulsion Laboratory, Pasadena, CA, where his major interest is large arrays for space communication and radio astronomy. He was Head of the Electronic Division (1965–1985) and Assistant Director (1986–1988) with the National Radio Astronomy Observatory, Charlottesville, VA. He has been a Visiting Researcher or faculty member with the University of California at Berkeley (1976–1978), University of Virginia (1987–1989), and University of Massachusetts at Amherst (1996–1999). From 1989 to 1996, he was Principal Scientist and Leader of the Millimeter Wave Design and Test Group, Martin Marietta Laboratories, Baltimore, MD. He has authored over 150 publications. Dr. Weinreb has served on many IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Technical Committees and paper review teams. He was a 1985 IEEE MTT-S National Lecturer, giving 35 presentations of “Radio Astronomy—A Challenge to the Microwave Engineer.” He has served on Advisory Committees for several national and international radio observatories, was an advisor to the National Aeronautics and Space Administration (NASA) Search for Extraterrestrial Life Program (1991–1997), and a U.S. delegate to the Soviet Space Research Institute (1986–1987). He was nominated to the U.S. Army Science Board (1991). He is a member of Commission J of URSI.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

1649

A Dual-Band 10/24-GHz Amplifier Design Incorporating Dual-Frequency Complex Load Matching Kai-An Hsieh, Hsien-Shun Wu, Member, IEEE, Kun-Hung Tsai, and Ching-Kuang Clive Tzuang, Fellow, IEEE

Abstract—This paper presents a comprehensive theory of a dual-frequency complex load-matching technique based on transmission lines. The theoretical analysis is insightfully described, and the applicable regions of the design equations are specified. To substantiate the theory, a corresponding methodology is proposed to design a dual-band 10/24-GHz amplifier, which is fabricated by standard 0.13- m 1P8M CMOS technology. This amplifier involves synthetic quasi-TEM transmission lines to build the dual-frequency matching circuits. The comparisons between simulations and on-wafer measurements are reported to establish the feasibility and flexibility of the presented technique in microwave applications. Index Terms—Amplifier, CMOS, complex load matching, dual frequency, transmission line.

I. INTRODUCTION

W

ITH THE rapid development of modern wireless technologies, the increasing demands of multifrequency or multistandard RF front-ends have precipitated several approaches since the last decade. Conventionally, two functional blocks are fabricated in parallel to attain dual-frequency operation. In this way, individual low-noise amplifiers (LNAs), mixers, or power amplifiers (PAs) can be optimized for their respective bands [1], [2], but they would consume a large area due to an increase in the number of devices and matching networks. To reduce the size, and hence, the cost, reconfigurable circuits capable of switching between operational bands as demanded are presented [3]–[5]. However, the switching

Manuscript received August 07, 2011; revised February 08, 2012; accepted February 14, 2012. Date of publication April 19, 2012; date of current version May 25, 2012. This work was supported by the National Science Council of Taiwan under Grant NSC 100-2221-E-002-222-MY2. K-A. Hsieh was with the Graduate Institute of Communication Engineering, National Taiwan University, Taipei 106, Taiwan. He is now with the R.O.C. Army (e-mail: [email protected]). H.-S. Wu and C.-K. C. Tzuang were with the Graduate Institute of Communication Engineering, National Taiwan University, Taipei 106, Taiwan. They are now with the School of Electronic Information Engineering, Tianjin University, Tianjin 300222, China (e-mail: [email protected]; [email protected]. edu.tw). K.-H. Tsai was with the Graduate Institute of Communication Engineering, National Taiwan University, Taipei 106, Taiwan. He is now with the Foxconn Technology Group, New Taipei City 236, Taiwan (e-mail: [email protected]. tw). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2191303

mechanism does not allow concurrent operations in a system. To overcome this barrier, some approaches involve bandstop filters to split up a wide bandwidth into desired bands [6], [7], while the broadband design may compromise the gain and noise performances. Alternatively, many dual-band or multiband circuits adopt lumped elements [8]–[11] or combine the lumped elements with transmission lines [12] to match a load simultaneously at frequencies and achieve high performances at low frequencies, typically below 5 GHz. However, ideal lumped elements could be hardly fabricated in high-frequency applications due to the inevitable distributed properties and significant parasitics. Despite the relatively simple derivation of matching circuits when using lumped elements, maintaining the value of a lumped element as a constant over a broad bandwidth is difficult, making them inappropriate for dual-band designs with a large-frequency spectrum gap (e.g., 10 GHz) between operating frequencies. On the other hand, efforts have been made to develop the dual-frequency impedance-transformation technique based on transmission lines. In the earliest designs, a two-section transformer of one-third wavelength for a frequency and its first harmonic was developed both numerically [13] and analytically [14], which were applicable only for a transformation between real impedances at operating frequencies with a ratio of 2. The restriction to the harmonic frequencies was then alleviated to a real load matching for two arbitrary frequencies, by deducing the closed-form solution based on the two-section network shown in Fig. 1(a) [15]. Moreover, the two-section transformers to match load with unequal real impedances at two designated frequencies were discussed in [16] and [17]. The two-section network was further modified to tackle a certain frequency-independent complex impedance [18], which was rather uncommon in microwave designs. Contributing to the dual-band active circuit designs, various methods incorporating additional sections were studied to match frequency-dependent complex impedances to a real one [19]–[25]. A procedure was first proposed in [19] to transform an arbitrary complex load into a pair of complex-conjugate impedances seen by the two operating frequencies, followed by a two-section network similar to that in Fig. 1(a) to complete the matching, as shown in Fig. 1(b). Later on, it was reported that the three-section , , and in Fig. 1(b) transformer composed of could be replaced by a single series transmission line, as depicted in Fig. 1(c) [20], and a dual-band amplifier was fabricated accordingly based on a single packaged transistor

0018-9480/$31.00 © 2012 IEEE

1650

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

II. INTERPRETATIONS

APPLICABLE REGIONS THEORY

AND

OF THE

A. Theoretical Analysis Known as a solution with least elements thus far, the foursection network depicted in Fig. 1(d) contributes to the dualfrequency complex load matching for and with and for , where Firstly, and should assist in achieving a conjugate condition between the two operating frequencies ( and ) for and , respectively, as shown in Fig. 1(d). The parameters of lines and can be calculated by (3)–(6) in [26] and expressed as

(1) (2)

Fig. 1. Evolution of transmission-line-based dual-frequency matching networks. (a) Two-section network for real loads matching [15]. (b) Five-section network for real and complex loads matching [19]. (c) Three-section network for real and complex loads matching [20]. (d) Four-section network for complex loads matching [26].

[21]. Recently, the continuation of previous works of [15], [19], and [20] has resulted in [26], coping with transforming two complex loads at two arbitrary frequencies, as shown in Fig. 1(d). However, the square-root operation of the design equations in [20] and [26] brings limitations to their matching methods, as the characteristic impedance of transmission lines must be real positive numbers during the implementations. Hence, the theory remains impractical and incomplete until its range of applicability is specified. This will become clearer in the later sections of this paper. In this paper, a comprehensive theory and the corresponding methodology to the design of a microwave dual-band amplifier, separated by a wide spectrum gap, are presented and verified experimentally to demonstrate the practicality and usefulness of the transmission-line-based dual-frequency complex load matching technique. Section II outlines the theory of dualfrequency complex load matching with physical insights and further specifies the range of applicability of the theory presented. Section III, thereby, reports a design methodology applied to the 10/24-GHz dual-band amplifier using the developed theory. Section IV validates the design methodology by comparing the measured and theoretical results of the dual-band amplifier, followed by Section V, which concludes this paper.

at

(3)

at

(4)

in which and can be arbitrary integers, but usually chosen as 0 or 1, ensuring the utilization of the shortest transmission-line lengths. Equations (1)–(4) resemble the results from analyzing the single transmission-line transformation between arbitrary complex impedances [27], [28] since, in both cases, similar processes are undertaken on the Smith chart. Subsequently, based on the transmission-line theory, and can be represented by (5) and (6), where

(5) (6) with and To fulfill the complex-conjugate match, (5) and (6) are substituted into (7) and then the equation is separated into real and imaginary parts, leading to

(8)

(9)

HSIEH et al.: DUAL-BAND 10/24-GHz AMPLIFIER DESIGN

1651

where (8) and (9) represent the real and imaginary parts of (7). In general, for dual-frequency matching purposes, (8) and (9) must be satisfied for both and , resulting in four equations to be solved for four variables ( , , , and ). Instead of dealing with the four equations directly, the special situation, in which and are individually designed to achieve a conjugate condition between and , is considered. In this situation, as shown in Fig. 1(d), satisfying (8) and (9) for ( and at ) will make the complex-conjugate match automatically accomplished for ( and at ), and vice versa. As a result, the number of the equations to be solved can be reduced to two. Since and are individually designed to achieve a conjugate condition between and by following (1)–(4), the above-mentioned reduction can be attained with the assistance of complex-conjugate pair transformers (CCPTs). A CCPT is, as shown in Fig. 2(a), a section of transmission line that transforms a pair of arbitrary complex-conjugate impedances at and ( and ) into another pair ( and ). Assuming the electrical length of the CCPT in Fig. 2(a) to be rad at the lower frequency , the impedance transformation on the normalized Smith chart is illustrated as Fig. 2(b) with

Fig. 2. CCPT. (a) Equivalent circuit of CCPT. (b) Graphic illustration for CCPT operation.

(10) According to the basic geometric theory, equation

must satisfy the (11)

Thus, (12) depends on the operating frequencies only, and thus any transmission line with electrical length of arbitrary positive integer multiplier of rad , which can be regarded as cascaded lines of rad, turns out to be a CCPT in general. However, for compactness and efficiency consideration, the shortest line , which is the case in Fig. 2, is mostly chosen for circuit design. Since and are individually designed to achieve a conjugate condition between and , the same condition will be guaranteed at and once and are designed as CCPTs. In this way, and are decided by (12) beforehand, and the characteristic impedances and can be obtained by solving (8) and (9) via technical computing software Mathematica for either or The adoption of CCPTs as the core of a dual-frequency matching network is the result from both closed-form deduction [15], [19], [20], [26] and optimization [29]. The proposed idea of CCPT, illustrated on the Smith chart, provides a graphic explanation to the deduction results from the previous studies, and further interprets the design concept of the cascaded transmission-line dual-frequency matching technique. If the value of or in Fig. 1(d) is a frequency-independent real number, which intrinsically provides a pair of complex-conjugate impedances at and , , or may be omitted, respectively. Therefore, the two-section network in

Fig. 3. Regions for

guaranteeing a real positive

(with

specified).

Fig. 1(a) and the three-section network in Fig. 1(c) should be regarded as special cases of the four-section network in Fig. 1(d). B. Applicable Regions of the Theory The four-section network in Fig. 1(d) seems adequate to cope with all dual-frequency matching problems. However, the square-root operation in (1) and (2) brings a limitation to this theory. For example, to attain a real positive number for in (2), the condition (13) , nor should be zero, must be satisfied. Hence, neither and furthermore, condition (13) is met only when and are in either of the following two relations. The first situation is that

(14)

1652

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 4. Schematic of the dual-band amplifier and the input impedance transformation of the first and second stage on the Smith chart (with terminal impedances listed in Table I and synthesized parameters of the transmission lines listed in Table III).

Besides, due to the property of complex numbers, the conductance can be represented by

(15) Substituting (15) into (14), this condition leads to (16) According to the example in Fig. 3, where is specified on the Smith chart with constant and circles illustrated as well, the region in which satisfies (16) is indicated as the gray area marked . Secondly, the other situation would be (17) which similarly leads to (18) and the region in which satisfies (18) is indicated as the gray area marked . Therefore, must fall inside the gray regions defined by and for a feasible . Assuming to be the point in region , the dotted circle, which is centered on the real axis of the chart and passes through both and , stands for the locus along which transforms and into a complex-conjugate pair. Note that when adopting a graphic manner to judge the feasibility of (1)–(4), drawing the constant resistance and conductance circles based on either of the two impedances results in the identical conclusion, due to the same criteria [see (16) and (18)]. The investigation on the applicable regions of

the dual-frequency matching theory resembles the analysis on the single transmission-line transformation between arbitrary complex impedances for single frequency [30]. To summarize the design methodology of the dual-frequency matching technique in this paper, the complete design procedure is organized as follows. Step 1) Initialize the parameters of , , , and in Fig. 1(d), and use Fig. 3 to individually confirm and in the gray regions of the Smith chart. Step 2) Calculate the transmission-line parameters of and with (1)–(4). Step 3) Calculate the transmission-line parameters of and with (8), (9), and (12). A dual-band amplifier is designed based on this methodology in Section III. III. DUAL-BAND AMPLIFIER DESIGN The design methodology according to the developed theory is applied to a 10- and 24-GHz concurrent dual-band amplifier to demonstrate the practicality of the dual-frequency complex load matching technique. Fig. 4 shows the schematic of the dual-band amplifier with two stages of cascode amplifiers in cascade. The dual-frequency matching technique is applied to attain the complex-conjugate match in the input, inter-stage, and output networks simultaneously, enabling this amplifier to operate concurrently at both designated frequencies. The interstage block tackles the most generalized case of dual-frequency impedance transformation, between arbitrary frequency-dependent complex ones, whereas the input and output parts cope with the specific case, between complex and real 50 ones. The biasing current is given via an RF choke composed of a two-section network, and the transmission lines and present inductance to the gate terminal of and in each stage, respectively, for gain enhancement.

HSIEH et al.: DUAL-BAND 10/24-GHz AMPLIFIER DESIGN

1653

A. Synthesis of the RF Choke To provide the biasing current without influencing the gain performance, a dual-frequency RF choke is synthesized according to the design equations presented in Section II-A. The RF choke in the first stage is composed of and , which are designed as CCPTs to transform a short-circuited load into an open one simultaneously at 10 and 24 GHz. With replacing and in Fig. 1(d) by and , respectively, and setting and , (5) and (6) become

TABLE I TERMINAL IMPEDANCES OF THE MATCHING NETWORKS FOR THE DUAL-BAND AMPLIFIER IN FIG. 4

(19) (20) , By substituting (19) and (20) into (7) with which is calculated by using (12) beforehand, the characteristic impedances of and are found to satisfy

TABLE II NUMERICAL RESULTS FOR MAKING THE DUAL-FREQUENCY MATCHING NETWORKS IN FIG. 4

(21) and are selected to be 87.5 In this design, the values of and 50.0 , respectively. These values are applied to and of the RF choke in the second stage as well. B. Synthesis of the Matching Networks To design the input matching network, the input impedance , should be examined based on the criteof the first stage, rion proposed in Section II-B in the first instance. As illustrated on the Smith chart in Fig. 4, the impedance ( at 10 GHz) is located outside the gray regions, revealing an unsuitability to apply (1)–(4) directly. Actually, encountering impedances that are outside the gray regions is commonplace when designing matching networks for a circuit incorporating transistors, even though a particular case, where the terminal impedances of a transistor were directly located inside the gray regions, was discussed in [21]. Fortunately, this kind of obstacle normally can be overcome by utilizing series or shunt elements to achieve proper impedance transformation. As shown in Fig. 4, the transmission line of 1920- m length is embedded in the biasing path to , followed by a bypass capacitor to form a short-circuited shunt-stub for small signals. The shunt-stub and the dc-blocking capacitor together shift ( at 24 GHz) slightly toward the circumference of the Smith chart. Also, the shunt-stub with about 51.6 at 10 GHz forces to shift into the gray region. As a result, is transformed into , which satisfies (18), and thus Step 1) of the design procedure summarized in Section II is fulfilled. After executing Step 2), only one section is generated since the value of is a real number. By following Step 3), the design parameters of and are obtained to complete the three-section network for the input matching. Similarly, the design procedure is applied again to form the inter-stage matching network. As shown in Fig. 4, , , and transform into prior to the execution of Step 2) and 3) of the design procedure. The four-section network is then built to perform the generalized dual-frequency complex load matching. Eventually, after using Fig. 3 to individually confirm that and are located in the gray regions, the three-

section network composed of , , and is set up for output impedance matching. Table I shows the terminal impedances of each matching network. Impedances are proper transformed, if needed, to satisfy (16) or (18). Since the closed-form method behaves sensitively to the terminal impedances, the losses are considered and simulated carefully for the impedance transformations to obtain those values listed in Table I. The numerical results, which represent the parameter values of the cascaded transmission lines, are listed in Table II. Since the unique solution for transforming the terminal impedances of the transistors into gray regions does not exist, different forms of the transformer should be chosen according to the initial location of the impedances on the Smith chart. The design freedom of and results in their absence in Table II, which collects the specific values restricted by the terminal impedances. Moreover, this transformer incorporating or offers additional parameters to the dual-frequency matching network and influences the numerical results from the closed-form method. Therefore, a proper design of this additional network probably benefits the realization of other transmission lines. Due to the finite reverse isolation of each stage, a few iterations of the design procedure may be necessary to attain a simultaneously dual-frequency complex-conjugate match in each network.

1654

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

TABLE III STRUCTURAL PARAMETERS AND GUIDING CHARACTERISTICS OF THE CCS TLs FOR REALIZING THE MATCHING NETWORKS IN FIG. 4

Fig. 5. Simulated matching condition at the midpoint of the inter-stage network (referring to the schematic in Fig. 4). Fig. 7. Simulated and measured gain of the prototype in Fig. 4.

Fig. 6. Chip photograph of the dual-band amplifier: chip size of 1230 m 930 m including pads; standard 0.13- m 1P8M CMOS technology.

IV. REALIZATION AND MEASUREMENTS The 10- and 24-GHz dual-band amplifier is fully integrated in SILTERRA 0.13- m 1P8M CMOS technology, and the synthetic quasi-TEM transmission lines, the so-called complementary-conducting-strip transmission line (CCS TL), are applied to support well-defined electromagnetic propagation. The CCS

Fig. 8. Simulated and measured return losses of the prototype in Fig. 4.

TL, which has been proven for their good flexibility in synthesizing the guiding characteristics [31], [32] and high efficiency in circuit miniaturization [33], [34], is chosen to construct all the transmission-line elements in Fig. 4. The design parameters of the transmission lines are calculated by using the proposed method for realizing dual-frequency matching networks.

HSIEH et al.: DUAL-BAND 10/24-GHz AMPLIFIER DESIGN

1655

TABLE IV COMPARISONS OF INTEGRATED DUAL-BAND AMPLIFIERS

The calculated results, which are summarized in Table II, are regarded as the design parameters for the CCL TL syntheses. Doing the syntheses, the multitrace CCS TLs, a condensed version of CCL TLs by putting multiple signal traces in the same unit cell, are utilized as well to extend the range of available characteristic impedances and further reduce the chip area without compromising the quality factors ( factors) [35], [36]. To maintain high- factors in each line, conventional (singletrace) CCS TLs are adopted to implement the lines with a characteristic impedance lower than 65 , whereas double-trace CCS TLs are used to synthesize transmission lines with a characteristic impedance higher than 65 . Inside each matching block, either single- or double-trace CCS TLs are arranged in the meandered form for compactness. Table III displays the structural parameters and guiding characteristics of the CCS TLs eventually used, after fine uning, to build the matching networks. As defined in [32, Fig. 2(a)], , , and denote the periodicity, side length of the mesh area, and signal linewidth, respectively. The term represents the spacing between signal lines in a unit cell of a double-trace CCS TL. For each line, the mesh ground is formed by combining metal-1 to metal-6 together with solid vias, and the signal trace is designed on the top metal. The characteristics of all passive components in this circuit are extracted by ANSYS HFSS. On the transmission line with characteristic impedance lower than , the relatively low- factor may cause the impedance transformations on the Smith chart to deviate from the ideal tracks. Consequently, the characteristic impedances of and are synthesized higher, as shown in Table III, for compensating this offset in matching condition. Despite little modifications made for realizing considerations, small deviations between synthesized values and numerical results are observed for the other TLs in Table III, revealing the theory makes good suggestions on matching network design parameters. The simulated impedances at the midpoint of the inter-stage network are illustrated on the Smith chart by Agilent ADS2009, as shown

in Fig. 5, to make sure the dual-frequency complex-conjugate matched condition is obtained. Fig. 6 shows the chip photograph of the dual-band amplifier, which occupies an area of 1230 m 930 m including contact pads. This circuit is characterized through the on-wafer measurements. The scattering parameters are collected by a 50- vector network analyzer, after the short-open-load-thru (SOLT) calibration procedures are carried out. The measured results are compared with those from simulations performed by ADS2009, showing good agreement in both gain and return losses, as plotted in Figs. 7 and 8, respectively. The measured magnitudes of the -parameters at 10 and 24 GHz are 25.35 and 12.12 dB for , 24.45 and 17.92 dB for , and 19.59 v and 10.48 dB for , respectively. In this design, since the same transmission path is shared by both signals at two operating frequencies, the higher transmission loss at 24 GHz in each network results in the much lower gain performance at 24 GHz than at 10 GHz. The circuit is fully shielded by the mesh ground plane provided by CCS TLs, which eliminates the coupling through the silicon substrate substantially, and thereby keeps the reverse isolation higher than 30 dB in all bands. This amplifier consumes a total power of 12 mW from the supplying voltages of 1.2 V. Table IV summarizes the comparisons between this study and other integrated dual-band amplifiers. To the best of the authors’ knowledge, this is the first demonstrated dual-band amplifier operating at frequencies with a ratio higher than two and a frequency spectrum gap of more than 10 GHz at the same time, verifying the feasibility and flexibility of this transmission-line-based dual-frequency impedance transformation technique. V. CONCLUSION This paper has presented the transmission-line-based dual-frequency complex load matching technique by providing

1656

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

its theoretical analysis and demonstrating the technique experimentally. The dual-frequency matching technique is applied to an integrated circuit design for the first time to realize a CMOS dual-band amplifier operating simultaneously at 10 and 24 GHz. Good agreement between simulations and measurements establishes the practicality and usefulness of the presented technique in microwave designs. REFERENCES [1] S. Wu and B. Razavi, “A 900-MHz/1.8-GHz CMOS receiver for dualband applications,” IEEE J. Solid-State Circuits, vol. 33, no. 12, pp. 2178–2185, Dec. 1998. [2] K. Yamamoto, S. Suzuki, K. Mori, T. Asada, T. Okuda, A. Inoue, T. Miura, K. Chomei, R. Hattori, M. Yamanouchi, and T. Shimura, “A 3.2-V operation single-chip dual-band AlGaAs/GaAs HBT MMIC power amplifier with active feedback circuit technique,” IEEE J. SolidState Circuits, vol. 35, no. 8, pp. 1109–1120, Aug. 2000. [3] L.-H. Lu, H.-H. Hsieh, and Y.-S. Wang, “A compact 2.4/5.2-GHz CMOS dual-band low-noise amplifier,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 10, pp. 685–687, Oct. 2005. [4] H. Song, H. Kim, K. Han, J. Choi, C. Park, and B. Kim, “A sub-2 dB NF dual-band CMOS LNA for CDMA/WCDMA applications,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 3, pp. 212–214, Mar. 2008. [5] C.-T. Fu, C.-L. Ko, C.-N. Kuo, and Y.-Z. Juang, “A 2.4–5.4-GHz wide tuning-range CMOS reconfigurable low-noise amplifier,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 12, pp. 2754–2763, Dec. 2008. [6] C.-W. Ang, Y. Zheng, and C.-H. Heng, “A multi-band CMOS low noise amplifier for multi-standard wireless receivers,” in Proc. IEEE Int. Circuits Syst. Symp., May 2007, pp. 2802–2805. [7] H.-S. Jhon, I. Song, J. Jeon, H. Jung, M. Koo, B.-G. Park, J. D. Lee, and H. Shin, “8 mW 17/24 GHz dual-band CMOS low-noise amplifier for ISM-band application,” Electron. Lett., vol. 44, no. 23, pp. 1353–1354, Nov. 2008. [8] H. Hashemi and A. Hajimiri, “Concurrent multiband low-noise amplifiers—Theory, design, and applications,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 1, pp. 288–301, Jan. 2002. [9] S.-F. R. Chang, W.-L. Chen, S.-C. Chang, C.-K. Tu, C.-L. Wei, C.-H. Chien, C.-H. Tsai, J. Chen, and A. Chen, “A dual-band RF transceiver for multistandard WLAN applications,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 1048–1055, Mar. 2005. [10] K. Xuan, K. F. Tsang, W. C. Lee, and S. C. Lee, “0.18 m CMOS dualband low-noise amplifier for Zigbee development,” Electron. Lett., vol. 46, no. 1, pp. 85–86, Jan. 2010. [11] Y. Yoon, H. Kim, J. Cha, O. Lee, H. S. Kim, W. Kim, C.-H. Lee, and J. Laskar, “Fully-integrated concurrent dual-band CMOS power amplifier with switchless matching network,” Electron. Lett., vol. 47, no. 11, pp. 659–661, May 2011. [12] A. Fukuda, H. Okazaki, and S. Narahashi, “Novel multi-band matching scheme for highly efficient power amplifier,” in Eur. Microw. Conf., Sep.–Oct. 2009, pp. 1086–1089. [13] Y. L. Chow and K. L. Wan, “A transformer of one-third wavelength in two sections-for a frequency and its first harmonic,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 1, pp. 22–23, Jan. 2002. [14] C. Monzon, “Analytical derivation of a two-section impedance transformer for a frequency and its first harmonic,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 10, pp. 381–382, Oct. 2002. [15] C. Monzon, “A small dual-frequency transformer in two sections,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1157–1161, Apr. 2003. [16] J.-T. Kuo and H.-P. Lin, “Dual-band bandpass filter with improved performance in extended upper rejection band,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 4, pp. 824–829, Apr. 2009. [17] M.-L. Chuang, “Dual-band microstrip coupled filter with hybrid coupling paths,” Proc. IET Microw., Antennas Propag., vol. 4, no. 7, pp. 947–954, Jul. 2010. [18] Y. Wu, Y. Liu, and S. Li, “A dual-frequency transformer for complex impedances with two unequal sections,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 2, pp. 77–79, Feb. 2009. [19] P. Colantonio, F. Giannini, and L. Scucchia, “A new approach to design matching networks with distributed elements,” in Proc. 15th Int. Microw. Radar Wireless Commun. Conf., May 2004, vol. 3, pp. 811–814.

[20] X. Liu, Y. Liu, S. Li, F. Wu, and Y. Wu, “A three-section dual-band transformer for frequency-dependent complex load impedance,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 10, pp. 611–613, Oct. 2009. [21] X. Liu, Y. Liu, S. Li, and F. Wu, “Design of dual-band amplifier using three-section dual-frequency matching structure,” in IEEE Int. Commun. Technol. Appl. Conf., Oct. 2009, pp. 775–779. [22] M.-L. Chuang, “Dual-band impedance transformer using two-section shunt stubs,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 5, pp. 1257–1263, May 2010. [23] M. A. Nikravan and Z. Atlasbaf, “T-section dual-band impedance transformer for frequency-dependent complex impedance loads,” Electron. Lett., vol. 47, no. 9, pp. 551–553, Apr. 2011. [24] R. Negra, A. Sadeve, S. Bensmida, and F. M. Ghannouchi, “Concurrent dual-band class-F load coupling network for applications at 1.7 and 2.14 GHz,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 55, no. 3, pp. 259–263, Mar. 2008. [25] P. Colantonio, F. Giannini, R. Giofre, and L. Piazzon, “A design technique for concurrent dual-band harmonic tuned power amplifier,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 11, pp. 2545–2555, Nov. 2008. [26] Y. Wu, Y. Liu, S. Li, C. Yu, and X. Liu, “A generalized dual-frequency transformer for two arbitrary complex frequency-dependent impedances,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 12, pp. 792–794, Dec. 2009. [27] H. Jasik, Antenna Engineering Handbook. New York: McGraw-Hill, 1961, sec. 31.3, pp. 31–9. [28] T. A. Milligan, “Transmission-line transformation between arbitrary impedances,” IEEE Trans. Microw. Theory Tech., vol. MTT-24, no. 3, p. 159, Mar. 1976. [29] M. Khodier and N. Dib, “Design of multi-band transmission line transformer using particle swarm optimization,” in Proc. IEEE AP-S Int. Symp., Jul. 2006, pp. 3305–3308. [30] P. I. Day, “Transmission line transformation between arbitrary impedances using the smith chart,” IEEE Trans. Microw. Theory Tech., vol. MTT-23, no. 9, pp. 772–773, Sep. 1975. [31] C.-C. Chen and C.-K. C. Tzuang, “Synthetic quasi-TEM meandered transmission lines for compacted microwave integrated circuits,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 6, pp. 1637–1647, Jun. 2004. [32] M.-J. Chiang, H.-S. Wu, and C.-K. C. Tzuang, “Design of synthetic quasi-TEM transmission line for CMOS compact integrated circuit,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 12, pp. 2512–2520, Dec. 2007. [33] M.-J. Chiang, H.-S. Wu, and C.-K. C. Tzuang, “Artificial-synthesized edge-coupled transmission lines for compact CMOS directional coupler designs,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 12, pp. 3410–3417, Dec. 2009. [34] S. Wang, K.-H. Tsai, K.-K. Huang, S.-X Li, H.-S. Wu, and C.-K. C. Tzuang, “Design of -band RF CMOS transceiver for FMCW monopulse radar,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 1, pp. 61–70, Jan. 2009. [35] C.-W. Wang, H.-S. Wu, and C.-K. C. Tzuang, “A miniaturized power combiner for compact design of CMOS phase shifter -band,” in IEEE MTT-S Int. Microw. Symp. Dig., May at 2010, pp. 121–124. [36] M.-L. Lee, H.-S. Wu, and C.-K. C. Tzuang, “1.58-GHz third-order CMOS active bandpass filter with improved passband flatness,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 9, pp. 2275–2284, Sep. 2011.

Kai-An Hsieh received the B.S. degree in electrical engineering from National Taiwan University, Taipei, Taiwan, in 2009, and the M.S. degree from the Graduate Institute of Communication Engineering, National Taiwan University, Taipei, Taiwan, in 2011. He is currently in the R.O.C. Army to fulfill his mandatory military service in Taiwan. His research interests include microwave circuit theory and CMOS RF integrated circuits.

HSIEH et al.: DUAL-BAND 10/24-GHz AMPLIFIER DESIGN

Hsien-Shun Wu (S’97–M’05) received the B.S. degree in electronic engineering from the National Taipei University of Technology, Taipei, Taiwan, in 1999, and the M.S. and Ph.D. degrees in communication engineering from National Chiao Tung University, Hsinchu, Taiwan, in 2001 and 2005, respectively. From November 2005 to July 2011, he was a Post-Doctoral Research Fellow with the Graduate Institute of Communication Engineering, National Taiwan University, Taipei, Taiwan, where he was involved in the development of the CMOS-based microwave sensors for the real-time multilane traffic radar. In September 2011, he joined the School of Electronic Information Engineering, Tianjin University, as a Research Professor. His research interests include the design of RF system modules and the applications of synthetic waveguides for RF system-on-chip (SOC).

Kun-Hung Tsai received the B.S. degree in electrical engineering from National Taiwan University, Taipei, Taiwan, in 2005, and the Ph.D. degree from the Graduate Institute of Communication Engineering, National Taiwan University, Taipei, Taiwan, in 2011. He is currently with the Foxconn Technology Group, New Taipei City, Taiwan. His research interests include CMOS RF integrated circuits, signal integrity, and electromagnetic compatibility.

Ching-Kuang Clive Tzuang (S’80–M’80–SM’92– F’99) received the B.S. degree in electronic engineering from National Chiao Tung University, Hsinchu, Taiwan, in 1977, the M.S. degree from the University of California at Los Angeles (UCLA), in 1980, and the Ph.D. degree in electrical engineering from The University of Texas at Austin, in 1986. From 1981 to 1984, he was with TRW, Redondo Beach, CA, where he was involved in the design of high-speed analog and digital data converter integrated circuits (ICs). In 1986, he became an

1657

Associate Professor with the Institute of Communication Engineering, National Chiao Tung University, and a Full Professor in 1991. In February 2004, he joined the Department of Electrical Engineering, Graduate Institute of Communication Engineering, National Taiwan University, Taipei, Taiwan, where he conducted research on advanced guiding structures for research and development of the RF sensor system-on-chip (SOC), integrating active and passive microwave/millimeter-wave RF signal-processing components into a single CMOS chip. His research activities also involved the field-theoretical analysis and design of waveguide structures and large-array antennas for integrating RF systems in a package. He retired as a public servant from National Taiwan University in January 2012, and joined the School of Electronic Information Engineering, Tianjin University, as a Distinguished Professor, carrying out applications of RF SOC. From 1992 to 1994, he was a team member who supervised the installation of the tracking radar system placed at the Center for Space and Remote Sensing Research, National Central University, Chung Li, Taiwan. He helped execute an eight-year Academic Excellent Program (2000–2008) funded by the Ministry of Education and National Science Council of Taiwan, which focused on the advanced microwave/millimeter-wave RF and communication technology development, leading to the investigation of scaled microwave RF SOC technology, and participation in the IEEE 802.15 TG3c 60-GHz wireless personal area network (WPAN) standardization in collaboration with CoMPA, Yokosuka, Japan. He recently explored the feasibility of applying the CMOS technology to the development of terahertz and millimeter-wave SOC, and the RF sensors and system for vehicle detection applications. Since 2007, he has served the Independent Director of the Board of Directors of CyberTAN Technology Inc. He has supervised 29 Ph.D. students and 74 M.S. students. Dr. Tzuang helped establish the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Taipei Chapter, and was secretary, vice chairman, and chairman in 1988, 1989, and 1990, respectively. Since January 2010, he has been the editor-in-chief for the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. He was the recipient of the 2008 Excellent Project Award presented by the Ministry of Transportation and Communications for practically demonstrating the real-time multilane traffic sensor using a CMOS-based lightweight radar.

1658

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

A 30.8-dBm Wideband CMOS Power Amplifier With Minimized Supply Fluctuation Boshi Jin, Student Member, IEEE, Junghwan Moon, Student Member, IEEE, Chenxi Zhao, and Bumman Kim, Fellow, IEEE

Abstract—A wideband design method for a twisted eight-shape transformer is introduced with the design guidelines. The supply modulation effect caused by the fluctuation of virtual ground is analyzed. To minimize the effect, the different matching capacitors are adopted at each port and an accurate virtual ground is positioned. For verification, a fully integrated linear CMOS power amplifier (PA) is implemented in a 0.18- m standard 1P6M RF CMOS process for 2.3- and 2.6-GHz m-WiMAX (IEEE 802.16e standard) system. In continuous-wave measurements, the two-stage Class-AB PA delivers 30.8-dBm saturated power with a 30.6% power-added efficiency (PAE) and 22-dB gain across 900-MHz bandwidth (defined as 1-dB gain bandwidth). In 16 quadrature amplitude modulation m-WiMAX modulation signal measurements, the PA generates 26.2-dBm average power with a 20.5% PAE. The PA complies with the spectrum mask at a 21-dBm average power level and satisfies 3% error vector magnitude at 17-dBm average power. Index Terms—CMOS, linear, m-WiMAX, power amplifier (PA), supply modulation, transformer, wideband.

I. INTRODUCTION

C

URRENTLY, the evolutionary communication systems operate with high data-rate transmission and require a transceiver with large bandwidth, high linearity, and low-cost production. A single chip solution for the RF transceiver implemented in a CMOS process is one way to reduce the mass production cost. Today, most of the RF blocks are implemented in the scaled CMOS process, except for the RF power amplifier (PA). Performance of the PA in the CMOS process is limited due to the low breakdown voltage, conductive substrate, and poor linearity [1], [2]. The transformer-based CMOS PA is an attractive solution to solve these problems. Many fully integrated CMOS PAs using all kinds of transformers have been explored with high linearity and high efficiency at a low power and are being promoted to middle- and high-power regions [3]–[6]. Manuscript received December 26, 2011; accepted January 26, 2012. Date of publication March 23, 2012; date of current version May 25, 2012. This work was supported by The Ministry of Knowledge Economy (MKE), Korea, under the Information Technology Research Center (ITRC) support program supervised by the National Information Technology Industry Promotion Agency (NIPA) [NIPA-2010-(C1090-1011-0011)] and by the World Class University (WCU) program through the Korea Science and Engineering Foundation funded by the Ministry of Education, Science and Technology under Project R31-2008000-10100-0. B. Jin is with RDA Microelectronics Inc., Beijing 10086, China. J. Moon, C. Zhao, and B. Kim are with the Department of Electrical Engineering, Pohang University of Science and Technology, Gyeungbuk, Korea (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2189142

However, for high-power and high-linearity PA applications, CMOS PAs still have not met expectations yet. Besides the problems of intrinsic CMOS devices, the supply modulation effect of the transformer-based CMOS PA degrades the linearity, which is caused by the fluctuation of virtual ground. It is a limiting factor for adopting distortion cancellation techniques, such as digital predistortion (DPD), and is an important issue that has not been fully investigated thus far. Moreover, most of the transformer-based CMOS PAs are restricted in bandwidth due to the mismatch with the transformer. Many transformer prototypes are proposed to achieve the broad bandwidth effectively, such as eight-shape transformer and tournament-shape transformer [4], [7]–[9]. However, their designs are heavily dependent on optimization by electromagnetic (EM) simulation. There are still no qualitative guidelines to design a wideband transformer-based CMOS PA. In this study, our transformer-based CMOS PA is for the m-WiMAX standard at 2.3- and 2.6-GHz dual bands. It operates with an orthogonal frequency-division muliplexing (OFDM)-based modulation signal with a high peak-to-average power ratio (PAPR) (about 10 dB) and requires a PA with a high output power (saturated power is about 30 dBm) and high linearity and efficiency at the back-off power region. In this paper, we discuss two problems for the wideband linear transformer-based CMOS PA design. For the wideband implementation, a broadband transformer design method considering the PA’s power matching is explained in detail and some design guidelines are suggested. To verify the analysis, a twisted eight-shaped transformer with 1-GHz bandwidth is demonstrated in Section II. For linearity issues, the supply modulation effect caused by the fluctuation of virtual ground in the transformer is analyzed and some minimization solutions are proposed in Section III. In Section IV, a linear CMOS PA is designed for 2.3- and 2.6-GHz m-WiMAX transmitter in a standard 0.18- m RF CMOS process. In Section V, the measured results are given with continuous wave (CW) and 16 quadrature amplitude modulation (QAM) m-WiMAX modulation test signals. To support the effectiveness of the solutions for supply modulation minimization, a conventional PA is compared for the AM and PM distortions. II. WIDEBAND TRANSFORMER DESIGN FOR PA A. Power Combining With Transformer With assumption of perfect magnetic coupling in the ideal transformer shown in Fig. 1, the secondary loop has the same magnitude, but opposite direction of current with the primary’s. The voltages are out of phase at each primary port and combined at the secondary. Thus, the power is combined through

0018-9480/$31.00 © 2012 IEEE

JIN et al.: 30.8-dBm WIDEBAND CMOS PA

1659

Fig. 1. Operation of transformer in CMOS PA.

the voltage combination with the same current, and it can reduce the loss introduced by the current flow through the passive components. The virtual ground is formed at the middle of primary loop and it is often employed as the dc supply point [3]–[6], [11]–[15]. B. Wideband Transformer Design Method Conventionally, the transformer is designed as a passive component and the bandwidth is optimized with an EM simulator. However, when it is combined with the power cell in the PA, the bandwidth of the PA is often reduced significantly due to the mismatch between the desired ( is frequency dependent through the output capacitance of power cell ) and transformed load impedance by the transformer [10]–[12]. Thus, needs to be compensated properly to obtain a broad band matching. In this study, and the parasitic parameters of the transformer are considered as the matching elements for the power matching of the PA to minimize the mismatching across the broad bandwidth. The transformer model used for the analysis is shown in Fig. 2. The primary and secondary loops of the transformer are equivalent with inductances , , and resistances , , respectively. is the coupling factor between the two loops. is the load impedance, which is 50 in this analysis. and are the extra input and output capacitances for tuning. is extracted from the model of transistors. The transformed impedances at each node are described by (1)–(3) as follows:

(1)

(2)

(3) (4) is computed using MATLAB 7.0. We find that and have minor impacts on , and , , and have strong effects. as a function of frequency with different , , and

Fig. 2. Model and equivalent circuit of the transformer.

are plotted in Fig. 3. We can find that the load impedance has less variation across the bandwidth when is smaller, or and are larger. Thus, we can extract the following design guidelines. To reduce the variation of with frequency, should be small, and should be large, and the value of them can be derived according to the desired with the above equations. Due to the low quality factor of the on-chip transmission lines in the CMOS process, usually cannot be over 0.8. Thus, and should be mainly adjusted to obtain a broad band matching. In the design, widths and lengths of the loops and spacing between them can be decided based on the selected and . C. Wideband Twisted Eight-Shape Transformer The transformer used in the study is shown in Fig. 4. It has an eight-shape two loops and the loops are twisted to have an opposite current flow, it can provide RF virtual ground for the neighbored power cell, and can be immune to the common mode oscillation [4], [13]. The dc supply pad and RF output pad are separated to avoid any oscillation. The configuration is designed with the given guidelines. The secondary loop is placed between the dual primary loops to enhance the coupling factor and reduce the primary inductance , and the two primary loops are connected with lower metal layers. The desired load impedance in this design is about 8 , and the parasitic parameters of transformer can be derived with (1)–(4). The spacing between the neighbored loops is 3 m and the widths of the primary and secondary loops are 15 and 25 m, respectively, to obtain the proper , , and , which are calculated using ADS2008 Momentum EM simulator and summarized in Table I. is about one-fourth of and is 0.72. Total size of the transformer is 1000 m 400 m. The on-chip metal–insulator–metal (MIM) capacitors and are selected with 2.058 and 0.66 pF, respectively. The calculated is shown in Fig. 5, which includes , as depicted in Fig. 2. The power matching varies a small amount across the bandwidth of interest. Computed loss of the transformer is also

1660

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 5. Calculated

and simulated loss of transformer.

Fig. 6. Composition of IM3 by the envelope and even harmonic frequencies.

Fig. 3. Load impedance (b) Coupling factor .

as the function of frequency and: (a)

and

.

utilizes the standard CMOS process without any extra post process to achieve the low loss and broad bandwidth. III. SUPPLY MODULATION EFFECT TRANSFORMER-BASED PA

OF THE

A. Origin of Supply Modulation

Fig. 4. Proposed twisted eight-shape transformer.

TABLE I SIMULATED PARASITIC PARAMETERS OF TWISTED EIGHT-SHAPE TRANSFORMER

given in Fig. 5, which is lower than 1 dB from 2 to 3 GHz. It should be emphasized that the transformer in this design only

The supply modulation always occurs when the dc supply line becomes a nonzero RF load at second harmonics (2 and 2 ) and envelope ( and ) frequencies. The dc supply voltage modulated by the second harmonic and the modulated voltage ripple is supplied to the PA. The third-order intermodulation (IM3) products that are intermodulated by them degrade the linearity significantly [16], [17], and the composition is indicated in Fig. 6. The supply modulation of the transformer-based PA is different from the conventional single-ended case. For a singleended PA, usually, the dc supply point is located close to the drain node, and the open impedance at the fundamental frequency is provided by a quarter-wave transmission line terminated with a big bypass capacitance [18]. Since the bias line has dispersive impedances at the even harmonic and the envelope signal, it generates the memory effect by intermodulation, normally, the envelop signal is the most important element [16]. To minimize the memory effect, envelope and even harmonic trap circuits are often applied with lumped capacitors to reduce the dispersive impedance. For a differential PA, which is popularly employed in CMOS PA design, theoretically, the RF signal path is completely isolated from the dc path when utilizing the virtual ground of the transformer as the dc supply point. Therefore, the second harmonic short circuit is easily manipulated at the virtual ground point to suppress the supply modulation. A typical

JIN et al.: 30.8-dBm WIDEBAND CMOS PA

1661

supply modulation introduced by the differential voltage ripple at the bias point was reported by Haldi et al. [13]. However, they just analyzed the supply modulation effect caused by the intrinsic differential operation of the PA. In this paper, the origin of the supply modulation effect introduced by the fluctuation of virtual ground in the transformer is fully investigated and some minimization methods are suggested. In our analysis, the varied load impedance due to the fluctuation of virtual ground is the main origin of the supply modulation and is mainly discussed here. The envelope impedance caused by the on-chip transformer loop is ignored because of the small electrical length. The impacts of the varied impedance on nonlinearity have been analyzed fully in previous work [16], [17]. If any load impedance seen by the power cell is varied with power or modulation frequency, it will lead to the memory effect and deteriorate the linearity. However, general solutions to minimize the varied load impedance seen by distorted current source, such as employing trap circuit with large lumped components for a hybrid PA, cannot be implemented in the transformer-based CMOS PA designs, and we should explore other solutions. In real operation of the transformer-based PA, the load impedance of each power cell is not perfectly balanced. The position of the RF virtual ground is changed according to the power level at the output loop. Thus, it is not fixed at the center of the primary, but is a little deviated and fluctuated with power levels. Second, the load impedances at each of the primary’s ports are not equal due to the fluctuated RF virtual ground. The imbalanced output powers generated by the power cells aggravate the fluctuation even more. The equivalent circuit of each power cell and analyzed variables for the transformer are indicated in Fig. 4. To derive a general analysis, we use to represent the th loop of transformer, thus each port number is and for the th loop. is the distance from the center of the primary loop to the port of the power cell and is the deviation of the RF virtual ground from the physical center, respectively. is the external load impedance at the drain node, which is affected by the position of the virtual ground; is the impedance of the bias circuit, which is not located at the drain node, but away from it, thus is very sensitive to the variation of the virtual ground position. is the internal impedance determined by the power cell parameters and bias. Since all four power cells have the same parameters and bias, they have the same in this analysis. The variation of leads to the imbalance of power match for each port and the variation of leads to the nonzero dispersive impedance. Both of them contribute to produce the supply modulation. Since and are affected by the virtual ground and secondary loop, respectively, they are considered in parallel. Thus, at the th port, the load impedance seen by power cell at the drain node can be expressed as

(5)

Fig. 7. (a) Schematic and chip photograph of on-chip PA without the transformer. (b) Measured IMD3 and IMD5 with 1-, 5-, and 10-MHz two-tone signals.

Fig. 8. Simulated load impedance at each port as a function of input power.

Since the th port is located close to port , as shown in Fig. 4, we can assume that they have the same external load impedance . Thus, the load impedance for port can be expressed as (6)

(6)

1662

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 9. Schematic of the CMOS PA.

Fig. 10. Chip photograph of the CMOS PA.

The voltage from loop be written as

coupling to the secondary loop can

Fig. 11. CW measurement. (a) Gain, efficiency, PAE, and output power at from 2 to 3 GHz. 2.5 GHz. (b) Gain, efficiency, PAE, and output power at

(7) Thus, the output voltage at the output of the secondary loop can be summarized as

where (8)

(10)

(9) is the characteristic impedance of the transformer loop, is the electrical length of the loop, is the varied electrical length of the virtual ground and can be approximate to for small electrical length, and is the velocity of light.

We can see that is a function of and presents fluctuation due to the supply modulation. It is a rather unique phenomenon for the transformer-based PA and some measured random distortions of AM–AM and AM–PM results in Section V show the effect more clearly.

JIN et al.: 30.8-dBm WIDEBAND CMOS PA

1663

Fig. 14. Measured performance with 16-QAM m-WiMAX modulation signal.

Fig. 15. Measured EVM from 2.3 to 2.8 GHz with 16-QAM m-WiMAX modulation signal. Fig. 12. Measured: (a) AM–AM and (b) AM–PM for two PAs with 16-QAM m-WiMAX modulation signal.

Fig. 16. Measured spectrum at 2.5 GHz with 16-QAM m-WiMAX modulation signal.

Fig. 13. Measured IMD3 with 5-, 10-, 15-, and 20-MHz tone spacing.

The on-chip PA without the transformer is also compared to illustrate this supply modulation phenomenon. The power is combined through the printed circuit board (PCB) transformer, and the dc is supplied via choke inductances, which is the same as the single-ended configuration, as illustrated in Fig. 7(a). The PA is tested with a 1-, 5-, and 10-MHz two-tone signal, and we can find that third-order intermodulation distortion (IMD3) and fifth-order intermodulation distortion (IMD5) are almost not varied with the tone spacing. Thus, the supply modulation

effect is mainly caused by the fluctuation of virtual ground when utilizing it as the dc supply. B. Minimization of Supply Modulation , the imTo minimize the supply modulation caused by balances of the load impedance at each port and the transformer structure should be minimized. It can be achieved by the following two methods. First, the different are employed at each loop to make all power cells see the same load impedance and generate the same powers. The simulated load impedances at each port are compared in Fig. 8. The horizontal axis is the port number described in Fig. 4 and the vertical axis is the input

1664

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

TABLE II COMPARISONS AMONG THE STATE-OF-THE-ART LINEAR CMOS PA

power. When the same 2.23-pF capacitors are applied on both loops, the load impedance at the each port has large fluctuation with the input power and they are also different among ports, even under the same input power. To solve this problem, the different capacitances are employed to improve the load impedance matching at each port and the capacitance value can be decided when each port sees the same load impedance in the simulation. In this design, when 2.23 pF is applied on loop and 2.058 pF on loop , the imbalance of the impedances at each port is reduced significantly. The fluctuation of is also reduced accordingly. Second, the accurate RF virtual ground is detected with the assistance of an EM simulator, and it is a little outward deviated from the center point of primary loop. Both methods contribute to minimize the effect. IV. IMPLEMENTATION OF LINEAR CMOS PA A dual-band linear PA for 2.3- and 2.6-GHz m-WiMAX systems is designed in this section. The schematic is shown in Fig. 9 and the transformer described in Section II is employed to implement the fully integrated chip. An on-chip spiral balun is utilized to divide the single-ended input signal to the differential signals. Both the power and driver stages employ the cascode topology. The common gate (CG) is selected with 0.35- m-thick oxide-type transistors to enhance the reliability and common source (CS) with 0.18- m-thin oxide-type transistors to enhance the gain. The single finger width is 8 m and the unit cells are given more spacing stemming from thermal effect. The total gatewidth of the CG and CS for the power stage is 16.384 mm. To reduce the nonlinear harmonic brought by the driver stage, the driver stages operate at 2-dB back-off from the point and the total gatewidth of the driver stages is 4.096 mm, which is one-fourth of the power stages. The drain supply of the CG is set to 3.3 V and the gate bias is 2.2 V to relieve the voltage stress. To suppress nonlinearity maximally, the gate bias of the CS is set at the point of zero and the second harmonic short circuits are attached at the drain and source nodes of the power stage [19], [20]. To suppress the common mode oscillation, the two primary ports of loop 2 are twisted to have the neighbored power cells with the same RF virtual ground. The PA is fabricated in a 0.18- m standard 1P6M CMOS process without any post processing and a chip photograph is shown in Fig. 10. All the signal lines use the top metal layers or several connected lower layers to reduce the path loss. The dummy metals are removed around the transformer and balun to increase the quality factor of the passive components. The total size of the die is 1.2 mm 2 mm.

V. MEASUREMENTS RESULTS AND ANALYSIS In the measurement, the chip is mounted on FR-4 PCB, and all the losses of PCB transmission lines and bondwires are included in the measurements. The dcand RF oscillations are completely eliminated before measurements. All the instruments are calibrated across the bandwidth. The CW measurement is performed at 2.5 GHz and the results are shown in Fig. 11(a) and (b). The total quiescent current of the PA is only 120 mA due to the low gate bias, which can enhance the efficiency at the back-off power region. The PA delivers 30.8-dBm output power with a PAE of 30.6% at the saturation point, 29.1 dBm with a PAE of 26.5% at 1-dB compression point , and 22-dB gain. The output power, gain, and PAE at are measured from 2 to 3 GHz and depicted in Fig. 11(b). The PA performs well across the bandwidth of interest, closely following our simulation. The output power and gain vary less than 1 dB from 2 to 2.9 GHz and the PAE varies less than 5% from 2.3 to 2.9 GHz, which can cover 2.3- and 2.6-GHz m-WiMAX bands. To see the effectiveness of the proposed solutions for the supply modulation minimization, the AM and PM distortions of the proposed PA are compared with a standard design having the same capacitance match for all ports and middle-point dc supply. Both of the PAs operate at 10-dB back-off from to exclude other nonlinear effects with a 16-QAM m-WiMAX modulation signal (9.6-dB PAPR). The measured AM–AM and AM–PM of the proposed and conventional PAs are indicated with blue points (in online version) and gray points, respectively, as shown in Fig. 12(a) and (b). We can see that the AM and PM of the conventional PA presents the large memory effect with random and discrete distortion due to the supply modulation, which is totally different from the distortion caused by the gain compression. The AM and PM of the proposed PA presents good linearity performance with small memory. The PA is measured with two-tone signals (5-, 10-, 15-, and 20-MHz tone spacing) and the IMD3 results are given in Fig. 13. The PA is less affected by the tone spacing and the supply modulation effect is minimized significantly. In-band and out-of-band linearity of the PA are evaluated using a 16-QAM m-WiMAX modulation signal with 8.75-MHz bandwidth and 9.6-dB PAPR. The PA achieves 26.2-dBm average power with 20.5% PAE at the saturation point and 24.5 dBm with 15.5% PAE, and 18-dB gain, as shown in Fig. 14. Error vector magnitude (EVM) is measured from 2.3 to 2.8 GHz and shown in Fig. 15. The PA has the best EVM at 2.5 GHz, but deteriorate a little with frequency extension due to narrow bandwidth of the second-harmonic circuit and this can be solved by attaching distributed capacitors

JIN et al.: 30.8-dBm WIDEBAND CMOS PA

forming a broadband second-harmonic circuit. EVM is under 5% up to 18 dBm of average power level for the m-WiMAX signal. The channel power spectrum density (PSD) is measured at 2.5 GHz and shown in Fig. 16. The PA can comply with the m-WiMAX spectrum mask at 21-dBm average power. In Table II, the state-of-the-art performance of linear CMOS PAs are introduced and compared with this work. These comparisons reveal that this PA has a competitive performance. VI. CONCLUSION In this study, we have discussed the wideband design methods and supply modulation effect for a transformer-based CMOS PA, which are the important issues for wideband linear CMOS PA design. Some wideband design guidelines are given based on the analysis of load impedance’s power matching across the bandwidth and a twisted eight-shape transformer is designed. The introduced wideband design methods can promote the PA to achieve the multiband operation. The supply modulation effect caused by the fluctuation of virtual ground in the transformer is discussed. To minimize it, the different capacitors are employed to adjust load impedance matching at each port and the accurate virtual ground point for dc supply is found using an EM simulator. The AM–AM and AM–PM of the PA is compared with a conventional PA, and we can find that the supply modulation caused by variation of is significantly reduced. To verify the above analysis, a linear CMOS PA using the twisted eight-shape transformer is designed for a 2.3- and 2.6-GHz m-WiMAX transmitter in a 0.18- m 1P6M standard CMOS process. The two-stage PA delivers 30.8-dBm saturated power with 30.6% PAE and offers 22-dB gain in the CW measurements. The gain varies less than 1 dB from 2 to 2.9 GHz and the PAE has less than 5% variation from 2.3 to 2.9 GHz. The linearity is measured with a 16-QAM m-WiMAX modulation signal and EVM is about 3% at 17 dBm. The spectrum can meet the m-WiMAX spectrum mask at a 21-dBm average power level. These results prove this linear CMOS PA has viability in wideband and high-linearity applications.

1665

[7] K. H. An, O. Lee, H. Kim, D. H. Lee, J. Han, K. S. Yang, Y. Kim, J. J. Chang, W. Woo, C. Lee, H. Kim, and J. Laskar, “Power-combining transformer techniques for fully integrated CMOS power amplifiers,” IEEE J. Solid-State Circuits, vol. 43, no. 5, pp. 1064–1075, May 2008. [8] C. Park, D. Lee, J. Han, and S. Hong, “Tournament-shaped magnetically coupled power-combiner architecture for RF CMOS power amplifier,” IEEE Trans. Microw. Theory Tech, vol. 55, no. 10, pp. 2034–2042, Oct. 2007. [9] J. R. Long, “Monolithic transformers for silicon RF IC design,” IEEE J. Solid-State Circuits, vol. 35, no. 9, pp. 1368–1382, Sep. 2000. [10] B. Jin, C. Zhao, and B. Kim, “A compact broadband transformer-based linear CMOS power amplifier design,” Microw. Opt. Technol. Lett., vol. 53, no. 2, pp. 422–425, Feb. 2011. [11] B. Jin, J. Moon, and B. Kim, “A wideband linear CMOS power amplifier design for 2.3-GHz and 2.6-GHz m-WiMAX system,” in Int. Microw. Millim.-Wave Technol. Conf., Chengdu, China, May 2010, pp. 211–216. [12] B. Jin, C. Choi, D. Kang, M. Jun, and B. Kim, “Optimized design of wideband transformer for handset CMOS power amplifier application,” in Asia–Pacific Microw. Conf., CITY, Hong Kong, Dec. 2008, pp. 1–4. [13] P. Haldi, D. Debopriyo, P. Min, D. Reynaert, G. Liu, and A. M. Niknejad, “A 5.8 GHz 1 V linear power amplifier using a novel on-chip transformer power combiner in standard 90 nm CMOS,” IEEE J. Solid-State Circuits, vol. 43, no. 5, pp. 1054–1064, May 2008. [14] J. Kang, D. Yu, Y. Yang, and B. Kim, “Highly linear 0.18- m CMOS power amplifier with deep n-well structure,” IEEE J. Solid-State Circuits, vol. 41, no. 5, pp. 1073–1080, May 2006. [15] I. Aoki, S. Kee, R. Magoon, R. Aparicio, F. Bohn, J. Zachan, G. Hatcher, D. McClymont, and A. Hajimiri, “A fully-integrated quad-band GSM/GPRS CMOS power amplifier,” IEEE J. Solid-State Circuits, vol. 43, no. 12, pp. 2747–2758, Dec. 2008. [16] J. Cha, I. Kim, S. Hong, B. Kim, J. S. Lee, and H. S. Kim, “Memory effect minimization and wide instantaneous bandwidth operation of a base station power amplifier,” Microw. J., vol. 50, no. 1, pp. 66–76, Jan. 2007. [17] J. Vuolevi and T. Rahjonen, Distortion in RF Power Amplifiers. Norwood, MA: Artech House, 2003. [18] S. C. Cripps, RF Power Amplifier for Wireless Communication, 2nd ed. Norwood, MA: Artech House, 2006, ch. 11. [19] S. Kang, B. Choi, I. Kim, and B. Kim, “Linearity analysis of CMOS for RF application,” IEEE Trans. Microw. Theory Tech, vol. 51, no. 3, pp. 972–977, Mar. 2003. [20] M. Rubert, O. Degani, S. Wail, R. Aparicio, A. Fridman, and G. Goltman, “A reliability-aware RF power amplifier design for CMOS radio chip integration,” in Proc. IEEE Reliab. Phys. Symp., Phoenix, AZ, May 2008, pp. 536–540. [21] A. A. Kidwai, A. Nazimov, Y. Eilat, and O. Degani, “Fully integrated 23 dBm transmit chain with on-chip power amplifier and balun for 802.11a application in standard 45 nm CMOS process,” in Proc. IEEE Radio Freq. Integr. Circuits Symp., Jun. 2009, pp. 273–276.

REFERENCES [1] J. Kang, J. Yoon, K. Min, D. Yu, J. Nam, Y. Yang, and B. Kim, “A highly linear and efficient differential CMOS power amplifier with harmonic control,” IEEE J. Solid-State Circuits, vol. 41, no. 6, pp. 1314–1332, Jun. 2006. [2] C. Wang, M. Vaidyanathan, and L. E. Larson, “A capacitance compensation technique for improved linearity in CMOS class AB power amplifier,” IEEE J. Solid-State Circuits, vol. 39, no. 11, pp. 1927–1937, Nov. 2004. [3] J. Kang, A. Hajimiri, and B. Kim, “A single-chip linear CMOS power amplifier for 2.4 GHz WLAN,” in IEEE Int. Solid-State Circuits Tech. Dig., Feb. 2006, pp. 208–209. [4] G. Liu, P. Haldi, T. K. Liu, and A. M. Niknejad, “Fully integrated CMOS power amplifier with efficiency enhancement at power backoff,” IEEE J. Solid-State Circuits, vol. 43, no. 3, pp. 600–609, Mar. 2008. [5] B. Jin, K. Han, J. Choi, D. Kang, and B. Kim, “The fully-integrated CMOS RF power amplifier using the semilumped transformer,” Microw. Opt. Technol. Lett., vol. 50, pp. 2857–2860, Nov. 2008. [6] D. Chowdhury, C. D. Hull, O. B. Degani, Y. Wang, and A. M. Niknejad, “A fully integrated dual-mode highly linear 2.4 GHz CMOS power amplifier for 4 G WiMax applications,” IEEE J. Solid-State Circuits, vol. 44, no. 12, pp. 3393–3402, Dec. 2009.

Boshi Jin (S’06) received the B.S. and M.S. degrees in electrical and communication engineering from the Harbin Institute of Technology, Harbin, China, in 2003 and 2006, respectively, and the Ph.D. degree in electrical engineering from the Pohang University of Science and Technology (POSTECH), Pohang, Gyungbuk, Korea, in 2011. From 2003 to 2006, he developed millimeter microelectromechanical systems (MEMS) phase shifters and ultra-wideband (UWB) antennas. From 2006 to 2011, he is devoted himself to RF circuits for wireless communications, especially highly efficient and linear handset RF PA and transmitter designs. Since 2010, he has been with RDA Microelectronics Inc., Beijing, China, where he is engaged in handset PA research in silicon-on-insulator (SOI) CMOS and SiGe processes.

1666

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Junghwan Moon (S’07) received the B.S. degree in electrical and computer engineering from the University of Seoul, Seoul, Korea, in 2006, and the Ph.D. degree in electrical engineering from the Pohang University of Science and Technology (POSTECH), Pohang, Gyeungbuk, Korea, in 2012. He is currently a Senior Engineer with the Telecommunication Systems Division, Samsung Electronics Company Ltd., Suwon, Korea. In 2011, he was a Visiting Researcher with the GigaHertz Centre, Microwave Electronics Laboratory, Chalmers University of Technology, Göteborg, Sweden. He has authored or coauthored over 50 papers in international journals and conference proceedings. His current research interests include linear, efficient, and wideband RF PA/transmitter design and DPD techniques. Dr. Moon was the recipient of the Highest Efficiency Award of the Student High-Efficiency Power Amplifier Design Competition, IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS) (2008), the First Place Award of the Student High-Efficiency Power Amplifier Design Competition, IEEE MTT-S IMS (2011), and the Best Thesis Award in the electrical engineering from POSTECH (2012).

Chenxi Zhao received the B.S. and M.S. degrees from the University of Electronic Science and Technology of China, Chengdu, China, in 2004 and 2007, respectively, and is currently working toward the Ph.D. degree at the Pohang University of Science and Technology (POSTECH), Pohang, Gyungbuk, Korea. From 2008 to 2009, he was with the 10th Institute of China Electronic and Technological Group, where he was involved with the design and research of pulse PAs for wireless communication applications. His current research interests include fully integrated RF CMOS PAs and their linearity techniques.

Bumman Kim (S’77–M’78–SM’97–F’07) received the Ph.D. degree in electrical engineering from Carnegie Mellon University, Pittsburgh, PA, in 1979. From 1978 to 1981, he was engaged in fiber-optic network component research with GTE Laboratories Inc. In 1981, he joined the Central Research Laboratories, Texas Instruments Incorporated, where he was involved in development of GaAs power field-effect transistors (FETs) and monolithic microwave integrated circuits (MMICs). He has developed a large-signal model of a power FET, dual-gate FETs for gain control, high-power distributed amplifiers, and various millimeter-wave MMICs. In 1989, he joined the Pohang University of Science and Technology (POSTECH), Pohang, Gyungbuk, Korea, where he is a POSTECH Fellow and a Namko Professor with the Department of Electrical Engineering, and Director of the Microwave Application Research Center, where he is involved in device and circuit technology for RF integrated circuits (RFICs). He has authored over 300 technical papers. Prof. Kim is a member of the Korean Academy of Science and Technology and the National Academy of Engineering of Korea. He was an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, a Distinguished Lecturer of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S), and an IEEE MTT-S Administrative Committee (AdCom) member.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

1667

A Nested-Reactance Feedback Power Amplifier for -Band Applications Nader Kalantari, Member, IEEE, and James F. Buckwalter, Member, IEEE

Abstract—A power amplifier (PA) topology is presented that incorporates a feedback network around the transistor to satisfy matching requirements. Circuit parameters can be tuned for small- and large-signal circuit operation. The PA is fabricated in a 120-nm SiGe BiCMOS process and performs from 36 to 41 GHz. The PA achieves a saturated output power of 23 dBm and a peak power-added efficiency of 20% at 38 GHz. This is the highest reported output power from a single PA at -band in silicon. Index Terms—Power-added efficiency (PAE), power amplifier (PA), silicon–germanium (SiGe).

I. INTRODUCTION

S

ILICON/SILICON–GERMANIUM (Si/SiGe) integrated circuit processes offer substantial advancements in microwave and millimeter-wave system development [1]. SiGe processes have been particularly successful at millimeter-wave bands where the heterojunction bipolar transistor (HBT) RF performance can be leveraged alongside the digital integration capability of Si CMOS. While many millimeter-wave systems require moderate output power levels for automotive radar or wireless communication, high power levels are critical for satellite communication systems at -, -, -, and -bands and are realized in III–V materials [2]–[4]. A satellite uplink requires transmit output power exceeding 30 dBm and techniques such as power combining and beamforming through phased arrays relaxes the requirement of individual power amplifiers (PAs) by approximately 10 dB. Therefore, SiGe PAs that reach output power greater than 20 dBm are required for millimeter-wave applications. This paper presents a new PA circuit topology that does not rely on conventional matching to achieve high output power and high efficiency at -band. Two design challenges have arisen for Si-based millimeter-wave PAs. First, the measured efficiency of millimeter-wave PAs in Si/SiGe processes has remained relatively low 20% . While amplifier classes exist that demonstrate extremely high efficiency at low frequency, monolithic amplifiers above -band have not delivered performance at the theoretical efficiency limits. Switching amplifiers, particularly class-E output networks, have been investigated using a Manuscript received January 13, 2012; accepted February 06, 2012. Date of publication April 16, 2012; date of current version May 25, 2012.This work was supported in part through the Defense Advanced Research Projects Agency (DARPA) ELASTX program and through a CAREER Grant under the National Science Foundation (NSF) (1055635). The authors are with the Department of Electrical and Computer Engineering, University of California at San Diego, La Jolla, CA 92093 USA (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2190751

120-nm SiGe heterojunction bipolar transistor (HBT) [5], [6]. While [5] presents the highest power-added efficiency (PAE) of 20.9%, is limited to 11.5 dBm. It has been suggested that the nonlinear device modeling is limited at high frequency and circuit performance is severely impacted by passive losses and parasitic elements, e.g., emitter or source inductance [7]. Work in CMOS has shown PAE above 20%, but typically at lower output power [8]–[10]. Secondly, Si/SiGe millimeter-wave PAs offer limited output power. The 120-nm SiGe HBT has a collector–emitter breakdown voltage of 1.7 V and is lower than indium phosphide or gallium nitride, but the output power can be increased through the use of on- or off-chip power combining. High-power SiGe results have shown a saturated output power of 20 dBm, but the PAE is limited to 12.7% [11]. To circumvent the power-handling limitations, on-chip combining networks, such as the distributed active transformer (DAT), have been proposed to reach a maximum of 23 dBm, but a PAE of 6.4% [12]. These results have indicated tradeoffs between power handling and PAE. Power-combining approaches suffer from passive losses at high frequency. Recently, output power and efficiency reaching 20 dBm and 20% have been demonstrated through the use of slow-wave transmission lines, which reduce the combiner losses [13]. This paper presents a new PA circuit topology that naturally incorporates the parasitic elements of the HBT into a feedback network to achieve both higher output power and efficiency. A nested-reactance feedback (NeRF) network is incorporated around an active device to allow higher saturated power levels. The topology can be applied to different device structures, such as MOSFETs BJTs, or any process (Si, SiGe, and III–V materials). A three-stage NeRF PA is implemented in 120-nm SiGe BiCMOS and operates from 36 to 41 GHz with a maximum of 23 dBm and maximum PAE of 20% at 38 GHz. To the authors’ best knowledge, this is the highest output power from a single PA in Si at -band. In Section II, the features of a reactance feedback network are discussed. Section III discusses the small-signal circuit behavior and -parameters to illustrate design constraints on matching and gain. Additionally, the large-signal circuit behavior of a single-stage PA is discussed. The circuit implementation in a 120-nm SiGe process is presented in Section IV. Section V describes calibration procedures and measurement under different operating conditions. II. MOTIVATION This section presents insight into the operation of the tank. Fig. 1 shows NeRF amplifier based on a parallel

0018-9480/$31.00 © 2012 IEEE

1668

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 2. Branch currents in an NeRF PA at the resonance.

Fig. 1. Comparison of conventional parallel

tank and NeRF amplifier.

the schematic of a parallel tank loaded with a source and load impedance. In this case, the network is only matched at low and high frequency when a short is provided through and . The parallel network is, however, an open at the resonant frequency, . The current through the inductor and capacitor are expressed as and , where is the damping factor. At , both and are simplified to , where is the quality factor of the tank in parallel with . Since , the input current must be zero. Intuitively, the LC is open at and forces to approach infinity. The topology of an NeRF amplifier is presented in the bottom schematic shown in Fig. 1 and differs because of the transconductance inserted in a series capacitive network formed from a input capacitive divider , a feedback capacitor , and an output capacitive divider . If a minimum transconductance of is applied to the circuit, the following behavior is observed at the resonant frequency, , where and is the feedback gain of the transconductance amplifier. 1) Matching: . Instead of seeing open, the input is matched to the output impedance and the input current is . 2) Output Current: The feedback around the transconductance forces the output current of the amplifier to be amplified according to the voltage feedback factor, . 3) Loop Current: The current stored in the tank is , where . To understand the role of the transconductor, the current phasors are presented in Fig. 2 for each node of the amplifier. At node , the transconductor reduces the input impedance as the parallel tank tries to force the current through the inductor and the capacitor to be equal. Consequently, the input current compels a phase shift between and . At resonance, the circuit reactances cancel and the sum of and should be equal to the current added by the transistor, . Therefore,

Fig. 3. Single stage of the NeRF PA and equivalent small-signal circuit model.

the current generated by the transconductor adds to the current generated through the loop and is . Finally, the current in , , is the sum of and and equal to . At resonance, the direction of the currents shown in Fig. 2 indicates that the currents out of the source, into the load, and through the transconductor are in-phase, while the currents through the reactances and are 90 . Therefore, the phase of is defined as since it is sum of a real and an imaginary vector. Two interesting observations are made about this amplifier topology that differs from traditional linear amplifiers. First, the gain is defined by the feedback capacitance. Secondly, the matching is relatively insensitive to the of the tank. These observation are detailed analytically in the following section. III. CIRCUIT ANALYSIS Small- and large-signal behavior of an NeRF PA are explained to develop a tradeoff in the design methodology. A. Small-Signal Circuit Analysis Fig. 3 shows a single stage of an NeRF PA and equivalent small-signal circuit model. The feedback capacitor across the transconductor is denoted and incorporates the base–collector capacitance of the device. Input and output capacitors and isolate the device from input and output ports. As explained in Section II, the LC network forms a series parallel tank when with an effective capacitance of and resonates at . While this resonance suggests an open looking into the network, this section shows that paradoxically the return losses are minimized when

KALANTARI AND BUCKWALTER: NeRF PA FOR

-BAND APPLICATIONS

1669

Fig. 4. Effect of on return loss. Higher pushes the real part of the input impedance toward 50 at both input and output ports from (2).

Fig. 5. Contour of return loss of the NeRF PA at 40 GHz for various ( fF).

. The detailed development of the -parameters are provided in Appendix A. The -parameters are

Fig. 5 shows the contour plot of and from (1a) at 40 GHz for and , while fF. is adjusted properly to keep the tuning frequency at 40 GHz. Increasing both and improves the return loss. For a given , any above does not substantially improve the return loss. 2) Gain and Isolation: From (1b), the peak value of occurs at when the denominator of (1b) is minimized. Since comes from , it is common for both and . However, the backward voltage gain is much smaller than the forward voltage gain. As such, the circuit’s isolation increases with its gain. For , , simplifying to , which has a local minimum at . Consequently, the pole at from is cancelled due to the numerator . Now, the notch frequency, peak frequency, and nominal resonant frequency are related through

(1a)

(1b)

(1c) where , , and are the circuit parameters that determine the poles and zeros of the -parameters. 1) Input and Output Return Loss: The input and output return loss are expressed in (1a). and are minimized at , which is slightly below . Notably, for high-voltage gain , approaches . Fig. 4 shows the return loss at for various values. Small suggests that the network is open since dominates both the numerator and denominator in (1a) and the circuit is simplified to a parallel LC structure, which is open at . For higher values, the real part of the input (output) impedance decreases and the input and output impedance converges to

and

(4) values, the notch and peak approach For high resonant frequency, (1b) and (1c) are simplify to

. At the

(5a)

(5b) for

(2)

While the real part of the impedance approaches 50 , the imaginary part is inversely proportional to . The input and output matching is improved for larger , as shown in Fig. 4. The required for return loss better than 10 dB is defined as the critical transconductance and is approximately

for

(3)

Fig. 6 shows the contour of the gain of a single-stage NeRF PA as a function of and at 40 GHz. Again, fF and is adjusted properly to maintain a tuning frequency of 40 GHz. It shows improvement for higher and . Fig. 7 shows the -parameters of a single-stage NeRF PA over frequency range of 80 GHz. The value of , , and are 200 fF, 100 fF, and 300 pH, respectively, to tune the circuit at 40 GHz. The of the circuit is . Thus, a smaller inductor can make it more narrowband, while a smaller capacitor makes it wideband. In general, the return

1670

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 6. Contour of gain (in decibels) of a single-stage NeRF PA at 40 GHz for and . various

Fig. 8. Collector current with and without the effect of . The current is more linear due to the effect of voltage division at the base–emitter node.

Fig. 9. Schematic of the proposed PA including the biasing network.

TABLE I PASSIVE ELEMENTS OF EACH STAGE WITH PREDICTED GAIN Fig. 7. Gain and isolation of an ideal single-stage NeRF PA. fF, pH, and mS.

fF,

losses can presumably be broadened using balanced architectures if necessary or staggering the return loss across multiple stages. The above analysis is based on . While ignoring might be valid relative to , is generally significant. Indeed, may be an order of magnitude larger than since is assumed large. The effect of on the small-signal parameters is discussed in Appendix B. B. Large-Signal Circuit Analysis The large-signal behavior of an NeRF PA mainly depends on the active device biasing. However, the operation of this topology exhibits subtle differences in the theoretical efficiency and compression behavior since the amplifier does not require traditional input and output matching networks. From Section II, the following observations are made at resonance. 1) The power delivered from the source is maximized when the input impedance is equal to the source impedance and . 2) The power delivered to the load is when condition 1) is true. 3) The transducer power gain is defined as and depends to the first order only on the input and feedback capacitance.

The collector efficiency is defined as , where is the collector bias and is the peak swing at the collector. For class-A operation, this simplifies to since the maximum RF swing at the collector is equal to [14]. Applying and for the output current and collector current, respectively, the maximum collector efficiency is (6) , an NeRF PA has the same efficiency as a class-A For high PA since the underlying active device in the feedback is biased in class A. A similar argument holds for other linear biasing classes, i.e., AB and B. While the drain efficiency is bounded by the operational class of the device, the NeRF amplifier offers the possibility of achieving high efficiency at high power levels because the load line matching does not require high- impedance transformation. Additionally, the linearization effect of the feedback capacitor allows the circuit to go to a higher power before it gets into compression. For the proposed amplifier, the base–emitter voltage seen through a capacitive divider is assuming a negligible effect from . The bipolar base–emitter junction capacitance is composed of

KALANTARI AND BUCKWALTER: NeRF PA FOR

-BAND APPLICATIONS

Fig. 10. -parameters at 40 GHz for various transconductance show insignifmS, a value twice than . icant change for

Fig. 11. Simulated -parameters of the NeRF PA.

1671

Fig. 13. Simulated large-signal performance at 40 GHz.

Fig. 14.

and

of the third stage of the NeRF PA for

and

dBm.

Fig. 12. Simulated -factor over temperature and corner.

the depletion and diffusion capacitances . However, the diffusion portion dominates the forward bias PN junction. Thus, , where is the base transit time. As and , equals . This equation is transcendental and can only be solved by numerical techniques. Intuitively, the should be a linear function of since is an exponential function of , and is a natural log of . Since this capacitor is increasing with the current density of the device, the voltage divide ratio is dynamically changing, resulting to a linear behavior of the output current versus the input voltage . The feedback prevents the PA from going into

Fig. 15. Die microphotograph of the

-band NeRF PA.

compression quickly and the compression happens at a higher level of input power. Fig. 8 shows the rule of the capacitive divider at high power levels. This voltage division improves the compression point by a factor of .A comprehensive analysis is addressed in [15]. IV. CIRCUIT DESIGN Fig. 9 shows the proposed nested-reactance PA implemented in a 120-nm SiGe process. It contains three stages with a pro-

1672

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 16. Measured and simulated gain and isolation of the

Fig. 17. Measured and simulated return loss of the

-band NeRF PA.

Fig. 19. Large-signal performance of the -band NeRF PA at 38 GHz for a V. The PA achieves a PAE max of 20.05% and of 21.13 dBm.

-band NeRF PA.

Fig. 20. Maximum PAE biasing condition ( V, mA). The is at the PAE max, while the gain is the 1-dB compression point gain.

Fig. 18. Large-signal measurement setup.

gressive transistor scaling handle higher power levels and prevents each stage from entering compression. Table I shows the passive elements and the simlated gain of each stage. High- SiGe HBTs ( of 210/200 GHz) suffer from low of 1.7 V, which limits the maximum collector voltage swing and power handling. A design that presents low external base impedance to a power transistor may overcome limitations. This can increase the effective collector breakdown voltage well beyond [16]. The biasing circuit for this PA provides an impedance of 100 from dc to the frequency of operation. This low impedance at the base of each transistor improves the breakdown voltage more toward the , a value of 5.1 V [17]. In addition, the feedback behavior of the resistor prevents thermal runaway. Fig. 10 shows the simulated -parameter values at 40 GHz as a function of . Notably, beyond a transconductance of

Fig. 21. Maximum biasing condition ( V, mA). The max, while the gain is the 1-dB compression point gain. PAE is at the

200 mS, there is no significant change in the -parameter values. From (3), is 140 mS and for , the -parameters are independent of the transconductance value. Fig. 11 shows the -parameters of the three-stage NeRF PA. The PA has a peak gain of 15 dB at 38 GHz. Both and show the same frequency. This happens since comes from and it is common for both and . However, as discussed in Section III.A-2,

KALANTARI AND BUCKWALTER: NeRF PA FOR

-BAND APPLICATIONS

1673

TABLE II PERFORMANCE COMPARISON OF LATEST mm-WAVE PAs

and , then the isolation is always times smaller than the gain, providing enough margin for the circuit stability. To check the circuit stability over the corner and temperature, has been calculated for typical fast and slow corners at room temperature, 0 C and 125 C respectively. The results are plotted in Fig. 12 and show unconditional stability for frequencies above 36 GHz. Simulated large-signal performance of the PA is presented in Fig. 13. With a V and mA, the PA reaches a maximum PAE of 20.1% and of 20 dBm. The time-domain collector–emitter voltage and collector current of the third stage of the NeRF PA are plotted in Fig. 14 for an input power of 0 and 20 dBm at 40 GHz. The loadline impedance seen from the collector shows a value of 9.4 at the tuned frequency. This indicates the voltage swing of the collector is times smaller than the swing at the output, making the transistor less vulnerable to break down. Quarter-wave transmission lines are used to bias the collector of each device. On-chip 10-pF metal–insulator–metal (MIM) capacitors provide low impedance at to ground. Each capacitor takes an area of 130 m 90 m. The self resonance frequency of the MIM caps are simulated and extracted using HFSS and is at 77 and 300 GHz. V. MEASUREMENT RESULTS The PA is fabricated in a 120-nm SiGe BiCMOS process. Fig. 15 shows the die microphotograph. The circuit measures 1160 m 900 m including pads. The nominal collector current bias for all three stages is 4 mA at 2.4-V collector voltage. The biasing favors class-AB operation to achieve higher efficiency. The small-signal measurement was performed with an Agilent E8361A two-port power network analyzer at room temperature. Figs. 16 and 17 show simulated and measured -parameters of the PA. Fig. 18 shows the large-signal measurement setup. Since the Agilent E8257D power signal generator (PSG) output power is limited to 14 dBm for frequencies above 30 GHz, an external PA (MARKI A2050) is used to compensate for the cable loss. A 10-dB branch coupler (AG 87301) is used to sense the input and output power with an Agilent E4419B power meter. To ensure

Fig. 22.

and fF,

in the presence of . The PA is tuned to 40 GHz for fF, pH, and S, where

.

the PA is not exhibiting any oscillation, a separate 10-dB branch coupler is used at the output node to feed an Agilent E4448A power spectrum analyzer (PSA). The table in Fig. 18 shows the loss of each component and the final offset values for the input and output side. Fig. 19 shows the performance of the chip at 38 GHz with a of 2.4 V and of 4 mA. The PA achieves a PAE max of 20% and a of 21.1 dBm at this operating condition. A maximum of 23 dBm was reached for a of 3 V. Figs. 20 and 21 plot the gain, , and PAE of the device for the maximum PAE and maximum , respectively. In both cases, the peak PAE and peak saturated output power occur at 38 GHz. The peak PAE is shown to be just over 20% for an output power of 19 dBm. The peak output power reaches 23 dBm at a PAE of over 10%. To the authors’ best knowledge, this is the highest output power from a single PA and the best PAE shown at 200 mW in an SiGe process at this band. The bandwidth (BW) of the PA is defined based on 3-dB power roll off of its saturated power presented in Fig. 21. This indicates a 5-GHz BW from 36 to 41 GHz. Table II compares this study to prior state-of-the art millimeter-wave PAs implemented in SiGe, Si CMOS, and III–V processes. The combination of high output power and high

1674

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

efficiency place this study among the best demonstrations at millimeter-wave bands in Si/SiGe processes.

APPENDIX B At the presence of output return loss is

, the notch frequency for input and

VI. CONCLUSION A new PA circuit has been demonstrated to eliminate explicit input and output matching networks with a reactive feedback mechanism which allows high power and high efficiency. The PA has three stages and occupies 1160 m 900 m including pads. The small-signal gain of the PA has a peak of 18.7 dB at 38 GHz. A maximum PAE of 20% and of 23 dBm was achieved for of 2.4 and 3 V, respectively, at 38 GHz. This is the highest ouput power from a single-stage PA in the SiGe process at -band. The PA performs over 5 GHz of BW from 36 to 41 GHz. APPENDIX A The circuit in Fig. 3 consists of two shunt–shunt admittance networks and . The first network is a series inductance (7) The second network is the transconductor with capacitive feedback. The impact of the base–emitter capacitance is initially ignored to illustrate the basic circuit principles, i.e., . Later, these capacitances are discussed. For simplification, ,

(10a)

(10b)

is the transit frequency of the where active device and . In both (10a) and (10b), the notch frequencies approach for . For low , they split apart and complicate matching. Fig. 22 shows the input and output matching of a single-stage NeRF PA with the presence of . As increases, both values approach each other such that the return loss and the input and output is indistinguishable. The presence of reduces the gain since there is voltage division ratio between and . Ignoring for simplicity, . This indicates lower gain for higher . This, however, has the advantage of keeping the active device from compression at higher output voltage swings. ACKNOWLEDGMENT The authors are grateful to the Trusted Access Program Office (TAPO) and the Kansas City Plant, Kansas City, MO, for access to the IBM 0.12- m SiGe8HP technology. REFERENCES

(8) From feedback theory, the voltage gain is defined as the ratio of feedback and input impedance when the output port is open. Therefore, the voltage gain is defined by . The frequency response of a single stage is determined from the shunt–shunt interconnection of (7) and (8). Thus,

(9) For (9), it is assumed is larger than . In other words, the voltage gain . A - to -parameter transformation is applied to (9) [20].

[1] B. Floyd, S. Reynolds, U. Pfeiffer, T. Zwick, T. Beukema, and B. Gaucher, “SiGe bipolar transceiver circuits operating at 60 GHz,” IEEE J. Solid-State Circuits, vol. 40, no. 1, pp. 156–167, Jan. 2005. [2] Q. Wang, M. Kao, S. Nayak, K. Kong, and C. Campbell, “A high power -band MMIC power amplifier based on dual-recess 0.15 m pHEMT,” in IEEE Compound Semicond. Integr. Circuit Symp., 2004, pp. 133–136. [3] F. Colomb and A. Platzker, “A 3-watt -band GaAs PHEMT power amplifier MMIC for high temperature operation,” in IEEE MTT-S Int. Microw. Symp. Dig., 2006, pp. 897–900. [4] J. Schellenberg, E. Watkins, M. Micovic, B. Kim, and K. Han, “ -band, 5 W solid-state power amplifier/combiner,” in IEEE MTT-S Int. Microw. Symp. Dig., 2010, pp. 240–243. [5] A. Valdes-Garcia, S. Reynolds, and U. Pfeiffer, “A 60 GHz class-E power amplifier in SiGe,” in IEEE Asian Solid-State Circuits Conf. , 2006, pp. 199–202. [6] N. Kalantari and J. Buckwalter, “A 19.4 dBm, -band class-E power amplifier in a 0.12 m SiGe BiCMOS process,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 5, pp. 283–285, May 2010. [7] U. R. Pfeiffer and A. Valdes-Garcia, “Millimeter-wave design considerations for power amplifiers in an SiGe process technology,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 57–64, Jan. 2006. [8] B. Heydari, M. Bohsali, E. Adabi, and A. M. Niknejad, “A 60 GHz power amplifier in 90 nm CMOS technology,” in Proc. IEEE Custom Integr. Circuits Conf., Sep. 16–19, 2007, pp. 769–772. [9] J. Chen and A. Niknejad, “A compact 1 V 18.6 dBm 60 GHz power amplifier in 65 nm CMOS,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., 2011, pp. 432–433.

KALANTARI AND BUCKWALTER: NeRF PA FOR

-BAND APPLICATIONS

[10] A. Siligaris, Y. Hamada, C. Mounet, C. Raynaud, B. Martineau, N. Deparis, N. Rolland, M. Fukaishi, and P. Vincent, “A 60 GHz power amplifier with 14.5 dBm saturation power and 25% peak PAE in CMOS 65 nm SOI,” IEEE J. Solid-State Circuits, vol. 45, no. 7, pp. 1286–1294, Jul. 2010. [11] U. Pfeiffer and D. Goren, “A 20 dBm fully-integrated 60 GHz SiGe power amplifier with automatic level control,” IEEE J. Solid-State Circuits, vol. 42, no. 7, pp. 1455–1463, Jul. 2007. [12] U. Pfeiffer and D. Goren, “A 23-dBm 60-GHz distributed active transformer in a silicon process technology,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 5, pp. 857–865, May 2007. [13] Y. Zhao, J. R. Long, and M. Spirito, “A 60 GHz band 20 dBm power amplifier with 20% peak PAE,” in IEEE Radio Freq. Integr. Circuits Symp., 2011, pp. 575–578. [14] T. Lee, The Design of CMOS Radio-Frequency Integrated Circuits. Cambridge, U.K.: Cambridge Univ. Press, 2004. [15] N. Kalantari and J. Buckwalter, “A 91 to 110-GHz tapered constructive wave power amplifier in a 0.12 m SiGe BiCMOS process,” in IEEE Bipolar/BiCMOS Circuits Technol. Meeting, 2010, pp. 125–128. [16] M. Rickelt, H. Rein, and E. Rose, “Influence of impact-ionization-induced instabilities on the maximum usable output voltage of Si-bipolar transistors,” IEEE Trans. Electron Devices, vol. 48, no. 4, pp. 774–783, Apr. 2001. [17] M. Chang and G. Rebeiz, “A 26 to 40 GHz wideband SiGe balanced power amplifier IC,” in IEEE Radio Freq. Integr. Circuits Symp., 2007, pp. 729–732. [18] A. Komijani and A. Hajimiri, “A wideband 77 GHz, 17.5 dBm power amplifier in silicon,” in Proc. IEEE Custom Integr. Circuits Conf., Sep. 18–21, 2005, pp. 571–574. [19] T. Yao, M. Gordon, K. Yau, M. Yang, and S. Voinigescu, “60-GHz PA and LNA in 90-nm RF-CMOS,” in IEEE Radio Freq. Integr. Circuits Symp., 2006, 4 pps. [20] D. M. Pozar, Microwave Engineering, 3rd ed. New York: Wiley, 2005.

1675

Nader Kalantari (S’01–M’03) received the B.S. degree in electrical engineering from the Isfahan University of Technology, Isfahan, Iran, in 1997, the M.S. degree in computer engineering from Wright State University, Dayton, OH, in 2003, the M.S. degree in electrical engineering from the University of California at Irvine, in 2005, and is currently working toward the Ph.D. degree in electrical and computer engineering at the University of California at San Diego, La Jolla. From 1997 to 2001, he was an Embedded System Design Engineer with Signal Ltd., Tehran, Iran. From 2004 to 2009, he was an Analog/RFIC Design Engineer working involved with transimpedance amplifiers (TIAs), phase-locked loops (PLLs), and dc–dc converters with Maxim-IC, Starport Systems, and Microsemi, respectively. In 2009, he joined Mindspeed Technology, as a Design Staff Engineer involved with high-speed wireline communications. His research concerns implementation of millimeter-wave PAs.

James F. Buckwalter (S’01–M’06) received the Ph.D. degree in electrical engineering from the California Institute of Technology, Pasadena, in 2006. He is currently an Assistant Professor of electrical and computer engineering with the University of California at San Diego (UCSD), La Jolla. From 1999 to 2000, he was a Research Scientist with Telcordia Technologies. During Summer 2004, he was with the IBM T. J. Watson Research Center, Yorktown Heights, NY. In 2006, he joined Luxtera, Carlsbad, CA. In July 2006, he joined the faculty of UCSD. Dr. Buckwalter was the recipient of a 2004 IBM Ph.D. Fellowship, 2007 Defense Advanced Research Projects Agency (DARPA) Young Faculty Award, and a 2011 National Science Foundation (NSF) CAREER Award.

1676

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

A Transformer-Coupling Current-Reuse SiGe HBT Power Amplifier for 77-GHz Automotive Radar Vittorio Giammello, Egidio Ragonese, Senior Member, IEEE, and Giuseppe Palmisano, Senior Member, IEEE

Abstract—This paper presents a pseudodifferential power amplifier for 77-GHz automotive radar. The circuit is fabricated in a SiGe HBT BiCMOS technology featuring bipolar transistors with of 230/280 GHz. The amplifier adopts a transformercoupling current-reuse approach to improve both gain and efficiency. An interstacked transformer is also profitably adopted to reduce output losses due to the differential-to-single-ended conversion. The amplifier exhibits a saturated power, a peak power-added efficiency, and a gain of 14.5 dBm, 9%, and 25 dB, respectively, thus achieving a first-rate figure-of-merit as high as 4755. Index Terms—BiCMOS integrated circuits (ICs), current-reuse, electromagnetic (EM) simulations, millimeter-wave (mm-wave) circuits, power amplifier (PA), transformers.

I. INTRODUCTION

T

HE FAST growth of the mass market for -band applications has pushed research efforts towards the development of high-performance, low-cost millimeter-wave (mm-wave) circuits in silicon technologies. Specifically, the automotive radar sensors implementing both safety and comfort functionalities at short and long ranges have gained considerable benefits from the maturity of SiGe BiCMOS technologies, which provide both transition frequency above 200 GHz and thick top metal back-end for high-quality inductive components (e.g., spiral inductors, transformers, and microstrip lines) [1]–[4]. Although complete 24-GHz sensors operating at short-range/mid-range distances (i.e., from 1 to 80 m) have been developed [5]–[8], 77-GHz sensors for distances up to 200 m are still challenging. One of the most critical design aspects of a 77-GHz radar transceiver concerns the power amplifier (PA). Indeed, the reduction in breakdown voltages and the harmful effect of layout parasitics hamper high-power generation, making the PA one of the bottlenecks in the implementation of a 77-GHz transceiver. Among the various performance parameters of the PA, output power is crucial for long-range operation. Typically, a saturated output power higher than 14 dBm is required Manuscript received November 15, 2011; revised February 14, 2012; accepted February 16, 2012. Date of publication April 03, 2012; date of current version May 25, 2012. V. Giammello was with the Dipartimento di Ingegneria Elettrica, Elettronica e dei Sistemi, Facoltà di Ingegneria, Università di Catania, Catania, I-95125, Italy. He is now with ST-Ericsson, Catania, I-95121, Italy (e-mail: [email protected]). E. Ragonese was with the Dipartimento di Ingegneria Elettrica, Elettronica e Informatica, Facoltà di Ingegneria, Università di Catania, Catania, I-95125, Italy. He is now with STMicroelectronics, Catania, I-95121, Italy (e-mail: [email protected]). G. Palmisano is with the Dipartimento di Ingegneria Elettrica Elettronica ed Informatica, Facoltà di Ingegneria, Università di Catania, Catania I-95125, Italy (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2012.2189243

relaxes the system specifica[9]–[15]. Obviously, a higher tions in terms of receiver noise factor and antenna gain in accordance with the regulatory equivalent isotropically radiated power (EIRP) limitations [16]. Although on-chip power-combining or balanced-like solutions have been proposed to improve at mm-wave [10], [17]–[20], the main challenge is still the reduction of the power consumption. Some promising results have been reported in CMOS [21], [22], however, prior achievements in 77-GHz silicon PAs are mostly related to single-ended multistage solutions implemented in SiGe technologies [9]–[12]. Single-ended topologies have been extensively adopted to push PA inherent performance on-wafer by avoiding high on-chip losses due to single-ended-to-differential and differential-to-single-ended conversions at the input and output, respectively. A 17.5-dBm and a peak power-added efficiency (PAE) of 12.8% were achieved in [10] with a single-ended approach using on-chip power-combining. On the other hand, the highest reported value of PAE (i.e., 15.7%) was obtained in [9] with a three-stage PA delivering 14.5-dBm . These outstanding results come from an on-wafer characterization, but single-ended topologies at mm-wave frequencies struggle to cope with losses due to chip assembling/packaging that are one of the main causes of performance limitation in a complete implementation. However, assembly can be managed better by using differential-like approaches that highly reduce the detrimental effects of inductive paths towards power supply and ground. State-of-the-art performance of differential 77-GHz PAs in terms of power gain , and PAE is mainly affected by higher current consumption and on-chip input/output conversion losses [13]–[15]. In this scenario, the adoption of proper circuit techniques for current saving along with well-designed input/output baluns is crucial to improve PA performance at mm-wave frequencies. This paper presents the design and experimental results of a three-stage pseudodifferential PA for 77-GHz long-range radar (LRR) applications, implemented in a SiGe HBT BiCMOS technology. A transformer-coupling current-reuse technique has been exploited to lower current consumption and an advanced interstacked transformer structure has been adopted to reduce losses due to the differential-to-single-ended conversion at the PA output. This paper is organized as follows. Section II reviews existing current-reuse techniques pointing out their pros and cons at mm-wave frequencies in comparison with the proposed solution. The PA circuit description is reported in Section III along with the design of integrated transformers. Finally, the experimental results are reported in Section IV and compared with the state-of-the-art 77-GHz PAs, while main conclusions are drawn in Section V.

0018-9480/$31.00 © 2012 IEEE

GIAMMELLO et al.: TRANSFORMER-COUPLING CURRENT-REUSE SiGe HBT PA FOR 77-GHz AUTOMOTIVE RADAR

1677

Fig. 1. Simplified implementations of current-reuse techniques: (a) capacitive-coupling, (b) inter-stage LC series resonance, (c) transformer-coupling (singleended), and (d) transformer-coupling (differential).

II. TRANSFORMER-COUPLING CURRENT-REUSE TECHNIQUE In last few years, the need to achieve higher gain and lower power consumption has produced several circuit solutions for multistage amplifiers based on a dc current-sharing principle. These solutions, generally known as current-reuse techniques, are mainly adopted in low-noise amplifiers [23]–[27], although interesting implementation can be also found in PAs [28], as well as in heterogeneous RF functional blocks (i.e., VCO/PA and Rx blocks) [29]. By reviewing published current-reuse circuits, two significant techniques can be identified, namely capacitive coupling [23], [24] and inter-stage LC series resonance [25]–[27]. They are illustrated by means of the simplified amplifier implementations shown in Fig. 1(a) and (b). In both examples, the dc path is equivalent to a cascode amplifier, while the RF path is a two-stage common-emitter (CE) amplifier sharing the same supply current. A key element for the current-reuse is capacitor that works as a bypass and an open circuit at RF and dc, respectively. The signal coupling between the CE stages is implemented by in the capacitive coupling technique of Fig. 1(a). Alternatively, an inter-stage LC network (i.e., and ) can be used, as shown in Fig. 1(b). In this case, and are tuned to achieve a series resonance for the RF signal, thus providing a low impedance that improves the signal transfer between the two stages. Both described techniques can easily be adopted also for multistage differential amplifiers [24], [26]. Specifically, the capacitive coupling current-reuse approach is more suited for subgigahertz amplifiers, since on-chip inductors are very area consuming at low frequencies. On the other hand, because of an improved RF signal transfer between stages, the inter-stage LC series resonance technique allows better performance to be achieved at higher frequencies. It is worth noting that both methods are highly affected by the signal losses due to an actual RF bypass (i.e., ). In this work, a different current-reuse technique based on a transformer-coupling principle is proposed. It minimizes the number of reactive components and is very suitable for

TABLE I CURRENT-REUSE TECHNIQUE COMPARISON

multigigahertz/mm-wave frequencies. The proposed approach is shown in Fig. 1(c) and (d) in its single-ended and pseudodifferential implementations, respectively. More specifically, Fig. 1(c) and (d) show a two-stage CE amplifier and a two-stage pseudodifferential amplifier, respectively. In both implementations, the signal transfer between stages is enabled by the ). magnetic coupling between the transformer coils (i.e., , Magnetic coupling is very suitable for mm-wave frequencies since it allows inter-stage matching simplicity and parasitic reduction, especially in the differential approach [14], [15], [30], [31]. In both single-ended and pseudodifferential amplifiers, primary and secondary windings of the transformer are the first-stage load and second-stage input network, respectively. The adopted current-reuse approach requires only the bypass capacitor in the single-ended implementation, since an inter-stage load/matching network based on inductive components has to be used in any case [e.g., in Fig. 1(a) and (b)]. Instead, no additional reactive components and, hence, silicon area is needed in the differential implementation. The use of a central-tapped transformer in the differential solution produces a virtual ground point in both primary and secondary coils, which is exploited for the connection to the emitters and input bias node of the second stage, respectively. Table I compares state-of-the-art and proposed current-reuse techniques in terms of number/type of additional reactive components and area consumption.

1678

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

TABLE II TRANSISTOR PARAMETERS OF THE PA

Fig. 2. Schematic of the transformer-coupling current-reuse PA.

III. PA DESCRIPTION The PA was designed in a 0.13- m BiCMOS technology supplied by STMicroelectronics [4]. The process features SiGe transistors with of 230/280 GHz and a colof 1.6 V. The lector-emitter breakdown voltage bipolar transistor model supports three different structures: single-base transistor (CBE), double-base transistor (CBEB), and multifinger structure (n(CBEB)-C) with n emitter fingers ( to 5). The back-end-of-line (BEOL) consists of six copper metal layers and a top aluminum metal. A. Amplifier Core Design The PA is made up of a two-stage driver and a cascode power stage, as shown in Fig. 2. It adopts a transformer-based pseudodifferential solution, which highly reduces the effect of parasitic inductances of power supply and ground as mentioned above, while avoiding the tail current voltage drop of a true-differential structure [14], [15], [30]. To lower current consumption without affecting and gain, the two-stage driver adopts the proposed current-reuse approach. The driver is made up of transistors – and uses transformers , , as input, inter-stage, and output coupling networks, respectively. The cascode topology was instead preferred for the power stage, which is made up of transistors and uses transformers and at input and output, respectively. The cascode topology provides higher stable gain, better reverse isolation, and improved robustness. Indeed, the cascode stage, along with a proper bias circuitry, guarantees reliable PA operation above (i.e., 1.6 V). A scaling factor of three in the transistor size was set from the power to the driver stage. Transformers and perform single-ended-to-differential and differential-to-single-ended conversions at the PA input and output interface, respectively. Moreover, and along with pad capacitances (45 fF and metal–insulator–metal (MIM) capacitors (51 fF and (65 fF provide 50input impedance and output power matching, respectively. Finally, they also guarantee electrostatic discharge (ESD) protection. Inter-stage matching is guaranteed by transformer

that is operated in resonant tuning mode with MIM capacitor (50 fF . At mm-wave frequencies, layout plays a fundamental role in the design flow. Therefore, both active and passive components were properly placed to minimize RLC layout parasitics, and an accurate extraction of their values was carried out to evaluate the final performance. For this purpose, electromagnetic (EM) analysis and post-layout simulations were used. Circuit/layout codesign approach and optimized cascode layout configuration were adopted as described in [15]. The geometrical and electrical parameters of the transistors used in this design are summarized in Table II. For the sake of clarity, the -peak in our technology is at a current density of 8 mA m . B. mm-Wave Transformer Design Performance parameters such as power gain, output power, and PAE of a mm-wave PA are strictly related to the quality of on-chip inductive components, especially transformers in (pseudo)-differential topologies, where they are widely used to implement resonant differential loads and/or single-ended-to-differential/differential-to-single-ended conversions [30], [31]. The design of efficient mm-wave transformers requires the reduction of coil/substrate losses as well as the maximization of the magnetic coupling coefficient . Moreover, parasitic inductances of transformer interconnections have to be accurately accounted for, since they have a high relative weight (i.e., up to 30% of the overall inductance) in mm-wave ICs. In the adopted BiCMOS technology, spiral coils can take advantage of a three-layer structure (i.e., aluminum top metal, and two 3- m-thick metals, namely metal 5 and metal 6) that allows a considerable decrease of the equivalent sheet resistance to be achieved. Although the adoption of a polysilicon patterned ground shield (PGS) beneath spirals is a very common practice at RF [32], as the operating frequency increases and both narrow metal width (e.g., 5 m) and small inner diameter (e.g., 30 m) are adopted, the spiral area and consequently the substrate capacitive effects are highly reduced [3]. Therefore, the removal of PGS has a negligible effect on the substrate losses, but it avoids detrimental resonating phenomena of the shielding structure due to the inductive path towards ground. As far as the structure of a mm-wave transformer is concerned, interleaved or stacked spirals are widespread arrangements in literature [3]. Of course, these traditional topologies

GIAMMELLO et al.: TRANSFORMER-COUPLING CURRENT-REUSE SiGe HBT PA FOR 77-GHz AUTOMOTIVE RADAR

Fig. 4. 3-D view of interstacked transformer

Fig. 3. 3-D view of interleaved transformers

1679

with the adopted metal stack.

with the adopted metal stack.

present benefits and drawbacks. Specifically, interleaved transformers typically exploit multilayer symmetric spirals to maximize both primary and secondary -factors, but they cannot achieve very high , especially with pH inductance values. On the other hand, stacked transformers guarantee better but at the cost of a performance degradation of the bottom spiral and a consequent electrical asymmetry between coils. Stacked transformers also suffer from severe reduction already at sub-nH inductances [33]. The choice between interleaved and stacked configurations is a critical design issue, which is strictly related to both circuit specifications and available metal stack in the adopted technology. A typical design criterion of integrated transformers is the minimization of the coil series resistances and hence the maximization of primary/secondary winding -factors at a given inductance level [34]. To this aim, the exploitation of a thick multi-layer metal stack is mandatory. Given the available technology, the interleaved configuration was preferred to the stacked one, despite its slightly lower . Indeed, the inherent advantage in terms of of the stacked structure is of the considerably degraded due to the high thickness top inter-metal oxides (i.e., between metal 5 and metal 6). For these reasons, input and inter-matching transformers ( and ) were implemented by means of multilayer single-turn interleaved spirals. The 3-D view of the adopted structures for along with the metal stack description is shown in Fig. 3. exploits the complete three-layer metal stack Specifically, for both primary and secondary windings. The transformer adopts the minimum coil width 5 m allowed by the alucap/metal6/metal5 stack to reduce substrate parasitic capacitances and thus maximize both self-resonance frequency and -factor at the operating frequency. The design of has to take into account also the high capactransformer itance due to the input pad, which gives an upper limit to the exploitable inductance value. Of course, the minimum inter-metal spacing 2 m was adopted to maximize the lateral magnetic coupling. On the other hand, transformers and that require a higher inductance at the primary coil (i.e., around 1.75 times) exploit a two-layer structure of metal 5

Fig. 5. , IL, and of interstacked 25 m 4.5 m . formers

, stacked, and interleaved trans-

and metal 6. Using this simpler metal stack, the coil width can be reduced from 5 to 4.5 m, thus increasing inductance. A lower width also minimizes the parasitic capacitance towards the substrate improving . Moreover, the metal spacing can also be reduced from 2 to 0.6 m with a consequent slight improvement of the magnetic coupling. The internal diameter was set to 20 m for and 24 m for and . As far as transformer is concerned, the design is more critical due to the high impact of output losses on the PA performance. Standard transformer structures are not able to guarantee high -factors as well as sufficiently high magnetic coupling, which are needed to avoid excessive insertion loss (IL). For these reasons, a nonstandard structure named interstacked

1680

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

TABLE III PERFORMANCE COMPARISON BETWEEN INTERSTACKED, STACKED, AND INTERLEAVED TRANSFORMERS AT 77 GHZ 25 m 4.5 m

TABLE IV GEOMETRICAL/ELECTRICAL PARAMETERS OF

AT

77 GHZ

Fig. 7. Measured and simulated small-signal -parameters 30 dBm . PA

and

of the

Fig. 8. Measured and simulated small-signal -parameters 30 dBm . PA

and

of the

Fig. 6. PA die photograph.

was exploited to implement [35]–[37]. The 3-D view of the interstacked transformer along with the metal stack description is shown in Fig. 4. Each transformer coil is built by shunting two spirals of different metal layers (i.e., metal 5 and metal 6 in this implementation), using complementary structures for primary and secondary windings. With such an arrangement, the transformer takes advantage of both interleaved and stacked magnetic coupling. Indeed, the outer (inner) spiral of primary winding is stacked to the outer (inner) spiral of the secondary winding. Moreover, the outer (inner) spiral of primary winding is interleaved with the inner (outer) spiral of the secondary winding at the same time.

Fig. 9. Large-signal measurements of the PA at 77 GHz.

The interstacked transformer provides several benefits at mm-wave frequencies. At small inductance values (less than

GIAMMELLO et al.: TRANSFORMER-COUPLING CURRENT-REUSE SiGe HBT PA FOR 77-GHz AUTOMOTIVE RADAR

1681

TABLE V PERFORMANCE COMPARISON WITH STATE-OF-THE-ART 77-GHz SiGe PAs

200 pH), interstacked transformers can achieve higher and better IL compared with conventional stacked coils, due to the exploitation of the interleaved coupling. The improvement of magnetic coupling becomes significant in mm-wave optimized technologies that have thick oxides between upper metal layers. In this case, the advantage of stacked coils in terms of is highly reduced and the interstacked structure can boost the overall magnetic coupling, especially when close inter-metal spacing is used. Another important benefit of the interstacked structure is the electrical/geometrical symmetry, which provides similar performance for primary and secondary windings in terms of inductance and -factor. Moreover, the interstacked structure preserves the axial symmetry of each coil, thus allowing fully differential mode with centered taps for biasing. Fig. 5 shows the simulated coupling factor, IL, and priof interstacked mary/secondary average -factor transformer and two equivalent stacked and interleaved transformers, whose and were fixed to 25 and 4.5 m, respectively. Interleaved and interstacked transformers exploit metal 6 and metal 5 for both primary and secondary coils, and a spacing of 0.6 m (see Figs. 3 and 4, respectively). On the other hand, a stacked transformer adopts a single-turn 1:1 configuration using metal 6 and metal 5 for the primary and secondary coils, respectively. As Fig. 5 shows, the mixed lateral/vertical coupling provided by the interstacked structure guarantees a considerable improvement of the overall at any frequency with respect to standard stacked or interleaved coils. The advantage of the interstacked transformer is also appreciable in terms of IL, while the is slightly lower compared with the interleaved one, which benefits from a better series resistance thanks to the two-layer structure (i.e., metal 6 and metal 5).

A further comparison among the three transformers is reported in Table III at 77 GHz. Specifically, the comparison is carried out in terms of performance parameters such as pri, , IL, maxmary/secondary average inductance imum available gain , and transformer characteristic resistance (TCR) [38]–[41]. The comparison highlights that the interstacked structure outperforms the stacked one for all the reference parameters. On the other hand, the interleaved configuration should be preferred when the -factor and/or the TCR are the performance metrics. For the sake of completeness, geometrical and electrical parameters of adopted transformers are summarized in Table IV. IV. EXPERIMENTAL RESULTS The die photograph of the fabricated PA is shown in Fig. 6. The amplifier core size is 100 m 240 m, but the total die area is 550 m 440 m to comply with on-wafer measurement setup. The PA was tested using a Cascade prober (i.e., Summit 12000) and a vector network analyzer (i.e., Agilent PNA-X) equipped with a mm-wave test set (i.e., Agilent N5262A) and two mm-wave modules (i.e., OML N5256AX12) for -parameter measurements from 56 to 94 GHz. The vector network analyzer was properly calibrated at two different input power levels to perform small-signal and large-signal measurements. Ground–signal–ground mm-wave probes (100- m pitch) were used for both the input and output. The quiescent current and power supply were set to 100 mA and 2.5 V, respectively. Measured and simulated small-signal -parameters are reported in Figs. 7 and 8. The measured gain is around 25 dB at 77 GHz, and the reverse isolation is below 35 dB

1682

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

in the overall measurement range and is better than 44 dB at 77 GHz. The input return loss is 11 dB at 77 GHz. The return loss is not 50- matched at 77 GHz, since the PA output matching was aimed at the maximization of the delivered power (i.e., power-matching approach) by using a proper impedance-matching network. Large-signal parameters measured at 77 GHz are shown in Fig. 9. Saturation power is as high as 14.5 dBm, with a peak PAE of 9%. The output-referred 1-dB compression point is 9 dBm. Table V compares state-of-the-art SiGe PAs at 77 GHz with the performance of the proposed PA. Due to the adopted currentreuse approach, the proposed PA improves the PAE with respect to published differential PAs [13]–[15] and achieves the highest gain. The measured is higher than [11], [13], and [14], and comparable with [9], [10], [12], and [15]. It is worth noting that the highest of 17.5 dBm is obtained with a on-chip power combining [10]. Because of an effective tradeoff among output power and gain, and the enhanced efficiency performance, the PA demonstrates the highest figure-of-merit (FOM), according to the FOM defined in [42]. This result improves the previous value obtained by the authors themselves in [15] with a PA implemented in the same SiGe technology. It is worth noting that the adopted FOM does not take into account for the linearity performance of the PA, since the small-signal power gain is commonly considered in the calculation [9], [15], [42]–[45]. V. CONCLUSION A transformer-coupling current-reuse technique for mm-wave frequencies has been proposed, which was used to implement a 14.5-dBm SiGe BiCMOS PA for 77-GHz radar. The current-saving approach along with the exploitation of the advanced interstacked configuration for the output transformer demonstrated to be key points for improving performance at -band. The the best of the authors’ knowledge, the proposed PA achieves the highest reported FOM in silicon technology at 77 GHz. ACKNOWLEDGMENT The authors would like to thank STMicroelectronics for chip fabrication and C. Arnaud and F. Blanchet, STMicroelectronics, Crolles, France, for the valuable support in carrying out measurements. REFERENCES [1] J. Bock, H. Schafer, K. Aufinger, R. Stengl, S. Boguth, R. Schreiter, M. Rest, H. Knapp, M. Wurzer, W. Perndl, T. Bottner, and T. F. Meister, “SiGe bipolar technology for automotive radar applications,” in Proc. IEEE Bipolar/BiCMOS Technol. Meet., Sep. 2004, pp. 84–87. [2] B. A. Orner, M. Dahlstrom, A. Pothiawala, R. M. Rassel, Q. Liu, H. Ding, M. Khater, D. Ahlgren, A. Joseph, and J. Dunn, “A BiCMOS technology featuring a 300/330 GHz SiGe HBT for millimeter wave applications,” in Proc. IEEE Bipolar/BiCMOS Technol. Meet., Oct. 2006, pp. 1–4.

[3] T. O. Dickson, M. LaCroix, S. Boret, D. Gloria, R. Beerkens, and S. P. Voinigescu, “30–100 GHz inductors and transformers for millimeter-wave (Bi)CMOS integrated circuits,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 123–133, Jan. 2005. [4] G. Avenier, M. Diop, P. Chevalier, G. Troillard, N. Loubet, J. Bouvier, L. Depoyan, N. Derrier, M. Buczko, C. Leyris, S. Boret, S. Montusclat, A. Margain, S. Pruvost, S. T. Nicolson, K. H. K. Yau, N. Revil, D. Gloria, D. Dutartre, S. P. Voinigescu, and A. Chantre, “0.13 m SiGe BiCMOS technology fully dedicated to mm-wave applications,” IEEE J. Solid State Circuits, vol. 44, no. 9, pp. 2312–2321, Sep. 2009. [5] I. Gresham, A. Jenkins, R. Egri, C. Eswarappa, N. Kinayman, N. Jain, R. Anderson, F. Kolak, R. Wohlert, S. P. Bawell, J. Bennett, and J.-P. Lanteri, “Ultra-wideband radar sensors for short-range vehicular applications,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 9, pp. 2105–2122, Sep. 2004. [6] E. Ragonese, A. Scuderi, V. Giammello, E. Messina, and G. Palmisano, “A fully integrated 24 GHz UWB radar sensor for automotive applications,” in IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, Feb. 2009, pp. 306–307. [7] D. Saunders, S. Bingham, G. Menon, D. Crockett, J. Tor, R. Mende, M. Behrens, N. Jain, A. Alexanian, and Rajanish, “A single-chip 24 GHz BiCMOS transceiver for FMCW automotive radars,” in IEEE Radio Frequency Integr. Circuits Symp. Dig., Jun. 2009, pp. 459–462. [8] V. Jain, F. Tzeng, L. Zhou, and P. Heydari, “A single-chip dual-band 22–29-GHz/77–81-GHz BiCMOS transceiver for automotive radars,” IEEE J. Solid State Circuits, vol. 44, no. 12, pp. 3469–3485, Dec. 2009. [9] S. T. Nicolson, K. Yau, S. Pruvost, V. Danelon, P. Chevalier, P. Garcia, A. Chantre, B. Sautreil, and S. Voinigescu, “A low-voltage SiGe BiCMOS 77-GHz automotive radar chipset,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 5, pp. 1092–1103, May 2008. [10] A. Komijani and A. Hajimiri, “A wideband 77-GHz, 17.5-dBm fully integrated power amplifier in silicon,” IEEE J. Solid State Circuits, vol. 41, no. 8, pp. 1749–1756, Aug. 2006. [11] N. Demirel, E. Kerherve, D. Pache, and R. Plana, “Design techniques and considerations for mm-wave SiGe power amplifiers,” in IEEE Microw. Opt. Conf, Dig. Papers, Nov. 2009, pp. 37–41. [12] R. B. Yishay, R. Carmon, O. Katz, and D. Elad, “A high gain wideband 77 GHz SiGe power amplifier,” in IEEE Radio Frequency Integr. Circuits Symp. Dig. Papers, Jun. 2010, pp. 529–532. [13] U. R. Pfeiffer, S. K. Reynolds, and B. A. Floyd, “A 77 GHz SiGe power amplifier for potential applications in automotive radar systems,” in IEEE Radio Frequency Integr. Circuits Symp. Dig. Papers, Jun. 2004, pp. 91–94. [14] V. Giammello, E. Ragonese, and G. Palmisano, “Transmitter chipset for 24/77-GHz automotive radar sensors,” in IEEE Radio Frequency Integr. Circuits Symp. Dig. Papers, May 2010, pp. 75–78. [15] V. Giammello, E. Ragonese, and G. Palmisano, “A 15-dBm SiGe BiCMOS PA for 77-GHz automotive radar,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 11, pp. 2910–2918, Nov. 2011. [16] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998. [17] E. Afshari, H. Bhat, X. Li, and A. Hajimiri, “Electrical funnel: A broadband signal combining method,” in IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, 2006, pp. 206–207. [18] N. Demirel, E. Kerhervé, R. Plana, and D. Pache, “79 GHz BiCMOS single-ended and differential power amplifiers,” in Proc. IEEE Eur. Microw. Conf., Sep. 2010, pp. 1690–1693. [19] N. Demirel, R. R. Severino, C. Ameziane, T. Taris, J.-B. Begueret, E. Kerherve, A. Mariano, D. Pache, and D. Belot, “Millimeter-wave chip set for 77–81 GHz automotive radar application,” in Proc. IEEE New Circuits Syst. Conf., Jun. 2011, pp. 253–256. [20] M. Chang and G. Rebeiz, “A wideband high-efficiency 79–97 GHz mW output,” in Proc. IEEE SiGe linear power amplifier with Bipolar/BiCMOS Technol. Meet., Oct. 2008, pp. 69–72. [21] Y. Hamada, M. Tanomura, M. Ito, and K. Maruhashi, “A high gain 77 GHz power amplifier operating at 0.7 V based on 90 nm CMOS technology,” IEEE Microw. Compon. Lett., vol. 19, no. 5, pp. 329–331, May 2009. [22] J.-J. Lin, K.-H. To, D. Hammock, B. Knappenberger, M. Majerus, and W. M. Huang, “Power amplifier for 77-GHz automotive radar in 90-nm LP CMOS tchnology,” IEEE Microw. Compon. Lett., vol. 20, no. 5, pp. 292–294, May 2010. [23] C.-Y. Cha and S.-G. Lee, “A low power, high gain LNA topology,” in Proc. IEEE Int. Conf. Microw. Millimeter Wave Technol., Sep. 2000, pp. 420–423.

GIAMMELLO et al.: TRANSFORMER-COUPLING CURRENT-REUSE SiGe HBT PA FOR 77-GHz AUTOMOTIVE RADAR

[24] G. Gramegna, A. Magliarisi, and M. Paparo, “An 8.2-GHz, 14.4 mW, 1.6 dB NF SiGe bipolar LNA with DC current reuse,” in Proc. IEEE Bipolar/BiCMOS Technol. Meet., Sep. 2003, pp. 49–52. [25] C.-Y. Cha and S.-G. Lee, “A 5.2-GHz LNA in 0.35- m CMOS utilizing inter-stage series resonance and optimizing the substrate resistance,” IEEE J. Solid-State Circuits, vol. 38, no. 4, pp. 669–672, Apr. 2003. [26] C.-H. Liao and H.-R. Chuang, “A 5.7-GHz 0.18- m CMOS gain-controlled differential LNA with current reuse for WLAN receiver,” IEEE Microw. Compon. Lett., vol. 13, no. 12, pp. 526–528, Dec. 2003. [27] C.-C. Kuo and H. Wang, “A 24-GHz low power low noise amplifier using current reuse and body forward bias techniques in 0.18- m CMOS technology,” in Proc. IEEE Asia–Pacific Microw. Conf., Dec. 2010, pp. 1509–1511. [28] S. A. Z. Murad, R. K. Pokharel, R. Sapawi, H. Kanaya, and K. Yoshida, “High efficiency, good linearity, and excellent phase linearity of 3.1–4.8 GHz CMOS UWB PA with a current-reused technique,” IEEE Trans. Consumer Electron., vol. 56, no. 3, pp. 1241–1246, Aug. 2010. [29] L. Zheng, H.-C. Yao, F. Tzeng, and P. Heydari, “Design and analysis of a current-reuse transmitter for ultra-low power applications,” in Proc. IEEE Int. Symp. Circuits Syst., May 2009, pp. 1317–1320. [30] V. Giammello, E. Ragonese, and G. Palmisano, “A 77-GHz PA with ground-plane parasitic cancellation in a SiGe HBT BiCMOS technology,” Microw. Opt. Technol. Lett., vol. 53, pp. 1413–1416, Jun. 2011. [31] D. Chowdhury, P. Reynaert, and A. M. Niknejad, “Design considerations for 60 GHz transformer-coupled CMOS power amplifiers,” IEEE J. Solid-State Circuits, vol. 44, no. 10, pp. 2733–2744, Oct. 2009. [32] C. P. Yue and S. S. Wong, “On-chip spiral inductors with patterned ground shields for Si-based RF ICs,” IEEE J. Solid-State Circuits, vol. 33, no. 5, pp. 743–752, May 1998. [33] T. Biondi, A. Scuderi, E. Ragonese, and G. Palmisano, “Analysis and modeling of layout scaling in silicon integrated stacked transformers,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 5, pp. 2203–2210, May 2006. [34] A. Scuderi, E. Ragonese, T. Biondi, and G. Palmisano, Integrated Inductors and Transformers: Characterization, Design and Modeling for RF and mm-Wave Applications. Boca Raton, FL: CRC/Taylor & Francis, 2010. [35] S.-G. Lee, “Area efficient and symmetric design of monolithic transformers for silicon RF ICs,” in Proc. IEEE Region 10 Conf., Dec. 1999, vol. 2, pp. 880–882. [36] E. Ragonese, G. Sapone, and G. Palmisano, “High-performance interstacked transformers for mm-wave ICs,” Microw. Opt. Technol. Lett., vol. 52, pp. 2160–2163, Sep. 2010. [37] E. Ragonese, G. Sapone, V. Giammello, and G. Palmisano, “Analysis and modeling of interstacked transformers for mm-wave applications,” in Analog Integrated Circuits and Signal Processing. Berlin, Germany: Springer, 2011. [38] J. R. Long, “Monolithic transformers for silicon RF IC design,” IEEE J. Solid-State Circuits, vol. 35, no. 9, pp. 1368–1382, Sep. 2000. [39] K. T. Ng, B. Rejaei, and J. N. Burghartz, “Substrate effects in monolithic RF transformers on silicon,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 1, pp. 377–383, Jan. 2002. [40] A. Italia, F. Carrara, E. Ragonese, T. Biondi, A. Scuderi, and G. Palmisano, “The transformer characteristic resistance and its application to the performance analysis of silicon integrated transformers,” in Radio Frequency Integr. Circuits Symp. Dig. Paper, Jun. 2005, pp. 597–600. [41] F. Carrara, A. Italia, E. Ragonese, and G. Palmisano, “Design methodology for the optimization of transformer loaded RF circuits,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 53, no. 4, pp. 761–768, Apr. 2006. [42] “System drivers,” Int. Technol. Roadmap for Semiconductors (ITRS), 2011 [Online]. Available: http://www.itrs.net/Links/2011ITRS/ 2011Chapters/2011SysDrivers.pdf [43] T. Yao, M. Q. Gordon, K. K. W. Tang, K. H. K. Yau, M.-T. Yang, P. Schvan, and S. P. Voinigescu, “Algorithmic design of CMOS LNAs and PAs for 60-GHz radio,” IEEE J. Solid-State Circuits, vol. 42, no. 5, pp. 1044–1057, May 2007. [44] A. Cathelin, B. Martineau, N. Seller, S. Douyere, J. Gorisse, S. Pruvost, C. Raynaud, F. Gianesello, S. Montusclat, S. P. Voinigescu, A. M. Niknejad, D. Belot, and J. P. Schoellkopf, “Design for millimeter-wave applications in silicon technologies,” in Proc. IEEE Eur. Solid-State Circuits Conf., Sep. 2007, pp. 464–471.

1683

[45] J.-W. Lee and S.-H. Hwang, “ 11.7 dBm high-efficiency CMOS power amplifiers operating at high voltage for 24 GHz radar sensor,” IET Circuits, Devices Syst., vol. 4, no. 2, pp. 131–137, Mar. 2010.

Vittorio Giammello was born in Catania, Italy, in 1981. He received the Laurea degree in electronics engineering and Ph.D. degree in electronics and automation engineering from the University of Catania, Catania, Italy, in 2006 and 2010, respectively. Since 2005, he has been with the Radio Frequency Advanced Design Center, a joint research group supported by the University of Catania (DIEEI) and STMicroelectronics, Catania, Italy, where he was involved in the design and development of integrated circuits for 24/77-GHz automotive radar applications and 60-GHz WLANs. He is now with ST-Ericsson, Catania, Italy, where he is currently involved in the design of dc/dc converters for mobile communications.

Egidio Ragonese (M’03–SM’12) received the Laurea degree in electrical engineering (magna cum laude) and Ph.D. degree in electronics and automation engineering from the University of Catania, Catania, Italy, in 1999 and 2003, respectively. Since 1999 he has been with the Radio Frequency Advanced Design Center (RF-ADC), a joint research center supported by the University of Catania (DIEEI) and STMicroelectronics. In 2003 and 2008, he joined the Faculty of Engineering at the University of Catania as a Research Associate and a Research Assistant Professor, respectively. Since October 2011, he has been with STMicroelectronics, Catania, Italy. He was involved with the design and development of silicon-integrated transceivers for wireless communications (e.g., cellular, W-LAN, ultra-wideband, and DVB-S applications). He is the coauthor of several papers in international journals and conference proceedings, patents, and a book on integrated inductors and transformers for RF and millimeter-wave (mm-wave) applications.His research interests also included the optimization and modeling of silicon-integrated passive devices and monolithic filtering techniques for wireless systems. In last years, he has been active in the field of mm-wave integrated circuits in SiGe HBT BiCMOS and submicrometer CMOS processes for communication, radar, and medical applications.

Giuseppe Palmisano (M’08–SM’09) received the Laurea degree in electronics engineering from the University of Pavia, Pavia, Italy, in 1982. From 1983 to 1991, he was a Researcher with the Department of Electronics, University of Pavia, where he was involved in CMOS and BiCMOS analog integrated circuit design. In 1992, he was a Visiting Professor with Universidad Autonoma Metropolitana (UAM), Mexico City, Mexico, where he held a course on microelectronics for Ph.D. students. In 1993 and 2000, he joined the Faculty of Engineering at the University of Catania, Catania, Italy, as an Associate Professor and Full Professor, respectively, teaching microelectronics. Since 1999, he has been leading the Radio Frequency Advanced Design Center (RF-ADC), a joint research center supported by University of Catania and STMicroelectronics, Catania, Italy. He has supervised the design of several innovative analog integrated circuits and systems within the framework of national and European research projects and in collaboration with electronics industries. He is the coauthor of more than 250 papers in international journals and conference proceedings, two books, and several international patents. His current research interest lies in the design of RF and microwave integrated circuits for wireless communications.

1684

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

60-GHz Dual-Conversion Down-/Up-Converters Using Schottky Diode in 0.18 m Foundry CMOS Technology Hung-Ju Wei, Student Member, IEEE, Chinchun Meng, Member, IEEE, Ta-Wei Wang, Tai-Lin Lo, and Chia-Ling Wang

Abstract—Due to the benefits of Schottky diodes, 0.18- m CMOS technology is being promoted for millimeter wave applications. In this paper, 60-GHz dual-conversion down-/up-converters using Schottky diodes are realized by using 0.18- m foundry CMOS technology. A CoSi –Si Schottky diode, fabricated on a lower doped N-well by blocking the threshold voltage adjustment implant, has a lower reverse leakage current and a better ideality factor. Thus, it is ideal for the 60-GHz sub-harmonic mixer design. Two new types of Schottky-diode mixers, a down-conversion sub-harmonic mixer with a dual-band lump-distributed phase-inverter rat-race coupler and an up-conversion sub-harmonic mixer with a trifilar transformer, are realized and employed at the high-frequency conversion stage of the dual-conversion architecture to achieve small size and broadband isolations. The silicon-based Schottky diode with a low turn-on voltage offers great advantage in LO pumping power, especially for an antiparallel diode pair structure. In our Schottky-diode sub-harmonic mixers, the required LO power is only 1 dBm. The dual-conversion down-converter achieves 5-dB conversion gain and 19 dB noise figure under and mA, and the dual-conversion up-converter, with and mA, attains greater than 40-dB sideband rejection and 1 dB conversion gain over the whole 60-GHz bandwidth. Index Terms—Converter, dual conversion, mixer, phase-inverter rat-race coupler, Schottky diode, single-sideband rejection, sub-harmonically pumped mixer, trifilar transformer, 0.18- m foundry CMOS technology, 60-GHz.

A

I. INTRODUCTION

60 GHz millimeter-wave system with unlicensed 7-GHz bandwidth conspicuously stands out in short-range high-data-rate applications, such as wireless personal area network (WPAN) and high-definition multimedia interface (HDMI). In 2004, the first CMOS 60-GHz amplifier was successfully demonstrated in standard 0.13- m CMOS technology, beginning 60-GHz transmission using the CMOS-based IC [1]. Subsequently, different architectures for receivers, transmitters and transceivers [2]–[16] were proposed and came into Manuscript received December 11, 2011; revised January 14, 2012; accepted January 23, 2012. Date of publication March 21, 2012; date of current version May 25, 2012. This work is supported in part by National Science Council of Taiwan, Republic of China under Contracts NSC 98-2221-E-009-033-MY3, NSC 99-2221-E-009-049-MY3 and NSC 98-2218-E-009-008-MY3, and in part by MoE ATU Program under Contract 95W803. The authors are with the Department of Electrical Engineering, National Chiao Tung University, Hsinchu 300, Taiwan (e-mail: onionpie.cm94g@nctu. edu.tw; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2189412

fruition by using standard advanced CMOS and SiGe HBT processes. However, fully-CMOS-based designs still encounter great difficulties in term of noise and power performances. Fig. 1(a) shows the comparison of stand-alone 60-GHz LNAs using 130-nm, 90-nm, 65-nm CMOS and III-V compound technologies. The noise figure of 60-GHz CMOS-based LNAs are above 5 dB while the III-V compound LNAs has the noise figure smaller than 3-dB due to the superior device noise property. The best III-V compound LNA [27] is based on 0.1- m InP heterojunction FETs and provides 2-dB NF and 23-dB , thanks to gain with drain current of 8 mA at the minimum device NF of 0.6 dB at 60-GHz. Thus, the III-V compound technologies are very attractive for the 60-GHz LNA design. For entire 60 GHz receivers, the noise figure (NF) of a 130-nm CMOS receiver [3] is up to 12.5 dB while 90-nm CMOS receivers [5]–[13] achieve a better NF of 5.5 8 dB. Recently, 65-nm [14], [15] or 45-nm [16] CMOS technology has also been devoted in the 60-GHz transmission. To date, the noise figures of the 65-nm and 45-nm CMOS receivers are 7 dB and 6 8 dB, respectively. The receiver noise 5.5 figure improves slightly as the device scales down. Moreover, a 60-GHz power amplifier (PA) is also a key component because the output power of PAs has a great impact on the maximum transmission distance especially due to the high path loss at 60 GHz. The power amplifier does not prefer the CMOS scaling rule and PAE becomes even lower for the more advanced technology node due to lower breakdown voltage. A survey of the state-of-the-art 60-GHz PAs using advanced CMOS and III-V compound technologies is shown in Fig. 1(b). Compared with III-V compound technologies, standard CMOS PAs have obviously decrease lower PAE [54]–[58], and PAE and as the CMOS device is scaled down. The scaling rule has caused both chip size shrinkage and steady reductions in IC manufacturing costs. Research and development (R&D) costs were never a concern and could easily be accounted for in the manufacturing stage in the past. However, R&D costs recently have become an issue as the transistor size of the CMOS process approaches the photolithography limit. In millimeter-wave IC design, much iteration is unavoidable due to inaccurate device modeling and high process variation, greatly aggravating R&D costs. Nowadays, 60-GHz radio is implemented in the phased-array transceiver format to avoid the signal blocking during transmission. An inefficient CMOS power amplifier becomes a severe problem in the 60 GHz beam-forming system because PAs and LNAs consume the most of chip area and the power

0018-9480/$31.00 © 2012 IEEE

WEI et al.: 60-GHz DUAL-CONVERSION DOWN-/UP-CONVERTERS

Fig. 1. (a), (b) the superior capabilities of III-V compound technology in the 60-GHz noise and power performances, and the cost issue in (c) logic ICs and (d) millimeter-wave front-end ICs as a function of R&D and manufacturing phases for different CMOS generations.

consumption. Passive components, used in millimeter-wave transceiver, occupy a large percentage of the chip and cannot be scaled down by using advanced CMOS technology [6]. Thus, the phased-arrayed transceiver size does not shrink sufficiently as the scaling rule proceeds due to the inefficient CMOS PAs. As shown in [29], the size of LNAs and PAs of 60-GHz RX mm . and TX is more than 80% of total die area It is noticeable that the quarter-wavelength-based matching networks of PAs and LNAs occupy the most of chip area. The cost of the fully integrated CMOS phased-array transceiver is not low. Figs. 1(c) and 1(d) illustrate the costs of the logic ICs and millimeter-wave front-end ICs as a function of the R&D and manufacturing phases, respectively. The high R&D expense forms a barrier to product development. In Fig. 1(c), the high R&D costs of an advanced CMOS technology may still be covered for the logic IC product because of lower manufacturing cost by the device scaling rule. However, that is not the case for the millimeter-wave IC product because the scaling rule does not considerably decrease the manufacturing cost, as shown in Fig. 1(d). While most of other researches follow the CMOS scaling rule and employ advanced CMOS technologies for the 60-GHz application, an alternative solution for the 60 GHz transceiver by simultaneously incorporating the advantages of III-V compound semiconductors and low-cost standard CMOS process is proposed and illustrated in Fig. 2. The use of external LNA/PA in III-V compound technologies is desirable because the re-

1685

sulting 60-GHz phased-array transceiver based on our proposed approach is expected to have much less LNAs and PAs for the same EIRP (Effective Isotropic Radiation Power) and sensitivity achieved by advanced CMOS technologies. The reduction of power consumption makes the 60 GHz transceiver based on our approach possible for portable applications. In this paper, the first 60 GHz Schottky-diode-based front-end including upand down-converters are demonstrated in a 0.18- m foundry CMOS process. The Schottky diode, a majority-carrier device, has no minority storage effect and thus possesses very high-speed response for mixing and rectification. Among the GaAs-based technologies, Schottky-diode mixers have matured [17]. However, little attention has been paid to the silicon processes because of the absence of Schottky diodes in a standard CMOS process. A 12-GHz down-converter using silicon Schottky diodes was demonstrated using bipolar technology with an extra processing step for Schottky diodes [18]. In 1996, the first experimental work to form Schottky diodes without an extra processing step in a foundry CMOS process was demonstrated by arranging the layout layers appropriately [19]. The initial rectifying effect of the Schottky diodes was limited to 600 MHz, possibly due to the long wire bonding used in the measurement setup. Until 2005, Schottky diodes with a cut-off frequency beyond several hundred GHz were developed in standard CMOS [20]. The Schottky diodes based on the standard silicon process offer superior frequency conversion, and thus key components such as signal detectors [22], [26], frequency doublers [25], and mixers [24] have been implemented in the millimeter-wave regime. These results [22], [25], [26] shed light on the suitability of Schottky diodes in millimeter-wave applications using foundry CMOS process. The Schottky diode, like a catalyst, significantly reduces the R&D cost barriers shown in Fig. 1(d) and makes a 60-GHz transceiver with 0.18- m CMOS technology possible. However, no millimeter-wave transceiver based on the Schottky diodes has been reported to date. signal A direct conversion requires accurate quadrature generation directly at the same frequency of RF signals. Fully integrated transceivers, based on the direct conversion architecture, have been successfully demonstrated below 5 GHz because IQ signal generation is less sensitive to the parasitic effects of the practical layout. When the channel bandwidth approaches several GHz at the millimeter-wave frequency, it becomes difficult to generate a precise, wideband IQ signal at 60 GHz. Thus, as discussed later in this paper, a dual-conversion architecture is employed to accomplish the band selection and quadrature generation in two separate steps. As shown in Fig. 2, the block diagrams for the 60-GHz dual-conversion up-/down-converters are depicted inside the dashed-square area. at A precise IQ signal is generated by a fixed quadrature low-frequency conversion while channel selection is achieved at high-frequency conversion. The dual conby sweeping version consists of a high-frequency conversion using the microwave/millimeter-wave design approach and a low-frequency conversion using the analog design approach. For the higherfrequency conversion, a dual-band lump-distributed phase-inverter rat-race coupler and a compact trifilar transformer together with antiparallel diode pairs (APDPs) are proposed for

1686

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 2. Block diagram of the demonstrated dual-conversion up-/down-converters using Schottky diodes in 0.18- m standard CMOS technology for a 60 GHz transmission system.

Schottky-diode sub-harmonic down-conversion and up-conversion mixers (SHMs), respectively. The SHMs reduce the frequency to one-half of a fundamental mixer, and thus alleviate generation with 0.18- m the difficulty of millimeter-wave CMOS technology. The proposed SHM topologies provide inherent cancellations among all ports of SHMs to achieve broadband isolations. For lower-frequency conversion, analog mixers such as resistive and Gilbert mixers are employed due to size frequency. In concern. There is a trade-off in designing consideration of the problem of image/sideband signal at the designed at higher frequency high-frequency conversion, to facilitate the image/sideband signal suppression is preferred. However, the gain requirement for amplifiers sets an upper frequency is set at 10 GHz for 0.18- m limit. In this paper, CMOS technology. The image/sideband signal is 20 GHz away from the desired RF band of 57 66 GHz and can be easily filtered out by not only the bandpass response of the 60-GHz LNA/PA but also the preceding RF bandpass filter. This paper is organized as follows. In Section II, a Schottky diode with small leakage current and good ideality factor is presented. The properties of Schottky diodes, extracted from the S-parameter measurement, are characterized and discussed for the 60-GHz SHM design. Circuit implementations and measurement results of the demonstrated 0.18- m CMOS 60-GHz dualconversion down-/up-converters are presented in Sections III and IV, respectively. Section V contains the conclusions of this paper. II. SCHOTTKY DIODE WITH LOWER DOPING DENSITY IMPLEMENTED IN A FOUNDRY CMOS PROCESS In the silicon-based technology, a thermal stable cobalt-silicide Schottky contact is formed by directly depositing metals on n- or p-wells with subsequent thermal silicidation. This is a well-known method for implementing Schottky contacts [19]–[21]. However, the default doping density of the n-well, defined by layer mask, is equivalent to that of the nominal pMOS device in a TSMC 0.18- m standard CMOS process. Here, represents the threshold voltage. The doping density needs to be adjusted for different generations of CMOS technology. In an advanced process, higher doping density is required, but this also affects the reverse leakage current and ideality factor of the Schottky contact. In the standard TSMC 0.18- m CMOS process, there are two types of n-wells with different doping densities for high and low devices. Here, an optional mask for low , which blocks pMOS threshold

Fig. 3. Cross section and layout of the Schottky diode with low-doping density implemented in 0.18- m foundry CMOS technology.

voltage adjustment implant in the well region, is used here to reduce the doping density of the n-well underneath the contact metal. The contact number of Schottky diode is determined by the product of rows (m), columns (n), and fingers (k), as illustrated in Fig. 3. Each contact size is m and the spacing between contacts is 0.28 m complying with the minimum spacing in the design rule. The measured ideality factor of the Schottky diode with is around 1.12, and its leakage current of 0.86 A/cm at is two-order magnitude smaller than that without the optional mask, as shown in Fig. 4(a). The reverse avalanche breakdown voltage, barrier height and built-in voltage are 11 V, 0.53 eV and 0.4 V, respectively. Based on the standard C–V measurement, the doping density is on the order of cm . Here, a Schottky diode with low built-in voltage offers great advantages for the power design, especially in an antiparallel diode pair (APDP) SHM. Since it is infeasible to bias the diodes in an APDP structure, the required pumping power depends almost entirely on the diode’s built-in voltage. Compared with GaAs-based Schottky diodes with 0.7-V built-in voltage, APDP SHMs in CMOS technology at millimeter-wave frequency has a great advantage in term of driving power. Fig. 4(b) shows the series resistances and junction capacitances of the Schottky diodes with different sizes. The numbers of Schottky contact number are (I) and (II)-(IV) 4 , as illustrated in Fig. 3. The anode is surrounded by the cathode to reduce the access resistance. and are extracted from

WEI et al.: 60-GHz DUAL-CONVERSION DOWN-/UP-CONVERTERS

Fig. 4. (a) Comparison of the measured I-V, and (b) the series resistance/junction capacitance/metal side-wall capacitance and (c) cut-off frequency of the improved Schottky diode.

two-port S-parameter measurements at zero bias and the parasitic effect of GSG pads is calibrated out in the parameter extraction. Measured S-parameters at 25 GHz are used. As shown in Fig. 4(c), the Schottky diode with contact number of and the area of 0.726 m has the highest cutoff frequency, , of 250 GHz because the access resistance is minimized when the overall diode shape is close to a square shape. As the diode size increases, the cutoff frequency decreases until it finally starts to be stabilized at 185 GHz for diodes with contact number of . The cutoff frequency of a Schottky diode, determined by the resistance and capacitance, obviously increases for diodes with fewer rows, columns and fingers because the effect of access resistance is alleviated. It is expected that a diode with a single contact should achieve very high cutoff frequency. In the literature, diodes with a single contact of m and m can reach the cutoff frequencies of 400 GHz and 1.5 THz for 0.18 m [21] and 0.13 m [22] CMOS foundry processes, respectively. However, APDP configuration is used for our SHM design. The n-well capacitance behaves as a shunt capacitor to the ground in an APDP configuration and thus can destroy the APDP high frequency performance such as matching and linearity [23]. For example, severe high frequency performance degradation has been observed for the single contact diode of m with the capacitance of 7.3 fF while the n-well to substrate capacitance is 41 fF [23]. The effect of the n-well capacitance on the high frequency is pronounced for diodes with small contact areas. Thus, a large contact area diode with a higher ratio of diode capacitance to n-well capacitance is employed to alleviate the notorious n-well capacitance effect in this paper. Diodes with the contact number of are employed in this paper despite of a lower cut-off frequency. Each Schottky diode used in the APDP of the SHMs only works below 30 GHz, and the speed

1687

of all test-keys is high enough for our circuit design. Moreover, the simulated metal side-wall capacitances of the structures (I)-(V), shown in Fig. 4(b), are 0.26, 0.34, 0.7, 1, 1.44 fF, respectively. Compared with of each Schottky diode, the metal side-wall capacitance only contributes less than 4% and has a small impact on the cut-off frequency. For example, the cut-off frequency of the structure (I) increases from 250 GHz to 260 GHz after subtracting the side-wall effect. Thus, the degradation on conversion gain at 60 GHz by the side-wall capacitance is negligible and much smaller than 0.5 dB from simulation. In general, a diode with large is chosen for a narrowband mixer to minimize the series resistance effect. The large can be formed by connecting the small devices with better in parallel if a higher conversion gain is needed despite of a larger device area. However, the effect of the n-well capacitor on APDP also sets a lower limit on the small device size in our work. A wideband mixer should use a diode with a small to alleviate the capacitive loading effect caused by the diode. But, its high series resistance degrades conversion efficiency and requires a larger driving power because of the difficulty in impedance matching. The trade-off exists in the diode-size selection for mixer design. III. THE DEMONSTRATED 60-GHz DUAL-CONVERSION DOWN-CONVERTER The 60 GHz dual-conversion down-converter demonstrated in this research is composed of an SHM driven by the signal (first ), the first intermediate-frequency amplifier, a resistive mixer driven by the signal (second ), a wideband amplifier , and a quadrature generator as shown in Fig. 5(a). The frequency planning of the dual-conversion is illustrated in Fig. 5(b). It is easy to fix the frequency at the most accurate point of the IQ generator to obtain a precise baseband IQ output while the designated RF channel is selected by tuning the frequency. Here, the signal is tuned between 47 54 GHz and the signal is fixed at 10 GHz. In the high-frequency conversion, the silicon Schottky-diode SHM with low turn-on voltage facilitates the buffer amplifier design. In this section, each stage of dual-conversion down-converter in Fig. 5(a) is presented and discussed in detail. A. 60-GHz Sub-harmonically Pumped Mixer Using Dual-Band Lumped-Distributed Phase-Inverter Rat-Race Coupler The first stage in the dual conversion is a 60-GHz SHM, which includes a dual-band phase-inverter rat-race coupler and two Schottky-diode mixer cells in APDP configuration, as shown in Fig. 6. The coupler merges an divider and an balun into one passive component to reduce the area necessary for SHM. An in-phase/out-of-phase signal is generated from the port while the two APDPs are series-connected between the remaining two ports as shown in Fig. 5(a). The in-phase/out-of-phase signal is always maintained for all frequencies because the physical paths from the ports to the other two outputs are equal in geometry for the phase-inverter rat-race coupler. The balanced LO signals are achieved by a phase-inverter. The size of the phase-inverter in IC process

1688

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 5. (a) Schematic and (b) frequency planning of the 60-GHz dual-conversion down-converter by using Schottky diodes in 0.18- m CMOS technology.

signal two widely separate frequencies for the SHM. The ranges from 57 to 66 GHz, while the signal covers 23.5–28 GHz. The bandwidth of a phase-inverter rat-race coupler is determined by the input matching instead of the magnitude/phase imbalance. Thus, we design the return-loss as a dual-band, and two matching dips can be arranged by adjusting the equivalent transmission-line impedance of each arm of the phase-inverter rat-race coupler [30], [31]. As expressed in (1), is the normalized equivalent characteristic impedance of a coplanar stripline transmission line of the phase-inverter rat-race coupler and

(1) Fig. 6. 3D view of the 60 GHz sub-harmonically pumped mixer using a dual-band lumped-distributed phase-inverter rat-race coupler in 0.18- m CMOS technology.

is a small proportion of the transmission line, and thus has a slight influence on the LO phase accuracy. The output IF signal is taken from the virtual ground of the signals, formed at the middle point of two series connected APDPs, to achieve a good broadband -toisolation. There are good broadband isolations between the RF and LO ports due to the intrinsic isolation property of the wideband four-port coupler. The APDP structure inherently possesses an even-harmonic rejection, enabling it to achieve good broadband -toand -toisolations. Here, the rat-race coupler must handle and signals at

and represent the frequencies of the matching dips and the center frequency of the lumped-distributed phase-inverter rat-race coupler, respectively. The spacing of the two split matching dips is extended when the equivalent characteristic impedance is continuously reduced, as shown in Fig. 7. It is the well-known Chebyshev-response design [31]. Although the wider bandwidth is realized by choosing lower characteristic impedance, there exists a trade-off between bandwidth and return-loss magnitude at . The limitation of a Chebyshev band-broadening technique is determined by the acceptable return loss at the center frequency of [32] (e. g. 10 dB in general case). As the characteristic impedance decreases further, a dual-band bandwidth response forms. The dual-band response, as shown in Fig. 5, is desired since only

WEI et al.: 60-GHz DUAL-CONVERSION DOWN-/UP-CONVERTERS

1689

Fig. 8. Die photograph of the 60 GHz dual-conversion down-converter using 0.18- m CMOS technology.

Fig. 7. Bandwidth and characteristic impedance design of a phase-inverter ratrace coupler.

return-loss bandwidth near and RF frequencies needs to be covered for a sub-harmonic mixer design. The ratios of the two matching points to the center frequency of and are designed to be 1.4 and 0.6. and are the center frequencies for the and bands, respectively. Here, a lump-distributed technique, shunting capacitors at each port, is incorporated with the Chebyshev band-broadening technique to simultaneously achieve wide bandwidth and size reduction [33]. The equivalent characteristic impedance of the lumped-distributed transmission line of each arm is around 45 for the desired ratio of and , in which the characteristic impedance of the coplanar stripline transmission line is designed as 55 by setting the spacing and width of metal-6 to 1.5 m and 16 m, respectively. Because the junction capacitance from the APDP, contributing to the size reduction, is not negligible, the shunting capacitance at both RF and LO ports is larger than that of the other two ports. and are 40 fF and 25 fF, respectively. The phase-inverter rat-race coupler shown in Fig. 6 is directly implemented on the silicon substrate. Compared with the transmission line formed by interconnect metals and interlayer dielectrics, a coplanar stripline directly on the silicon substrate has a shorter effective wavelength due to its higher silicon dielectric constant , while the inter-metal dielectric has a smaller dielectric constant of 3.8 4. For a distortionless transmission line, energy is equally lost to the substrate and metal lines because of the equality condition between R/L and G/C, and size reduction by the dielectric constant still holds true as the case of a lossless transmission line. Distortionless transmission theory and concept for passive component miniaturization is developed in the appendix-B of our previous work [32]. High silicon dielectric constant has a great benefit in size shrinkage when implementing a microwave component directly on silicon substrate. The length of each arm in the lumped-distributed phase-inverter rat-race coupler at is only 510- m. By winding the arms, the phase-inverter rat-race coupler can be further compacted to a square with sides of 400 m in length, and the practical size of a stand-alone SHM is only 0.16 mm as shown in Fig. 8. Finally, from the EM simulation, the LO amplitude and phase

errors over the whole operating frequency keep within 0.5 dB and 1 degree. The pure loss of the phase-inverter rat-race coupler is 5 6 dB. B. Intermediate-Frequency Amplifier , Second-Stage Mixer, Wideband Output Buffer and Quadrature Generator After the first conversion, the noise figure caused by the second conversion must be low enough to achieve an acceptable noise figure for the 60 GHz dual-conversion down-converter. Our goal is to have the overall noise figure of dual conversion below 20 dB based on the gain and noise figure of GaAs-based LNAs at 60 GHz. The first stage Schottky-diode SHM has a conversion loss of 15 dB. It means that the noise figure of the subsequent stage, including the intermediate-frequency amplifier, the second stage resistive mixer and the wideband output buffer, must be lower than 5 dB at . A topology with low noise figure and high gain, as shown in Fig. 5(a), is chosen for the amplifier. The amplifier consists of a common source stage with the source degeneration inductor of for simultaneous noise and gain match and a subsequent cascode stage for gain boosting. Here, the gain of the amplifier is designed to be around 18 20 dB to suppress the noise caused by the resistive mixer. The bandpass response of the input matching network of the amplifier suppresses the leakage signal. A 25-dB reduction in the leakage signal is obtained in simulation. At the output load of the amplifier, a single-to-differential transformer is employed for ac coupling, dc blocking, balanced-signal generation, and impedance transformation. The primary-coil inductance combined with the parasitic capacitance of the cascode device, , forms an LC tank at , and the secondary-coil inductance resonates out the parasitic capacitance at the source of the resistive mixer. The transformer is designed with a turn ratio of two to transfer the high output impedance of the cascode stage to the low input impedance of the double-balanced resistive mixer. The transformer has a coupling factor of 0.7 while the primary and secondary inductances are 1.62 nH and 0.62 nH, respectively. The peak of quality factor is designed as closely as possible to . The maximum quality factor of the primary coil is 7.8 at of 8.5 GHz while the quality factor at 10 GHz is 7.5. The maximum quality factor of the secondary coil is 6.9 at of 10.3 GHz. To generate IQ baseband signals

1690

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 9. Measured and simulated RF/LO reflection coefficient of the miniature dual-band rat-race coupler of the 60-GHz dual-conversion down converter using 0.18- m CMOS technology.

Fig. 10. Measured conversion gain of the 60-GHz dual-conversion down-conpower for different frequencies. verter versus

at the low-frequency conversion stage, a poly-phase shifter is employed at the ports of the 10-GHz double-balanced resistive mixers. As shown in Fig. 5, a two-stage poly-phase shifter is cascaded to reduce the process variation, and the pole frequencies of the first and two stages are chosen at 11.8 and 8.2 GHz. A 38% bandwidth is covered. The poly resistor of and MIM cap of have the values of 190 (560 ) and 60 fF (30 fF), respectively. is designed to be larger than to minimize the voltage loss. The voltage gain is 1.5 dB, and IQ phase imbalance is smaller than 1 degree in simulation. C. Experimental Results Fig. 8 shows the die photograph of the demonstrated 60-GHz dual-conversion down-converter using 0.18- m CMOS technology. The chip size is mm while the circuit area excluding the pads is mm . An 8-pin DC pad is on the left side of the chip and the GSGSG pad for differential signal is on the right side of the chip. Two differential pads on the top and bottom sides are used for and , respectively. The total current consumption is 22 mA under 2.5-V supply voltage. Fig. 9 shows the simulated and measured input reflection coefficient of the and ports. The RF bandwidth, defined by the 10-dB reflection coefficient, is from 50 GHz to 70 GHz and fully covers our interest band of 57 66 GHz. The other matching bandwidth at lower frequency is located at the desired band of 23 30 GHz. The measured conversion gain versus power begins to flatten once the power exceeds 1 dBm as shown in Fig. 10. The required pumping power is small for the SHM due to the good-matching design at and the low turn-on voltage of the Schottky diodes. According to the literatures [34], [35], a 20 30 GHz CMOS driving amplifier with 0-dBm output power is easily implemented using 0.18- m CMOS technology because the maximum available gain at 25 GHz of common-source/cascode configuration is higher than 8 dB/15 dB. The measured -toand -toisolations as shown in Fig. 11 are in the range of 60 dB and 30 dB, respectively. For comparison, a stand-

Fig. 11. Measured -toand -toisolations of the 60-GHz dual-conversion down-converter using 0.18- m CMOS technology.

alone SHM, which is identical to the high-frequency SHM of the dual-conversion converter, is fabricated. The measured conversion loss of the stand-alone SHM from 57 GHz to 66 GHz is around 15 dB. The results of the stand-alone SHM together with other state-of-the-art millimeter-wave mixers are summarized in Table I. It is found that the demonstrated silicon-based antiparallel Schottky-diode SHM with no extra DC biasing circuit has a low LO power, good isolation performance and small size. The measured conversion gain and power performance of the 60-GHz dual-conversion down-converter are depicted in Fig. 12. At , the conversion gain remains around 5 dB from 57 GHz to 66 GHz. The corresponding and IIP3 are and 3 5 dBm, respectively. The measured 3-dB bandwidth, shown in Fig. 13, is up to 2.1 GHz and the gain variation is less than 1 dB for frequency of up to 1.8 GHz. The measured noise figure at keeps around 19 dB for frequencies from 100 MHz to 2.2 GHz. For a 60-GHz gigabit transmission, the bandwidth must be higher than 1 GHz for a 2-GHz RF channel. Here, the demonstrated dual-conversion down-converter has enough RF and IF bandwidths. Finally, the measured output IQ waveform under is shown in Fig. 14. The phase and amplitude errors are 0.3 and 0.8%, respectively.

WEI et al.: 60-GHz DUAL-CONVERSION DOWN-/UP-CONVERTERS

1691

TABLE I COMPARISON OF MICROWAVE/MILLIMETER-WAVE MIXERS

Fig. 12. Measured conversion gain and power performance of the 60-GHz dual-conversion down-converter using 0.18- m CMOS technology.

Fig. 14. Measured I/Q output waveform of the 60-GHz dual-conversion downconverter using 0.18- m CMOS technology.

IV. THE DEMONSTRATED 60-GHz DUAL-CONVERSION UP-CONVERTER

Fig. 13. Measured conversion gain and noise figure of the 60-GHz dual-conversion down-converter using 0.18- m CMOS technology.

The 60 GHz dual-conversion up-converter and its frequency planning are shown in Figs. 15(a) and 15(b), respectively. The frequency planning is similar to that of Fig. 5(b) for the compatibility with the frequency arrangement of generator in the 60 GHz dual-conversion down-converter. The signal is at 10 GHz when the frequency is also fixed at 10 GHz; thus, the sideband signal caused by the high-frequency conversion is 20 GHz away from the 60 GHz channel and easily filtered out by the subsequent bandpass circuits. However, leakage signal, located at 48 54 GHz, is very close to the 60-GHz signal. It is difficult to suppress the leakage signal by the subsequent bandpass circuits, meaning that leakage signals could be emitted together with the desired 60-GHz signal. A good -toisolation is very important in the transmitter design. Thus, an SHM using trifilar transformer is proposed to

1692

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 15. (a) Schematic and (b) frequency planning of the 60-GHz dual-conversion up-converter by using Schottky diodes in the 0.18- m CMOS technology.

solve the problem of port-to-port isolations. In addition, the 60 GHz up-converter consists of a single sideband (SSB) up-conversion mixer pumped by signal at low-frequency conversion and an differential driving amplifier inserted between the two-step up-conversion stages. Details of the schematics are presented below. A. 60 GHz Sub-Harmonic Schottky-Diode Mixer Using Trifilar Transformer The 60 GHz SHM includes a trifilar transformer for differential and inputs, series-connected Schottky-diode APDPs in double-balanced configuration for sub-harmonic mixing, and a Marchand Balun for 60-GHz differential RF signal extraction. A trifilar transformer with one primary coil and two secondary coils is a useful microwave passive circuit [41] and has been employed in image-reject down-converters [42] and quadrature voltage-controlled oscillators. Here, we use a trifilar transformer with 2:1:1 turn ratios to implement an SHM in millimeter-wave frequency. The schematic and physical layout are illustrated in Fig. 16(a). The signal is fed via the center-taps of two secondary coils while the signal is coupled by the magnetic flux from the primary coil to two secondary coils. The design parameters are shown in Fig. 16(b)–16(d). The quality factor and inductance value of the primary/two secondary coils of the trifilar (at 25 GHz) are 11.8/8.5 and 1.2 nH/0.45 nH, respectively. The self-resonances are all around 48 GHz, and the coupling factor from primary to secondary coils ( and ) at 25 GHz is 0.51. The simulated

transmission coefficients in the cases of excitation are shown in Fig. 16(e), (f). Due to the port arrangements, the mutual isolations between the LO and IF ports are achieved by the trifilar. As shown in Fig. 15(a), two series-connected APDPs are placed at the remaining ports of a trifilar transformer such that the middle point of each series-connected APDPs is a virtual ground for the differential signal. Thus, an inherently good -toisolation can be attained because differential RF signals are taken from the virtual-ground points. At the same time, -toisolations are achieved due to the APDP configuration. In order to drive the external single-ended amplifier, a Marchand balun is used for the differential-to-single conversion. The Marchand balun adopts slotted shielding to block the lossy silicon substrate for loss reduction and to reduce the speed of the propagating wave for size reduction. Because the frequency between and RF signals is widely separated, the IF-to-RF isolation can be achieved by the bandpass response of the Marchand balun. There is a trade-off in the coupling design for the and inputs to the ports connected to the APDPs because the trifilar transformer deals with two signals with different frequencies at the same time. All the terminals are labeled as shown in Fig. 16(a). If the coupling between the ports (terminals of 1 and ) and the ports connected to the APDP (terminals of 2, , 3 and ) is too high, the signal transmitted from ports to the ports connected to the APDP increases; thus, the required power is lowered at the cost of low transmission between IF (terminals of 4 and ) and the ports connected to the

WEI et al.: 60-GHz DUAL-CONVERSION DOWN-/UP-CONVERTERS

1693

Fig. 17. Die photograph of the 60-GHz dual-conversion up-converter using 0.18- m CMOS technology.

Fig. 16. (a) The trifilar schematic, (b), (c) quality factors and inductance values of the primary/two secondary cores, (d) coupling factor between primary and two secondary coils, and (e), (f) the simulated transmission coefficients in the excitation. cases of

APDP (terminals of 2, , 3 and ). Figs. 16(e) and 16(f) show the -toand -toinsertion loss versus operating frequency by EM-simulations. A trade-off between the pumping power and the up-conversion loss exists in designing the coupling strength of a trifilar. In this work, the -toinsertion loss at 10 GHz is about 4.7 dB while the -toinsertion loss at 23.5 28 GHz is around 7 dB. The simple analysis by hand calculation is described in Appendix A. B. 10 GHz Single Sideband Gilbert Mixer and Driving Amplifier

Differential

The 10-GHz SSB mixer consists of two Gilbert multipliers, a quadrature generator and two center-tapped inductors. Each multiplier is composed of a double-balanced Gilbert cell ( or ), input trans-conductance stage (

or ), and one current source. It is difficult to generate a millimeter-wave transmitting signal with good sideband rejection by a direct up-conversion because the parasitic effects degrade the amplitude and phase accuracy of IQ signals. A dual conversion remedies the problem. The SSB mixer is used to up-convert the spectrum of the desired based-band IQ signal to the frequency with good sideband rejection at low-frequency conversion. The signal at frequency is then up-converted to 60 GHz by an SHM. Because the high-frequency mixer does not have sideband rejection, the frequency is set at 10 GHz to enable the undesired sideband signal generated by the high-frequency conversion to be easily filtered out by the subsequent external bandpass filter and power amplifier. Thus, generating a millimeter-wave signal with good sideband rejection is achieved by a two-step conversion. In order to overcome headroom limitation, the T-coil inductors ( and ) are used as the output load for gain enhancement at . The differential driving amplifier, employed between the SHM and the sideband-rejection mixer, raises the output power before the signal enters the 60-GHz SHM. Because the frequency response of a cascode configuration is better than that of a common-source configuration, a higher maximum available gain (MAG) at 10 GHz can be obtained by the former under the same DC current. Here, the size of each transistor is m . The fully-differential architecture with symmetrical layout has less sensitivity to the parasitic effects at the ac-ground point. A differential transformer is used at the output load to increase the dynamic range, and also to achieve conjugate impedance match to the SHM’s input via a turn ratio of 1.5. C. Experimental Results The die photo of the 60 GHz dual-conversion up-converter is shown in Fig. 17. The circuit area, excluding pads and test-key, is mm , and the compact 60-GHz SHM with the compact trifilar transformer occupies a mere mm . The differential baseband IQ signals are generated by applying the differential signals to a two-stage polyphase shifter to facilitate the measurement. The is designed at 1 GHz for a 2-GHz channel. If the IQ generator is also deducted, the area of the SHM, amplifier, SSB mixer, and generator, is mm (as marked by the white dashed line).

1694

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 20. Measured conversion gain of the 60-GHz dual-conversion up-converter using standard 0.18- m CMOS technology. Fig. 18. Measured and simulated output reflection coefficient of the 60-GHz dual-conversion up-converter using 0.18- m CMOS technology.

Fig. 21. Sideband rejection ratio (SBR) of the 0.18- m CMOS 60-GHz dual. conversion up-converter at Fig. 19. 60-GHz RF output power verses baseband different RF frequencies.

input power for the

The total power consumption of the main circuit is 26 mA at a supply voltage of 2.5 V. Here, the driving amplifier consumes most of the DC power. Fig. 18 shows the measured and simulated S-parameter of RF output reflection coefficient. The measured RF output return below 10 dB is from 50 to 66 GHz. Fig. 19 shows the measured power performance. The for each channel is close to and the maximum saturated power is 16 dBm at . Each 60-GHz channel has an almost identical power performance. Moreover, the measured 51 66 GHz conversion gain, shown in Fig. 20, is around 2 dB and the RF bandwidth is basically limited by the output reflection coefficient of the RF marchand balun. Within the 3-dB bandwidth, the measured remains around 6 dBm in most cases. Fig. 21 shows the measured SSB output power spectrum at and , and the sideband rejection (SBR) reaches 41.43 dB. The SBR is better than 40 dB over 50 66 GHz and remains nearly constant. The best performance is nearly 44 dB rejection at , meaning that the quadrature signal keeps the amplitude and phase errors smaller that 0.2 dB and 1 , respectively. Moreover, the (10-GHz signal leaked to the interest band) suppression, referring to desired output power, is

Fig. 22. Measured isolations and sideband rejection of the 60-GHz dual-conversion up-converter verses operating frequency.

about 22 23 dBc. The measured suppression and isolations across the entire 60 GHz bandwidth are shown in Fig. 22. Here, -toisolation is about 30 40 dB, and -toisolation is better than 65 dB thanks to the fully-symmetrical design of the double-balanced SHM by using the trifilar transformer and the APDPs structure.

WEI et al.: 60-GHz DUAL-CONVERSION DOWN-/UP-CONVERTERS

1695

TABLE II COMPARISON OF SINGLE-SIDEBAND REJECTION OF MICROWAVE/MILLIMETER-WAVE UP-CONVERTERS

#a only from 27 GHz to 30 GHz #b Suppression (SHM) #c SSB is achieved by using polyphase filter at 60 GHz #d Dual-conversion up-converter #e suppression (DUC) #f This performance almost remains the same over whole interesting 60 GHz bandwidth.

The performances of the 60-GHz up-converter and other state-of-the art up-converters at millimeter-wave frequency are summarized in Table II. Our proposed dual-conversion up-converter has the highest SBR over the 60 GHz bandwidth of any circuit on the list. V. CONCLUSION The introduction of Schottky diodes with a cut-off frequency of several hundred GHz in standard CMOS process has changed the scenario of the scaling rule. This enabling technology makes possible the implementation of 60-GHz dual-conversion up-/down-converters using 0.18- m foundry CMOS process even though MOS transistors have only of 50-GHz/60-GHz. Additionally, both microwave/millimeter-wave and analog design approaches are used to accomplish the low-cost 60-GHz transceiver. In the dual-conversion architecture, 60 GHz Schottky-diode SHMs are employed in the high-frequency conversion while analog mixers with accurate IQ signal generation are chosen for low-frequency conversion. Because the silicon-based Schottky diode possesses a low turn-on voltage and the advanced passive circuits, such as the proposed phase-inverter rat-race coupler and trifilar transformer, are available, the Schottky-diode millimeter-wave mixers using 0.18- m foundry CMOS process become practical. The demonstrated 60-GHz dual-conversion down-converter, operating from 57 66 GHz, has conversion gain of 5 dB, of 5 dBm and of 5 dBm. The corresponding Schottky-diode SHM requires only the small power of 1 dBm. For the 60-GHz dual-conversion up-converter, conversion gain of 2 dB, of 17 dBm, and broadband sideband rejection better than 40-dB are achieved. Based on the results of this work, incorporating the demonstrated 0.18- m CMOS dual-conversion up-/down-converters with high-performance HEMT-based LNA and PA gives an alternative attractive solution for 60-GHz transmission.

APPENDIX A ANALYSIS FOR A TRIFILAR WITH DIFFERENT EXCITATIONS The simple equivalent model of the trifilar with turn ratio of 2:1:1 is shown in Fig. 23. is magnetic coupling factor, and n is equal to . and represent the magnetizing and leakage inductances of the primary coil, respectively. The simulated mutual coupling among two secondary coils is around 0.1, much smaller than that between primary and secondary coils, and thus is ignored here. Two impedances of reflected from port 2 and port 3 are in parallel with the shunt inductance , as illustrated in Fig. 23(b). In this work, . and are the self-inductance and parasitic resistance of primary (secondary) winding, respectively. The voltage transformation in consideration of the leakage inductance effect and parasitic resistance is as follows:

(A.1) where the relation between

and

is defined as follow,

(A.2)

1696

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 23. (a) The diagram of the trifilar excited by a differential LO1 signal and (b) a simple equivalent model of the trifilar. Fig. 24. (a) The diagram of the trifilar excited by a differential (b) the simple equivalent model.

We can get the deviation of

by (A.1) and (A.2)

(A.3) and the reflected coefficient of

signal and

is expressed as follows:

and only leakage inductance of and parasitic resistance are considered in the voltage transformation between the IF input and output ports. The voltage transformation between IF input (port of ) and two output ports (ports of 23 and ) can be obtained by the voltage-division rule (A.5) where cient of

. Here, the reflected coeffiis as follows:

(A.6) (A.4) where . The calculated is around 6.5 dB at 25 GHz according to the simulated parameters of the trifilar ( nH, nH, , , and at ). The simple analysis is close to the simulated loss value of 7 dB in Fig. 16(e). When the trifilar is excited by a differential IF signal from the two center-tape ports, the LO port illustrated in Fig. 24(a) is the virtual ground for the IF signal. Fig. 24(b) shows the simple equivalent model of the trifilar for estimating transmission coefficient. The magnetizing inductance of is shorted due to the virtual ground of the primary coil as shown in Fig. 24(b),

is similar as the case of LO excitation by and the deviation of applying (A.5) and (A.6) to (A.3). At , nH, , and is 1.54. By the hand calculation, we can obtain dB at 10 GHz, similar to the simulated loss value of 4.7 dB in Fig. 16(f). ACKNOWLEDGMENT The authors would like to thank the National Chip Implementation Center (CIC), Hsinchu, Taiwan, for chip fabrication, and National Nano Device Laboratory (NDL), Hsinchu, Taiwan, for measurement support.

WEI et al.: 60-GHz DUAL-CONVERSION DOWN-/UP-CONVERTERS

REFERENCES [1] C. H. Doan, S. Emami, A. M. Niknejad, and R. W. Brodersen, “Millimeter-wave CMOS design,” IEEE J. Solid-State Circuits, vol. 40, no. 1, pp. 144–155, Jan. 2005. [2] S. Reynolds, B. Floyd, U. Pfeiffer, and T. Zwick, “60 GHz transceiver circuits in SiGe bipolar technology,” in Proc. IEEE ISSCC Dig. Tech. Papers, Feb. 2004, pp. 442–538. [3] B. Razavi, “A 60 GHz direct-conversion CMOS receiver,” in Proc. IEEE ISSCC Dig. Tech. Papers, Feb. 2005, pp. 400–606. [4] S. K. Reynolds, B. A. Floyd, U. R. Pfeiffer, T. Beukema, J. Grzyb, C. Haymes, B. Gaucher, and M. Soyuer, “A silicon 60-GHz receiver and transmitter chipset for broadband communications,” IEEE J. SolidState Circuits, vol. 41, no. 12, pp. 2820–2831, Dec. 2006. [5] M. Tanomura, Y. Hamada, S. Kishimoto, M. Ito, N. Orihashi, K. Maruhashi, and H. Shimawaki, “TX and RX frond-ends for 60 GHz band in 90 nm standard bulk CMOS,” in Proc. IEEE ISSCC Dig. Tech. Papers, Feb. 2008, pp. 558–635. [6] B. Razavi, “A millimeter-wave CMOS heterodyne receiver with on-chip LO and divider,” IEEE J. Solid-State Circuits, vol. 43, no. 2, pp. 477–485, Feb. 2008. [7] E. Cohen, C. G. Jakobson, S. Ravid, and D. Ritter, “A bidirestional TX/RX four-element phase array at 60 GHz with RF-IF conversion block in 90-nm CMOS process,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 5, pp. 1438–1446, May 2010. [8] J. J. Kuo, Z. M. Tsai, K. Y. Lin, and H. Wang, “Design and analysis of novel linearization technique of cascode cell in a 60-GHz CMOS demodulator,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 2, pp. 456–465, Feb. 2011. [9] P. Pursula, T. Karttaavi, M. Kantanen, A. Lamminen, J. Holmberg, M. Lahdes, I. Marttila, A. Luukanen, and T. Vähä-Heikkilä, “60-GHz millimeter-wave identification reader on 90-nm CMOS and LTCC,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 4, pp. 1166–1173, Apr. 2011. [10] D. Dawn, P. Sen, S. Sarker, B. Perumana, S. Pinel, and J. Lasker, “60-GHz integrated transmitter development in 90-nm CMOS,” IEEE J. Solid-State Circuits, vol. 57, no. 10, pp. 2354–2367, Oct. 2009. [11] C. Marcu, D. Chowdhury, C. Thakkar, L. K. Kong, M. Tabesh, J. D. Park, W. Yanjie, B. Afshar, A. Gupta, A. Arbabian, S. Gambini, R. Zamani, A. M. Niknejad, and E. Alon, “A 90 nm CMOS low-power 60 GHz transceiver with integrated baseband circuitry,” IEEE J. SolidState Circuits, vol. 44, no. 12, pp. 3434–3447, Dec. 2009. [12] J. Lee, Y. Chen, and H. Yenlin, “A low-power low-cost fully-integrated 60-GHz transceiver system with OOK modulation and on-board antenna assembly,” IEEE J. Solid-State Circuits, vol. 45, no. 2, pp. 264–275, Feb. 2010. [13] E. Juntunen, M. C.-H. Leung, F. Barale, A. Rachamadugu, D. A. Yeh, B. G. Perumana, P. Sen, D. Dawn, S. Sarker, S. Pinel, and J. Lasker, “A 60-GHz 38-pJ/bit 3.5-Gb/s 90-nm CMOS OOK digital radio,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 2, pp. 348–355, Feb. 2010. [14] M. Varonen, M. Karkkainen, M. Kantanen, and K. Halonen, “Millimeter-wave integrated circuits in 65-nm CMOS,” IEEE J. Solid-State Circuits, vol. 43, no. 9, pp. 1991–2002, Sep. 2008. [15] A. Tomkins, R. A. Aroca, T. Yamamoto, S. T. Nicolson, Y. Doi, and S. P. Voinigescu, “A zero-IF 60 GHz 65 nm CMOS transceiver with direct BPSK modulation demonstrating up to 6 Gb/s data rates over a 2-m wireless link,” IEEE J. Solid-State Circuits, vol. 57, no. 10, pp. 2085–2099, Oct. 2009. [16] J. Borremans, K. Raczkowshi, and P. Wambacq, “A digitally controlled compact 57-to-66 GHz front-end in 45 nm digital CMOS,” in Proc. IEEE ISSCC Dig. Tech. Papers, Feb. 2009, pp. 492–494. [17] S. A. Mass, Microwave Mixers, 2nd ed. Norwood, MA: Artech House, 1993. [18] C. Hutchinson, M. Frank, and K. Negus, “Silicon bipolar 12 GHz downconverter for satellite receivers,” in Proc. Bipolar/BiCMOS Circuits and Technology Meeting Papers, 1995, pp. 198–201. [19] V. Milanović, M. Gaitan, J. C. Marshall, and M. E. Zaghloul, “CMOS foundry implementation of Schottky diodes for RF detection,” IEEE J. Solid-State Circuits, vol. 43, no. 12, pp. 2210–2214, Dec. 1996. [20] S. Sankaran and K. K. O, “Schottky barrier diodes for millimeter-wave detection in a foundry CMOS process,” IEEE Electron Device Lett., vol. 26, no. 7, pp. 492–494, Jul. 2005. [21] S. Sankaran and K. K. O. , “Schottky diode with cutoff frequency of 400 GHz fabricated in 0.18 m CMOS,” IEE Electron. Lett., vol. 41, no. 8, pp. 506–508, Apr. 2005.

1697

[22] S. Sankaran and K. K. O., “A ultra-wideband amplitude modulation (AM) detector using Schottky barrier diodes fabricated in foundry CMOS technology,” IEEE J. Solid-State Circuits, vol. 42, no. 5, pp. 1058–1064, May 2007. [23] D. Shim, S. Sankaran, and K. K. O, “Complementary antiparallel schottky barrier diode pair in a 0.13- m logic CMOS technology,” IEEE Electron Device Lett., vol. 29, no. 6, pp. 606–608, Jun. 2008. [24] U. R. Pfeiffer, C. Mishra, R. M. Rassel, S. Pinkett, and S. K. Reynolds, “Schottky barrier diode circuits in silicon for future millimeter-wave and terahertz applications,” IEEE Trans. Microw. Theory Tech, vol. 56, no. 2, Feb. 2008. [25] C. Mao, C. S. Nallani, S. Sankaran, E. Seok, and K. K. O., “125-GHz diode frequency doubler in 0.13- m CMOS,” IEEE J. Solid-State Circuits, vol. 44, no. 5, May 2009. [26] E. Seok, D. Shim, C. Mao, R. Han, S. Sankaran, C. Cao, W. Knap, and K. K. O. , “Progress and challenges toward Terahertz CMOS integrated circuits,” IEEE J. Solid-State Circuits, vol. 45, no. 8, Aug. 2010. [27] A. Fujihara, E. Mizuki, H. Miyamoto, Y. Makino, K. Yamanoguchi, and N. Samoto, “High performance 60-GHz coplanar MMIC LNA using InP heterojunction FETs with AlAs/InAs superlattice layer,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig., Jun. 7–12, 2000, pp. 21–24. [28] C. F. Campbell, S. Moochalla, D. Daugherty, W. J. Taft, M. Y. Kao, and D. Fanning, “V band amplifier MMICs exhibiting low power slump characteristic utilizing a production released 0.15 um GaAs PHEMT process,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig., Jun. 7–12, 2009, pp. 433–436. [29] S. Emami, R. F. Wiser, E. Ali, M. G. Forbes, M. Q. Fordon, X. Guan, S. Lo, P. T. McElwee, J. Parker, J. R. Tani, J. M. Gilbert, and C. H. Doan, “A 60 GHz CMOS phase-array transceiver pair for multi-Gb/s wireless communications,” in Proc. IEEE ISSCC Dig. Tech. Papers, Feb. 2011, pp. 164–166. [30] T. Wang and K. Wu, “Size-reduction and band-broadening design technique of uniplanar hybrid ring coupler using phase inverter for M(H)MIC’s,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 2, pp. 198–206, Feb. 1999. [31] C. Y. Chang and C. C. Yang, “A novel broadband Chebyshev-response rat-race ring coupler,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 4, pp. 455–462, Apr. 1999. [32] H. J. Wei, C. C. Meng, and S. W. Yu, “A Chebyshev-response stepimpedance phase-inverter rat-race coupler directly on lossy silicon substrate and its Gilbert mixer application,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 4, pp. 882–893, Apr. 2011. [33] H. J. Wei, C. C. Meng, C. H. Chang, and G. W. Huang, “A UWB Gilbert down-converter with lumped-distributed phase-inverter rat-race coupler based on Chebyshev band-broadening technique,” IEE Electron. Lett., vol. 47, no. 15, pp. 859–861, Jul. 2011. [34] J. W. Lee and S. M. Heo, “A 27 GHz, 14 dBm CMOS power amplifier using 0.18 m common-source MOSFETs,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 11, pp. 755–757, Nov. 2008. [35] Y. N. Jen, C. T. Peng, and T. W. Huang, “A 20 to 24 GHz 16.8 dBm fully integrated power amplifier using 0.18 m CMOS process,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 1, pp. 42–44, Jan. 2009. [36] S. E. Gunnarsson, “Analysis and design of a novel 4 subharmonically pumped resistive HEMT mixer,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 4, pp. 809–816, Apr. 2008. [37] H. K. Chiou and T. Y. Yang, “Low-loss and broadband asymmetric broadside coupled balun for mixer design in 0.18- m CMOS technology,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 4, pp. 835–848, Apr. 2008. [38] C. H. Lien, C. H. Wang, C. S. Lin, P. S. Wu, K. Y. Lin, and H. Wang, “Analysis and design of reduced-size marchand rat-race hybrid for millimeter-wave compact balanced mixers in 130-nm CMOS process,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 8, pp. 1966–1977, Aug. 2009. [39] H. K. Chiou and J. Y. Lin, “Symmetric offset stack balun in standard 0.13- m CMOS technology for three broadband and low-loss balanced passive mixer design,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 6, pp. 1529–1538, Jun. 2011. [40] C. M. Lin, H. K. Lin, Y. A. Lai, C. P. Chang, and Y. H. Wang, “A 10–40 GHz broadband subharmonic monolithic mixer in 0.18 m CMOS technology,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 2, pp. 95–97, Feb. 2009. [41] J. R. Long, “Monolithic transformers for silicon RF IC design,” IEEE J. Solid-State Circuits, vol. 35, no. 9, pp. 1368–1382, Sep. 2000.

1698

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

[42] J. R. Long, “A low-voltage 5.1–5.8-GHz image-reject downconverter RF IC,” IEEE J. Solid-State Circuits, vol. 35, no. 9, pp. 1320–1328, Sep. 2000. [43] H. Y. Chang, P. S. Wu, T. W. Huang, H. Wang, C. L. Chang, and J. G. J. , “Design and analysis of CMOS broadband compact highlinearity modulators for gigabit microwave/millimeter-wave applications,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 20–30, Jan. 2006. [44] J. H. Tsai and T. W. Huang, “35–65-GHz CMOS broadband modulator and demodulator with sub-harmonic pumping for MMW wireless gigabit applications,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 10, pp. 2075–2085, Oct. 2007. [45] K. Hettak, G. A. Morin, and M. G. Stubbs, “A novel miniature multilayer CPW single sideband CPW mixer for up conversion at 4.5 GHz,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 9, pp. 606–608, Sep. 2005. [46] H. Y. Chang, T. W. Huang, H. Wang, Y. C. Wang, P. C. Chao, and C. H. Chen, “Broad-band HBT BPSK and IQ modulator MMICs and millimeter-wave vector signal characterization,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 908–919, Mar. 2004. [47] D. S. McPherson and S. Lucyszyn, “Vector modulator for W-band software radar techniques,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 8, pp. 1451–1461, Aug. 2001. [48] S. Kishimoto, K. Maruhashi, M. Ito, Y. Hamada, and K. Ohata, “60GHz-band Intentional LO-leakage APDP mixer for SSB self-heterodyne transmitter module,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig., Jun. 6–11, 2006, pp. 183–186. [49] P. Ali and B. Razavi, “A new transceiver architecture for the 60-GHz band,” IEEE J. Solid-State Circuits, vol. 44, no. 3, pp. 751–762, Mar. 2009. [50] C. M. Lo, C. S. Lin, and H. Wang, “A miniature V-band 3-Stage cascode LNA in 0.13 m CMOS,” in Proc. ISSCC Dig. Tech. Papers, Feb. 2006, pp. 322–323. [51] S. Pellerano, Y. Palaskas, and K. Soumyanath, “A 64 GHz 6.5 dB NF 15.5 dB gain LNA in 90 nm CMOS,” in Proc. ESSCIRC, Sep. 2007, pp. 352–355. [52] C. Weyers, P. Mayer, J. W. Kunze, and U. Langmann, “A 22.3 dB voltage gain 6.1 dB NF 60 GHz LNA in 65 nm CMOS with differential output,” in Proc. ISSCC Dig. Tech. Papers, Feb. 2008, pp. 192–193. [53] K. Maruhashi, K. Ohata, and M. Madihian, “A single-bias diode-regulated 60 GHz monolithic LNA,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig., Jun. 30–Jul. 3 1997, pp. 443–446. [54] T. LaRocca and M. C. Frank Chang, “60 GHz CMOS differential and transformer-coupled power amplifier for compact design,” in Proc. IEEE Radio Freq. Integr. Circuits Symp., Jun. 2008, pp. 65–68. [55] C. Y. Law and A. V. Pham, “A high-gain 60 GHz power amplifier with 20 dBm output power in 90 nm CMOS,” in Proc. IEEE ISSCC Dig. Tech. Papers, Feb. 2010, pp. 426–427. [56] J. W. Lai and A. Valdes-Garcia, “A 1 V 17.9 dBm 60 GHz power amplifier in standard 65 nm CMOS,” in Proc. IEEE ISSCC Dig. Tech. Papers, Feb. 2010, pp. 424–425. [57] J. Chen and A. M. Niknejad, “A compact 1 V 18.6 dBm 60 GHz power amplifier in 65 nm CMOS,” in Proc. IEEE ISSCC Dig. Tech. Papers, Feb. 2011, pp. 432–433. [58] M. Abbasi, T. Kjellberg, A. de Graauw, E. van der Heijden, R. Roovers, and H. Zirath, “A broadband differential cascode power amplifier in 45 nm CMOS for high-speed 60 GHz system-on-chip,” in Proc. IEEE Radio Freq. Integr. Circuits Symp., Jun. 2010, pp. 533–536.

HungJu Wei received the B.S. degree from the Department of Electrical Engineering, National Center University, ChungLi, Taiwan, in 2003, the M.S. degree from the Department of Microelectronics Engineering, National Cheng Kung University, Tainan, Taiwan, in 2005, and the Ph.D. degree in the Institute of Communication Engineering from National Chiao Tung University, Hsinchu, Taiwan, in 2011. His research interests include CMOS and SiGe/BiCMOS microwave and millimeter-wave integrated circuits.

Chinchun Meng received the B.S. degree in electrical engineering from National Taiwan University, Taipei, Taiwan, in 1985 and the Ph.D. degree in electrical engineering from University of California, Los Angeles, in 1992. He is currently a Full Professor in the Department of Electrical Engineering at National Chiao Tung University, HsinChu, Taiwan. His current research interests are in the areas of radio frequency integrated circuits, microwave and millimeter-wave integrated circuits.

Ta-Wei Wang was born in Changhua, Taiwan, in 1985. He received the B.S. degree in Department of Communication Engineering from National Chiao Tung University, Hsinchu, Taiwan, in 2007, and the M.S. degree in Institute of Communications Engineering from National Chiao Tung University, Hsinchu, Taiwan, in 2009. He worked on CMOS, SiGe BiCMOS and pHEMT microwave and millimeter wave integrated circuits for his master work.

Tai-Lin Lo was born in Tainan, Taiwan, in 1985. He received the B.S. degree in Department of Electrical Engineering, National Chung Cheng University, Chiayi, Taiwan, in 2007, and the M.S. degree in the Department of Communication Engineering from Nation Chiao Tung University, Hsinchu, Taiwan, in 2009. He worked on CMOS, SiGe BiCMOS and pHEMT microwave and millimeter wave integrated circuits for his master work.

Chia-Ling Wang was born in Tainan, Taiwan, in 1986. She received the B.S. degree in Department of Communication Engineering from National Chiao Tung University, Hsinchu, Taiwan, in 2008, and the M.S. degree in Institute of Communications Engineering from National Chiao Tung University, Hsinchu, Taiwan, in 2010. Her current research focuses on the CMOS Radio Frequency Integrated Circuit (RFIC) circuit design.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

1699

Novel Coupling Structure for the Resonant Coaxial Probe David J. Rowe, Student Member, IEEE, Adrian Porch, David A. Barrow, and Christopher J. Allender

Abstract—Herein we present a technique for performing complex permittivity measurements with an overmoded, evanescently perturbed coaxial resonator at microwave frequencies. The design of a noninvasive electromagnetic coupling structure, which allows transmission measurements to be taken from one end of a resonant section of coaxial cable, is discussed in detail. Quasi-spectral information was obtained through the exploitation of higher order resonances, and complex permittivity measurements of a number of common industrial solvents were taken at multiple discrete frequencies between 1 and 8 GHz. A combination of experimental and simulated results was used also to characterise the device behavior. The high stability of temporal measurements, coupled with the robustness of the design, make this device ideal for analytical chemistry and industrial process control. Index Terms—Dielectric measurements, microfluidics, microwave sensors.

I. INTRODUCTION

I

T HAS been demonstrated that dielectric spectroscopy is a highly useful metrological technique for biological, chemical, pharmaceutical, and industrial applications [1]–[7], but recent research has tended to ignore the need for a high throughput and low-volume sample interface required by modern liquidphase science. As a consequence, dielectric spectroscopy techniques have been unable to establish themselves as a viable alternative to more ubiquitous methods, despite key advantages: nondestructive, noninvasive, noncontact, and label-free measurements of liquid mixtures, colloids, and suspensions are all possible with dielectric techniques [5]–[10], but existing setups remain impractical and prone to experimental error. This work presents a coupling technique that allows transmission measurements to be taken from one end of a half-wavelength coaxial resonator. This improves dynamic range while allowing the evanescent field at the other end of the resonator, which forms the sensing mechanism, to be easily perturbed with a sample. This can be achieved in a multitude of ways since the field can be perturbed by being positioned adjacent to, but not necessarily in contact with, a sample. This work demonstrates the suitability of the measuring technique for a flow system by mounting the resonator in the branch connection of a fluidic Manuscript received December 22, 2011; accepted February 01, 2012. Date of publication April 03, 2012; date of current version May 25, 2012. This work was supported by EPSRC. D. J. Rowe, A. Porch, and D. A. Barrow are with the School of Engineering, Cardiff University, Cardiff CF 24 3AA U.K. (e-mail: [email protected]). C. J. Allender is with the Welsh School of Pharmacy, Cardiff University, Cardiff CF 10 3NB U.K. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2189124

T-piece. It would be equally valid to perturb the sensor with a fluid-filled capillary or even to scan a sample in a similar fashion to that employed when using a microwave microscope [11], [12]. Indeed, the presented coupling structure could be useful in the latter application. However, it is envisaged that the structure will be integrated into a microfluidic device because of the potential for disposable, point-of-care applications afforded by the additional capabilities arising from the exploitation of laminar flow, such as the control of solvent/solute concentration, temperature and mixing [13], [14]. There has been considerable interest in highly sensitive dielectric characterization for biological, biochemical, and chemical applications, and several groups have developed related narrowband approaches in recent years. Song and Wang have developed a microwave interferometer that uses differential measurements of sample-filled and reference liquid reservoirs at a single frequency to measure changes in composition of alcohol–water mixtures [15]. This technique was demonstrated , which yield corwith large changes in molar fraction respondingly large changes in permittivity. Conversely, we numerically derive a far smaller resolution limit for the resonant coaxial probe in this paper. This will be experimentally verified in a microfluidic environment in subsequent publications, which will allow a more appropriate comparison with other microfluidic techniques. Wood et al. demonstrate a radio frequency (RF) Coulter counter for counting biological cells with impedance measurements at MHz frequencies [16]. This is a contact method, which reduces chemical and biological compatibility, and dissipates orders of magnitude more power in the liquid sample than our device (in the order of microwatts rather than nanowatts), which is particularly problematic for characterizing liquids with permittivities of high temperature dependence. Ferrier et al. [17] and Nikolic-Jaric et al. [18] demonstrate similar devices for performing cell counting using gigahertz frequency measurements. Taking measurements at higher frequencies reduces the effects of ionic content (particular on imaginary permittivity), meaning measured changes can be attributed to changes in capacitance due to the presence of cells. These works are also able to differentiate between cells (for example, viable and nonviable yeast cells [18]) via dielectrophoretic means. However, such distinctions are based upon differences in the permittivity of the suspended cells and the suspending medium and therefore require predetermined knowledge and careful design of the chemical system. This differs from our design methodology as we have aimed to develop a generic, widely compatible device that is capable of characterizing arbitrary chemical systems.

0018-9480/$31.00 © 2012 IEEE

1700

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

In this work, a resonant measurement technique was developed because of improved accuracy and uncertainty in comparison to broadband methods [19]. Systematic error is reduced because resonant techniques have a lesser dependence on vector network analyzer (VNA) calibration, which is pertinent if “black box” dielectric spectroscopy—where a nonspecialist user could analyse a sample without knowing the intricacies of the measurement technique, or having to perform a rigorous calibration—is considered an eventual goal. It should be noted that the issue of having to perform a rigorous VNA calibration is one that has been addressed with respect to broadband permittivity measurements, based upon propagation constant measurements [20] and raw (uncalibrated) -parameter measurements [21]. These methods go some way to alleviating the reduction in accuracy associated with broadband methods, but require a sample to entirely fill a section of waveguide, be it rectangular or coaxial. Such approaches do not lend themselves to interfacing with a liquid-phase sample for the various biological and chemical applications discussed earlier, whereas one can envisage the resonant coaxial probe embedded in a microfluidic device being perturbed by an adjacent channel. Although the results presented in this work use bulk measurements of large volumes 30 ml , a microfluidic interface yields obvious practical advantages in massively reducing the required sample volume. A coaxial resonator is not only appropriate because its geometry lends itself well to sample interfacing, but because it is easily overmoded and will also have a large number of usable, well-defined TEM modes for obtaining multifrequency information. This is a key advantage over each of the alternative narrowband techniques discussed above. This is also an important counterpoint to the argument that broadband techniques are fundamentally more useful by measuring continuous spectra rather than a discrete frequency—the fundamental resonance of a coaxial resonator can be tuned to give a certain number of harmonics in a given frequency range by adjusting the length of the resonator, where harmonic frequencies are defined by (1) where is an integer multiple of the fundamental resonance, with resonant frequency , free space speed of light , coaxial spacer permittivity , and resonator length . This is also in contrast to other resonant techniques: cavity resonators [22], [23] are the most commonly encountered, and, whilst most groups only use a single resonance, cavity resonators typically have two or three usable sensing modes before hybrid and parasitic modes render higher order modes unusable. Quality factor (the quotient of resonant frequency and half-power bandwidth) is normally taken as a measure of resonator sensitivity—cavity resonators typically have 1000 to 10 000, whereas the resonances used in this work have , but this disadvantage is outweighed by a lower dependence on filling factor (the proportion of the resonator fields perturbed by a sample) and the other advantages of the coaxial geometry in overmoding and sample interfacing. A large part of this work focuses on the design of a transmission coupling structure,

which is more difficult to achieve than a reflection measurement for a coaxial geometry. There are several justifications for using transmission rather than reflection measurements, which are largely based upon such measurements allowing the use of weak coupling. First, in weak coupling, only a minor correction is required to convert the loaded factor into the unloaded factor to quantify dielectric loss, thus there is no need for careful calibration. Furthermore, the coupling structures do not alter the electromagnetic field distribution compared to the empty cavity, making the extraction of coupling-independent resonator parameters easier. This allows unambiguous extraction of resonance parameters and significantly reduces the experimental procedure. Conversely, reflection techniques require much stronger coupling to give a measurable resonance, because the large insertion loss under weak coupling conditions would give a reflection coefficient too close to 0 dB to allow reliable extraction of experimental parameters. Attempting to measure a weakly coupled resonance in reflection is considered poor metrological practice [24]. A recent report comparing dielectric measurement techniques concluded that a cylindrical cavity transmission method had lower uncertainty than a waveguide reflection method, due to power level measurement uncertainties [25]. Finally, the implication of a calibration-free measurement allowed by measuring transmission rather than reflectance is that the measurement does not necessarily have to be performed with a network analyzer. This gives rise to the potential for low-cost, miniaturized hardware for point-of-care applications. A microwave frequency technique was chosen as it is the principle region in which many dipolar relaxations of solventbased systems can be observed, without being swamped by conduction phenomena, and where the effects of dissolved or suspended species upon these relaxations can be seen [5]–[10]. Semi-rigid coaxial cable has been used because it is low cost and easily machinable. The coupling structure tightly clamps the resonator, giving a device well suited to the harsh nature of the industrial environment because of its excellent mechanical robustness. The coaxial cable used here also has a quarter-inch outer diameter, meaning the structure can be added to existing flow systems with standard fluidic fittings. Ethanol, methanol, and propan-2-ol are three common shortchain alcohols, and they have been the subject of numerous dielectric studies [26]–[28]. These solvents have a wide range of real and imaginary permittivities within the microwave region, and the causes of their relaxation mechanisms are well established, making them ideal calibration media. In this work, measurements of these solvents will be used to infer the permittivity of toluene. This widely used solvent is a monosubstituted benzene with a small dipole moment and correspondingly low permittivity and dielectric loss [29], and is considered to be chemically nonpolar. Toluene was chosen to demonstrate the industrial relevance of the resonant device and to exercise it over a large range of permittivity in order to investigate the limit of detection. Section II of this paper outlines the principles on which these measurements are based and the various design aspects. Section III discusses and justifies the experimental method-

ROWE et al.: NOVEL COUPLING STRUCTURE FOR THE RESONANT COAXIAL PROBE

1701

ology. The results and discussion are presented in Section IV, and some concluding remarks are given in Section V. II. DESIGN METHODOLOGY A. Resonator Perturbation theory can be used to relate a change in complex resonant frequency to the introduction of a sample of complex permittivity from a consideration of the volume integrals of the electric and magnetic fields of the resonator [30]: (2) where is resonant frequency, is the half-power bandwidth, is the permittivity, is the dielectric loss, and is a nonlinear function of complex permittivity , assuming an isotropic, nonmagnetic sample. Expressions of the same form are applicable to all modes. It is obvious from (2) that, given knowledge of , the real and imaginary components of change in complex frequency can be equated with those of complex permittivity. Therefore, it is possible to calculate the complex permittivity of a sample at different frequencies by measuring the resonant frequency and half-power bandwidth of multiple modes. The various methods of determining the function for each mode are discussed in Section III-B. One assumption encountered [30] is that the fields are negligibly distorted upon the introduction of a dielectric sample—this does not hold, particularly for higher permittivity samples, which leads to a nonlinear response. It is for this reason that more than one calibration material must be used for measurement inversion, as also described in Section III-B. Open-circuited RG401 50- coaxial cable was used to form the open-ended half-wavelength resonator. It was cut and polished to a length of 8 cm, yielding a fundamental resonant frequency of 1.25 GHz, which gives six modes in the frequency region of interest (0.5–8.5 GHz). This choice of length was also a suitable compromise between being a practical size and resonator losses, which increase with resonant frequency and so decrease with increasing length. The cable was formed of copper conductors (of 6.35 mm outer diameter) and a polytetrafluoroethylene (PTFE) spacer (of 1.6 mm inner diameter and 5.4 mm outer diameter). These dimensions, which set the aperture size, were chosen to be sufficiently large to allow standard microwave components to be used for the coupling structure, whilst sufficiently small to be perturbed with a microfluidic channel. A tapered narrowing of the aperture or elongated inner conductor could be used to further localize the evanescent field, as commonly found in microwave microscopes [11], [12] and recently demonstrated with an open-ended rectangular waveguide [31], but this was not deemed necessary at this stage, especially considering the additional geometric uncertainty that would be introduced. B. Coupling Structure Transmission measurements require two ports, the coupling of which can be achieved in a number of ways. Here, several alternatives will be described to highlight the importance of

Fig. 1. Cross-sectional colour map showing the electric field distribution within (a) end-coupled reflectance, (b) end-coupled transmission, and (c) invasive transmission capacitive coupling structures.

the proposed coupling structure. Compared with capacitive coupling, inductive coupling loops have a less broadband response due to parasitic modes and a tendency to act as a resonator, and they do not have a field pattern well suited to coupling to a coaxial resonator. Therefore, capacitive coupling, which exploits electric rather than magnetic field interactions, was used in this work. Reflectance measurements of a coaxial resonator can easily be taken by positioning a feedline adjacent and parallel to the resonant section, as in Fig. 1(a). The size of the gap determines the coupling strength. A structure with a common outer conductor between the feedline and resonator would also achieve coupling, whilst preventing interference with the coupling field. The resonator is perturbed simply by placing a sample in the evanescent field. The dynamic range of this approach can be increased taking a transmission measurement from similar feedlines at either end of the resonator, as shown in Fig. 1(b). However, this structure is more difficult to perturb: it is possible to pass a capillary through the gap between the resonator and one of the feedlines, but this method is very sensitive to the capillary position and the dimensional tolerances of capillaries introduce unacceptable uncertainties in the order of . Invasive couplings, where receptacles protrude through holes drilled into the resonant section as in Fig. 1(c), are also possible. This approach maintains the simple perturbation of the reflectance measurement, but recesses in the PTFE spacer introduce a high uncertainty as the low optical reflectance of PTFE means they are difficult to characterize with a measuring microscope or interferometer. The invasive coupling approach is also fundamentally flawed by not being able to couple to higher order modes with nodes located adjacent to the receptacles. As well as limiting the frequency range of the measurement, this will also give false readings for modes with nodes close to the receptacles. This occurs because a dielectric sample typically causes a reduction in resonant frequency, causing the nodes to move, which will give a false transmission measurement if a node moves closer to a receptacle. It would be possible to perturb a coaxial resonator by passing a sample directly through the resonator, or by having a section filled with a sample. However, these approaches suffer from high uncertainty due to fabrication tolerances and require a relatively high sample volume with a low throughput, making them unsuitable for the applications outlined in the introduction. Reproducibility would be reduced if the filled section had

1702

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 2. (a) Lower half of the coupling mount, showing (i) 6.35 mm diameter recess to clamp the coaxial resonator, (ii) 5.4-mm-diameter cavity into which the SMA receptacle protrudes to couple to the resonator, and (iii) recess to clamp ground plane such that its edge is fixed across the center of the coaxial resonator. (b) Exploded view of the entire coupling structure, where the upper half of the coupling mount is a mirror image of the lower. (c) Schematic cross-sectional view with a color map showing the electric field distribution. Each of the labeled dimensions are quantified in Table I.

TABLE I OPTIMIZED COUPLING STRUCTURE DIMENSIONS

to be disassembled or moved between measurements, which is a problem shared by a significant number of cavity and dielectric resonator techniques. An alternative method of coupling is illustrated in the cross-sectional and exploded views of Fig. 2. Significantly, this demonstrates how single-ended transmission coupling can be achieved in order to free the other end of the resonator for liquid measurement. The electromagnetic fields were confined within the structure to prevent interference. Crosstalk between the coupling receptacles was prevented by the careful positioning of a ground plane (Fig. 2) to avoid a reduction in dynamic range. Standard gold-plated SMA receptacles were used, and the receptacle housing diameter was set to maintain the 50- characteristic impedance of the feedlines according to the diameter of the receptacle inner conductor. The coupling coefficient was chosen to be far less than unity so that loaded and unloaded can be assumed to be identical. This can be interpreted as minimising the dependence of and on coupling, so coupling does not have to be accounted for during the inversion process. Through preliminary simulations performed in COMSOL Multiphysics (COMSOL, Burlington, MA), coupling strength was found to be predominantly determined by the resonator–receptacle separation , resonator–ground plane separation , receptacle–ground plane separation , and the ground plane thickness . Through a series of correlated simulations, these dimensions were optimized to maximize dynamic range whilst maintaining the weak coupling condition. The resulting optimized dimensions are given in Table I. These dimensions were used to fabricate the coupling structure with which the results of this paper were obtained. The extended inner conductor of the SMA receptacle is of a standard diameter 1.28 mm . The hole through which it protrudes into the coupling structure [labeled in Fig. 2(c)] forms a transmission line, which was machined to a diameter

of 3 mm in order maintain the 50- impedance of the feedlines to minimize mismatch. In contrast to the alternatives, described previously, this transmission coupling structure provides coupling to all TEM modes and provides single-ended coupling to allow the resonator to be perturbed easily. It does not need to be disassembled between measurements and does not suffer from large uncertainties due to the perturbation or coupling methods. The design also means the coaxial cable is easily replaceable, should a different frequency range or number of modes be required. The coupling structure and ground plane were machined from copper. III. EXPERIMENTAL A. Device Operation An Agilent E5071B VNA (Agilent, CA) was used to measure the transmission coefficient of the assembled device. The data was exported to LabVIEW (National Instruments, TX), where a Lorentzian curve was fitted to each resonance to measure the resonant frequency and bandwidth in real time. A segmented frequency sweep was used to measure all modes simultaneously, where each segment was maintained at for optimal curve fitting [32]. Because the electromagnetic interaction between the evanescent field and the sample is virtually instantaneous, the measurement period is set by the speed of the network analyzer and the method used to deliver the sample. The equipment used here demonstrated a measurement period of 50 ms per mode, although this was limited by the USB 2.0 data transfer rate from the network analyzer. With an appropriate microfluidic system, the chemical composition of the material under test could be changed continuously. The resonator was mounted in a standard Swagelok (Swagelok, OH, USA) fluidic T-piece with quarter-inch fittings in an identical fashion to stainless steel tubing. A 100- m PTFE disc was placed at the end of the resonator to prevent fluid ingress and corrosion of the copper conductors. A photograph of the device as used to take measurements is shown in Fig. 3. The material under test did not enter the resonator: it was only the evanescent field at the open end of the resonator that was perturbed with a dielectric sample. For results to be comparable, the same volume of evanescent field needs to be perturbed between samples. For this reason, the device is intended for use in situations where it is maintained at a fixed position relative to a sample, such as within a T-piece (as

ROWE et al.: NOVEL COUPLING STRUCTURE FOR THE RESONANT COAXIAL PROBE

Fig. 3. Photograph of the resonant device, as used to perform experiments.

demonstrated here) or embedded in a microfluidic device. The resonant coaxial device could also be used as a dip probe, where it would need to be inserted a fixed depth into the liquid sample for each measurement. For each sample, a reference air measurement was taken first immediately prior to the probe aperture being immersed in a large volume 30 ml of sample solvent for the sample measurement. The environment was maintained at 25 C with a water bath. B. Measurement Inversion There are three main methodologies of inverting changes in complex frequency to complex permittivity measurements: analytical, numerical and empirical. The analytical approach, which is commonly adopted for broadband reflectance measurements [33], [34], typically models the aperture admittance of the probe in order to match the internal coaxial fields with the external evanescent field. However, describing the behavior of the evanescent field in a complex microfluidic system is nontrivial. Certain assumptions, such as the infinite half-plane, do not hold for the geometry of this device, making the analytical approach unsuitable for this work. The action of an infinite half-plane can be approximated by a conducting flange at the aperture of an open-ended transmission line, where the width of the flange must be much larger than the aperture width. This assumption is commonly encountered in broadband techniques: a comparison of different aperture admittance models for the open-ended coaxial reflectometer [34] begins with this very approximation, and a recent study of flanged and flangeless open-ended rectangular waveguides concluded

1703

that a finite flange approximates as an infinite one when the flange width is greater than four times the aperture width [35]. It would be feasible to introduce a flange at the sensing aperture of a coaxial resonator with the presented coupling structure. There are several reasons for not doing so at the present time, which are largely usability considerations. Firsy, a quarter-inch aperture (as found with a resonator formed from RG401 cable) is easily integrated into existing flow systems. Second, RG401 cable is cheaper and easier to machine than a custom flanged resonator, so the resonator can be replaced so the frequency range can be altered, or for any other practical reason. Third, we have not found a way of adding a flange to an existing RG401 resonator that preserves a reliable fluidic seal. The inclusion of a flanged sensor (or possibly a tapered narrowing of the aperture, which would give greater spatial resolution whilst allowing a quarter-inch flange to act as an infinite half-plane) to allow the derivation for an analytical model are suggested as extensions to this work, but are not deemed necessary at the current time. The numerical method relies on an accurate simulation of the resonant device, where a sweep of sample permittivity can be used to extrapolate the corresponding change in complex frequency, from which an inversion function can be interpolated. This computationally intensive approach was adopted during the early stages of this work, but it would limit the versatility of this device in microfluidic applications as the simulations would need to be repeated every time the measurement setup changed. This would not be a problem for future applications, such as industrial process control where rigorous simulations could be performed, but the numerical method does not have a low enough uncertainty (due to mesh dependence) to be appropriate for this work. The empirical approach requires the measurement of at least two calibration materials in order to interpolate a nonlinear inversion function from changes in complex frequency for known complex permittivity. Despite not being traceable [36], this method is extremely simple and not susceptible to uncertainties attributable to underlying assumptions in the inversion, and as such is deemed most suitable for this work. Efforts to develop an accurate, traceable analytical approach for comparison with the empirical approach are currently underway. For both components of permittivity and for each resonant mode of the device, a 2-D interpolation of air-referenced measurements of three known solvents (ethanol, methanol and propan-2-ol) was performed against the change in real and imaginary frequency, in order to negate any interdependence between the two frequency components. These solvents were chosen as suitable calibration materials because preliminary broadband measurements showed their dielectric responses to be appropriately spread to give a smooth inversion function over a wide and useful range of permittivity. The dielectric dispersion data required for this inversion was obtained from [27], where the complex permittivity spectra can be expressed in terms of a multirelaxation Debye model

(3)

1704

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

TABLE II SOLVENT DEBYE PARAMETERS

for distinguishable dispersions with dispersion amplitude and relaxation time , where is in the infinite frequency limit and . The uncertainty in the real and imaginary components of permittivity of each of the inversion solvents was shown to be . Device accuracy will be quantified by comparing measurements of toluene with dielectric dispersion data from [29]. The parameters for ethanol, methanol and propan-2-ol for and toluene for are given in Table II. HPLC-grade solvents (Sigma Aldrich, MO) were used throughout. Fresh (previously unopened) samples were used for the experiments. IV. RESULTS AND DISCUSSION The mean and standard deviation of measured center frequency and bandwidth for each mode and material (100 samples) are shown in Table III. These values were also used to compute the normalised change in real and imaginary components of frequency of the resonator for each solvent in Fig. 4. The data were obtained over the course of several minutes and the low standard deviation illustrates the temporal stability of the device. These data alone could be used to distinguish between the solvents and could be of use in real-time industrial process control applications where the inversion could be omitted altogether if maximum temporal resolution was required but absolute permittivity values were not. Similarly, this could also be of use for the in situ monitoring of chemical reactions. It should be noted that the VNA used in this experiment was able to resolve frequencies to kHz accuracy, meaning bandwidth measurements have significantly higher error than center frequency measurements as they are typically three orders of magnitude lower. The noise floor was taken as one standard deviation from the mean. A limit of detection and a resolution at the limit of detection were extrapolated by inverting the maximum measurement noise to permittivity. The limit of detection (that is, the minimum detectable change) was obtained directly as this permittivity. The resolution at the limit of detection was taken as the difference between the lowest measurable permittivity and the lowest possible permittivity (i.e., that of a vacuum, ). The fabrication tolerances of the resonator and coupling structure were modeled in a series of correlated simulations. The maximum value was used to estimate the geometric uncertainty, which can be assumed to be the dominant source of uncertainty within the device [19]. However, the calibration method used in this work accounts for geometric uncertainty, which will be fixed for any given probe, so the calculation of complex permittivity need not consider geometric uncertainty. The values of maximum measurement noise, limit of detection, resolution and geometric uncertainty are given in Table IV.

It is noted that the value of the presented measurement technique lies in its low resolution limit, which could best be demonstrated through quantifying permittivity changes of decreasing volume fractions of one solvent in another. The results presented herein are intended as a demonstration of the measurement technique only, and do not reflect the environment in which such a device is intended to be incorporated. It is the authors’ opinion that the ultimate measurement resolution is best demonstrated in a flow environment in a microfluidic device, rather than with discrete bulk measurements. In a forthcoming paper on the development of an integrated microfluidic device based upon an embedded resonant coaxial probe [37], we demonstrate a limit of detection of 100 nM acetonitrile in toluene. Fig. 5 shows the change in the real component of normalized complex frequency change with respect to acetonitrile concentration. All other frequency components (that is, both the real and imaginary components of normalized frequency shift for the first six modes) demonstrate a similar linear response. Assuming a simple linear mixing rule for low concentrations of a polar solvent in a nonpolar host, this concentration corresponds to a minimum detectable change in permittivity of approximately 7 . This resolution represents a factor of 20 improvement over the results presented in this paper, which can be attributed to the finer temperature control possible with a microfluidic device. This is made possible by using a sensing volume in the order of nanoliters rather than milliliters. A schematic diagram of the microfluidic device is shown in Fig. 6 to illustrate how a microfluidic sample is interfaced with the resonant coaxial probe aperture. Real and imaginary components of permittivity are shown with respect to frequency in Fig. 7. The changes in complex frequency attributable to toluene have been inverted to permittivity values according to the process described in Section III-B. Gray lines show Debye spectra from [27], [29]; “ ” markers show expected permittivity values at the discrete measurement frequencies from the dielectric dispersion data. “x” markers show permittivity measurements of toluene, and the star shapes occur when expected and measured markers are coincident. Fig. 7(b) shows the toluene measurements to agree with previously obtained values within the margin of error attributable to measurement uncertainty , where the inversion function is assumed to have the same uncertainty as the reference permittivity values from which it was interpolated. An uncertainty of 3% is in agreement with the typical uncertainty associated with waveguide measurements [24] as used to determine the Debye parameters of the four solvents in [27], [29]. The measurement error for each mode, computed from the difference between expected and measured values and seen to always be less than measurement uncertainty, is shown in Table V. Fig. 7(d) shows a finer scale of imaginary permittivity, incorporating expected values for toluene, measured values for toluene and the limit of detection. Because both the expected and measured values are below the limit of detection, the measurement error of the imaginary component of permittivity has been excluded from Table V. This is partly due to the low-loss nature of toluene, and partly due to the noise and stability of the measurement equipment, and so is not considered a flaw of the resonant device itself.

ROWE et al.: NOVEL COUPLING STRUCTURE FOR THE RESONANT COAXIAL PROBE

1705

TABLE III RAW MEASUREMENT DATA

TABLE IV DEVICE CHARACTERISTICS

Fig. 5. Change in the real component of normalized resonant frequency with respect to acetonitrile concentration, shown for the fundamental mode of the resonant device for mixtures of acetonitrile in toluene.

Fig. 4. (a) Real and (b) imaginary components of change in complex frequency for ethanol, methanol, propan-2-ol and toluene measured with the first six modes of the device. Measurement noise is too low for error bars to be appropriate.

The temporal stability, high resolution, low uncertainty, and real-time capabilities of this device lend it to compositional analysis of liquids. These results, along with the benefits of coupling to the resonator in the described fashion, lead to exciting

Fig. 6. Schematic cross-sectional diagram of the resonant coaxial probe used to characterise a microfluidic channel. The sensing aperture of the probe is embedded in a suitable microfluidic substrate. The channel, of 400- m square cross section, is centered 100 m above the probe aperture. The channel length is many times the outer diameter of the coaxial cable (i.e., beyond where the electric field of the sensor decays to zero) and ensures a fixed volume of fluid perturbs the resonant sensor. This system enabled continuous flow dielectric spectroscopy.

prospects for integrating this probe with microfluidic techniques in a stable, accurate and ultimately usable device. Obtaining

1706

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 7. (a) Real and (c) imaginary permittivity spectra for ethanol, methanol, propan-2-ol and toluene, where (b) and (d) have a finer scale to show toluene measurements only. Grey lines show previously obtained Debye spectra; “ ” markers show expected permittivity values at the measurement frequencies. ’x’ markers show permittivity measurements of toluene; star shapes occur when expected and measured markers are coincident. (b) Real component of toluene measurements to agree with previously obtained values within the margin of error attributable to geometric uncertainty. (d) Imaginary component of permittivity of toluene to be below the limit of detection attributable to measurement noise, illustrating why the dielectric loss of toluene cannot be resolved in this frequency range.

TABLE V REAL PERMITTIVITY MEASUREMENT ERROR

permittivity values at this number of different frequencies has hitherto not been possible with a single resonator. In these experiments, the frequency range was set by the VNA, but in principle the components used are sufficient for measurements up to 18 GHz. It is anticipated that the frequency range could

be further extended by using different receptacles and some subtle optimisation of the design. It is therefore hoped that this coupling structure may provide a way for resonant measurements to become a more viable alternative to broadband dielectric techniques and other spectroscopic methods. One shortcoming of the presented experiments is that the behaviorwith temperature variation was notinvestigated.Several promising techniques of temperature compensation are currently being investigated, although it may transpire that the thermal expansion of PTFE (which is likely to dominate changes in conductivity and other thermal effects, particularly with more extreme temperature variation) means an air-spaced coaxial line may be more appropriate for certain applications.

ROWE et al.: NOVEL COUPLING STRUCTURE FOR THE RESONANT COAXIAL PROBE

V. CONCLUSION A novel method of performing complex permittivity measurements from one end of a coaxial resonator has been demonstrated. The durability, accuracy, stability and simplicity of the device make it promising for numerous applications throughout a range of fields. From a chemical, biological and pharmaceutical perspective, the ability to take nondestructive, noninvasive, noncontact, and label-free measurements of liquid mixtures, colloids, and suspensions is hugely enabling. The device is also an ideal candidate for multisensor data fusion, without many of the disadvantages traditionally associated with resonant techniques, and without the sophisticated calibration required for broadband techniques. High-speed, real-time measurements were also demonstrated, giving rise to the potential for in situ characterization of chemical reactions and industrial processes. The coupling structure has also allowed quasi-spectral information to be obtained with a single resonator for the first time. ACKNOWLEDGMENT The authors would like to thank J. Naylon for his assistance with LabVIEW programming. REFERENCES [1] J. C. Booth, J. Mateu, M. Janezic, J. Baker-Jarvis, and J. A. Beall, “Broadband permittivity measurements of liquid and biological samples using microfluidic channels,” in IEEE MTT-S Int. Microw. Symp. Dig., 2006, pp. 1750–1753. [2] E. Benoit, O. Prot, P. Maincent, and J. Bessière, “Applicability of dielectric measurements in the field of pharmaceutical formulation,” Bioelectrochem. Bioenergetics, vol. 40, no. 2, pp. 175–179, 1996. [3] M. Kent, A. Peymann, C. Gabriel, and A. Knight, “Determination of added water in pork products using microwave dielectric spectroscopy,” Food Control, vol. 13, no. 3, pp. 143–149, 2002. [4] O. K. Castell, C. J. Allender, and D. A. Barrow, “Continuous molecular enrichment in microfluidic systems,” Lab Chip, vol. 8, no. 7, pp. 1031–1033, May 2008. [5] G. R. Facer, D. A. Notterman, and L. L. Sohn, “Dielectric spectroscopy for bioanalysis: From 40 Hz to 26.5 GHz in a microfabricated wave guide,” Appl. Phys. Lett, vol. 78, no. 7, pp. 996–998, 2001. [6] A. Bonincontro and C. Cametti, “Interfacial characterization of mesoscopic particle suspensions by means of radiowave dielectric spectroscopy: A minireview,” Colloids Surfaces A, Physicochem. Eng. Aspects, vol. 246, no. 1–3, pp. 115–120, Oct. 2004. [7] S. Egot-Lemaire, J. Pijanka, J. Sulé-Suso, and S. Semenov, “Dielectric spectroscopy of normal and malignant human lung cells at ultrahigh frequencies,” Phys. Med. Biol., vol. 54, no. 8, pp. 2341–2357, 2009. [8] M. Xu, E. M. Eyring, and S. Petrucci, “Dielectric relaxation of chloroform and chloroform-cyclohexane mixtures at Gigahertz and Terahertz frequencies. The inertial term,” J. Molecular Liquids, vol. 73–74, pp. 41–48, 1997. [9] F. F. Hanna, K. N. Abdel-Nour, A. M. Ghoneim, and F. Hufnagel, “Dielectric relaxation of polar molecules in mixtures of non-polar solvents,” J. Molecular Liquids, vol. 31, no. 1, pp. 51–65, Jun. 1985. [10] S. Schwerdtfeger, F. Köhler, R. Pottel, and U. Kaatze, “Dielectric relaxation of hydrogen bonded liquids: Mixtures of monohydric alcohols with n-alkanes,” J. Chem. Phys., vol. 115, no. 9, pp. 4186–4194, 2001. [11] C. Gao and X.-D. Xiang, “Quantitative microwave near-field microscopy of dielectric properties,” Review of Scientific Instruments, vol. 69, no. 11, pp. 3846–3846, 1998. [12] M. Tabib-Azar and Y. Wang, “Design and fabrication of scanning nearfield microwave probes compatible with atomic force microscopy to image embedded nanostructures,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 971–979, Mar. 2004.

1707

[13] S. Marre and K. F. Jensen, “Synthesis of micro and nanostructures in microfluidic systems,” Chem. Soc. Rev., vol. 39, no. 3, pp. 1183–1202, 2010. [14] V. Kumar, M. Paraschivoiu, and K. D. P. Nigam, “Single-phase fluid flow and mixing in microchannels,” Chem. Eng. Sci., vol. 66, no. 16, pp. 21–35. [15] C. Song and P. Wang, “A radio frequency device for measurement of minute dielectric property changes in microfluidic channels,” Appl. Phys. Lett., vol. 94, no. 2, pp. 023901–023901, 2009. [16] D. K. Wood, S.-H. Oh, S.-H. Lee, H. T. Soh, and A. N. Cleland, “Highbandwidth radio frequency Coulter counter,” Appl. Phys. Lett., vol. 87, no. 18, pp. 184106–184106, 2005. [17] G. A. Ferrier, S. F. Romanuik, D. J. Thomson, G. E. Bridges, and M. R. Freeman, “A microwave interferometric system for simultaneous actuation and detection of single biological cells,” Lab on a Chip, vol. 9, no. 23, pp. 3406–3412, 2009. [18] M. Nikolic-Jaric et al., “Microwave frequency sensor for detection of biological cells in microfluidic channels,” Biomicrofluidics, vol. 3, no. 3, pp. 034103–034103, 2009. [19] A. P. Gregory and R. N. Clarke, “A review of RF and microwave techniques for dielectric measurements on polar liquids,” IEEE Trans. Dielectr. Electr. Insulation, vol. 13, no. 4, pp. 727–743, 2006. [20] M. D. Janezic and J. A. Jargon, “Complex permittivity determination from propagation constantmeasurements,” IEEE Microw. Guided Wave Lett., vol. 9, no. 2, pp. 76–78, Feb. 1999. [21] U. C. Hasar, “A new microwave method for electrical characterization of low-loss materials,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 12, pp. 801–803, Dec. 2009. [22] M. Lin and M. N. Afsar, “A new cavity perturbation technique for accurate measurement of dielectric parameters,” in IEEE MTT-S Int. Microw. Symp. Dig., 2006, pp. 1630–1633. [23] C. P. L. Rubinger and L. C. Costa, “Building a resonant cavity for the measurement of microwave dielectric permittivity of high loss materials,” Microw. Opt. Technol. Lett., vol. 49, no. 7, pp. 1687–1690, 2007. [24] “National physical laboratory,” in A Guide to the Characterisation of Dielectric Materials at RF and Microwave Frequencies. London, U.K.: Inst. Meas. Control, 2003. [25] J. Sheen, “Comparisons of microwave dielectric property measurements by transmission/reflection techniques and resonance techniques,” Meas. Sci. Technol., vol. 20, no. 4, pp. 042001–042001, Apr. 2009. [26] S. Mashimo, T. Umehara, and H. Redlin, “Structures of water and primary alcohol studied by microwave dielectric analyses,” J. Chem. Phys., vol. 95, no. 9, pp. 6257–6260, 1991. [27] J. Barthel, K. Bachhuber, R. Buchner, and H. Hetzenauer, “Dielectric spectra of some common solvents in the microwave region. Water and lower alcohols,” Chem. Phys. Lett., vol. 165, no. 4, pp. 369–373, Jan. 1990. [28] U. Kaatze, “Reference liquids for the calibration of dielectric sensors and measurement instruments,” Meas. Sci. Technol., vol. 18, no. 4, pp. 967–976, 2007. [29] V. A. Santarelli, J. A. MacDonald, and C. Pine, “Overlapping dielectric dispersions in toluene,” J. Chem. Phys., vol. 46, no. 6, pp. 2367–2375, 1967. [30] D. M. Pozar, Microwave Engineering, 3rd ed. New York: Wiley, 2005. [31] W. Saleh and N. Qaddoumi, “Potential of near-field microwave imaging in breast cancer detection utilizing tapered rectangular waveguide probes,” Comput. Electr. Eng., vol. 35, no. 4, pp. 587–593, Jul. 2009. [32] R. Inoue, Y. Odate, E. Tanabe, H. Kitano, and A. Maeda, “Data analysis of the extraction of dielectric properties from insulating substrates utilizing the evanescent perturbation method,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 2, pp. 522–531, Feb. 2006. [33] D. K. Misra, “Quasi-static analysis of open-ended coaxial lines,” IEEE Trans. Microw. Theory Tech., vol. 35, no. 10, pp. 925–928, Oct. 1987. [34] C. Gabriel, T. Y. A. Chan, and E. H. Grant, “Admittance models for open ended coaxial probes and their place in dielectric spectroscopy,” Phys. Med. Biol., vol. 39, no. 12, pp. 2183–2200, Dec. 1994. [35] J.-H. Kim, B. Enkhbayar, J.-H. Bang, B.-C. Ahn, and E.-J. Cha, “New formula for the reflection coefficient of an open-ended rectangular waveguide with or without an infinite flange,” Progr. Electromagn. Res. M, vol. 12, pp. 143–153, 2010.

1708

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

David J. Rowe (S’11) received the B.Eng. (Hons) degree (first class) in electronic engineering from Cardiff University, Cardiff, U.K., where he is currently working toward the Ph.D. degree. His research concerns microwave sensors for microfluidic systems. Mr. Rowe received numerous undergraduate and postgraduate awards, including the 2011 Institute of of Physics Mansel Davies Award.

David A. Barrow received the B.Sc. (Hons) degree in biological sciences and Ph.D. degree in ecological sciences from the University of Wales, Cardiff, U.K. He is a multidisciplinary scientist and Professor of microfluidics and nanotechnology with Cardiff University, Cardiff, U.K., and has researched a diversity microfluidic-based phenomena and devices, including chemical sensors, porous silicon, microacoustics, hybrid integration, micromolding, emulsion and digital microfluidics, chemical separations, plasma etching, CFD, microwave sensors, laser micromachining, and marine microanalysis systems. He was a founder of the metaFAB TSB open-access NanoCentre, MSTB Ltd., researching space microsystems, Protasis Corporation, developing microdevices for chemicals separations, and Q-CHIP Ltd,. developing injectable microencapsulated pharmaceuticals.

Adrian Porch received the M.A. degree in physics and Ph.D. degree in lowtemperature physics from Cambridge University, Cambridge, U.K. He is a Professor with the School of Engineering, Cardiff University, Cardiff, U.K., Director of the Institute of Green Electronic Systems, and a member of the Centre for High Frequency Engineering. He has over 25 years of experience in applying microwave methods to measure and understand the fundamental properties of electronic materials. More recently, his techniques have been used to develop new types of electromagnetic sensors, with emphasis on applications across different disciplines.

Christopher J. Allender received the B.Sc. degree in biology from the Open University and the Ph.D. degree in pharmacy from Cardiff University, Cardiff, U.K. He is a Senior Lecturer with the School of Pharmacy and Pharmaceutical Sciences, Cardiff University, Cardiff, U.K. Since his appointment as a Lecturer in 2001, he has developed independent research activities and collaborations to deliver a high standard of multidisciplinary research centered on core expertise of molecular imprinting and drug delivery, where he has earned a reputation as a key international scientist contributing innovative science to the community.

[36] A. P. Gregory and R. N. Clarke, “Dielectric metrology with coaxial sensors,” Meas. Sci. Technol., vol. 18, no. 5, pp. 1372–1386, 2007. [37] D. J. Rowe, A. Porch, D. A. Barrow, and C. J. Allender, “Microfluidic device for compositional analysis of solvent systems at microwave frequencies,” Sens. Actuators B, Chem., submitted for publication.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

1709

Robust Analog Canceller for High-Dynamic-Range Radio Frequency Measurement Joshua M. Wetherington, Student Member, IEEE, and Michael B. Steer, Fellow, IEEE

Abstract—An automated analog canceller is presented that uses feedforward cancellation in a bridge configuration. A minimum of 70 dB of analog cancellation is obtained. The canceller is used to construct an intermodulation distortion measurement system achieving up to 120 dBc of intermodulation dynamic range in twoport transmission testing and 140 dBc of intermodulation dynamic range in one-port reflection testing for frequency separations of at least 1 kHz. At a two-tone frequency separation of 1 Hz, the respective dynamic ranges are at least 94 and 111 dBc. The relationship of cancellation performance and dynamic range is examined in terms of application-specific definitions of dynamic range. The system is then used to measure passive intermodulation distortion using a two-tone test with a tone frequency separation from 1 Hz to 100 MHz. Index Terms—Broadband, cancellation system, dynamic range, nonlinear measurement, passive intermodulation distortion (PIM), reverse power canceller, reverse power cancellers (RPC).

I. INTRODUCTION

T

HERE ARE many situations in which small RF signals must be detected in the presence of large RF signals. These include characterization of materials and detection of buried objects by examining the nonlinear response to electromagnetic probing signals, satellite navigation receivers operating near high power cellular phone systems or in the presence of jammers that intentionally attempt to deny satellite-based navigation, high-power RF transmitters of digitally modulated signals that transmit unintended signals (so-called spectral regrowth) that can disrupt other radios operating in adjacent bands, systems that characterize the distortion performance of analog circuits using two-tone testing (in which the frequency spacing of the tones is ideally swept), and nonlinear radar that attempts to detect the presence of electronics by detecting harmonics and intermodulation products in the reflected RF signal. The detection problem in all of these systems is the characterization of small RF signals in the presence of large signals. Systems such as commercial radios use bandpass filters (as well as regulated air space and centralized dynamic power control) to minimize large interfering signals.

Manuscript received September 26, 2011; revised February 10, 2012; accepted February 16, 2012. Date of publication April 03, 2012; date of current version May 25, 2012. This work was supported by the U.S. Office of Naval Research as part of a Multi-disciplinary University Research Initiative on Sound and Electromagnetic Interacting Waves under Grant N00014-10-1-0958. The authors are with the Department of Electrical and Computer Engineering, North Carolina State University, Raleigh, NC 27695 USA (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2189230

The increasing sensitivity of modern radio, radar, and sensor systems has led to greater attention to ultralow distortion products resulting from passive intermodulation distortion (PIM), or the mixing products created when multiple tones interact in presumably linear passive components. Although these mixing products can be 100 dB or more below the power level of the carrier signals, technologies such as GPS and spread spectrum modulation continually push the minimum detectable signal levels lower. Therefore, in order to understand the origins of PIM, it has become necessary to extend the performance of high-dynamic range measurement systems. Traditional nonlinear distortion measurement systems apply a high-power stimulus, typically a two-tone signal, to a deviceunder-test (DUT), sometimes in a wireless channel. The carrier signals are then removed at the output of the DUT or receive antenna to prevent masking of mixing products and to improve the sensitivity of the receiver. Commercial systems use filtering to isolate the high-power stimulus from the generated low-power intermodulation products [1]. Systems such as these can measure very small intermodulation products, but the finite slope of the filters in transitioning from passband to stopband prevent measurement of closely spaced tones. More advanced systems, such as that reported in [2], expand the capabilities of the filtering system by using a resonator to generate higher power levels in the DUT, however, there is a minimum ability to shift frequency bands or measure when the tone spacing is small. The second class of nonlinear distortion measurement systems uses the concept of analog cancellation of the stimulus signal to extend the dynamic range of a receiver-based measurement instrument. Feedforward cancellation is a well-known technique for signal and noise suppression and active cancellers have been widely applied as reverse power cancellers (RPCs) for continuous-wave (CW) radar [3]–[6], for co-channel and adjacent channel distortion measurement [7]–[10], and for PIM measurement [11], [12]. These cancellers typically employ a combination of amplitude and phase shifters or a vector modulator which require manual or slow iterative tuning for optimal cancellation. A recent implementation [11] reported an analog canceller using digitally controlled vector modulators to automate the cancellation process leading to a high-dynamic-range distortion measurement system. The system achieved up to 50 dB of analog cancellation and was used to characterize PIM of microwave components from 1 Hz to 10 kHz [13], [14]. Key to achieving this performance was replacing the Newton or quasi-Newton iterative commonly used in vector-modulator-based cancellers by a predictive formula that determines the appropriate settings at each iteration of the canceller. The

0018-9480/$31.00 © 2012 IEEE

1710

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

derivative-based procedure necessarily requires an error signal, and the dithering of this error determines the extent of cancellation achievable. In [11], the primary limits to analog cancellation and, thus, system dynamic range were established as carrier phase noise and amplitude measurement error leading to a decrease in cancellation depth. The system presented in this paper improves the automated analog cancellation performance through careful attention to signal level calibration, architectural refinement, and an intelligent cancellation algorithm. Section II presents the theory behind the analog canceller developed including an in-line calibration procedure that calibrates the system at the same time as the canceller operates. The architecture of the new canceller is presented in Section III along with implementation details. Section IV describes a high-dynamic-range PIM measurement system that utilizes the analog canceller. The measurement section, Section V, first defines various dynamic range measures to be used with analog cancellers and PIM measurement systems. Then, the application of the two-tone PIM measurement system is used to measure the PIM of off-the-shelf lossy microwave components from the electrothermal region below 100 Hz of tone separation up to 100 MHz. It is shown that there are sources of PIM beyond the electro-thermal sources of PIM that dominate at low tone spacings. II. ANALOG CANCELLER Here, we describe the approach to reliably achieving analog cancellation exceeding 70 dB. Even the most subtle issues must be addressed to achieve such cancellation levels automatically. A. Feedforward Cancellation Theory The input to an analog canceller is a signal that needs to be transmitted to a DUT but be suppressed before signal detection. In many cases, this input signal is a high-power signal needed to drive an antenna and wireless channel or to drive distortion in microwave components to measurable levels. Reflection or leakage of the high-power signal into receiver circuitry can saturate it, greatly reducing sensitivity of the receiver. In the analog canceller here, a test signal is split into two paths, providing a stimulus signal to the DUT and a feedforward signal to phase and amplitude shifters; see Fig. 1. Nonlinearities in the DUT create a distorted signal at the output, while shifting on the feedforward path outputs a cancellation signal. These signals are then recombined for detection and measurement. The feedforward signal (B) destructively interferes with the signal from the DUT (C) so that the undesired original tones are suppressed, i.e., cancelled, at a final combination point to produce the cancelled signal (D). In the ideal case, the feedforward signal has the same amplitude, but opposite phase, as the test signal resulting in total cancellation. Thus, the original signal is cancelled, leaving only distortion products at the output of the canceller. The cancelled signal is then detected using a conventional receiver, a vector signal analyzer, or a spectrum analyzer. The canceller uses measurements of the amplitude of the signal at the output of the canceller with and without the cancellation signal applied. Without loss of generality, is the voltage amplitude of an original component of the distorted signal when

Fig. 1. Basic block diagram for signal cancellation employing a feedforward path with amplitude and phase shifting to generate an antiphase version of the test signal. The DUT could also be replaced with a wireless channel and either a single or separate antennas for transmission and reception.

the shifters are adjusted so that there is no cancellation signal and is the voltage amplitude of the same component with the cancellation signal applied. In the absence of nonidealities, perfect cancellation is obtained when . Nonidealities include amplitude imbalance, , phase imbalance, , and group delay effects for finite bandwidth signals. Restricting the development to discrete stimulus signals (as in two-tone testing), the amplitude and phase imbalances result in a limit to the achievable cancellation [11] (1) where , the analog cancellation, is the ratio (in decibels) of the power of the original signal to the power of the cancelled signal as measured at the cancellation reference plane at the output (D). In (1) the amplitude imbalance is a linear voltage ratio of the cancellation and original tones. With ideal amplitude matching of the original and cancellation signals, i.e., , and no offset from antiphase matching, i.e., , then dB and perfect cancellation is achieved. As in [11], only signal amplitude measurements are needed to determine the correct amplitude and phase for the cancellation signal. A power measurement of the distorted signal determines the target amplitude for the cancellation signal. A reference measurement combining the original signal and an equal amplitude cancellation signal with an arbitrary phase separation will ideally result in a measured power that is solely dependent on . Therefore, the additional phase shift of the feedforward signal needed to completely cancel the original signal is (2) which can be derived from the vector addition of equal amplitude discrete tones [11]. The symmetry of the unit circle yields two solutions, only one of which will provide the desired cancellation. The correct sign in (2) can be determined by taking an additional amplitude measurement at a second reference phase separation. Equation (2) contains no inherent phase shift error, that is, for the combination of two ideal tones on a perfectly linear cancellation signal path, will always be zero for the predicted phase shift. In reality, even small errors (or noise) in the signal amplitude of the cancellation signal or slight nonlinearities in the cancellation signal path can severely degrade actual cancellation. From (1), a 0.1-dB amplitude mismatch or a 0.6 phase

WETHERINGTON AND STEER: ROBUST ANALOG CANCELLER FOR HIGH-DYNAMIC-RANGE RF MEASUREMENT

Fig. 2. Maximum theoretical analog cancellation, , as a result of projected phase-shift error caused by amplitude mismatch during the reference measurement. Phase separation is the phase difference of the signal to be cancelled at (C) and the cancelling signal (B) as shown in Fig. 1. It is assumed that the unshifted cancellation signal has a larger amplitude than the original signal.

mismatch limits cancellation to under 40 dB 40 dB . Amplitude error is mostly due to nonlinearities in the cancellation path, however, phase error is dominated by amplitude error in the reference measurement embedding itself in the application of (2) in determining the required phase shift for cancellation. The combination of mismatched original and unshifted cancellation signals produces an incorrect value for , propagating into an error in the calculated required phase shift . Subsequent iterations correct for this error, but it is desirable to achieve maximum cancellation with no or few iterations. The amplitude-to-phase error propagation is also dependent on the phase separation of the signals during the reference measurement, as a result of vector addition. A plot of the maximum analog cancellation for several values of amplitude mismatch is shown in Fig. 2. For phase separations of less than 90 , this limit on cancellation can exceed the limit imposed directly by amplitude mismatch. As the tones spread farther apart, the effect of amplitude-to-phase error becomes much less significant. Due to relying only on amplitude measurements, amplitude mismatch has a significant effect on cancellation error, and highly accurate calibration is required to characterize the cancellation path so that maximum analog cancellation can be achieved. B. Calibration In [11] calibration was performed prior to canceller operation. The baseline calibration fine-tunes the scaling of the cancellation amplitude for a cancellation phase of 0 . In the absence of phase-dependent amplitude variations, this calibration can be applied at arbitrary phases during testing. However, using this fixed-phase calibration, a phase-periodic amplitude error is measured, shown as Curve (a) in Fig. 3. One potential solution to this issue is to expand the calibration to include a full sweep of cancellation phase shift. This extra dimension significantly expands the amount of time spent during the calibration,

1711

Fig. 3. Measured amplitude error versus phase setting of the cancellation signal: (a) using baseline or fixed-phase calibration and (b) using in-line calibration.

mostly dependent on the density of the phase points calibrated. Loosely spaced phase points provide a faster calibration, but it cannot accurately capture local non-linearities, and it will inherently suffer from interpolation error. A tighter grid of calibration points would provide a better approximation of the amplitude non-linearity, but the extended time required decreases system throughput and introduces error from time-dependent nonidealities due to, for example, temperature and humidity variability, and power supply variation due to battery depletion. To avoid the problems inherent to grid-based calibration, here calibration is incorporated into the operation of the canceller, capturing nonlinear effects in-line with the measurement. The in-line calibration operates at the frequency and phase shift required for cancellation, dramatically decreasing the amount of time spent calibrating and eliminating all phase-interpolation error. Calibrating the cancellation amplitude at test time also eliminates time-dependent effects. To minimize the effect that in-line calibration could have on extending test time, the baseline fixed-phase calibration is still performed prior to canceller operation. This reduces each in-line calibration step to a single measurement for correcting the fixed-phase error. The flow implementing the integrated in-line calibration and cancellation procedure is shown in Fig. 4. Amplitude measurements at two separate references phases are required to determine the two unknowns of the required phase shift for cancellation, magnitude and sign. These reference phases can be arbitrarily chosen, however for mathematical simplicity they are usually chosen 90 apart. The improvements obtained by using in-line calibration can be understood by examining Figs. 3 and 5. Fig. 3 compares the measured amplitude error, , following in-line calibration and initial fixed-phase (baseline) calibration of the canceller system of Fig. 1. Fig. 5 presents the analog cancellation achievable using the two calibration methods (without canceller iteration). The phase setting in Figs. 3 and 5 is the phase shift of the cancellation tone added by the phase shifter of Fig. 1, as opposed to the phase separation between the cancellation and original tones as in Fig. 2.

1712

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

points exceeding 60 dB using baseline calibration. On average, maximum cancellation (from amplitude mismatch alone) is improved by 30 dB by using in-line calibration. The statistical nature of cancellation is seen in Fig. 5. With systematic amplitude error mostly minimized, noise dominates the remaining effective mismatch and initial cancellation error, creating the jagged shape of the in-line calibrated curve, Curve (b), in Figs. 3 and 5. The variation evident in the curve is partially due to environmental conditions (including temperature, radio frequency interference, and power supply noise), but is also affected by hardware considerations such as quantization error. This is discussed in more depth in Section III. C. Analog Canceller Performance

Fig. 4. Flowchart detailing steps during signal cancellation procedure.

Fig. 5. Calculated maximum analog cancellation using the measured amplitude mismatch in Fig. 3: (a) using baseline calibration and (b) using in-line calibration.

As seen in Fig. 3, the baseline calibration has a roughly sinusoidal dependence on phase shift ranging between 0.2 dB and 0.15 dB. In-line calibration provides a dramatic improvement. The effect of in-line calibration on cancellation is shown in Fig. 5, where the maximum cancellation predicted from amplitude mismatch on the calibrated path exceeds 60 dB across essentially the entire range, as opposed to only a few

The phase separation of the signal to be cancelled, and the cancelling signal of an isolated cancelling scenario cannot be known a priori so, in effect, the initial phase separation will be uniformly distributed between 0 and 360 . Thus, the maximum cancellation possible without iteration will have a statistical distribution. In application as an analog canceller in a measurement or wireless system, reusing the phase separation from the previous time instant or measurement can significantly improve cancellation levels in practice without iteration. However, to ensure optimum cancellation performance, an iterative cancellation procedure is required. The cancellation performance improvement as phase separation approaches 180 is primarily due to reduction of amplitude-to-phase error and the reduced impact of phase-dependent nonlinear effects. As the phase shift required at each iteration gets smaller, the cancellation path appears more linear, improving the accuracy of the required phase shift calculated using (2). Thus, the cancellation procedure in Fig. 4 can be applied iteratively to obtain a target cancellation, . By setting a cancellation target, the number of iterations at an arbitrary phase can be kept to a minimum while guaranteeing a certain level of performance. On average less than 3 iterations is required for a minimum cancellation of 70 dB. Measured results from applying the iterative cancellation procedure over a range of initial phase separations is shown in Fig. 6. Curve (a) in Fig. 6 shows the analog cancellation obtained using a single pass of the cancellation procedure as shown in Fig. 4. This is referred to as single iteration, or no target. When the initial phase separation is near 180 a cancellation of 70 dB is achieved but otherwise it is as low as 27 dB. Using a second iteration, Curve (b) of Fig. 6, the maximum cancellation is 86 dB and the minimum cancellation is 48 dB. With a target cancellation 40 dB, Curve (c) in Fig. 6, the maximum number of iteration is 2 and the average number of iterations is 1.3. The results are summarized in Table I for several cancellation targets. D. Summary The nature of the phase-shift equation (2) suggests that the optimum phase shift is obtained as a directly convergent result from amplitude measurements, enabling very high cancellation in only a single iteration. Reliance on a single iteration magnifies the effect of path nonlinearities in degradation of cancellation, but phase correction from additional iterations can recover

WETHERINGTON AND STEER: ROBUST ANALOG CANCELLER FOR HIGH-DYNAMIC-RANGE RF MEASUREMENT

1713

shift, the full iterative cancellation may be required to recover optimum cancellation performance. III. CANCELLATION ARCHITECTURE HIGH DYNAMIC RANGE

Fig. 6. Measured analog cancellation versus initial phase separation: (a) no target (single iteration), (b) forced two iterations, and (c) with cancellation target of 40 dB.

TABLE I CANCELLATION STATISTICS FOR A SWEEP OF INITIAL PHASE SEPARATION OF THE STIMULUS TONE AND THE FEEDFORWARD TONE AT 460 MHz (AV.= AVERAGE, MD. = MEDIAN)

high cancellation performance. The hardware concerns generating these nonlinearities, as well as other mentioned throughout this section are discussed in Section III. The analog canceller achieves 70–90-dB cancellation given sufficient iterations. For high cancellation targets of 70 dB or more, the iteration count can grow dramatically in a few cases. Unlike other Newton-like iterative techniques utilizing power gradients or least squares approximations that provide incremental improvement through iteration,1 each iterative step here is designed to be terminal and will only provide improvement if the path nonlinearities are significantly reduced from the previous iteration. For iterations that end near the cancellation target, the very small phase differences between iterations often produce identical results, eliminating any potential for incremental improvement. However, in application in measurement or wireless receiver systems, this phase tracking ensures that the canceller can maintain high levels of analog cancellation without iteration. For significant phase changes, such as changes to the wireless channel or a large frequency 1However, as noted previously, Newton-like iterative schemes necessarily require an error signal and the dithering of this error limits the analog cancellation that can be achieved to much lower levels than that reported here.

FOR

The performance of a canceller in measurement is quantified in terms of the cancellation dynamic range, which is distinct from the analog cancellation performance, . Cancellation performance is the ratio of the original signal power to the cancelled signal power. Cancellation dynamic range, , as it is defined here, is an asynchronous form of dynamic range that compares the highest-power signal that can be cancelled with the minimum detectable signal after analog cancellation. Ideally would be the product of the dynamic range of the receiver (measurement) equipment , and the analog cancellation, . However various constraints reduce the achievable . The implementation of the analog canceller is shown in Fig. 7. This is the minimum configuration for implementing single-channel cancellation, and is a subset of a larger system for multichannel cancellation described later in this paper. The primary features are the split paths emerging from a single source, a digitally controlled vector modulator for automated phase and amplitude shifting, and a high-power microwave switch on the stimulus channel. The microwave switch enables automated calibration of the feedforward channel as described in the previous section by breaking the DUT path, allowing the vector modulator output to pass uncancelled to the measurement receiver. In the feedforward path, the output of the power splitter is input to the LO port of the vector modulator. The software-controlled digital-to-analog converter (DAC) drives the in-phase (I) and quadrature (Q) inputs of the vector modulator to set the phase and power of the cancellation tone. A spectrum analyzer is used here as a receiver, but a vector signal analyzer or a radio receiver could also be used. Key to ensuring high levels of cancellation performance and cancellation dynamic range are noise and interference suppression and measurement and calibration accuracy. A. Noise and Interference Suppression Major sources of noise and interference in the feedforward cancellation path result from coupling of spurious frequency content from external sources and from summation of random noise components at the recombiner. These interfering sources are inherently suppressed through cancellation and close attention to radiative shielding. Spurious frequency content in the canceller (spurs) primarily derive from power line noise, switching noise in power supplies, and leakage of intermediate frequency (IF) signals from signal sources. In the system of Fig. 7, amplitude-related spurs emanating from the signal source are eliminated by the limiting amplifier through a mirroring effect [11]. Phase-related spurs from the signal generator are minimal. Line noise and switching noise are introduced into the system in the active components such as the amplifiers and vector modulation. Either dc power from batteries or a highly regulated power supply will reduce line or switching spurs below that of the low-level signal components to be measured [11]. With battery-based dc power, it is important to ensure sufficient shielding or RF suppression on power

1714

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 7. Block diagram of a single-channel analog cancellation system, in a configuration for testing distortion generated in the DUT.

cables to prevent coupling into the supply lines or into the battery components. In systems employing a wireless channel as the DUT, spurious RF emissions are a major source of interference. These external spurs couple into the system at the transmit and receive antennas and are not suppressed through cancellation. Since it is often impractical to eliminate the sources of these emissions, it is required to contain much of the equipment and cabling within an isolated, shielded environment. B. Measurement and Calibration Accuracy Here, we consider measurements and calibration accuracy and discuss how the various sources of error affect overall dynamic range and cancellation performance. The system dynamic range is application-specific, and, in Section IV, this is presented relative to a two-tone distortion measurement system. The discussion below, however, applies to all systems using the analog canceller presented in this paper. Quantization error inherent to digital control of the vector modulator is the ultimate limit on achievable cancellation. The quantization errors are also correlated with the measurement accuracy of the receiver (here a spectrum analyzer) since the calibration of the power output of the vector modulator can be no more accurate than the repeatability of the spectrum analyzer, and the finite steps of the vector modulator output limit the ability of the calibration to make the minute adjustments required for precise amplitude matching. While the relative accuracy of the spectrum analyzer measurements can be improved by averaging more data points, the speed of the measurement can be severely degraded, especially when extremely narrow resolution bandwidths are used. As mentioned in Section II, small changes due to time-dependent effects can overwhelm the sensitivity of the calibration, which prove to be detrimental over extended averaging measurements. The tradeoff between time and accuracy is heavily hardware and application-dependent, relying on the performance of the measurement equipment and the type and accuracy of measurements desired. The ability to make small adjustments to the output power of the vector modulator is most crucial to ensuring very high levels of cancellation. Here, a Hittite HMC497LP4 vector modulator is used with a 16-b DAC to implement the automated phase and

amplitude shifting. The DAC provides dc voltages to differentially drive the I and Q ports of the vector modulator. Due to the limited bit resolution of the DAC and fixed output range, the minimum output voltage step is fixed. When presented directly to the vector modulator, the voltage output will ideally increment linearly, based on the capability of the circuitry. Since the voltage output of the vector modulator will generally be less than both of the the voltage inputs at the I/Q ports, the conversion gain will be less than unity. As a result of this, the step resolution at the output of the vector modulator will be smaller than the voltage step of the DAC. By extension of this effect, any attenuation between the vector modulator and the combination of the feedforward and original signals will also linearly improve the adjustment resolution. With the voltage output accuracy fixed linearly, the relative power output accuracy in decibels will increase at higher power levels, since the step size becomes much smaller in relation to the output power. These observations mesh well with the reported results of [11], which experimentally claimed higher accuracy at high vector modulator output power and also better success with cancellation at low signal levels. Through exploitation of these effects, the effective accuracy in decibels of the vector modulators can be increased arbitrarily to at least the measurement accuracy of the spectrum analyzer. However, attenuation of the vector modulator power can directly reduce achievable cancellation dynamic range. A potential solution to this is attenuation of the DAC output signal power to overcome the fixed output range, thus allowing higher resolution but reducing the maximum tuning range. In measurement, the reduction of tuning range is acceptable since system signal levels are generally known, but in wireless systems the signal to be cancelled may vary wildly in power, increasing the significance of this tradeoff. In addition to the restrictions on cancellation, the power-handling capability of the receiver (spectrum analyzer) and the vector modulator loosely set limits on the theoretical cancellation dynamic range of the system. While the maximum obtainable cancellation dynamic range of the system could be at the extreme ends of the power handling range of the receiver, attenuation in the system will change this significantly. With attenuation, the power output of the vector modulators will be less than the maximum power specifications and can be significantly less that the output power from the DUT, requiring similar attenuation in the DUT path. If it is the smaller signal, the maximum power level of the vector modulator roughly sets the highest signal level able to be cancelled, also setting the high end of the cancellation dynamic range. Sometimes, due to high loss in the DUT or removal of the amplifier, the stimulus signal can instead limit the cancellation dynamic range ceiling. The low end of the cancellation dynamic range is set by the noise floor at the output of the system, which determines the minimum detectable signal, except in cases where spurious frequency content has not been suppressed. At frequencies near the carrier signal, the phase noise of the vector modulator will dominate the noise floor. Attenuation at the vector modulator output can reduce this phase noise, but the noise floor at the output will not drop below the noise floor of the receiver. In addition, any attenuation or gain after the vector modulator can only negatively affect, if at all, the cancellation dynamic

WETHERINGTON AND STEER: ROBUST ANALOG CANCELLER FOR HIGH-DYNAMIC-RANGE RF MEASUREMENT

1715

Fig. 8. Dual-channel high-dynamic-range cancellation system for PIM measurement with both transmission and reflection configurations.

range since both the highest power cancellable signal and the noise floor are affected simultaneously, with excess noise in the amplifier or attenuation slightly degrading the noise floor. IV. APPLICATION TO TWO-TONE NONLINEAR DISTORTION MEASUREMENT By extending the feedforward cancellation system to two channels, it can be used in two-tone nonlinear distortion reflection or transmission measurements, as shown in Fig. 8. This hardware implementation of the system is similar to that described in [11], but with the addition of calibration switches to implement the in-line calibration procedure, and a directional coupler to enable one-port reflection measurements. The system can be implemented as shown in the figure to enable both reflection and transmission PIM measurements through the use of switches, however for best performance one fixed configuration with direct cable connections is preferred. The other key addition to this system, relative to Fig. 7, are the isolators, which help ensure system linearity but impose half-octave bandwidth restrictions. A. System and Component Linearity System linearity is crucial to high-dynamic range-nonlinear distortion measurement. Often residual system PIM establishes the minimum measurable distortion level, limiting the dynamic range. In order to suppress residual intermodulation products, interchannel coupling must be minimized, and the shared DUT channel must be kept as linear as possible. Mixing products in passive system components can be kept to a minimum by using large connectors such as DIN- and N-type with silver-plating [15]. Where attenuation or termination is necessary, very long slightly lossy low-PIM cables act as attenuators or terminations and produce significantly lower distortion than similar lumped components. Similarly, other components such as combiners and directional couplers also benefit from transmission line implementations over lumped element

versions. These choices for passive components reduce current density therefore improving linearity. Active components such as power amplifiers and vector modulators, however, are strongly nonlinear requiring emphasis on reducing interchannel coupling. The system is especially sensitive to coupling prior to the active amplification stages, as any intermodulation products generated experience significant gain. Coupling is also extremely detrimental at the input of the isolators as these are strongly nonlinear in the presence of multiple tones. The use of separate channels and isolators, as shown in Fig. 8, prevents a majority of undesired tone mixing, but radiated coupling between the channels, and the finite reverse leakage of the isolators prevents complete isolation. Radiated coupling is primarily a consequence of the very high power levels required to generate measurable PIM in the DUT. High-quality doubleor triple-shielded cabling can be used, but often does not sufficiently reduce radiated signal levels. Extra shielding is needed, such as RF absorber and Faraday cages around sensitive spots. To ensure maximum radiated isolation, any cable or component should be shielded against any other cable or component carrying the opposite channel signal [11]. High-quality, high-isolation isolators can be used to improve problems with reverse signal leakage, although these isolators typically suffer from very narrow fractional-octave bandwidth limitations. Alternatively, multiple isolators can be used in series to achieve a highdegree of isolation with adequate bandwidth with a small increase of insertion loss. B. Bandwidth Limitations The narrowest bandwidth components in the system are the isolators in the individual channels prior to combination, and they only need to accommodate a single tone within their bandwidth. Thus, the isolators directly limit the frequency range of the two-tone test but only indirectly limit the frequency separation of the tones. Other bandwidth-limited components in the

1716

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

individual channels such as the amplifiers can be easily selected to have wider bandwidth than the isolators in the system and thus impose no restrictions on bandwidth as long as the components share a sufficient common bandwidth. For the components in the shared signal path, bandwidth limitations come from the couplers and combiners. Since both tones and their intermodulation products need to be in the passband of these components, the maximum frequency separation allowed can be no larger than their total bandwidth divided by the order of the intermodulation products being measured. For example, in order to be able to measure third-order intermodulation products, a coupler with 300-MHz bandwidth with a centered two-tone stimulus can support a maximum frequency separation no wider than 100 MHz. For practical purposes, the intermodulation products may still need to be well inside the characterized bandwidth of a component to avoid extra loss at the edge of the pass band that could drive extremely low-level products below the noise floor. Although very large frequency separations with regard to the center frequency are possible in the described system, PIM characterization with more than 2% fractional tone separation may be better served by systems utilizing duplexing and notch filters, to take advantage of the filtering performance advantage. The system presented in this paper has been built to operate at a center frequency range of 400–650 MHz, which again is primarily limited by the narrow bandwidth isolators in the system. Replacing these, as well as other components in the system with higher frequency models should allow the architecture to be extensible to all modern RF communications bands. The Hittite HMC497LP4 vector modulator, the key component in the architecture, can be used up to 4 GHz, and vector modulators up to 60 GHz have been demonstrated [16]. V. MEASUREMENT In distortion measurement systems, intermodulation dynamic range, , is usually defined as the ratio of the DUT input signal power to the minimum detectable signal (MDS). , however, relates the MDS to the maximum cancellable signal at the output of the DUT. This MDS is due to either residual intermodulation products, carrier phase noise, or the receiver noise floor. is a measure that is ideally independent of both the DUT characteristics and the measurement configuration (transmission or reflection), since the DUT input power and the MDS are independent of the loss of the DUT. In practice, can be quite different as the MDS can change depending on the configuration. The relationships of these measures and various signal power levels are shown in Fig. 9. Analog cancellation, , extends the cancellation dynamic range, , beyond that of the receiver, . Increasing the DUT insertion loss (IL) for two-port measurements or DUT return loss (RL) for one-port measurements reduces the output power of the DUT, decreasing the achievable , but also decreases the required needed to reduce the signal power to below the maximum undistorted received signal level. can be determined by directly combining the measured with the RL or IL from the DUT. Passive components will always experience some loss, ensuring that the will be greater than the for such components.

Fig. 9. Relationships between several measurement quantities assuming suf(logarithmic scale). The DUT input power is ficient analog cancellation reduced by the insertion loss (IL) in a transmission measurement and by the return loss (RL) in a reflection measurement. With a wireless channel, this would include appropriate portions of the link loss.

Fig. 10. Worst case IM3 dynamic range achieved in two-port transmission configuration over frequency separation during automated two-tone testing at 26.5 dBm at a center frequency of 460 MHz.

A. Cancellation and Dynamic Range Third-order intermodulation (IM3) dynamic range was measured for the two-port transmission configuration with a fully automated two-tone frequency sweep. The DUT for the measurement was replaced with a through connection, and the worst-case was determined by comparing the power of an individual input tone to the larger of the two measured IM3 products. The performance is shown in Fig. 10 for both noniterative, Curve (a), and targeted cancellation, Curves (b) and (c), techniques. The minimum for the noniterative measurement is 85 dBc at 1-Hz frequency separation, with an average analog cancellation of 51 dB and minimum of 34 dB. With a cancellation target 60dB, the at 1 Hz increased to 94 dBc, with an average of 63 dB. The 12-dB cancellation improvement is obtained at a cost of 1.4 additional iterations on average. Since both channels are being cancelled concurrently, the analog cancellation performance during the two-tone test cannot be expected to necessarily match the measured results from Table I. The is lowest for small tone separations, , below 100 Hz, and for separation approaching 1 MHz. The dynamic range for small tone

WETHERINGTON AND STEER: ROBUST ANALOG CANCELLER FOR HIGH-DYNAMIC-RANGE RF MEASUREMENT

1717

Fig. 11. IM3 dynamic range achieved for the lower IM3 product in two-port transmission configuration over frequency separation during automated two-tone testing at 26.5 dBm at a center frequency of 460 MHz.

Fig. 12. Worst case IM3 dynamic range achieved in one-port reflection measurement over frequency separation during automated two-tone testing at 26 dBm at a center frequency of 460 MHz.

separations is primarily limited by the phase noise of the carrier tone bleeding into the frequency of the IM3 products. The phase noise, which is the minimum detectable signal at low-frequency separations, is dependent on the power of the cancelled signal and thus small tone separations directly benefit from increased levels of cancellation. As approaches 100 Hz, the minimum detectable signal becomes the noise floor determined by the system thermal noise and the resolution bandwidth of the receiver. This reduces the dependence of on analog cancellation and flattens out the curves. A minimum of 113 dBc is achieved from 100 Hz to 30 kHz. Above 100 kHz, the self-generated upper IM3 products experience considerable growth independent of the lower IM3 product, dominating the minimum detectable signal power and sharply degrading system performance. The mechanism behind this growth is currently unknown. Additional unidentified spurious tones at 10 and 100 MHz further degrade performance independent of center frequency. Higher was obtained for the lower IM3 tone (see Fig. 11); however, for equal power input tones, the IM3 products would normally be expected to be symmetric. While for the lower IM3 products is nearly identical to worst case below 100 kHz, the sharp degradation in performance is not seen above 100 kHz. The lower IM3 curves appear much flatter as expected ,as the MDS approaches the system noise floor. Again, degradations around 10 and 100 MHz are due to spurious frequency content. At 1 MHz, the for the lower IM3 product is 22 dB higher than the of the upper IM3 product, improving from 95 to 117 dBc. The large return loss encountered in many one-port reflection measurements results in being higher in reflection than in transmission. in reflection was measured using a low-PIM termination realized as a terminated 75-m low-PIM cable as the DUT. This termination does not produce PIM measurable in this system. The power of each tone input to the cable was 26 dBm and the cable has a return loss of 25 dB. The characteristic is shown in Fig. 12. The additional return

loss, RL, improves for the reflection measurement over the transmission measurement, reaching 139 dBc at 100 kHz, with a minimum of 99 dBc at 1 Hz. Compared with noniterative analog cancellation, iterative analog cancellation improves only at low tone separations. At 1 Hz, improves from 99 to 111 dBc with a cancellation target of 50 dB. The average cancellation of each curve is 47 dB for a single iteration, Curve (a) of Fig. 12, and 54 dB for a of 50 dB, Curve (b) of Fig. 12. The higher cancellation was obtained at a cost of 1.1 extra iterations on average. The effect of extra cancellation is much less prominent as return loss increases, since the reduction of DUT output power reduces the analog cancellation, , required for signals to be within a receiver dynamic range, , of the MDS. Again, the growth of system-generated IM3 products at 10 and 100 MHz sharply degrades performance at those separations. B. PIM in Laboratory Terminations Several pairs of standard laboratory N-type terminations were characterized over a wide range of frequency separations. For each pair of terminations the return losses were very similar and well within specifications. Figs. 13 and 14 show the response of the Pasternack PE6154 and PE6152 N-type 2-W terminations. Each figure also contains the distortion characteristic of the low-PIM cable, which represents the lowest measurable distortion level in the system. Despite very similar sizes and construction, the PE6152 terminations had roughly 30 dB lower measured IM3 distortion than the PE6154. While the IM3 characteristics of the PE6154 terminations diverge above 100 Hz, the PE6152 terminations performed very similarly, albeit only slightly above the measurement threshold. Electro-thermal theory of intermodulation distortion predicts a 10 dB per decade slope [13]. From 1 to 100 Hz, the PE6154 and PE6152 terminations follow this prediction very well, but begin to flatten out above 100–1000 Hz. For the PE6152 terminations, the flattening can be explained by the approach to the system noise floor, however the divergence and flattening

1718

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 13. Measured third-order PIM (lower IM3) of common laboratory 2 W N-type terminations using a two-tone test at 460 MHz. Measurement taken with 26 dBm input power for each tone.

Fig. 15. Measured third-order PIM (lower IM3) of common laboratory highpower, finned N-type terminations using a two-tone test at 460 MHz. Measurement taken with 26-dBm input power for each tone.

Fig. 14. Measured third-order PIM (upper IM3) of common laboratory 2-W N-type terminations using a two-tone test at 460 MHz. Measurement taken with 26-dBm input power for each tone.

Fig. 16. Measured third-order PIM (upper IM3) of common laboratory highpower, finned N-type terminations using a two-tone test at 460 MHz. Measurement taken with 2- dBm input power for each tone.

of PIM of the PE6154 terminations cannot be explained simply by electro-thermal effects. In addition to the 2-W terminations, pairs of the Pasternack PE6097 (5 W) and Pasternack PE6035 (10 W) high-power N-type terminations were also measured, shown in Figs. 15 and 16. Unlike the simple 2-W terminations, these high-power terminations have large finned aluminum heatsinks attached roughly the size of the body of the termination. While having PIM generally lower than that of the PE6154 terminations, the PIM of the high-power terminations varied more between terminations of the same type. The characteristic shape of these terminations is most exaggerated for the PE6097 terminations and features a mild slope from 1 to 1000 Hz followed by a much steeper slope from 1 to 10 kHz and a roughly flat response above 10 kHz. Simple electro-thermal theory does not suffice to explain these characteristics and physical phenomenology is not well understood. It is noted that these characteristics

are repeatable after disconnection and reconnection cycles and independent of center frequency. It was previously demonstrated that, for single-metal attenuators [17], terminations [13], and transmission lines [14], electrothermal effects were the dominant source of PIM. The devices examined here include multiple conductors and magnetic materials (nickel and stainless steel) and work remains to explain the nonelectro-thermal PIM with dependence on frequency separation in a two-tone test. VI. EXTENSION The improved analog cancellation system developed here was applied to a PIM measurement system; however, as noted in the Introduction, analog cancellation is a widely used technique for a variety of applications. The analog canceller has been developed without loss of generality such that many of the improvements presented here could be adapted to fit a variety

WETHERINGTON AND STEER: ROBUST ANALOG CANCELLER FOR HIGH-DYNAMIC-RANGE RF MEASUREMENT

of these applications. In particular, replacement of the DUT with an antenna creates a structure very similar to the reflected power cancellers presented in [3]–[6]. With tighter integration, many of the existing limits on current performance could be improved, such as better resolution for the vector modulation amplitude tuning or improved system linearity. In applications such as ground-penetrating radar, the improvement in noise and carrier suppression should enable better resolution for processing and detection. VII. CONCLUSION An automated analog cancellation system was presented that exceeds or meets the performance of manually-tuned systems. A minimum of 70 dB of analog cancellation is achieved by integrating the calibration procedure in-line with canceller operation in a weakly iterative process. The extension in cancellation has been applied to intermodulation distortion measurement and a full discussion of the system features and cancellation dynamic range presented. The analog cancellation performance in the system exceeded the cancellation needed to maximize the effective dynamic range of current receiver systems, decoupling the sensitivity of the analog canceller system from system accuracy. In one-port reflection testing, the intermodulation dynamic range begins to approach the reported performance of filter-based commercial and high-performance intermodulation measurement systems, without the minimum frequency separation limit imposed by filters. Using this system, several common laboratory terminations were characterized over a frequency separation sweep of 1 Hz to 100 MHz with a center frequency of 460 MHz, for a maximum fractional tone separation of 21.7%. The sweep from very small frequency separations to very large would ordinarily require a combination of the previous systems, but has been achieved here without requiring any reconfiguration. ACKNOWLEDGMENT The authors would like to thank Dr. J. Wilkerson for providing portions of the software. The authors also wish to thank Dr. A. Khalil and E. Peek of Hittite Microwave Corporation for discussions regarding vector modulators and providing vector modulators with superior performance. REFERENCES [1] R. Hartman, “SI Series PIM Analyzers—D Model Revision,” [Online]. Available: http://www.summitekinstruments.com/passive/docs/ Technical Data D.pdf [2] A. Christianson and W. Chappell, “Measurement of ultra low passive intermodulation with ability to separate current/voltage induced nonlinearities,” in IEEE MTT-S Int Microw. Symp Dig., Jun. 7–12, 2009, pp. 1301–1304. [3] P. D. L. Beasley, A. G. Stove, B. J. Reits, and B.-O. As, “Solving the problems of a single antenna frequency modulated CW radar,” in Proc. IEEE Int. Radar Conf., May 7–10, 1990, pp. 391–395. [4] J. Grajal, A. Asensio, and L. Requejo, “From a high-resolution LFM-CW shipborne radar to an airport surface detection equipment,” in Proc. IEEE Int. Radar Conf., Apr. 26–29, 2004, pp. 157–160. [5] M. A. Gonzalez, J. Grajal, A. Asensio, D. Madueno, and L. Requejo, “A detailed study and implementation of an RPC for LFM-CW radar,” in Proc. 36th Eur. Microw. Conf., Sep. 10–15, 2006, pp. 1806–1809. [6] K. Lin, Y. E. Wang, C.-K. Pao, and Y.-C. Shih, “A ka-band FMCW radar front-end with adaptive leakage cancellation,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 12, pp. 4041–4048, Dec. 2006.

1719

[7] V. Aparin and L. E. Larson, “Analysis and reduction of cross-modulation distortion in CDMA receivers,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 5, pp. 1591–1602, May 2003. [8] J. C. Pedro and N. B. de Carvalho, “Evaluating co-channel distortion ratio in microwave power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 10, pp. 1777–1784, Oct. 2001. [9] O. Andersen, D. Wisell, and N. Keskitalo, “Measurement of ACLR with high dynamic range,” in IEEE MTT-S Int Microw. Symp Dig., Jun. 15–20, 2008, pp. 273–277. [10] A. Roussel, C. Nicholls, and J. Wight, “Frequency agile RF feedforward noise cancellation system,” in Proc. IEEE Radio and Wireless Symp., Jan. 22–24, 2008, pp. 109–112. [11] J. R. Wilkerson, K. G. Gard, and M. B. Steer, “Automated broadband high-dynamic-range nonlinear distortion measurement system,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 5, pp. 1273–1282, May 2010. [12] J. Mateu, J. C. Booth, and S. A. Schima, “Frequency tuning and spurious signal generation at microwave frequencies in ferroelectric SrTiO3 thin-film transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 2, pp. 391–396, Feb. 2007. [13] J. R. Wilkerson, K. G. Gard, A. G. Schuchinsky, and M. B. Steer, “Electro-thermal theory of intermodulation distortion in lossy microwave components,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 12, pp. 2717–2725, Dec. 2008. [14] J. R. Wilkerson, P. G. Lam, K. G. Gard, and M. B. Steer, “Distributed passive intermodulation distortion on transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 5, pp. 1190–1205, May 2011. [15] J. Henrie, A. Christianson, and W. J. Chappell, “Prediction of passive intermodulation from coaxial connectors in microwave networks,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 1, pp. 209–216, Jan. 2008. [16] K.-J. Kim and K. H. Ahn, “Design of 60 GHz vector modulator based active phase shifter,” in Proc. 6th IEEE Int. Symp. Electron. Design, Test and Application, Jan. 2011, pp. 140–143. [17] J. R. Wilkerson, K. G. Gard, and M. B. Steer, “Electro-thermal passive intermodulation distortion in microwave attenuators,” in Proc. 36th Eur. Microw. Conf., Sep. 10–15, 2006, pp. 157–160.

Joshua M. Wetherington (S’12) received the B.S. and M.S. degrees in electrical engineering from North Carolina State University, Raleigh, in 2009 and 2011, respectively, and is currently working toward the Ph.D. degree at North Carolina State University.

Michael B. Steer (S’76–M’78–SM’90–F’99) received the B.E. (Hons.) and Ph.D. degrees from the University of Queensland, Queensland, Qld., Australia, in 1976 and 1983, respectively. He is currently the Lampe Distinguished Professor of Electrical and Computer Engineering with North Carolina State University, Raleigh. He has authored or coauthored over 400 publications on topics related to microwave and millimeter-wave systems, nonlinear RF effects, circuit–electromagnetic–acoustic interactions, RF behavioral modeling, RF circuit simulation, high-speed digital design, and RF/microwave design methodology. He coauthored Foundations of Interconnect and Microstrip Design (Wiley, 2000), Multifunctional Adaptive Microwave Circuits and Systems (SciTech, 2009), and the widely used textbook Microwave and RF Design: A Systems Approach (SciTech, 2010). Dr. Steer was secretary of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) in 1997 and was on the IEEE MTT-S Administrative Committee (1998–2001 and 2003–2006). He was the editor-in-chief of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He was the recipient of the Alcoa Foundation Distinguished Research Award of North Carolina State University (2003), the Jack S. Kilby Lecturer (2003), and the Bronze Medallion from the U.S. Army Research for “Outstanding Scientific Accomplishment” (1994 and 1996). He was the recipient of the military medal Commander’s Award For Public Service from the Commanding General of the U.S. Army Research, Development and Engineering Command (RDECOM) (2009). He shared the 2010 Microwave Prize of the IEEE MTT-S for the best paper on microwave engineering published in any IEEE publication in 2009. He was the recipient of the 2011 Distinguished Educator Award of the IEEE MTT-S. He was inducted into the Electronic Warfare Technology Hall of Fame sponsored by the Association of Old Crows, and was named “One of the Most Creative Teachers in the South” by Oxford American Magazine.

1720

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Frequency Multiplexed 2-Dimensional Sensor Array Based on Split-Ring Resonators for Organic Tissue Analysis Margarita Puentes, Student Member, IEEE, Matthias Maasch, Student Member, IEEE, Martin Schüßler, and Rolf Jakoby, Member, IEEE

Abstract—A frequency multiplexed 2-dimensional sensor array was developed using microstrip-line-excited split-ring resonators (SRRs). With the proposed structures, it is possible to spatially resolve the relative changes of the dielectric properties of a Material Under Test (MUT) in one and two dimensions. The SRRs are designed to have different resonant frequencies and are decoupled from each other. With these design characteristics, it is possible to track changes on the resonant frequency of individual SRR that will indicate the dielectric properties of the MUT around the ring and therefore its location within the array. The number of pixels of the dielectric image corresponds to the number of SRRs within the sensor. Several prototype sensors have been realized and tested with different MUT such as dielectric bricks, animal tissue and phantoms of human tissue to prove the concept. Index Terms—Biomedical sensors, dielectric measurements, metamaterials, planar structures, resonators.

I. INTRODUCTION

M

ICROWAVE techniques to analyze dielectric properties of organic tissue, for the detection of abnormalities such as cancerous cells and its correspondent treatment is a new field of study. The stage of the research is at understanding what information can be extracted and the design of appropriate devices and methods to carry them through. Although the applications are numerous, it is still very early to apply it in medical environments. The current methods for diagnosis are still based on computerized tomography (CT) scans, X-Rays or magnetic resonance imaging (MRI), and for treatment, surgery and chemotherapy are still the first choice for the physicians [1]. This approach still presents many disadvantages and risks for the patient. In the case of CT and MRI, many patients experience discomfort and claustrophobia and need to be sedated, which can lead to complications. On the other hand, the use of this tests in children and pregnant women can be very complicated and, although the technology of this devices had advance incredible in the last years, false positives still occur. As for the treatment options, chemotherapy in most cases produces side Manuscript received October 28, 2011; accepted February 01, 2012. Date of publication March 19, 2012; date of current version May 25, 2012. The authors are with Institute of Microwave Engineering and Photonics, Faculty of Electrical Engineering and Information Technology, Technische Universität Darmstadt, 64283 Darmstadt, Germany (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2189241

effects, such as fatigue, nausea, vomiting, hair loss and others, and the success rate depends greatly on the type of cancer and stage. In the case of open surgery, some of the possible risks for the patient include anesthesia complications, bleeding, cardiovascular risks, infections and pulmonary risks. In general, there is no absolute cure for cancer. As a result there is a wide range of possibilities for new and innovative techniques in this field where microwaves and high frequency devices with their non-invasive principle can produce adequate devices for detection and treatment of different types of cancer. At the cellular level, Gascoyne has done extensive studies by using Dielectrophoresis to separate healthy cells from cancer cells [2], [3]. There are even reports of the first steps to a complete integrated on-chip device that can use this technique to separate the cells by applying an AC field and obtain information not only about the cell morphology but properties of pools of cells without the use of markers or fluorescent agents [4], [5]. The study of dielectric properties of organic tissue can be the basis for developing improved techniques to detect these abnormalities and open the possibility for state of the art treatment mechanisms. It has been proven that organic tissue presents a clearly identified dielectric behavior that is frequency dependent. The analysis of this dispersion provides information about the biophysical interaction of all the elements involved in a system and, hence, information about their structure and function. Organic tissues present three major relaxation steps from low frequencies up to GHz. This was studied thoroughly by Schwan [6] and further explanations about the biophysical reasons for this steps were also analyzed [7], [8]. These initial studies were conducted mainly at the cellular level and not for the complete frequency spectrum. The studies of Gabriel [9] and Dean [10] offer a complete analysis of dielectric properties of most of the human tissues and organs at a very complete range of frequencies. We would like to explore devices that can operate not at a cellular level but address organ tissues. At microwave frequencies, the tissues experience beta and gamma dispersion that is associated with the polarization of cellular membranes, protein and water molecules. By developing microwave devices and combining it with structures inspired by metamaterials, it can lead to a very cost-effective device that can localize with high precision an abnormality within the human body and maybe even provide treatment options for certain types of cancer [11], [12]. For the devices that will be presented, we have used SRRs as resonant particles. They have been used in the past for

0018-9480/$31.00 © 2012 IEEE

PUENTES et al.: FREQUENCY MULTIPLEXED 2-DIMENSIONAL SENSOR ARRAY

sensing devices for applications such as pressure, temperature, humidity and concentration monitoring [13]–[15]. Another interesting application is the analysis of liquids and impurities through tracking resonant shifts and dips in the spectra of the SRR [16]. They have also been used in combination with mechanical structures for strain sensing [17] and to detect the progression of long-bone fracture healing in animals through strain [18]. Recently, research in the terahertz regime is having a wide impact in all areas and devices; sensors are not the exception and there is work done using SRR in combination with thin-films for analysis of DNA [19]. We present here an evolution from the one-dimensional sensor array [20] into a multiplexed two-dimensional structure. The 2-D array brings a extra advantage by providing a more detailed dielectric image that can locate in a more efficient way the abnormalities. The schematic of the device is shown in Fig. 1. The structure is made of twelve SRRs, each represents a pixel of the relative dielectric image. The principle of operation is based on tracking the resonant frequencies independently from each pixel. It is important to emphasise that the purpose of the sensor is not to detect absolute dielectric values but to track relative changes of the dielectric behavior of the material under test (MUT). If a perturber, such as an organic tissue, interacts with the outer split of a SRR it changes the capacity due to a change of the effective permittivity and therefore differences on the tissues, such as abnormalities, can be detected. To prove the concept, tests with dielectric loading, animal tissue and phantoms of human tissue will be presented. The theory behind the principle of operation of the prototype sensors is presented in Section II. The sensor design of the 1-D array is discussed in Section III. The capacitive model that was developed to obtain the dielectric image is explained in Section IV. The corresponding measurement results of the 1-D array are depicted in Section V. The multiplexed 2-D array design flow and the measurement setup is shown in Section VI and the results to prove the concept of this structure are shown in Section VII.

1721

Fig. 1. Schematic of the multiplexed 2-D sensor array made of 12 pixels. The sensitivity region of the sensor corresponds to the complete surface of the structure where a sample of the organic tissue is shown with some pieces of malignant tissues around the first, fourth seventh and eleventh pixel.

Fig. 2. Equivalent circuit of a SRR loaded transmission line.

and the magnetic plasma frequency (3)

II. SPLIT RING RESONATOR In this work, SRRs are considered for developing a sensor array capable of extracting the dielectric properties of any MUT placed in the vicinity of the sensitive area. The reason for choosing SRRs are their strong response to an electromagnetic field. The equivalent circuit of a microstrip line loaded with a single SRR [21] for the quasi-static case is shown in Fig. 2. The SRR is magnetically coupled to the transmission line with the coupling factor . and correspond to the total SRR inductance and capacitance, respectively. The equivalent circuit yields the effective permeability with Lorentz dispersion [22] (1) with being the unit cell length. Fig. 3(a) shows the typical frequency response of the effective permeability of a single SRR. Between the magnetic resonance frequency (2)

the effective permeability is negative, which leads, together with the constant effective permittivity, (4) to the response in Fig. 3(b) and a stopband between the magnetic resonance and plasma frequency. Loading the SRR gaps with dielectric material will increase the total ring capacity and shift the stopband to lower frequencies. III. 1D SENSOR ARRAY DESIGN The sensor array is made of several SRR particles that will represent the pixels of the dielectric image of the MUT. For this purpose, it is necessary that the resonant frequency of each pixel can be controlled independently to be able to multiplex each sensitive region and obtain a separated analysis. This means that the sensors have the possibility to extract dielectric properties independently on each sensitive area according to the amount of unit cells in the prototype. To obtain this independent behavior,

1722

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 5. Field distribution on one pixel of the sensor. The field is clearly stronger around the gap of the ring where the MUT is located and around the coupling to the feeding microstrip line.

Fig. 3. Simulated effective material parameters of a microstrip line loaded with a single SRR: (a) effective permeability, (b) effective permittivity.

Fig. 4. Dependance of SRR resonance frequency

on the width of the pixel.

the geometry between the pixels has to be altered. To simplify the process, it was decided to change only one parameter and the selected one was the width of the SRR particle. In Fig. 4 it is shown how the resonant frequency of a particular ring is affected by changing the width from 0.3 mm to 4 mm. In Fig. 5 a detail picture of the field distribution on one particular pixel is depicted. The field concentrates around the SRR particle and especially around the MUT ensuring an appropriate interaction that will provide the necessary information for making an adequate extraction of the dielectric image. The design includes a transmission line excited with a CW signal. The simulated dispersion diagram and the normalized characteristic impedance for the 2-Double-SRR prototype is shown in Fig. 6. A stopband for each SRR is produced in the transmission spectrum due to magnetic resonance, for the shown configuration at 0.425 GHz and 1.04 GHz. The splits are then loaded with a dielectric perturber which increases the permittivity over the gap and thus decreases the resonance frequency of the loaded SRR.

Fig. 6. Simulated dispersion diagram and normalized characteristic impedance of the 2-Double-SRR sensor prototype. Each SRR produces a separate stop band.

In Fig. 7 a picture of the prototypes of 2 pixels is shown and the dimensions of the SRR are highlighted. On some of the SRR a tuning capacitor was introduced to control the frequency and separate the peaks between pixels. Actually four prototypes were constructed for the 1-D array case, two prototypes composed of 2 pixels and two prototypes composed of 4 pixels. In both cases the SRR particles were developed once with a single ring and the other with a inner and an outer ring. The reason for this was to analyze which geometry is more adequate in terms of performance and simplicity to extract the relative dielectric values. The results from the sensitivity analysis are shown on Table I. Although in theory the double ring has a higher quality factor, from the table, it can be inferred that the behavior of the single ring structures is adequate for the desired application. Furthermore the design of the single ring structures is quite simple and this is very important for the 2-D array where many resonators have to be controlled. Finally, in Fig. 8 a comparison between simulation and measurement for the prototype with four pixels, each made with the double ring geometry (4-Double-SRR sensor), is depicted and a good agreement was achieved. The slight difference in the frequency is mainly due to the approximations made in the simulation process and to fabrication tolerances. IV. CAPACITIVE EXTRACTION MODEL For the capacitance extraction model, the theory of resonators was used by only taking into account the first order resonances and by modeling both structures, the single and double ring case, as an equivalent resonant circuit as shown in Fig. 2 with resonance frequency (2). Since we are only interested in the influence of the resonance frequency on the capacitance, we consider

PUENTES et al.: FREQUENCY MULTIPLEXED 2-DIMENSIONAL SENSOR ARRAY

1723

Fig. 9. Capacitance model for the first pixel of the 2-Single-SRR prototype. A good agreeement between the developed model and the simulations is achieved.

TABLE II EQUIVALENT CIRCUIT VALUES FOR ALL 1-D PROTOTYPE SENSORS Fig. 7. 1D Sensor Prototypes. (a) Double rings. (b) Single Rings. The dimension are highlighted in mm as well as the sensitive area.

TABLE I SENSITIVITY ANALYSIS RESULTS OF THE 1-D SENSOR ARRAY PROTOTYPES

Fig. 8. Comparison between measurement and simulations for the 4-Double-SRR sensor. A good agreement is achieved despite the slight shift in frequency.

V. MEASUREMENTS WITH THE 1-D SENSOR ARRAY

no losses here. To take into account the gap capacitance and the perturber capacitance , (2) is rewriten as

(5) Solving for

To obtain the unknown values of and , a parameter sweep of different perturber capacitances is made and the corresponding resonance frequencies are analyzed. Furthermore, model fitting is applied to find the effective inductance value, which leads to a set of parameters for each ring. From this model, we can derive the perturbing capacitance value by observing a certain shift of the resonance frequency. In Table II the corresponding values are given for each of the rings of the 1-D prototype sensors. An example of the curve fitted model for the first ring of the 2-Single-SRR structure is shown in Fig. 9 and this was realized for all rings of all prototype structures.

yields (6)

To prove the concept, different measurements were performed on all of the prototype sensors. Thinking ahead for the 2-D array, the first test is to analyze the behavior of a single SRR to prove that it behaves as an independant resonator and shift its resonant frequency when perturbed before including it in a multiplexed array. In Fig. 10 it is shown how each ring of the 2-Double-SRR sensor behaves independently when it is perturbed with cubic dielectric bricks of size 2 3 2 mm . The perturbers range from values of to and

1724

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 10. Resonance frequency behavior of the SRR for the 2-Double-SRR structure. The frequency is normalized to the unloaded resonance frequency of each SRR to show the case for the first and the second pixel. The shift in the individual resonant frequencies is clear as the of the perturber changes.

Fig. 11. Measurements of the 4-Single-SRR sensor with dielectric perturbers. The inset shows the shift of the resonant frequencies of the perturbed rings (first and the fourth ring).

will be used in all the dielectric loading measurements. Since the resonant frequency range of each ring is different, the plot has been normalized to the unloaded resonance value of the particular ring. Fig. 11 shows a measurement result made with the 4-Single-SRR. The dielectric perturbers were placed on the sensitive areas of the structure with values of and . In the inset of the figure the changes on the resonant frequency of the perturbed rings are depicted while the other remain undisturbed. By applying the capacitance model, the obtained values of the capacitance of the perturbers are 0.55 pF and 0.15 pF respectively. Additional measurement results can be seen in [20]. VI. 2D SENSOR ARRAY DESIGN A. Design of the 2-D Sensor Array The design process for the multiplexed 2-D array was similar to the 1-D array. This further development includes 12 SRRs, the rings are detuned, decoupled from each other and have their own resonant peak which is possible again by changing the width between pixels. This array will provide a spatial distribution in 2 dimensions were the detection of local relative changes in the dielectric properties of the tissue can also be made with the same procedure of tracking the resonant frequency. In Fig. 12 a cross section of one pixel is shown; here an isolation layer is included between the SRR and the tissue to prevent the degradation of the resonant peaks due to the very high losses inherent from the tissue.

Fig. 12. Cross-section of one pixel. The isolation layer is located between the sensor and the organic tissue to prevent the degradation of the resonant peaks due to the inherent losses of the tissue.

Fig. 13. Prototype of the 2-D sensor array. It was made on substrate RT/duroid and thickness of 0.254 mm. 6010 with

The prototype is shown in Fig. 13 with its corresponding dimensions. By comparing the size of the first ring of each structure, for the 1-D array it is 5 3 cm and for the 2-D array is 3 4 mm , a considerable reduction in size is achieved. B. Measurement Setup Although tests for the 1-D array structure were successful, it was noticed that the position of the sample of tissue was critical to obtain good results and the repeatability of the measurements was compromised by changes in the placement of the same sample. For this purpose a measurement setup was developed. The design centers on an and axis cross roller positioning stage commonly used in optical applications. It allows the accurate and repeatable positioning of the dielectric bricks and/or test organic tissue and permits a motion range greater than the largest sensor that is to be tested with the measurement setup. The positioning stage achieves this by being controlled by micrometer heads in the and axis of motion, which have accuracy and repeatability of less than 10 m. When conducting measurements, the cross roller positioning stage is clamped down firmly to a base. For the measurement setup to have the flexibility of holding different sensors as large as 60 45 mm to a minimum of 53 25 mm , a two tier approach was used in the design. The first tier consists of two plastic clamp/hold pieces, which purpose is to support the sensor and provide two fixed edges along the sides of the sensor. The second tier consists of a metal screw capped with a polyacetal part that is inserted from the distal end of the movable clamp relative to the sensor. The purpose is to

PUENTES et al.: FREQUENCY MULTIPLEXED 2-DIMENSIONAL SENSOR ARRAY

1725

Fig. 14. Measurement setup to position dielectric bricks on the prototype sensor.

firmly clamp the sensor, holding it rigidly throughout multiple measurement tests with no metal contacting it at all times. In Fig. 14 is shown an schematic of the complete setup to position the dielectric bricks. It includes a guide made out of sheet metal creates a stationary reference edge. The guide is maintained stationary by clamping it down to an aluminum base.

Fig. 15. Organic tissue measurement setup. The housing for the organic tissue is shown along with the screw to press it and eliminate air gaps.

In Fig. 15 a schematic of the organic tissue measurement setup is shown. The sheet metal guide is removed, yet its base remains, and one of two (non-identical) plastic master edge parts is attached to the base and extend the master edge for 35 mm above the stationary clamp. It allows the correct alignment of the organic tissue samples. On the top, an aluminum base is attached to apply pressure on the organic tissue to avoid any air gaps. The aluminum base is significantly high in order to prevent electromagnetic disturbances during testing of organic tissue. Similarly two other plastic parts are attached around the other two edges of the sensor that extend 35 mm. This allows organic tissue to be tested at thicknesses up to 30 mm. Because the sensors vary in size and positioning of the coaxial cables, multiple drill and tap holes have been inserted into the metal aluminum base to allow the adjustment of two remaining plastic side walls.

VII. MEASUREMENTS WITH THE 2-D SENSOR ARRAY The measurements for the 2-D sensor array prototype were done by using the proposed measurement setup as shown in Fig. 16. The first test is to observe if the rings are behaving independently like for the 1-D array. For this purpose a perturber of was located on each ring at a time. In Fig. 17 is shown how only the perturbed ring is affected while the others remain undisturbed. Although all the rings were perturbed with the same dielectric perturber, it is interesting to see that the change of each ring is different, they all respond to a constant change by a unique change in the resonant frequency. In the analysis of the results, this characteristic has to be taken into account and it definitely complicates the extraction of information about the organic tissue. To prove the concept, different profiles were created with the dielectric bricks. A typical scenario is shown in Fig. 18 where a dielectric load of was placed on the third and tenth

Fig. 16. Prototype of the 2-D sensor array mounted on the measurement setup for organic tissue.

ring and an additional load of was located on the twelfth ring. The shift of the resonance frequencies of the three rings can be seen and the other frequencies of the undisturbed rings remain steady. Another test was conducted with animal lung tissue. For these measurements, the already mentioned dielectric isolation sheet of and thickness of 254 m is placed between the array and the organic tissue to prevent the

1726

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 17. Independent behavior of the SRR for the 2-D sensor array. Each ring was perturbed separately with a dielectric brick. In all cases, only the frequency of the altered pixel changed as the others remain undisturbed.

Fig. 18. Measurements of dielectric perturbers loading with the 2-D sensor array. The third, tenth and twelfth pixel were loaded. The frequencies of the other pixels are not changed.

Fig. 19. Measurements of animal lung tissue with the 2-D sensor array. Dielectric loading was additionally included around the second and third pixel. The isolation layer clearly fulfilled its purpose and there is no significant degradation of the resonant peaks.

degradation of the resonant peaks due to the high losses from the tissue. The results are shown in Fig. 19. The first curve represents a sample of lung placed on top of the isolation sheet that covers practically the whole area of the sensor. The second curve represents the same lung tissue but with an additional dielectric perturber of placed under the tissue around the second and third pixel. The shift of the frequency peak can be clearly identified and therefore it is proven that a monitoring of local changes in the tissue samples is possible. After making measurements with dielectric loading and animal lung tissue it was desired to test if the prototypes will give the same results with human tissue. The differences between animal tissue and human tissue, and furthermore to in-vivo tissue

Fig. 20. Measurements of a fibro-glandular phantom with and without the malignant tissue. The inset shows a picture of the phantom with parts of malignant tissue (blue).

are considerable. To obtain human tissue is quite difficult and the process is long, for this reason we have developed instead phantoms of human tissue. The receipts were obtained from [23], which is a summary of many different authors that has published work on this topic and [24] that selects the best candidates for breast phantoms but can still be extended to other human tissues. The phantoms that we have selected are based on glycerin and by changing the ratio of water, salt and poly-ethylene powder, the dielectric prototypes can be controlled to mimic a specific tissue that ranges from skin, muscle to any organ in the body and most important can include malignant tissue as well. Fig. 20 shows a measurement result of a phantom that behaves as fibro-glandular tissue in solid black line and the dashed grey line represents a measurement of the same fibro-glandular phantom with malignant pieces inserted around the seventh, eighth and ninth unit cell. In both cases the phantom covers almost the complete sensor and only the resonances of the pixels that interact with the malignant tissue show a significant change. The sensors presented here operate with 1 mW of power. This represents no danger to the tissue of being heated. Nevertheless, in future work it would be interesting to operate the sensor on two modes, the first one with a low power to make the sensing of the dielectric properties of the tissue and once the abnormality was detected the sensor could switch to a second operation mode where the power could be increased and the malignant tissue heated. This technique is well known as thermal ablation. VIII. CONCLUSION A multiplexed 2-dimensional sensor array for the analysis of organic tissue was presented. The sensor is based on a 1-D array and the further design process for the new structure was highlighted. The prototype sensors were all developed based on microstrip-line excited SRRs and the appropriate results with dielectric bricks, animal lung tissue and phantoms of human tissue were presented to prove the concept. The key point in the design corresponds to the ability of each SRR to be completely independent from its neighboring rings, and this was accomplished by changing the width of the pixels and therefore the resonance frequencies were detuned. With this characteristic it is possible to extract a dielectric image of the MUT with a resolution dependant on the size and the number of pixels separated by a constant distance. The 2-D sensor array was definitive an improvement not only in performance but in size in comparison to the 1-D array. In future work the sensitive area needs to be standardized

PUENTES et al.: FREQUENCY MULTIPLEXED 2-DIMENSIONAL SENSOR ARRAY

1727

to reduce the relative error of the extraction model and further studies with human tissue phantoms are a priority.

[21] J. Baena, J. Bonache, F. Martin, R. Sillero, F. Falcone, T. Lopetegi, M. Laso, J. Garcia-Garcia, I. Gil, M. Portillo, and M. Sorolla, “Equivalentcircuit models for split-ring resonators and complementary split-ring resonators coupled to planar transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1451–1461, Apr. 2005. [22] J. Pendry, A. Holden, D. Robbins, and W. Stewart, “Magnetism from conductors and enhanced nonlinear phenomena,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 11, pp. 2075–2084, Nov. 1999. [23] A. Trehan and N. Nikolova, “Summary of materials and recipes available in the literature to fabricate biological phantoms for RF and microwave experiments,” Ph.D. dissertation, Computational Electromagnetics Lab., Dept. Elect. Comp. Eng., McMaster University, ON, Canada, 2009. [24] A. Trehan and N. Nikolova, “Numerical and physical models for microwave breast imaging,” Ph.D. dissertation, Department of Electrical and Computer Engineering, McMaster University, 2009.

ACKNOWLEDGMENT The authors would like to thank the company CST for providing the CST Microwave Studio Suite software package. REFERENCES [1] [Online]. Available: http://www.cancer.gov/ [2] P. Gascoyne, J. Noshari, F. Becker, and R. Pethig, “Use of dielectrophoretic collection spectra for characterizing differences between normal and cancerous cells,” IEEE Trans. Ind. Appl., vol. 30, no. 4, pp. 829–834, Apr. 1994. [3] P. Gascoyne, X. Wang, Y. Huang, and F. Becker, “Dielectrophoretic separation of cancer cells from blood,” IEEE Trans. Ind. Appl., vol. 33, no. 3, pp. 670–678, Mar. 1997. [4] P. Gascoyne and J. Vykoukal, “Dielectrophoresis-based sample handling in general-purpose programmable diagnostic instruments,” Proc. IEEE, vol. 92, no. 1, pp. 22–42, Jan. 2004. [5] Y. Choongho, J. Vykoukal, D. Vykoukal, J. Schwartz, L. Shi, and P. Gascoyne, “A three-dimensional dielectrophoretic particle focusing channel for microcytometry applications,” J. Microelectromech. Syst., vol. 14, no. 3, pp. 480–487, 2005. [6] H. Schwan and K. Foster, “Rf-field interactions with biological systems: Electrical properties and biophysical mechanisms,” Proc. IEEE, vol. 68, no. 1, pp. 104–113, Jan. 1980. [7] H. Schwan, “Analysis of dielectric data: Experience gained with biological materials,” IEEE Trans. Electr. Insul., vol. EI-20, no. 6, pp. 913–922, Dec. 1985. [8] H. P. Schwan, “Electrical properties of tissues and cell suspensions: Mechanisms and models,” in Proc. 16th Ann. Int. Conf. IEEE Eng. Med. Biol. Soc., Baltimore, MD, Nov. 1994, pp. A70–A71. [9] S. Gabriel, L. R. W. , and C. Gabriel, “The dielectric properties of biological tissue: III. Parametric models for the dielectric spectrum of tissues,” Phys. Med. Biol., vol. 41, 1996. [10] D. Dean, D. Machado-Aranda, T. Ramanathan, I. Molina, and R. Sundararajan, “Electrical properties of biological tissues—An impedance spectroscopy study,” in Proc. 2006 IEEE Conf. Electr. Insul. Dielectric Phenomena, Kansas City, MO, Oct. 2006, pp. 357–360. [11] M. Boybay and O. Ramahi, “Double negative metamaterials for subsurface detection,” in Proc. 29th Ann. Int. Conf. IEEE Eng. Med. Biol. Soc., Lyon, France, Aug. 2007, pp. 3485–3488. [12] M. Schaefer, K. Nowak, B. Kherad, W. Gross, S. Post, and M. Gebhard, “Time domain reflectometry: Measurement of free water in normal lung and pulmonary edema,” Med. Biolog. Eng. Comput., vol. 42, no. 5, 2004. [13] T. Thai, J. Mehdi, H. Aubert, P. Pons, G. DeJean, M. Tentzeris, and R. Plana, “A novel passive wireless ultrasensitive rf temperature transducer for remote sensing,” in Proc. 2010 IEEE MTT-S Int. Microw. Sym. Digest (MTT), Anaheim, CA, May 2010, pp. 473–476. [14] Y. Xia and L. Wang, “A wireless sensor using left-handed metamaterials,” in Proc. 4th Int. Conf. Wireless Commun., Netw. Mobile Comput., Dalian, China, Sep. 2008, pp. 1–3. [15] E. Ekmekci and G. Turhan-Sayan, “Metamaterial sensor applications based on broadside-coupled srr and v-shaped resonator structures,” in Proc. 2011 IEEE Int. Symp. Antennas Propag. (APSURSI), Jul. 2011, pp. 1170–1172. [16] M. Abidi, A. Elhawil, J. Stiens, R. Vounchx, J. Tahar, and F. Choubani, “Sensing liquid properties using split-ring resonator in mm-wave band,” in Proc. IECON 2010—36th Ann. Conf. IEEE Ind. Electron. Soc., Phoenix, AZ, Nov. 2010, pp. 1298–1301. [17] R. Melik, E. Unal, N. Perkgoz, C. Puttlitz, and H. Demir, “Metamaterial-based wireless strain sensors,” Appl. Phys. Lett., vol. 95, no. 1, 2009, 011106-1–3. [18] R. Melik, E. Unal, N. Perkgoz, B. Santoni, D. Kamstock, C. Puttlitz, and H. Demir, “Nested metamaterials for wireless strain sensing,” IEEE J. Sel. Topics Quantum Electron., vol. 16, no. 2, 2010. [19] J. O’Hara, R. Singh, X. Peralta, I. Brener, E. Shaner, D. Branch, J. Han, A. Taylor, and W. Zhang, “Sensing liquid properties using split-ring resonator in mm-wave band,” in Proc. 2008 Conf. Lasers Electro-Optics 2008 Conf. Quantum Electron. Laser Science CLEO/QELS 2008, San Jose, CA, 2008, pp. 1–2. [20] M. Puentes, C. Weiß, M. Schüßler, and R. Jakoby, “Sensor array based on split ring resonators for analysis of organic tissues,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig., Baltimore, MD, USA, Jun. 2011.

Margarita Puentes was born in Bucaramanga, Colombia in 1981. She graduated as Electronic Engineer in 2001 from University El Bosque in Bogota, Colombia. She received the M.S. degree in electronic engineering and computers in 2006 from University Los Andes in Bogota, Colombia. She is currently pursuing the Ph.D. degree at the Technische Universität Darmstadt, Germany. Her topics of interest are metamaterials applications and sensors for medical and biological applications. Matthias Maasch was born in Herzberg, Germany in 1980. He received the Dipl. Ing. (FH) degree from the Hochschule Mannheim, Germany, in 2007. He is currently working toward the Dr.-Ing. (Ph.D.) degree at the Institute of Microwave Engineering and Photonics, Technische Universität Darmstadt, Germany. His research activities focus on tunable metamaterials for microwave applications.

Martin Schüßler was born in 1967 in Germany. He received the Dipl.-Ing. and the Ph.D. degrees in 1992 and 1998, respectively, from the Technische Universität Darmstadt, Germany. H e is currently working in the field of microwave sensors, RFID and metamaterials at the Technische Universität Darmstadt.

Rolf Jakoby (M’97) was born in Kinheim, Germany, in 1958. He received the Dipl.-Ing. and Dr.-Ing. degrees in electrical engineering from the University of Siegen, Germany, in 1985 and 1990, respectively. In 1991, he joined the Research Center of Deutsche Telekom in Darmstadt, Germany. Since April 1997 he has had a Full Professorship and is head of the “Microwave Engineering Group” at Technische Universität Darmstadt, Germany. Its research deals with reconfigurable microwave antennas, RFIDs, microwave sensors and detectors, and in particular with tunable, cost-effective RF passive devices with low power consumption, using novel approaches with innovative functional materials and technologies, e.g., based on metamaterial structures, liquid crystal cavities, ferroelectric thick- and thin films. Dr. Jakoby is Editor-in-Chief of FREQUENZ, a member of the Society for Information Technology (ITG) of the VDE and a member of various societies of the IEEE. He is organizer of various workshops, member of various TPCs, and has been chairman of the European Microwave Conference 2007. In 1992, he received an award from the CCI Siegen and in 1997, the ITG-Prize for an excellent publication in the IEEE TRANSACTIONS ON ADVANCED PACKAGING. He is participating on nine patents and on several awards in the last years.

1728

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Ear Temperature Increase Produced by Cellular Phones Under Extreme Exposure Conditions Valerio De Santis, Member, IEEE

Abstract—This paper deals with the numerical prediction of temperature increase in the inner ear of subjects exposed to the electromagnetic (EM) radiation of conventional cellular phones. Attention has focused on the geometrical model of the internal ear organs and on including the intrinsic heating of the phone in the numerical thermal analysis. To this aim, infrared (IR) thermographic data have been used as time-variant Dirichlet boundary conditions (BCs) on the touching ear-phone boundary, while new convective BCs are applied on the cells not in contact. Numerical results, obtained by a finite difference (FD) procedure, showed a maximum temperature increase of about 4 C on the external ear, although significant thermal elevations were not observed in the most sensitive internal organs. These temperature rises are obtained under extreme exposure conditions, i.e., when forcing the phone at the maximum power of 250 mW for 30 min. Index Terms—Biological effects of electromagnetic radiations, cellular phones, FDTD method, infrared thermal imaging, RF thermal dosimetry.

I. INTRODUCTION

T

HE enormous diffusion of commercial mobile phones in the last few years has aroused increasing concern about the potential health hazard from exposure to electromagnetic (EM) fields emitted by handheld terminals. Indeed, when a cellular phone is working, the transmitting antenna is placed very close to the users, who often complain about burning sensations or heating in the ear region. The temperature increase is mainly due to thermal insulation by the phone, the conduction of the heat produced inside the phone by battery currents and internal circuitry, and EM energy absorption by the user’s head. Although specific energy absorption (SAR) is actually specified as the only dosimetric quantity in the radio-frequency (RF) range [1], [2], knowledge of temperature distributions inside biological tissues could be a helpful indicator since thermal effects represent the main established adverse health effect at those frequencies. Therefore, from a thermal point of view, it would be interesting to compare the obtained temperature increases with the thresholds of thermal damages in sensitive tissues. Among these, the brain, and moreover the middle (i.e., eardrum) and inner (i.e., cochlea) ear, are the most critical organs for cellular phone exposures.

Manuscript received September 14, 2011; revised February 18, 2012; accepted February 22, 2012. Date of publication April 05, 2012; date of current version May 25, 2012. The author is with the Department of Electrical and Computer Engineering, University of L’Aquila, L’Aquila, 67100 L’Aquila, Italy. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2189229

Many studies have been performed in the past for calculating the power absorption and temperature increase inside the human head produced by cellular phone equipment [3]–[12]. However, most of them, i.e., [3]–[8], were not able to correctly represent small anatomical structures, e.g. the middle and inner ear organs, due to the limited spatial resolution of the voxel-based head models available at that time. On the other hand, more recent studies that considered these small anatomical structures [9]–[12] did not account for the intrinsic heating of the phone itself on the numerical thermal model. In this paper, both limitations of previous works have been addressed. Specifically, an anatomical highly accurate CAD model of the human head based on the Virtual Family (VF) tool [13] has been further improved in the ear zone to enable detailed RF thermal dosimetry in the inner and middle ear organs. Then, a novel thermal model, capable of considering not only the EM energy absorption but also the blocking of air convection and the intrinsic heating of the phone itself, is proposed. To this aim, infrared (IR) thermographic data of a mobile phone have been continuously recorded under extreme worst case exposure conditions (i.e., with the phone forced at the maximum power for 30 min). The experimental IR data have been then used as time-variant Dirichlet boundary conditions (BCs) on the external cells of the ear in contact with the phone, while new convective BCs have been applied on the ear cells not in contact to emulate the blocking of air. It is worth noting that several authors tried to account for the internal heating of the cellular phone by using IR techniques [14]–[16] or NTC thermistors [17]. However, such works were limited to measure temperature profiles on the skin surface [14]–[16], or ear canal [17], while in this paper, the more relevant thermal rise inside the whole inner ear is numerically predicted. The only attempts in the literature to estimate the inner ear temperature increase taking into account both the EM power deposition and the heating produced by the phone itself rely on the works proposed by Gandhi et al. [7] and Bernardi et al. [8]. However, in those papers, not only a limited spatial resolution was adopted but also the warming effect of the phone itself was numerically modeled by a warm 39 C insulating box of the cellphone dimension [7] (leading to a slight overestimation) or by an average thermal conductivity of the metal case of 1 W m C [8] (leading to a notable underestimation). A more reliable thermal estimation is here proposed by properly combining the experimental IR data on the external ear with the highly accurate numerical thermal model of the inner ear. Details of such a procedure are described in Section II, while the results of the investigation are given in Section III. Finally,

0018-9480/$31.00 © 2012 IEEE

DE SANTIS: EAR TEMPERATURE INCREASE PRODUCED BY CELLULAR PHONES UNDER EXTREME EXPOSURE CONDITIONS

1729

Fig. 2. Developed CAD model. (a) VF Duke’s head with the inserted ear. (b) Detail of the developed inner ear.

Fig. 1. Anatomy of the inner ear.

some discussions and conclusions are outlined in Sections IV and V, respectively. II. MODELS AND METHODS A. Human Ear and Head Model The complex anatomy of the inner ear is reported in Fig. 1. It is composed by a series of air-filled canals: auditory canal, tympanic cavity and eustachian tube; and by liquid-filled canals: cochlea and semicircular canals. The latter are filled by two physiological fluids (the endolymph and perilymph), which possess microhomeostatic mechanisms that are essential for the functioning of the mechanoelectrical transduction of the auditory hair cells [18]. Thus, disturbances of the mechanoelectrical transduction and thermal effects may arise during cellular phone use, especially due to the close proximity of the EM source to the cochlea. The VF model comprises only the external ear (i.e., pinna) and therefore a detailed CAD model of the middle/inner ear has been developed, starting from anatomy books [19], as shown in Fig. 2(b). Specifically, for the purposes and accuracy degree here adopted, the peri- and endolymph are to a small extent to be distinguished, and therefore a unique liquid termed as “peri/endolymph” is considered. On the other hand, this is a reasonable assumption since either endolymph than perilymph exhibits similar properties both from EM and thermal point of views [10]. In a similar way, malleus, incus, and stapes are referred as auditory ossicles, which together with tympanic membrane and auditory canal comprise the middle ear. The latter is an air-filled cavity coated by cartilage and bone. The realized high-resolution model of the middle/inner ear was then inserted into the VF CAD model of Duke’s head [see Fig. 2(a)] developed in [13]. The advantage of the CAD model is that a variable mesh size of the computational domain could be applied, saving computational time and increasing the accuracy of the obtained results compared to voxel models. B. Electromagnetic Model The electromagnetic problem has been analyzed using the commercial software tool SEMCAD X (SPEAG, Zurich,

Fig. 3. Computational domain for the EM problem.

Switzerland), which is based on the finite-difference time-domain (FDTD) numerical technique. The improved VF Duke’s head, together with a realistic CAD model of a mobile phone, has been imported within the commercial software tool. Specifically, the same phone model adopted in [20] was considered as radiating source, and therefore details on the geometry and radiation performances of the tri-band antenna have been here omitted for the sake of brevity. The phone is positioned in the typical tilted position respect to the human head, as shown in Fig. 3. Then, perfectly matched layers (PMLs) have been applied as absorbing boundary conditions (ABCs) to truncate the computational domain [21]. In order to select the mobile phone power output and frequency band, worst case considerations are taken into account. Indeed, the selected cellular phone is designed to operate at both 900 and 1800 MHz Global System for Mobile Communications (GSM) frequency bands and at wideband code division multiple access (W-CDMA) 1900–2100 MHz technology. However, only the GSM900 MHz frequency band has been selected as a worst case scenario due to the highest maximum allowed output power equivalent to 2.0 W pp (250 mW rms), leading therefore to warmer phones compared to the 1.0 W pp (125 mW rms) 1800 MHz band. At this frequency, a cell dimension of 2.0 mm is sufficient to obtain a good accuracy of the electromagnetic solution with the FDTD method. However, to exploit the high resolution of the CAD model, a nonuniform grid with a minimum cell size of

1730

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

TABLE I DIELECTRIC PROPERTIES AND MASS DENSITY OF EAR TISSUES

0.5 mm is adopted in the phone and ear zone, while a maximum cell size of 2.0 mm is kept far from the ear and the cell phone. The dielectric properties of the several human head tissues corresponding to a frequency of 900 MHz have been obtained by [22]. Regarding the middle and inner ear organs, cortical bone properties were assigned to the compact bony structure surrounding the middle/inner ear space (the petrous bone) as well as the auditory ossicles [9]. Values of dry skin were instead used for the tympanic membrane. Dielectric properties of cerebrospinal fluid (CSF) have been shown to be a reasonable approximation for the peri/endolymph liquid composing the inner ear [9]. Finally, as concerns the external ear (pinna), a weighted procedure based on the dielectric properties of skin (35%), fat (20%), and cartilage (45%) has been adopted since it is mainly composed by those tissues. In a similar way, dielectric properties of cartilage (60%) and bone (40%) have been applied for the coating of the auditory canal. Table I therefore summarizes the dielectric properties and mass density of the ear organs at the considered frequency of 900 MHz. To obtain the SAR distribution, the well-known equation for time-harmonic EM fields has been used [1], [2]: SAR

(1)

are the previously calculated peak values where , , and of the electric-field components, while and denote the conductivity and mass density of the tissue. C. Thermal Model Two different thermal models have been considered to numerically evaluate the temperature increase inside the ear produced by the cellular phone: the standard thermal model considering only the SAR calculations, and the proposed thermal model considering both the SAR calculations and the internal heating of the phone. For the sake of clarity, the computational domain for both thermal models is schematically depicted in Fig. 4. It is apparent that a reduced computational domain is obtained for the thermal problem compared to the respective EM problem. This is due to the fact that the EM source and the additional layers of absorbing material needed for the PML BCs must be included in the EM problem (see Fig. 3). Besides a reduction of the required memory storage, the importance of a smaller thermal computational domain is to speed up the numerical solution of the thermal problem, which is notably slower than the respective EM problem. Therefore, a truncated half-head model has been considered for solving the

Fig. 4. Computational domain for the thermal problem. (a) Standard thermal model. (b) Proposed thermal model.

TABLE II THERMAL PROPERTIES OF EAR TISSUES

thermal problem due to a suitable internal convective BC [23]. Finally, the same variable spatial resolution of the EM problem has been purposely chosen even for the thermal problem, representing a good tradeoff between accuracy and computational efforts. 1) Standard Thermal Model: In the standard approach, EM energy absorption inside exposed human tissues represents the only way of heating compared to a reference situation with unexposed tissues. Such a temperature increase can be easily calculated by solving the well-known Pennes bioheat equation [24]: SAR

(2)

where is the unknown temperature, is the specific heat of the tissue, is the thermal conductivity of the tissue, is the basal metabolic rate, is the term associated with blood perfusion, and is the blood temperature. Thermal properties of tissues are derived from the literature [3]–[8], with the exception of the auditory tissues which are reported in Table II. Once again, the same considerations of dielectric properties have been assumed for the ear thermal properties. Equation (2) can be solved with any partial differential equation (PDE) solver. In this paper, an explicit finite difference (FD) solution based on the approach given by the author in [23] is adopted. Solution of (2) in the computational domain coinciding with only the human head requires adequate BCs on the body–air interfaces. These boundary conditions can be written in the general case as (3) where is the general convection coefficient, and is the fluid temperature. At the thermal boundary between the head model

DE SANTIS: EAR TEMPERATURE INCREASE PRODUCED BY CELLULAR PHONES UNDER EXTREME EXPOSURE CONDITIONS

1731

TABLE III CONVECTION AT INTERNAL BOUNDARIES

Adopted values in [10]. Adopted values in [23].

and the external ambient the convection coefficient must take into account for the radiative, convective, and evaporative effects. Convective coefficients of 10.5 W m C and 20 W m C have been assumed for the skin–air and cornea–air interfaces, respectively, at a nominal ambient temperature of 23 C [20]. Due to computational times, the air-filled zones internal to the head cannot be discretized during the thermal simulation since the air thermal properties lead to unacceptable low time steps considering simulation times of 30 min. Therefore, the heat transfer between air-filled cavities and their bounding tissues or at the truncation of the head model is modeled by equivalent convection mechanisms. Table III summarizes the adopted internal convection coefficients and fluid temperatures for such internal boundaries [10], [23]. 2) Proposed Thermal Model: In the proposed approach, temperature increases inside the exposed head and ear are due not only to the EM energy absorption, but also to the presence of the phone itself. To account for this last effect, accurate time-variant BCs have been applied on the ear zone directly in contact with the mobile phone, while the blocking of air convection on the cells of the pinna not in contact with the phone has been modeled via a novel convective BC. Details on how to accomplish such a procedure are in the following provided. First, the temperature values to be applied as time-variant BCs on the ear cells directly in contact with the cellular phone were acquired using the measurement setup of Fig. 5. In particular, an emulator of base station (BS) was used in order to force the mobile station (MS) under test at the maximum power, namely 250 mW rms at 900 MHz. The MS was kept in touch with the ear of a healthy male subject (age 25 years) in the tilted position. To rule out ambient temperature changes, all experiments were performed in a temperature-controlled room at 23 C with variation less than 0.3 C. Thermal images were acquired by an IR camera every minute (for a duration of 30 min) by removing the phone from the skull for a short period of time (about 3 s), allowing the direct imaging of the phone surface. Then, the acquired phone IR images were included in the numerical thermal model by imposing the measured values as time-variant Dirichlet BCs on the ear cells directly in contact with the phone [see Fig. 4(b)]: (4)

Fig. 5. Measurement setup for the infrared temperature profile of the cellular phone.

Fig. 6. Infrared temperature profile of the phone after 30 min of functioning at maximum power. (a) Measured values. (b) Adopted values.

where is the measured IR temperature profile as function of space and time . To match the IR image resolution (lower than 0.5 mm) with the ear FD spatial resolution of 0.5 mm, a macrozoning averaging procedure has been employed, as shown in Fig. 6. For the sake of brevity, the only phone IR image after 30 min of conversation is reported, although such a procedure leading to different macrozoning has been repeated for each minute of image acquisition. In a similar way, a temporal linear interpolation has been applied to fit the FD time step (about 0.028 s) with the image acquisition step (1 min). The temperature profile as a function of time for a point on the phone directly in contact with the ear [see point in Fig. 6(a)] is shown in Fig. 7. It can be observed that the cell phone was quite cold (i.e., around 28 C) before starting the procedure. Then, a thermal equilibrium between the skin and the case was obtained just after touching the human body (i.e., at around 33 C). Finally, an exponential temperature increase up to the final value of 39.6 C was observed during the 30 min exposure time. The presence of the cellular phone not only produces heat itself but inhibits the heat exchange with the cooler ambient temperature. This last mechanism of thermal insulation or reduc-

1732

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 7. Transient temperature profile of the cellular phone at point

.

tion of air convection on the cells of the pinna not in contact with the phone has been taken into account by a convective BC [see Fig. 4(b)]. In particular, a value of 12 W m C has been adopted as convective coefficient for the pinna, while a fluid temperature of 34 C instead of the nominal 23 C ambient temperature is assumed. This last value is thought to be the average value of the stilled air between the pinna and the mobile phone.

Fig. 8. SAR distribution (W/Kg) in a cross section of the head through the cochlea. Output power: 250 mW. Frequency: 900 MHz.

III. NUMERICAL RESULTS First, the SAR distribution obtained for the worst case of mobile phone exposure is given. Then, the temperature increase for both thermal models is shown. A. SAR Distribution Fig. 8 depicts the SAR distribution (not averaged) in a cross section of the head through the cochlea obtained by the FDTD electromagnetic solver at frequency of 900 MHz and 250 mW simulated power. With respect to the inner ear, relatively high local SAR values appeared inside the peri/endolymph-filled labyrinth compared to the surrounding bone and fat. This is due to the relatively higher conductivity of peri/endolymph liquid. B. Temperature Increase The temperature increase distribution inside the head and ear produced by a conventional cellular phone after 30 min of exposure is illustrated in Fig. 9 for both standard and proposed approach. As expected, very low temperature increases are observed inside the ear of the standard model [see Fig. 9(a)] due to the relative low power involved by cellular phone operations. Instead, a much higher peak thermal elevation of approximately 4 C is obtained on the cells of the ear directly in contact with the phone when the proposed approach is considered [see Fig. 9(b)]. Indeed, compared to traditional models, the presence of the phone not only obstructs the heat exchange with the cooler ambient but also represents a heating source leading to much higher temperature increases. In order to clarify such a phenomenon, the profile of temperature increase along the central axis of the human ear (see dashed line in Fig. 1) is reported in Fig. 10 for both approaches. From this figure, it is evident as a strong variation is obtained in periphery but in the middle and inner ear (after a distance of

Fig. 9. Temperature increase distribution C in a cross section of the head through the cochlea. (a) Standard approach. (b) Proposed approach.

about 3 cm) the temperature profiles are almost the same. Table IV also shows the temperature elevations (peak and averaged values) for both thermal models inside selected portion of the ear: the pinna, representative of the external ear; tympanic membrane, representative of the middle ear; and cochlea, representative of the inner ear. IV. DISCUSSION AND LITERATURE REVIEW In this section, an exhaustive comparison of the results obtained by previous works and those obtained in this paper is outlined. The outcome of such a comparison is summarized in Table V, where the induced temperature elevations inside the

DE SANTIS: EAR TEMPERATURE INCREASE PRODUCED BY CELLULAR PHONES UNDER EXTREME EXPOSURE CONDITIONS

1733

TABLE V TEMPERATURE INCREASES OBTAINED IN THE PINNA OF SEVERAL STUDIES

Fig. 10. Temperature increase along the central axis of the human ear (see Fig. 1) calculated for the standard and proposed approaches.

TABLE IV TEMPERATURE RISES INSIDE SELECTED ZONES OF THE EAR

pinna produced by the cell phone operation of several studies are reported. From Table V, it is clear that much lower temperature increases are obtained in those papers considering the only SAR as heating source. Such results are in line with that obtained by the proposed procedure with the standard model, confirming the validity of the implemented numerical FD technique. When considering the more realistic condition of both SAR and intrinsic heating produced by the phone itself a temperature rise inside the pinna ranging from 1.55 to 4.7 C is found. The reason for somewhat different temperature increases between the several studies can be explained in the following manner. In [7], the heating effect of the handset was numerically modeled by a warm 39 C insulating box of the cellphone dimension. This is a fair solution compared to the adopted experimental procedure, where a similar value of 39.6 C was obtained after 30 min (see Fig. 7). However, in [7], the spatial and temporal distributions of the cell phone temperature profile were not taken into account, leading to a slight overestimation. On the other hand, in the numerical simulations of [8], the insulating effect of the phone was modeled by an average thermal conductivity of 1 W m C while the power dissipation in the circuitry was simulated adding a power deposition of 250 mW uniformly distributed in the upper part of the phone, supposing a 50% efficiency. Both conditions were in the opinion of the author far from the realistic situation, leading to a notable underestimation of the temperature increase. The reasons for the different values between previous experimental works instead rely on the different exposure conditions. In [14], Taurisano et al. conducted their experiments with the MS subject to the power control and with the phone distant 1 cm from the ear of the volunteer. When

M: Measurement; S: Simulation. O: only SAR; P:SAR+ phone itself. Normalized to a SAR value of 2 W/kg averaged over 10 g. PC: Power Control.

a soft touching was faced, the highest temperature rise of about 2.4 C was encountered. It is likely that with hard touching and without power control higher temperatures are expected. In the work of Kargel [15], again the power control was activated but the closer distance of the phone against the ear and the longer exposure (35 min instead of 20 min) compensated for the less average power coming from the 1800 MHz GSM band. Only in Straume et al. [16] was the MS forced to work at the maximum power when touching the ear in the tilted position, and therefore similar values to the proposed one are obtained. It is worth noting that non-controllable parameters like the phone model (flip, slicer, etc.), the position (tilted, cheek, etc.), and the pressure of the ear contact (soft, normal, hard, etc.) usually play an important role on the phone/pinna temperature increase, making a suitable comparison difficult to achieve. The point to be emphasized is that the exposure conditions here adopted, as well as in Gandhi et al. [7] and Straume et al. [16], are in the opinion of the author representative of some of the most extremely worst case scenarios that can be experienced and therefore are retained more suitable from a dosimetric point of view. The main difference with previous studies is that an accurate thermal prediction under these worst case exposure conditions is here provided not only in the pinna but even in the middle and inner ear. The more relevant temperature rises along the central axis (see Fig. 10) or in selected organs (see Table IV) of the human ear are presented for the first time. V. CONCLUSION In this paper, the temperature increase inside the human ear after exposure to a conventional mobile phone in the worst case configuration (namely after 30 min of conversation with forced maximum power of 250 mW) has been investigated. A highly detailed CAD model of the human head based on the VF tool has been purposely improved in the ear zone to enable accurate thermal dosimetry in the inner and middle hearing organs. For the first time, the contribution of the internal phone heating on the thermal elevation inside the human ear has been taken into account by suitably combining an experimental and numerical

1734

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

procedure. To this aim, a sophisticated thermal model has been developed. Specifically, time-variant Dirichlet BCs have been applied on the ear boundary starting from IR thermographic measured data. In this way, the conductive heat transfer between the warmed phone and the external ear was accurately considered in the numerical analysis. Also, proper convective BCs have been applied on the ear cells not in touch with the phone in order to account for the blocking of air due to the presence of the phone itself. Due to the proposed thermal model, temperature rises inside the inner ear exposed to worst case phone usage have been provided for the first time. The analysis of the numerical results led to the following conclusions: the phone heating and insulation effects must be accurately considered since a much higher temperature profile was obtained in the zone between the outer and the middle ear compared to that obtained by traditional models. However, the temperature increase in the most thermal sensitive organs (i.e., cochlea and other internal ear organs) was almost the same due to the insulation effects provided by the temporal bone surrounding such organs. Another point to be emphasized is that, although for safety reasons the worst case configuration must be accounted for, the results of the numerical prediction here shown are the consequence of very extreme exposure conditions. In practice, it is very unlikely that a cellular phone user is continuously exposed for 30 min at the maximum power with the same ear. ACKNOWLEDGMENT The author would like to thank Dr. A. Faraone, M. Ballen, G. Bit-Babik, and C. K. Chou, Motorola Corporate EME Research Laboratories, Plantation, FL, for providing the infrared measures of the cellular phone. The author would also like to acknowledge the students L. Di Fazio, D. Di Francesco, and P. Di Crescenzo, University of L’Aquila, for providing the CAD model of the human ear. REFERENCES [1] ICNIRP Guidelines, “Guidelines for limiting exposure to time-varying electric, magnetic and electromagnetic fields (up to 300 GHz),” Health Phys., vol. 47, no. 4, pp. 449–522, April 1998. [2] IEEE Standard for Safety Levels with Respect to Human Exposure to Radio Frequency Electromagnetic Fields, 3 kHz to 300 GHz, IEEE Standard C95.1-2005, Apr. 19, 2006. [3] J. Wang and O. Fujiwara, “FDTD computation of temperature rise in the human head for portable telephones,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 8, pp. 1528–1534, Aug. 1999. [4] G. M. J. van Leeuwen, J. J. W. Lagendijk, B. J. A. M. van Leersum, A. P. M. Zwamborn, S. N. Hornsleth, and A. N. T. J. Kotte, “Calculation of change in brain temperatures due to exposure to a mobile phone,” Phys. Med. Biol., vol. 44, no. 10, pp. 2367–2379, Oct. 1999. [5] P. Bernardi, M. Cavagnaro, S. Pisa, and E. Piuzzi, “Specific absorption rate and temperature increases in the head of a cellular-phone user,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 7, pp. 1118–1126, Jul. 2000. [6] P. Wainwright, “Thermal effects of radiation from cellular telephones,” Phys. Med. Biol., vol. 45, no. 8, pp. 2363–2372, Aug. 2000. [7] O. P. Gandhi, Q.-X. Li, and G. Kang, “Temperature rise for the human head for cellular telephones and for peak SARs prescribed in safety guidelines,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 9, pp. 1607–1613, Sep. 2001.

[8] P. Bernardi, M. Cavagnaro, S. Pisa, and E. Piuzzi, “Power absorption and temperature elevations in the human head by a dual-band monopole-helix antenna phone,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2539–2546, Dec. 2001. [9] G. Schmid, R. Überbacher, T. Samaras, A. Jappel, W. D. Baumgartner, M. Tschabitscher, and P. R. Mazal, “High-resolution numerical model of the middle and inner ear for a detailed analysis of radio frequency absorption,” Phys. Med. Biol., vol. 52, no. 7, pp. 1771–1781, Apr. 2007. [10] G. Schmid, R. Überbacher, and T. Samaras, “Radio frequency-induced temperature elevations in the human head considering small anatomical structures,” Radiat. Protect. Dosimetry, vol. 124, no. 1, pp. 15–20, June 2007. [11] M. Parazzini, G. Tognola, C. Franzoni, F. Grandori, and P. Ravazzani, “Modeling of the internal fields distribution in human inner hearing system exposed to 900 and 1800 MHz,” IEEE Trans. Biomed. Eng., vol. 54, no. 1, pp. 39–48, Jan. 2007. [12] T. Kim, J. Oh, and J. Pack, “Study of RF microdosimetry for the inner organs of the head, using the Korean high-resolution model,” presented at the Int. Zurich Symp. EMC, Zurich, Jan. 12–16, 2009. [13] A. Christ, W. Kainz, E. G. Hahn, K. Honegger, M. Zefferer, E. Neufeld, W. Rascher, R. Janka, W. Bautz, J. Chen, B. Kiefer, P. Schmitt, H. P. Hollenbach, J. X. Shen, M. Oberle, and N. Kuster, “The virtual family—Development of anatomical CAD models of two adults and two children for dosimetric simulations,” Phys. Med. Biol., vol. 55, no. 2, pp. 23–38, Jan. 2010. [14] M. D. Taurisano and A. V. Vorst, “Experimental thermographic analysis of thermal effects induced on a human head exposed to 900-MHz fields of mobile phones,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 11, pp. 2022–2032, 2000. [15] C. Kargel, “Infrared thermal imaging to measure local temperature rises caused by handheld mobile phones,” IEEE Trans. Instrum. Meas., vol. 54, no. 4, pp. 1513–1519, Aug. 2005. [16] A. Straume, G. Oftedal, and A. Johnsson, “Skin temperature increase caused by a mobile phone: A methodological infrared camera study,” Bioelectromagn., vol. 26, no. 6, pp. 510–518, Sep. 2005. [17] K. Tahvanainen, J. Niño, P. Halonen, T. Kuusela, T. Alanko, T. Laitinen, E. Länsimies, M. Hietanen, and H. Lindholm, “Effects of cellular phone use on ear canal temperature measured by NTC thermistors,” Clin. Physiol. Funct. Imag., vol. 27, no. 3, pp. 162–172, Mar. 2007. [18] P. Wangemann and J. Schacht, “Homeostatic mechanisms in the cochlea,” in The Cochlea. New York: Springer, 1996, pp. 130–185. [19] G. Wolf-Heidegger, Atlas of Human Anatomy. Milan, Italy: Edi-Ermes, 2005. [20] C. Buccella, V. De Santis, and M. Feliziani, “Prediction of temperature increase in human eyes due to RF sources,” IEEE Trans. Electromagn. Compat., vol. 49, no. 4, pp. 825–833, Nov. 2007. [21] J.-P. Bérenger, “Making use of the PML absorbing boundary condition in coupling and scattering FDTD computer codes,” IEEE Trans. Electromagn. Compat., vol. 45, no. 2, pp. 189–197, May 2003. [22] C. Gabriel, “Compilation of the dielectric properties of body tissues at RF and microwave frequencies,” RFR Div., Brooks Air Force Base, San Antonio, TX, Final Tech. Rep. AL/OE-TR-1996-0037, 1996. [23] C. Buccella, V. De Santis, and M. Feliziani, “Numerical prediction of SAR and thermal elevation in a 0.25-mm 3-D model of the human eye,” in Proc. IEEE Int. Symp. EMC, Jul. 8–13, 2007, pp. 1–6. [24] H. H. Pennes, “Analysis of tissue and arterial blood temperature in resting forearm,” J. Appl. Phys., vol. 1, no. 2, pp. 93–122, Aug. 1948. Valerio De Santis (M’05) was born in L’Aquila, Italy, on August 23, 1982. He received the Laurea degree in telecommunication engineering (summa cum laude) and the Ph.D. degree in electrical and computer engineering, both from the University of L’Aquila, in 2006 and 2010, respectively. He joined the Foundation for Research on Information Technologies in Society, IT’IS Foundation, Switzerland, in April 2011, holding the position of Project Leader in numerical dosimetry and assessment of human exposure to electromagnetic fields. His current research interests include biological effects of electromagnetic fields, electromagnetic compatibility, and numerical methods and techniques. Dr. De Santis received the Second Best Student Paper Award at the Bioelectromagnetics Society (BEMS) Annual Meeting, Cancun, Mexico, 2006, the Best Student Paper Award at the IEEE International Symposium on EMC, Honolulu, HI, 2007, and the Leo L. Beranek Travel Grant at the IEEE International Symposium on EMC, Detroit, MI, 2008.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

1735

A Wideband Frequency Tunable Optoelectronic Oscillator Incorporating a Tunable Microwave Photonic Filter Based on Phase-Modulation to Intensity-Modulation Conversion Using a Phase-Shifted Fiber Bragg Grating Wangzhe Li, Student Member, IEEE, and Jianping Yao, Fellow, IEEE

Abstract—An optically tunable optoelectronic oscillator (OEO) with a wide frequency tunable range incorporating a tunable microwave photonic filter implemented based on phase-modulation to intensity-modulation conversion using a phase-shifted fiber Bragg grating (PS-FBG) is proposed and experimentally demonstrated. The PS-FBG in conjunction with two optical phase modulators in the OEO loop form a high-Q, wideband and frequency-tunable microwave photonic bandpass filter, to achieve simultaneously single-frequency selection and frequency tuning. Since the tuning of the microwave filter is achieved by tuning the wavelength of the incident light wave, the tunability can be easily realized at a high speed. A theoretical analysis is performed, which is verified by an experiment. A microwave signal with a frequency tunable from 3 GHz to 28 GHz is generated. To the best of our knowledge, this is the widest frequency tunable range ever achieved by an OEO. The phase noise performance of the OEO is also investigated. Index Terms—Microwave generation, microwave photonics, optoelectronic oscillator (OEO), phase modulation, phase-shifted fiber Bragg grating.

I. INTRODUCTION

M

ICROWAVE signal generation using an optoelectronic oscillator (OEO) [1]–[3] has been considered a promising solution for the generation of a high frequency and ultra-low phase noise microwave signal, and it can find important applications in optical and wireless communications, radar, modern instrumentation, microwave imaging and microwave spectroscopy [4]. To reduce the phase noise, a simple solution is to design an OEO to have a long fiber loop, but an OEO with a long loop length will have a large number of densely-spaced oscillation modes. To ensure that the OEO operates at a single oscillation mode, a high-Q electrical bandpass filter (BPF) is

Manuscript received September 28, 2011; revised February 15, 2012; accepted February 21, 2012. Date of publication March 14, 2012; date of current version May 25, 2012. This work was supported by the Natural Sciences and Engineering Research Council of Canada (NSERC). The authors are with the Microwave Photonics Research Laboratory, School of Electrical Engineering and Computer Science, University of Ottawa, Ottawa, ON K1N 6N5, Canada (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2189231

required. To ease the requirement for a high-Q BPF, the use of multiple loops in an OEO, to make the mode spacing greater, has been proposed [5]–[7]. However, the system would become complicated and the stability would deteriorate due to the multiple loop nature of the configuration. In addition, the use of an electrical BPF has some limitations. First, an electrical BPF usually has a fixed central frequency and the implementation of frequency-tunable electrical BPFs is difficult. Thus, an OEO using an electrical BPF can only generate a microwave signal with a small frequency tunable range, realized by slightly changing the mode spacing of the OEO. For example, in [8], [9], the change of the mode spacing of an OEO is achieved via changing the loop delay by employing a dispersive optical fiber loop and a slow light element. The frequency tunable range can be several or tens of MHz, limited by the narrow bandwidth of the electrical BPF in the loop. Second, the central frequency of an electrical BPF with a 3-dB bandwidth of a few MHz is usually low; therefore, the frequency of the generated microwave signal is also low. To solve these problems, we have recently proposed two techniques [10], [11]. In [10], a Fabry–Perot laser diode (FP-LD) is employed to serve as a tunable high-Q microwave photonic BPF. Due to the external injection, the FP-LD selectively amplifies one of the modes, and high frequency selection is ensured. The frequency tuning is realized by either changing the wavelength of the incident light wave or the longitudinal modes of the FP-LD. The major limitation of the technique is the mode hoping in the FP-LD, which may deteriorate the quality of the generated microwave signal. In [11], a high-Q photonic microwave BPF is formed by a phase modulator (PM) followed by a linearly chirped fiber Bragg grating. The frequency tuning is realized by tuning the dispersion of the linearly chirped fiber Bragg grating [12]. To ensure a large frequency tunable range, the dispersion must be tuned in a large range, which is hard to realize for a practical system. In this paper, a novel approach to realizing a wideband and frequency tunable OEO using a microwave photonic filter is proposed and experimentally demonstrated. The microwave photonic filter has a high Q and a large frequency tunable range, which is implemented using two cascaded PMs and a phase-shifted fiber Bragg grating (PS-FBG). By tuning the

0018-9480/$31.00 © 2012 IEEE

1736

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 2. Schematic of the equivalent wideband frequency-tunable microwave photonic BPF.

Fig. 1. Schematic of the proposed wideband frequency-tunable OEO.

wavelength of the laser source, the central frequency of the high-Q microwave photonic BPF is tuned. The key significance of the proposed approach is that no electrical filters are employed, which ensures a simple tuning and a large frequency tunable range. In addition, the PMs are not dc biased, which would eliminate the bias drifting problem existing in a Mach–Zehnder modulator (MZM). A theoretical analysis is performed, which is validated by an experiment. The generation of a microwave signal tunable from 3 GHz to 28 GHz is demonstrated. To the best of our knowledge, this is the widest frequency tunable range ever achieved using an OEO. The phase noise performance of the generated microwave signal is also investigated. A modified Yao-Maleki model [2] is also presented to study the phase noise performance, which is also verified by the experiment. II. PRINCIPLE OF OPERATION The implementation of the wideband and frequency tunable OEO incorporating a tunable microwave photonic BPF based on phase-modulation to intensity-modulation conversion using a PS-FBG is discussed in this section. Fig. 1 shows a schematic of the proposed frequency-tunable OEO. The OEO consists of a tunable laser source (TLS), two PMs, a single-mode fiber (SMF) connecting the two PMs, an optical circulator (OC), a PS-FBG with a phase shift of , a photodetector (PD), a microwave power amplifier (PA), and a microwave power divider (Div). A light wave from the TLS is sent to the two cascaded PMs (PM1 and PM2) connected by the SMF. The phase-modulated light wave is sent to the PS-FBG via the OC, reflected by the PS-FBG and sent to the PD via again the OC. The signals at the output of PM2 and at the input of the PD are monitored by two optical spectrum analyzers (OSAs). After electrical amplification by the PA, the signal at the output of the PD is split into two parts by the power divider, and applied to the two PMs via the RF ports. The signal at the output of the PD is also monitored by an electrical spectrum analyzer (ESA, Agilent E4448A). To understand the operation and the frequency tuning of the OEO, we start our discussion from the equivalent wideband frequency-tunable microwave photonic BPF that is incorporated in the OEO loop. Such a microwave photonic BPF is based on phase modulation using the PM and phase-modulation to intensity-modulation conversion using the PS-FBG. The schematic of the equivalent microwave photonic BPF is shown in Fig. 2. Its frequency response is measured by a vector network ana-

lyzer (VNA). The VNA generates a microwave signal with its frequency sweeping over a frequency range of interest, and the received microwave signal at the output of the PD is sent back to the VNA. We assume that PM1 is driven by a microwave signal given by , where is the amplitude and is the angular frequency of the microwave signal. The electrical field at the output of PM1, under the small-signal modulation condition, can be expressed as

(1) where is the unit amplitude of the electrical field of the incident light wave, is the angular frequency of the incident light wave, is the half-wave voltage of the drive signal, and are the 0th- and 1st-order Bessel functions of the first kind, is the phase modulation index. When is small, say less than 0.5, the powers of the higher order sidebands (the order of the Bessel function is equal to or larger than 2) are much less than those of the first-order sidebands and the optical carrier. Therefore, these higher order sidebands are ignored. As can be seen, the signal at the output of PM1 contains an optical carrier and two 1st-order sidebands. If the light wave is directly applied to the PD, no signal would be detected except a dc since the beating between the optical carrier and the upper sideband will cancel completely the beating between the optical carrier and the lower sideband, due to the fact that the two beat signals are out of phase. However, if the amplitude and/or the phase profile of the phase-modulated light wave in its frequency domain is changed, the phase-modulated light wave can be converted to an intensity-modulated light wave, and phase-modulation to intensity-modulation conversion has a transfer function corresponding to a microwave photonic BPF [13]. To achieve a narrow passband, the change of the profile should only occur over a small frequency range. Thus, a PS-FBG is an ideal device since a PS-FBG could have an ultra-narrow notch with fast phase variations in the reflection spectrum [14], and the use of the PS-FBG could modify the amplitude and the phase of one of the two 1st-order sidebands of the phase-modulated light wave within an ultra-narrow bandwidth. The operation is illustrated in Fig. 3. The ultra-narrow passband only appears when one sideband falls into the notch of the PS-FBG, and the central frequency

LI AND YAO: WIDEBAND FREQUENCY TUNABLE OPTOELECTRONIC OSCILLATOR

1737

where is the time delay introduced by the SMF, where is the length of the SMF, is the velocity of light in vacuum, is the refractive index of the SMF, and is an equivalent phase modulation index, which is not a constant but a sinusoidal function of . Consequently, phase-modulation to intensity-modulation conversion would have a transfer function that is equal to the product of the frequency response of the ultra-narrow microwave photonic BPF and the frequency response of the sinusoidal comb filter, as shown in the zoom-in view of Fig. 3(b). The transmission peaks of the comb filter are located at the frequencies when , namely, , where is an integer. The distance between two adjacent peaks is . After reflection by the PS-FBG, the electrical field of the light wave at the input of the PD can be expressed as

Fig. 3. The equivalent high-Q microwave photonic BPF. (a) The reflection spectrum and phase response profile of the PS-FBG; (b) The frequency response of the photonic microwave BPF.

of the passband is equal to the frequency spacing between the notch and the incident light wave. Since the notch of the PS-FBG can be controlled as narrow as a few MHz [15], accordingly the bandwidth of the microwave photonic BPF can be as narrow as a few MHz, thus a microwave photonic BPF with a high-Q factor is achieved. The tunability of the microwave photonic BPF can be easily realized by simply tuning the wavelength of the light wave from the TLS. Another important feature of the microwave photonic BPF is the large frequency tunable range. As can be seen from Fig. 3, the largest tunable range is limited by the reflection bandwidth of the PS-FBG, and the bandwidth of the PM, the PD and the PA. Since the bandwidths of the PDs, PAs and PMs as well as the reflection bandwidth of the PS-FBG can be tens of GHz and are commercially available now, the frequency tuning range of the microwave photonic BPF could be also as large as tens of GHz. Therefore, due to the incorporation of the microwave photonic BPF in the OEO loop, a microwave signal with an oscillation frequency that is tunable over a frequency range of tens of GHz can be generated. The bandwidth of the microwave photonic BPF can be further reduced if a second PM is employed. As can be seen from Fig. 1, the two PMs are connected by a length of SMF. If PM2 is connected to PM1 through the SMF, then the phase-modulated light wave is given by

(3) where is the reflection profile of the PS-FBG, where is the angular frequency of the light wave incident to the PS-FBG, is the time delay introduced by the short fiber between PM2 and the PD, where is the length of the short fiber. Then, the microwave signal at the output of the PD can be expressed as

(4) where is the photo-responsivity of the PD, and when is small, say less than 0.5. Therefore, the frequency response can be given by

(5)

(2)

In (5), the term represents the frequency response when only a single PM is used. The peak of the pass band appears when , which means that the lower sideband is completely suppressed. The other term, , is the frequency response of the comb filter. If the gain in the loop is greater than the loss, once the loop is closed, the OEO will start to oscillate. The total output at the

1738

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

PD at any instant time is the summation of all circulating fields in the loop, which can be expressed as

(6)

Fig. 4. Photograph of the experimental setup. Numbers 1, 2 and 3 in the photograph indicate port 1, port 2, and port 3 of the OC.

where is the voltage gain provided by the PA, is the number of times the light wave circulates in the loop, and is the effective open-loop gain, given by (7) After the OEO starts oscillation, the effective open-loop gain is a little less than unity, (6) can then be simplified to (8) The corresponding microwave power

is then given

(9) and are multiples of Only when the two phase delays after each loop circulation, and would reach a maximum value, and the corresponding frequency will oscillate. At an oscillation frequency , (9) can be rewritten as (10) Then, when the wavelength of the TLS, , is tuned to make will automatically change to in the same direction of . If both and are multiples of will be a new oscillation frequency, and frequency tuning is thus achieved. III. EXPERIMENT AND DISCUSSION An experiment based on the setup shown in Fig. 1 is performed (see Fig. 4). The TLS (Yokogawa AQ 2200-136) has a wavelength tunable range of 200 nm and a tuning step of 1 pm. The bandwidths of the PMs are 20 GHz. The PA in Fig. 1 consists of one Avanteck low-noise amplifier and an Agilent 83006A amplifier. The PD (Newport) has a bandwidth of 45 GHz. The PCs are used to minimize the polarization-dependent loss. The key device in the system is the PS-FBG, which is fabricated in a photosensitive fiber using a uniform phase mask by scanning an UV beam along the axial direction of the optical fiber [15]. A phase shift is introduced at the center of the grating by shifting the phase mask by half the corrugation

Fig. 5. Measured reflection magnitude response and phase response of the PS-FBG (resolution: 0.01 nm). The inset gives a zoom-in view of the notch of the PS-FBG (resolution: 2 MHz).

width to create an ultra-narrow notch at the middle of the reflection spectrum. The PS-FBG is fabricated by introducing a phase shift during the fabrication process. An ultra-narrow transmission band in the reflection spectrum is thus generated. The measured reflection magnitude response and phase response of the PS-FBG are shown in Fig. 5. The 3-dB reflection bandwidth is about 0.5 nm. The centre wavelength of the notch is about 1549.28 nm, with a full-width at half-maximum (FWHM) of only about 30 MHz. The maximum notch depth is more than 15 dB. First of all, the frequency response of the equivalent wideband frequency-tunable photonic microwave BPF based on phase-modulation to intensity-modulation conversion is measured. To do so, the loop is opened at the output port of the PD, and only one PM is used. The frequency response is measured using a VNA (Agilent E8364A). The powers of the light wave sent to the PM and to the PD are measured to be about 5 dBm and 0 dBm, respectively. The wavelength of the optical carrier is set around 1549.28 nm, and the lower sideband will fall into the notch of the PS-FBG when the microwave frequency is equal to the difference between the frequency of the optical carrier and the center frequency of the notch. By increasing the wavelength of the optical carrier, the center frequency of the photonic microwave BPF is increased. Fig. 6(a) shows the superimposed frequency responses of the microwave photonic BPF with a tunable central frequency covering a range of about

LI AND YAO: WIDEBAND FREQUENCY TUNABLE OPTOELECTRONIC OSCILLATOR

Fig. 6. (a) Measured frequency responses of the tunable photonic microwave BPF. (b) Zoom-in view of the frequency response when the center frequency is tuned at 20 GHz.

20 GHz. This range can be increased if the reflection bandwidth of the PS-FBG is wider. From Fig. 6(a) we can see that the ratio of the transmission peak to the sidelobe can be as large as 30 dB, which is large enough to suppress undesired modes in the OEO. In Fig. 6(b), a zoom-in view of the measured frequency response with a central frequency of 20 GHz is shown. The 3-dB bandwidth is about 20 MHz, corresponding to a -factor of 1000. If the index change of the grating is increased or the degree of the symmetry of the two sub-gratings separated by the phase shift section is improved, the PS-FBG would have a narrower notch and the 3-dB bandwidth of the microwave photonic filter can be further decreased. Then, the OEO loop is closed. The length of the SMF is about 500 m, corresponding to a time delay of about 2.43 s. The length between PM2 and the power divider is about 10 m, corresponding to a time delay of about 48.6 nm. The wavelength of the light wave is first set at 1549.36 nm, which is about 0.08 nm away from the central wavelength of the transmission band; thus would be approximately equal to 10 GHz. By finely tuning the wavelength of the light wave to make and are multiples of , the OEO will start oscillation at 10 GHz. At the oscillation frequency, the phase modulation indexes of PM1 and PM2 are about 0.25, giving an equivalent phase modulation index, , of 0.5. Fig. 7 shows the measured optical spectrum at the outputs of PM2 and the PS-FBG. As expected, after reflection from the PS-FBG the power of the lower sideband of the phase-modulated light wave is attenuated, which is 10 dB lower

1739

Fig. 7. (a) Optical spectrum at the output of PM2 when the OEO is operated at 10 GHz. (b) Optical spectrum at the output of the PS-FBG when the OEO is operated at 10 GHz.

than that of the upper sideband. Although the lower sideband is not completely removed, the residual power is very small, and an effective phase-modulation to intensity-modulation conversion is achieved. Fig. 8 shows the spectrum of the generated 10-GHz signal with two different frequency spans of 25 GHz and 200 KHz. No other modes are observed. The stability of the system is evaluated. To do so, the system is allowed to operate in a room environment for a period of 10 minutes. The spectrum of the 10-GHz signal is shown on the ESA with negligible power fluctuations. The frequency stability is also evaluated. Due to the wavelength drift of the TLS and the spectrum drift of the unpackaged PS-FBG, a frequency shift of a few MHz is observed after an hour. The use of a wavelengthstabilized laser source and a packaged PS-FBG would increase significantly the frequency stability. The frequency tunability of the proposed OEO is then investigated. Both coarse tuning and fine tuning are demonstrated. The tuning is realized by tuning the wavelength of the TLS. The smallest wavelength tuning step of the TLS is 1 pm, corresponding to a frequency tuning step of about 125 MHz. Fig. 9(a) shows the superimposed spectra of the generated microwave signal with the frequency coarsely tuned over a frequency range from 3 GHz to 14 GHz with a tuning step of 1 GHz. Fig. 9(b) shows the superimposed spectra of the generated microwave signal with the frequency coarsely tuned over a frequency range from 15 GHz to 28 GHz with a tuning step of 1 GHz. Thus,

1740

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 8. Generation of a 10-GHz microwave signal using the proposed OEO. (a) Electrical spectrum of the generated 10-GHz signal (the frequency span is 30 GHz and the resolution bandwidth (RBW) is 3 MHz). (b) Zoom-in view of the 10-GHz signal (the frequency span is 200 KHz and the RBW is 1.8 KHz).

the total frequency tuning range of the proposed OEO is about 25 GHz. Fig. 9(c) shows the superimposed spectra with the frequency finely tuned from about 9.2 GHz to about 10.7 GHz with a tuning step of about 125 MHz. The tuning step can be smaller, but it is limited here by the wavelength tuning resolution of the TLS, which is 1 pm. The main factor limiting the tunable range of the OEO is the limited reflection bandwidth of the PS-FBG. It is known that a wider reflection bandwidth can be achieved if the amplitude of the induced refractive index perturbation is larger [16]. To achieve a large , the fiber could be hydrogen loaded and the grating could be written by using IR femtosecond pulses [17], which potentially give a reflection bandwidth as large as a few nanometers. For example, if the reflection bandwidth of the PS-FBG is 2.4 nm, then the frequency tunable range of the microwave photonic filter can be 100 GHz [18], which also gives a frequency tunable range of the OEO of 100 GHz. If the PS-FBG is fabricated in a silicon-on-insulator waveguide by using deep-ultraviolet lithography, an even wider reflection bandwidth as large as 10 nm can be achieved [19], which could give a tunable range as large as hundreds of GHz. The phase noise performance of the generated microwave signals is also studied. The analysis is done by modifying the

Fig. 9. Spectra of the generated microwave signal at different frequencies. (a) The frequency is coarsely tuned from 3 GHz to 14 GHz with a tuning step of 1 GHz; the RBW is 10 MHz. (b) The frequency is coarsely tuned from 15 GHz to 28 GHz with a tuning step of 1 GHz; the RBW is 3 MHz. (c) The frequency is finely tuned from 9.2 GHz to 10.8 GHz with a tuning step of about 125 MHz; the RBW is 3 MHz.

Yao-Maleki equation which was developed to characterize the phase noise power spectral density of an OEO. The modified equation gives a phase noise power spectral density with a better agreement with the experimental results. The Yao-Maleki equation is given by [2] (11)

LI AND YAO: WIDEBAND FREQUENCY TUNABLE OPTOELECTRONIC OSCILLATOR

1741

where is the frequency offset from the oscillation frequency, and is the input noise-to-signal ratio to the OEO, given by

(12) where is the equivalent input noise power density injected into the OEO from the input port of the PA, which has a typical value of mW/Hz, is the power of the signal at the oscillation frequency applied to the PMs, and is the voltage gain of the PA. Thus, is the power of the oscillation frequency before the PA, which has a typical value of mW. Therefore, has a typical value of /Hz. Based on (11) and (12), we calculated the theoretical single-sideband phase noise spectrum of the generated microwave signal and compare it with the single-sideband phase noise spectrum of the experimentally generated 10-GHz microwave signal shown in Fig. 10. The single-sideband phase noise spectrum of the generated signal is measured by an Agilent E5052B signal source analyzer incorporating an Agilent E5053A downconverter. The phase noise spectrum calculated based on the standard Yao-Maleki model is also shown in Fig. 10 as a dotted-dashed line. As can be seen the phase noise performance is overestimated by the standard Yao–Maleki model. The difference is due to the fact that the Yao-Maleki model assumes that the noise in the OEO is a strictly white noise source, and ignores other frequency-dependent noise sources which do exist in a real OEO. In our case, such frequency-dependent noise could be the relative intensity noise (RIN) of the TLS, the laser wavelength fluctuations, the length changing of the SMF, and the spectrum variations of the PS-FBG. Here in our analysis, we simply group all the frequency-dependent noise sources into two categories: the noise whose power density is inversely proportional to and the noise whose power density is proportional to over only a small offset frequency range. Since all the noise sources are supposed to be independent, the power density of the input noise injected into the OEO can be written as (13) and are two parameters of the input noise. The where input noise-to-signal ratio to the OEO can also be rewritten as (14) where and are two parameters of the input noise-to-signal ratio. Thus, the modified power density spectrum is given by

(15)

Fig. 10. A comparison of the phase noise based on the Yao-Maleki model, the modified model and experimental data for our proposed OEO.

To fit our experimental data, and are selected to be and /Hz . The calculated phase noise spectrum based on the modified model is shown in Fig. 10 as a dashed line. From Fig. 10, we can also see that the measured phase noise is dBc/Hz at a 10-KHz offset frequency. The peaks after 400-KHz offset frequency which have a frequency spacing corresponding to a free spectral range of the OEO are resulted from the non-oscillating sidemodes. Another peak at 66-KHz is associated with an uncertain perturbation, and disappears from time to time. The phase noise performance can be further improved by using a wavelength-stabilized TLS and a packaged PS-FBG. IV. CONCLUSION A novel approach to implementing a wideband frequency-tunable OEO using a PS-FBG was proposed and experimentally demonstrated. Due to the phase-modulation to intensity-modulation conversion in the PS-FBG, an equivalent high-Q tunable microwave photonic BPF in the OEO loop was established, which was employed to select one of the eigenmodes in the OEO, to achieve single-frequency oscillation. The central frequency of the equivalent microwave photonic BPF could be easily tuned by tuning the wavelength of the TLS, thus leading to the tuning of the frequency of the generated microwave signal. The key significance of the proposed OEO is that it can provide large frequency tunability by simply tuning the optical wavelength. In addition, since no bias control is needed for the PMs, the operation stability is also better than using an MZM. The proposed OEO was verified by an experiment. The generation of a microwave signal with a frequency tunable from 3 GHz to 28 GHz was demonstrated. To the best of our knowledge, this is the widest frequency-tunable range ever achieved by an OEO. The generated microwave signal exhibited a good phase noise performance. A modified Yao-Maleki model was also developed to describe the phase noise performance of the proposed OEO. The phase noise performance of the proposed OEO can be further improved if a packaged PS-FBG and a wavelength-stabilized laser source are employed. The PMs and PS-FBG could be potentially

1742

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

integrated in a photonic integrated circuit (PIC) chip, which would significantly improve the overall performance of the proposed OEO. ACKNOWLEDGMENT The authors would like to thank Dr. M. Poulin for helpful discussions. The authors would also like thank TeraXion, QC, Canada, for providing the PS-FBG. REFERENCES [1] A. Neyer and E. Voges, “Hybrid electro-optical multivibrator operating by finite feedback delay,” Electron. Lett., vol. 18, no. 2, pp. 59–60, Jan. 1982. [2] X. S. Yao and L. Maleki, “Optoelectronic microwave oscillator,” J. Opt. Soc. Amer. B, vol. 13, no. 8, pp. 1725–1735, Aug. 1996. [3] T. Berceli and P. Herczfeld, “Microwave photonics—A historical perspective,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 11, pp. 2992–3000, Nov. 2010. [4] X. S. Yao and L. Maleki, “Opto-electronic oscillator and its applications,” in Proc. Microw. Photon. MWP’96, Technical Dig., Dec. 1996, pp. 265–268. [5] X. S. Yao and L. Maleki, “Multiloop optoelectronic oscillator,” IEEE J. Quantum Electron., vol. 36, no. 1, pp. 79–84, Jan. 2000. [6] Y. Jiang, J. Yu, Y. Wang, L. Zhang, and E. Yang, “An optical domain combined dual-loop optoelectronic oscillator,” IEEE Photon. Technol. Lett., vol. 19, no. 11, pp. 807–809, Jun. 2007. [7] E. Shumakher and G. Eisenstein, “A novel multiloop optoelectronic oscillator,” IEEE Photon. Technol. Lett., vol. 20, no. 22, pp. 1881–1883, Nov. 2008. [8] S. Poinsot, H. Porte, J. Goedgebuer, W. T. Rhodes, and B. Boussert, “Continuous radio-frequency tuning of an optoelectronic oscillator with dispersive feedback,” Opt. Lett., vol. 27, no. 15, pp. 1300–1302, Aug. 2002. [9] E. Shumakher, S. Ó. Dúill, and G. Eisenstein, “Optoelectronic oscillator tunable by an SOA based slow light element,” J. Lightw. Technol., vol. 27, no. 18, pp. 4063–4068, Sep. 2009. [10] S. Pan and J. P. Yao, “Wideband and frequency-tunable microwave generation using an optoelectronic oscillator incorporating a Fabry–Perot laser diode with external optical injection,” Opt. Lett., vol. 35, no. 11, pp. 1911–1913, Jun. 2010. [11] W. Li and J. P. Yao, “An optically tunable optoelectronic oscillator,” J. Lightw. Technol., vol. 28, no. 18, pp. 2640–2645, Sep. 2010. [12] H. Shahoei, M. Li, and J. P. Yao, “Continuously tunable time delay using an optically pumped linearly chirped fiber Bragg grating,” J. Lightw. Technol., vol. 29, no. 10, pp. 1465–1472, May 2011. [13] F. Zeng and J. P. Yao, “Investigation of phase modulator based alloptical bandpass microwave filter,” J. Lightw. Technol., vol. 23, no. 4, pp. 1721–1728, Apr. 2005. [14] T. Erdogan, “Fiber grating spectra,” J. Lightw. Technol., vol. 15, no. 8, pp. 277–1294, Aug. 1997. [15] Y. Painchaud, M. Aubé, G. Brochu, and M. Picard, “Ultra-narrowband notch filtering with highly resonant fiber Bragg gratings,” in Proc. Bragg Gratings, Photosensitivity, and Poling in Glass Waveguides, OSA Technical Digest (CD), 2010, Paper BTuC3. [16] P. St. J. Russell, J. L. Archambault, and L. Reekie, “Fiber gratings,” Phys. World, vol. 6, no. 10, pp. 41–46, Oct. 1993. [17] M. Bernier, Y. Sheng, and R. Vallee, “Ultrabroadband fiber Bragg gratings written with a highly chirped phase mask and infrared femtosecond pulses,” Opt. Exp., vol. 17, no. 5, pp. 3285–3290, Mar. 2009.

[18] W. Li, M. Li, and J. P. Yao, “A narrow-passband and frequency-tunable micro-wave photonic filter based on phase-modulation to intensity-modulation conversion using a phase-shifted fiber Bragg grating,” IEEE Trans. Microw. Theory Tech., to be published. [19] X. Wang, W. Shi, S. Grist, H. Yun, N. A. F. Jaeger, and L. Chrostowski, “Narrow-band transmission filter using phase-shifted Bragg gratings in SOI waveguide,” in Proc. 2011 IEEE Photonics Conf. (PHO), Oct. 2011, pp. 869–870, paper ThZ1. Wangzhe Li (S’08) received the B.E. degree in electronic science and technology from Xi’an Jiaotong University, Xi’an, China, in 2004, and the M.Sc. degree in optoelectronics and electronic science from Tsinghua University, Beijing, China, in 2007. He is currently working toward the Ph.D. degree in electrical and computer engineering at the Microwave Photonics Research Laboratory, School of Electrical Engineering and Computer Science, University of Ottawa, Ottawa, ON, Canada. His current research interests include photonic generation of microwave and terahertz signals. Mr. Li is a recipient of a 2011 IEEE Microwave Theory and Techniques Society Graduate Fellowship and 2011 IEEE Photonics Society Graduate Fellowship.

Jianping Yao (M’99–SM’01–F’12) received the Ph.D. degree in electrical engineering from the Université de Toulon, France, in December 1997. He joined the School of Electrical Engineering and Computer Science, University of Ottawa, ON, Canada, as an Assistant Professor in 2001. He was promoted to Associate Professor in 2003, and Full Professor in 2006. He was appointed University Research Chair in 2007. He was Director of the Ottawa-Carleton Institute for Electrical and Computer Engineering, from July 2007 to June 2010. Prior to joining the University of Ottawa, he was an Assistant Professor in the School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore, from 1999 to 2011. His research has focused on microwave photonics, which includes photonic processing of microwave signals, photonic generation of microwave, mm-wave and THz, radio over fiber, UWB over fiber, and photonic generation of microwave arbitrary waveforms. His research also covers fiber optics and bio-photonics, which includes fiber lasers, fiber and waveguide Bragg gratings, fiber-optic sensors, microfliudics, optical coherence tomography, and Fourier-transform spectroscopy.He is a principal investigator of over 20 projects, including five strategic grant projects funded by the Natural Sciences and Engineering Research Council of Canada. He has published over 360 papers, including over 200 papers in peer-reviewed journals and 160 papers in conference proceedings. Dr. Yao is an Associate Editor of the International Journal of Microwave and Optical Technology. He is on the Editorial Board of IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He is a Chair of numerous international conferences, symposia and workshops, including Vice TPC Chair of 2007 IEEE Microwave Photonics Conference, TPC Co-Chair of 2009 and 2010 Asia-Pacific Microwave Photonics Conference, TPC Chair of the high-speed and broadband wireless technologies sub-committee of 2009, 2010, 2011, and 2012 IEEE Radio Wireless Symposium, TPC Chair of the microwave photonics sub-committee of 2009 IEEE Photonics Society Annual Meeting, TPC Chair of 2010 IEEE Microwave Photonics Conference, and General Co-Chair of 2011 IEEE Microwave Photonics Conference. He is also a committee member of numerous international conferences. He received the 2005 International Creative Research Award of the University of Ottawa. He was the recipient of the 2007 George S. Glinski Award for Excellence in Research. He was a recipient of a Natural Sciences and Engineering Research Council of Canada Discovery Accelerator Supplements award in 2008. Dr. Yao is a registered Professional Engineer of Ontario. He is a Fellow of the Optical Society of America, and a Fellow of the IEEE Microwave Theory and Techniques Society and the IEEE Photonics Society.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

1743

Letters Comments on “A Unique Extraction of Metamaterial Parameters Based on Kramers–Kronig Relationship” Joaquim J. Barroso and Ugur C. Hasar The above paper [1] presents a numerical procedure for extracting the effective constitutive parameters of metamaterials. From the fact that the imaginary part of the refractive index is explicitly given by the magnitude of the transmission coefficient, while the real part is ambiguously defined by the phase of the transmission coefficient, the method above enforces causality to calculate the real part of the refractive index from its imaginary part by numerically integrating the Kramers–Kronig relation over a prescribed frequency range. The refractive index thus calculated for a metamaterial composed of split-ring resonator (SRR)-wire unit cells shows some discontinuities appearing on the covered frequency interval. The authors [1] interpret the discontinuity in the refractive index as an upper limit of the effective medium theory. For example, in [1, Fig. 9(b)], the gray areas are claimed to represent frequency regions above this upper limit. It is argued that the rapidly changing phase of the transmission coefficient greatly affects the real part of the refractive index for the fundamental branch, prohibiting the retrieval of effective material parameters at low frequencies. They also conclude that the discontinuity of the refractive index indicates that the limit of the effective medium theory has been reached, thus placing limitations on transmission-reflection-based methods to retrieve constitutive parameters of metamaterials containing several layers of a unit cell. The purpose of this letter is to point out that the cause of the discontinuities is due to improperly calculated branch indices, denoted as in [1]. To show this, we recast the complex transmission coefficient expression = j j exp( ) = exp(ink0 ) to obtain for the refractive index

m

T

T

i

d

n = k10 d ( i ln T + ); n = k10 d ( i ln T + 2m); 0

j

j

0

0

k m T T

 0 at all frequencies as required by the passivity condition, consistent with the assumed time harmonic variation exp( i!t). The f g

f

g

0

quantities above have been selected and carefully adjusted to well reproduce the scattering parameters obtained from electromagnetic simulation for the unit cell described in [3], and which is the same as that considered in [1]. The unit cell is in the shape of a cube, which is repeated periodically to build in free space a cubic metamaterial of lattice spacing (= 2.5 mm) equal to the cube edge length. The thickness of 17.5 mm (seven-unit cells) is that of the slab whose retrieved refractive index is shown in [1, Fig. 9]. From the calculated transmission coefficient phase, which is displayed in Fig. 1, we identify over the 2–40-GHz frequency range ten resonance frequencies at which the phase of jumps from 0 to . In unwrapping the phase of the transmission coefficient [2], the frequency interval 1– 2 (Fig. 1) is shifted down by 02 , the second interval 2– 3 by 04 , the third one 3– 4 by 06 , the next two intervals ( 4– 5 and 5– 6) are shifted down by 04 and 02 , respectively; the sixth interval 6– 7 is zero shifted, while the next three intervals are consecutively shifted up by +2 . Therefore, the branch indices are calculated (Fig. 2) from the operation to make the phase has continuous. Once the complex transmission coefficient of

T

f f f f

T

m

0018-9480/$31.00 © 2012 IEEE

f f

 f f

f f

f f

 

 







T

1744

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

[2] J. J. Barroso and U. C. Hasar, “Resolving phase ambiguity in the inverse problem of transmission/reflection measurement methods,” J. Infrared Millim. Terahertz Waves, vol. 32, no. 6, pp. 856–866, Jun. 2011. [3] D. R. Smith, D. C. Vier, T. Koschny, and C. M. Soukoulis, “Electromagnetic parameter retrieval from inhomogeneous metamaterials,” Phys. Rev. E, Stat. Phys. Plasmas Fluids Relat. Interdiscip. Top., vol. 71, Mar. 2005, Art. ID 036617.

Comments on “ParAFEMCap: A Parallel Adaptive Finite-Element Method for 3-D VLSI Interconnect Capacitance Extraction” Ozlem Ozgun, Raj Mittra, and Mustafa Kuzuoglu

Fig. 2. For the 1.75-cm-thick metamaterial sample, the branch indices over the: (a) 2–40 GHz range and (b) zoomed-in view on the 9–11-GHz frequency range.

Fig. 3. Refractive index unambiguously retrieved (blue in online version); the index calculated without phase correction (red in online version).

been unambiguously determined, then we use (1) to calculate the corresponding refractive index (Fig. 3), thus without any discontinuities over the frequency range considered.

REFERENCES [1] Z. Szabó, G.-H. Park, R. Hedge, and E.-P. Li, “A unique extraction of metamaterial parameters based on Kramers–Kronig relationship,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 10, pp. 2646–2653, Oct. 2010.

The above paper [1] includes misleading statements on the accuracy and the efficiency of our technique [i.e., the characteristic basis finite-element method (CBFEM)], which was published in 2009 [2] for the extraction of 3-D capacitance matrices. The above paper [1] has devoted a separate section (i.e., Section II-B) to show the alleged weaknesses of our technique through some 2-D simulations. However, it seems that our technique was implemented erroneously in [1]. First of all, we would like to give brief information about our CBFEM technique, and then discuss why the claims in [1] are completely wrong. The CBFEM is a relatively novel approach introduced to alleviate the difficulties of the conventional finite-element method (FEM) while solving large-scale electromagnetic boundary value problems. This is a matrix-reduction algorithm in the sense that it utilizes the strategy of domain decomposition by transforming the original matrix into a smaller one, referred to as the reduced matrix. For this purpose, characteristic basis functions (CBFs) are employed, which are high-level basis functions that are tailored in accordance with the physics of the problem under consideration. The first application of the CBFEM was to quasi-static problems, where it was used for the purpose of computing the capacitance matrices of 3-D interconnect structures by employing point charges to generate the CBFs [2]. Next, it was extended to the solution of electromagnetic scattering problems by using dipoletype sources with different approaches [3]–[6]. In the implementation of the CBFEM in [2], fictitious point charges are placed on the conductors, as shown in [2, Fig. 2]. The potentials created by these charges form the natural basis functions (i.e., CBFs) for the potential distribution within the entire computational domain. In other words, if the charge density is known along the boundaries of the conductors, then the potential distribution can be expressed as a convolution of the charge density along the conductors with the free-space Green’s function that can be simply expressed as 1=4"R. Afterwards, the CBFs are orthogonalized by using the singular value decomposition (SVD) approach. A threshold is then Manuscript received December 29, 2011; revised February 12, 2012; accepted February 14, 2012. Date of publication April 04, 2012; date of current version May 25, 2012. O. Ozgun is with the Department of Electrical Engineering, TED University, 06440 Ankara, Turkey (e-mail: [email protected]). R. Mittra is with the Electromagnetic Communication Laboratory, Pennsylvania State University, University Park, PA 16802 USA (e-mail: mittra@engr. psu.edu). M. Kuzuoglu is with the Department of Electrical Engineering, Middle East Technical University, 06800 Ankara, Turkey (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2012.2190750

0018-9480/$31.00 © 2012 IEEE

1744

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

[2] J. J. Barroso and U. C. Hasar, “Resolving phase ambiguity in the inverse problem of transmission/reflection measurement methods,” J. Infrared Millim. Terahertz Waves, vol. 32, no. 6, pp. 856–866, Jun. 2011. [3] D. R. Smith, D. C. Vier, T. Koschny, and C. M. Soukoulis, “Electromagnetic parameter retrieval from inhomogeneous metamaterials,” Phys. Rev. E, Stat. Phys. Plasmas Fluids Relat. Interdiscip. Top., vol. 71, Mar. 2005, Art. ID 036617.

Comments on “ParAFEMCap: A Parallel Adaptive Finite-Element Method for 3-D VLSI Interconnect Capacitance Extraction” Ozlem Ozgun, Raj Mittra, and Mustafa Kuzuoglu

Fig. 2. For the 1.75-cm-thick metamaterial sample, the branch indices over the: (a) 2–40 GHz range and (b) zoomed-in view on the 9–11-GHz frequency range.

Fig. 3. Refractive index unambiguously retrieved (blue in online version); the index calculated without phase correction (red in online version).

been unambiguously determined, then we use (1) to calculate the corresponding refractive index (Fig. 3), thus without any discontinuities over the frequency range considered.

REFERENCES [1] Z. Szabó, G.-H. Park, R. Hedge, and E.-P. Li, “A unique extraction of metamaterial parameters based on Kramers–Kronig relationship,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 10, pp. 2646–2653, Oct. 2010.

The above paper [1] includes misleading statements on the accuracy and the efficiency of our technique [i.e., the characteristic basis finite-element method (CBFEM)], which was published in 2009 [2] for the extraction of 3-D capacitance matrices. The above paper [1] has devoted a separate section (i.e., Section II-B) to show the alleged weaknesses of our technique through some 2-D simulations. However, it seems that our technique was implemented erroneously in [1]. First of all, we would like to give brief information about our CBFEM technique, and then discuss why the claims in [1] are completely wrong. The CBFEM is a relatively novel approach introduced to alleviate the difficulties of the conventional finite-element method (FEM) while solving large-scale electromagnetic boundary value problems. This is a matrix-reduction algorithm in the sense that it utilizes the strategy of domain decomposition by transforming the original matrix into a smaller one, referred to as the reduced matrix. For this purpose, characteristic basis functions (CBFs) are employed, which are high-level basis functions that are tailored in accordance with the physics of the problem under consideration. The first application of the CBFEM was to quasi-static problems, where it was used for the purpose of computing the capacitance matrices of 3-D interconnect structures by employing point charges to generate the CBFs [2]. Next, it was extended to the solution of electromagnetic scattering problems by using dipoletype sources with different approaches [3]–[6]. In the implementation of the CBFEM in [2], fictitious point charges are placed on the conductors, as shown in [2, Fig. 2]. The potentials created by these charges form the natural basis functions (i.e., CBFs) for the potential distribution within the entire computational domain. In other words, if the charge density is known along the boundaries of the conductors, then the potential distribution can be expressed as a convolution of the charge density along the conductors with the free-space Green’s function that can be simply expressed as 1=4"R. Afterwards, the CBFs are orthogonalized by using the singular value decomposition (SVD) approach. A threshold is then Manuscript received December 29, 2011; revised February 12, 2012; accepted February 14, 2012. Date of publication April 04, 2012; date of current version May 25, 2012. O. Ozgun is with the Department of Electrical Engineering, TED University, 06440 Ankara, Turkey (e-mail: [email protected]). R. Mittra is with the Electromagnetic Communication Laboratory, Pennsylvania State University, University Park, PA 16802 USA (e-mail: mittra@engr. psu.edu). M. Kuzuoglu is with the Department of Electrical Engineering, Middle East Technical University, 06800 Ankara, Turkey (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2012.2190750

0018-9480/$31.00 © 2012 IEEE

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

determined to reduce the redundancy in the basis functions. As already emphasized in all of our papers (e.g., [2, Section IV, p. 170, first paragraph]), this threshold value must be chosen in the interval ranging from 1e-3 to 1e-5. If the threshold is appropriately determined, then the CBFEM technique is quite robust and yields reliable results irrespective of the partitioning technique. However, as mentioned in [1, p. 4, third paragraph, right-hand side column], the authors set this value to 0.01, which is quite high. In other words, they decreased the resolution of the algorithm by eliminating the basis functions that are needed for the solution. In order to describe the role of this value better, we would like to give some “analogical” examples. For example, it is well-known that the element/cell size in various numerical techniques (like method of moments (MoM), FEM, finite difference time domain (FDTD), etc.) must be set to at least =10 to get reliable results, but, if the cell size is chosen to be, for instance, =2, then the results will obviously deteriorate because the mesh is too coarse. Another analogical example is that if a matrix system is to be solved by an iterative technique (such as the conjugate gradient method), an error threshold must be determined. If this threshold is not small enough, then the results will not be reliable. In summary, this is just a “resolution” issue. If the SVD threshold is chosen as at least 1e-3 or 1e-4, the CBFEM approach yields accurate and robust results. Another point is that the computational domain can be divided in an arbitrary manner, in contrast to the claim in [1]. The number of sub-domains and the way of partitioning only affect the number of CBFs and the final matrix size, not the accuracy. The authors in [1] claim that “When the domain partition cuts through or cuts nearby the excited conductors where the electric field is singular, there will be great accuracy loss due to the approximation errors in CBF expansion along the interfaces.” This sentence shows that they implemented the CBFEM algorithm erroneously because when the partition cuts through the conductors, the CBFs across the interface must not be created by the point charges that are in close proximity to the interface point. Otherwise, the close distance between the source and observation point will cause singularities due to the Green’s function (i.e., 1=4"RjR 0 ! 1). This is indeed the classical problem in all types of integral equation-based methods (such as the MoM). It seems that Chen et al. [1] did not take care of this issue and observed singularities in their simulations. As shown in our examples in [2, Figs. 4–7], the interface can pass through the conductors and this does not affect the accuracy if the CBFEM is implemented in a correct manner. The final point is that although the computational cost increases if more CBFs are included in the solution, the CBFEM is always more efficient than the conventional FEM. That is, the matrix size and the computation time needed in the CBFEM are much less than in the conventional FEM since the CBFEM algorithm is parallelized in multiple processors. Even if the SVD is decreased to 1e-4 or 1e-5, the CBFEM always yields small-sized matrices because of the Schur approach that decouples the unknowns on the interfaces. In other words, the final matrix size depends on the number of CBFs only along the interfaces, not in the entire domain. For example, in [2, Fig. 6], the global matrix size is 59 252 for the original problem implemented by the conventional FEM. When 2995 point charges are located along the boundaries of the conductors, the size of the final reduced-matrix is only 1844, which is considerably less than the global matrix size. Detailed computational analyses showing the efficiency of the CBFEM can be found in [3], [4], and [6]. Finally, Chen et al. [1] have questioned the efficiency of the CBFEM. If they compared the efficiencies of the CBFEM and their approach, they could readily convince themselves that the efficiency of the CBFEM is superior to that of the method described in [1].

!

1745

REFERENCES [1] G. Chen, H. Zhu, T. Cui, Z. Chen, X. Zeng, and W. Cai, “ParAFEMCap: A parallel adaptive finite-element method for 3-D VLSI interconnect capacitance extraction,” IEEE Trans. Microw. Theory Tech., vol. 60, no. 2, pp. 218–231, Feb. 2012. [2] O. Ozgun, R. Mittra, and M. Kuzuoglu, “CBFEM-MPI: A parallelized version of characteristic basis finite element method for extraction of 3-D interconnect capacitances,” IEEE Trans. Adv. Packag., vol. 32, no. 1, pp. 164–174, Feb. 2009. [3] O. Ozgun, R. Mittra, and M. Kuzuoglu, “Parallelized characteristic basis finite element method (CBFEM-MPI)—A non-iterative domain decomposition algorithm for electromagnetic scattering problems,” J. Comput. Phys., vol. 228, no. 6, pp. 2225–2238, Apr. 2009. [4] O. Ozgun, R. Mittra, and M. Kuzuoglu, “Multi-level characteristic basis finite element method (ML-CBFEM)—An efficient version of a parallel, non-iterative domain decomposition algorithm for direct solution of large-scale electromagnetic problems,” IEEE Trans. Antennas Propag., vol. 57, no. 10, pp. 3381–3387, Oct. 2009. [5] O. Ozgun, R. Mittra, and M. Kuzuoglu, “General purpose characteristic basis finite element method (CBFEM) for multi-scale electrostatic and electromagnetic problems,” Electromagnetics, vol. 30, no. 1 and 2, pp. 205–221, Jan. 2010. [6] O. Ozgun, R. Mittra, and M. Kuzuoglu, “PO-based characteristic basis finite element method (CBFEM-PO)—A parallel, iteration-free domain decomposition algorithm using perfectly matched layers for large-scale electromagnetic scattering problems,” Microw. Opt. Technol. Lett., vol. 52, no. 5, pp. 1053–1060, May 2010.

Authors’ Reply Genlong Chen, Hengliang Zhu, Tao Cui, Zhiming Chen, Xuan Zeng, and Wei Cai

We appreciate the comments by O. Ozgun et al. with respect to our recent paper [1]. The description about the experiment of the characteristic basis finite-element method (CBFEM) approach in our paper [1] may not be clear enough, which may probably lead to some misunderstandings. What we tried to show was that the accuracy and efficiency of the CBFEM approach depends on the way of domain partitions. The 0.01 singular value decomposition (SVD) threshold was selected based on our experiences in circuit analysis. Under this SVD threshold, the result of [1, Fig. 3, Partition 2] is accurate enough while [1, Partition 1] leads to more characteristic basis functions (CBFs), but much less accuracy. This is majorly due to the singular electric field along the domain interface of Partition 1, which needs much more CBFs for the approximation. Manuscript received February 14, 2012; revised February 16, 2012; accepted February 22, 2012. Date of publication April 27, 2012; date of current version May 25, 2012. G. Chen, H. Zhu, and X. Zeng are with the State Key Laboratory of ASIC and System, Microelectronics Department, Fudan University, Shanghai 201203, China (e-mail: [email protected]; [email protected]). T. Cui and Z. Chen are with the State Key Laboratory of Scientific and Engineering Computing (LSEC), Institute of Computational Mathematics, Academy of Mathematics and System Sciences, Chinese Academy of Sciences, Beijing 100049, China. W. Cai is with the Department of Mathematics, University of North Carolina, Charlotte, NC 28223 USA. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2191794

0018-9480/$31.00 © 2012 IEEE

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

determined to reduce the redundancy in the basis functions. As already emphasized in all of our papers (e.g., [2, Section IV, p. 170, first paragraph]), this threshold value must be chosen in the interval ranging from 1e-3 to 1e-5. If the threshold is appropriately determined, then the CBFEM technique is quite robust and yields reliable results irrespective of the partitioning technique. However, as mentioned in [1, p. 4, third paragraph, right-hand side column], the authors set this value to 0.01, which is quite high. In other words, they decreased the resolution of the algorithm by eliminating the basis functions that are needed for the solution. In order to describe the role of this value better, we would like to give some “analogical” examples. For example, it is well-known that the element/cell size in various numerical techniques (like method of moments (MoM), FEM, finite difference time domain (FDTD), etc.) must be set to at least =10 to get reliable results, but, if the cell size is chosen to be, for instance, =2, then the results will obviously deteriorate because the mesh is too coarse. Another analogical example is that if a matrix system is to be solved by an iterative technique (such as the conjugate gradient method), an error threshold must be determined. If this threshold is not small enough, then the results will not be reliable. In summary, this is just a “resolution” issue. If the SVD threshold is chosen as at least 1e-3 or 1e-4, the CBFEM approach yields accurate and robust results. Another point is that the computational domain can be divided in an arbitrary manner, in contrast to the claim in [1]. The number of sub-domains and the way of partitioning only affect the number of CBFs and the final matrix size, not the accuracy. The authors in [1] claim that “When the domain partition cuts through or cuts nearby the excited conductors where the electric field is singular, there will be great accuracy loss due to the approximation errors in CBF expansion along the interfaces.” This sentence shows that they implemented the CBFEM algorithm erroneously because when the partition cuts through the conductors, the CBFs across the interface must not be created by the point charges that are in close proximity to the interface point. Otherwise, the close distance between the source and observation point will cause singularities due to the Green’s function (i.e., 1=4"RjR 0 ! 1). This is indeed the classical problem in all types of integral equation-based methods (such as the MoM). It seems that Chen et al. [1] did not take care of this issue and observed singularities in their simulations. As shown in our examples in [2, Figs. 4–7], the interface can pass through the conductors and this does not affect the accuracy if the CBFEM is implemented in a correct manner. The final point is that although the computational cost increases if more CBFs are included in the solution, the CBFEM is always more efficient than the conventional FEM. That is, the matrix size and the computation time needed in the CBFEM are much less than in the conventional FEM since the CBFEM algorithm is parallelized in multiple processors. Even if the SVD is decreased to 1e-4 or 1e-5, the CBFEM always yields small-sized matrices because of the Schur approach that decouples the unknowns on the interfaces. In other words, the final matrix size depends on the number of CBFs only along the interfaces, not in the entire domain. For example, in [2, Fig. 6], the global matrix size is 59 252 for the original problem implemented by the conventional FEM. When 2995 point charges are located along the boundaries of the conductors, the size of the final reduced-matrix is only 1844, which is considerably less than the global matrix size. Detailed computational analyses showing the efficiency of the CBFEM can be found in [3], [4], and [6]. Finally, Chen et al. [1] have questioned the efficiency of the CBFEM. If they compared the efficiencies of the CBFEM and their approach, they could readily convince themselves that the efficiency of the CBFEM is superior to that of the method described in [1].

!

1745

REFERENCES [1] G. Chen, H. Zhu, T. Cui, Z. Chen, X. Zeng, and W. Cai, “ParAFEMCap: A parallel adaptive finite-element method for 3-D VLSI interconnect capacitance extraction,” IEEE Trans. Microw. Theory Tech., vol. 60, no. 2, pp. 218–231, Feb. 2012. [2] O. Ozgun, R. Mittra, and M. Kuzuoglu, “CBFEM-MPI: A parallelized version of characteristic basis finite element method for extraction of 3-D interconnect capacitances,” IEEE Trans. Adv. Packag., vol. 32, no. 1, pp. 164–174, Feb. 2009. [3] O. Ozgun, R. Mittra, and M. Kuzuoglu, “Parallelized characteristic basis finite element method (CBFEM-MPI)—A non-iterative domain decomposition algorithm for electromagnetic scattering problems,” J. Comput. Phys., vol. 228, no. 6, pp. 2225–2238, Apr. 2009. [4] O. Ozgun, R. Mittra, and M. Kuzuoglu, “Multi-level characteristic basis finite element method (ML-CBFEM)—An efficient version of a parallel, non-iterative domain decomposition algorithm for direct solution of large-scale electromagnetic problems,” IEEE Trans. Antennas Propag., vol. 57, no. 10, pp. 3381–3387, Oct. 2009. [5] O. Ozgun, R. Mittra, and M. Kuzuoglu, “General purpose characteristic basis finite element method (CBFEM) for multi-scale electrostatic and electromagnetic problems,” Electromagnetics, vol. 30, no. 1 and 2, pp. 205–221, Jan. 2010. [6] O. Ozgun, R. Mittra, and M. Kuzuoglu, “PO-based characteristic basis finite element method (CBFEM-PO)—A parallel, iteration-free domain decomposition algorithm using perfectly matched layers for large-scale electromagnetic scattering problems,” Microw. Opt. Technol. Lett., vol. 52, no. 5, pp. 1053–1060, May 2010.

Authors’ Reply Genlong Chen, Hengliang Zhu, Tao Cui, Zhiming Chen, Xuan Zeng, and Wei Cai

We appreciate the comments by O. Ozgun et al. with respect to our recent paper [1]. The description about the experiment of the characteristic basis finite-element method (CBFEM) approach in our paper [1] may not be clear enough, which may probably lead to some misunderstandings. What we tried to show was that the accuracy and efficiency of the CBFEM approach depends on the way of domain partitions. The 0.01 singular value decomposition (SVD) threshold was selected based on our experiences in circuit analysis. Under this SVD threshold, the result of [1, Fig. 3, Partition 2] is accurate enough while [1, Partition 1] leads to more characteristic basis functions (CBFs), but much less accuracy. This is majorly due to the singular electric field along the domain interface of Partition 1, which needs much more CBFs for the approximation. Manuscript received February 14, 2012; revised February 16, 2012; accepted February 22, 2012. Date of publication April 27, 2012; date of current version May 25, 2012. G. Chen, H. Zhu, and X. Zeng are with the State Key Laboratory of ASIC and System, Microelectronics Department, Fudan University, Shanghai 201203, China (e-mail: [email protected]; [email protected]). T. Cui and Z. Chen are with the State Key Laboratory of Scientific and Engineering Computing (LSEC), Institute of Computational Mathematics, Academy of Mathematics and System Sciences, Chinese Academy of Sciences, Beijing 100049, China. W. Cai is with the Department of Mathematics, University of North Carolina, Charlotte, NC 28223 USA. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2191794

0018-9480/$31.00 © 2012 IEEE

1746

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

Fig. 2. Singular values of CBFs along the interface in three test cases with different partitions.

Fig. 1. 2-D test case with three kinds of partitions.

We do agree that if the threshold of truncated SVD is set small enough and the “pioneering charges” for calculating the CBFs are selected dense enough, the CBFEM approach could get the accurate results. The question is how small the SVD threshold should we choose to achieve both the accuracy and efficiency of capacitance extraction. In the following, we will explain the point more clearly through a convergence analysis of CBFEM based on the 2-D test case with three different kinds of domain partitions, as shown in Fig. 1. (Theoretically speaking, CBFEM approach does not depend on the problem’s dimension. Similar results should be gotten from more complex 3-D test cases.) Again, two conductors (C1 and C2) are set to voltage 1, while the other conductor (C3) is grounded. The CBFEM approach [2] calculates the CBFs for the domain interfaces from the electric potentials of some pioneering charges, and reduce the number of CBFs by truncated SVD. (We do not want to use the word “redundancy” for the discarded CBFs here since it is easy to be misinterpreted as that CBFs with singular values smaller than some threshold do not contribute to the accuracy anymore.) The result of truncated SVD could greatly affect the accuracy and efficiency of the CBFEM approach. The more CBFs use, the more accurate results the CBFEM could get. However, the computational cost depends on the number of CBFs. While the number of CBFs increases, more Laplace equations and larger discretized equations, respectively, in [2, eqs. (13) and (19)] will need to be solved. Our experiment shows a more than proportional increase of computational time with respect to the number of CBFs. with much In fact, the truncated SVD finds a function space lower dimension to approximate the function space 8 spanned by the potentials of the pioneering charges, where the singular values of CBFs, as shown in Fig. 2, represents the importance of CBFs for the function space approximation. The very similar idea has been applied in statistics for a long time, and is generally known as principal component analysis (PCA) [3], where the relative truncating rule ((= max() or sum()) < threshold) can be used in the sense that the mean square error or equivalently the 2-norm of the approximation is minimized. This is slightly different from the absolute truncating rule ( < threshold) used in [2]. Nevertheless, the relative truncating rule and absolute truncating rule are equivalent given different thresholds. We have to point out that, in our paper [1], we used the relative truncating rule for SVD and the 0.01 threshold is selected based on our experiences in circuit analysis. In the new test, both the relative truncating rule and absolute truncating rule are applied, and show very similar convergence behavior of the CBFEM approach.

U

TABLE I ACCURACY AND EFFICIENCY OF CBFEM USING ABSOLUTE TRUNCATING RULE

TABLE II ACCURACY AND EFFICIENCY OF CBFEM USING RELATIVE TRUNCATING RULE

The energy errors and the number of total CBFs (including the CBFs along the interface and in the subdomains) under absolute and relative truncating rules are listed in Tables I and II, respectively. We can see that the accuracy of the CBFEM is improved for all three partitions when reducing the SVD threshold. Under the absolute truncating rule with 1005 SVD threshold, the result of Partition 2 can have the energy error less than 1% using totally 264 CBFs, and the energy error in Partition 1 is about 1.45% using totally 210 CBFs. On the other hand, the relative truncating rule with 0.01 threshold is sufficient for the case of Partition 3 to produce an accurate result with 0.57% energy error and uses 23 CBFs. Although the energy error in Partition 3 can be reduced to 0.004% under the absolute truncating rule with 1005 SVD threshold, but the cost is about five times of the CBFs compared with that of relative truncating rule with 0.01 threshold. Tables I and II have also shown that different domain partitions could lead to very different results in the CBFEM approach. With the same interconnect structure and the same SVD threshold, the CBFEM uses much more CBFs for Partition 1 and Partition 2 (where the electric field along the domain interface is relatively singular) than Partition 3, but produces the results with much less accuracy.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 6, JUNE 2012

The proper way to define the SVD threshold for function space approximation is to be based on the accuracy and efficiency of the capacitance extraction. However, the above numerical results clearly show that the accuracy and efficiency of the CBFEM also relies on the domain partition. In real applications of capacitance extraction, it is difficult to find a “clever” domain partition strategy that prevents cutting through the computation domain where the electric field is singular, especially for the on-chip interconnects in the first and second metal layers where the interconnect structure is very complex. If the SVD threshold is not small enough, the approximate errors could accumulate as the number of domains increases. Simply reducing the SVD threshold, on the other hand, will inevitably increase the computational cost of the CBFEM. In Table I, the number of CBFs increases about 50% when reducing the SVD threshold from 1003 to 1005 for all three domain partitions. However, since there is no theoretical guideline of how many CBFs are sufficient to guarantee the accuracy of capacitance under domain partition, it seems the only feasible way is to select a very much small SVD threshold like 1003 or 1005 regardless of the computational cost, as Ozgun et al. suggested in their paper and the comments based on their experiences. The penalty on the other hand is the loss of efficiency for the domain interfaces like Partition 3 in Fig. 1.

1747

This is where the parallel adaptive finite-element method (FEM) approach [1] can achieve. The adaptive mesh refinement can find the quasi-optimal mesh, and makes ParAFEMCap more robust for capacitance extraction in the application of complex interconnect structures. Several techniques like dynamic load balancing can also be applied to improve the parallel efficiency of ParAFEMCap. Actually, in the cases of regular interconnect structures where the proper domain partition is relatively easy to find, ParAFEMCap can be applied to solve Laplace equations in the CBFEM [2, eq. (13)] in order to boost the computation speed of capacitance extraction.

REFERENCES [1] G. Chen, H. Zhu, T. Cui, Z. Chen, X. Zeng, and W. Cai, “ParAFEMCap: A parallel adaptive finite-element method for 3-D VLSI interconnect capacitance extraction,” IEEE Trans. Microw. Theory Tech., vol. 60, no. 2, pp. 218–231, Feb. 2012. [2] O. Ozgun, R. Mittra, and M. Kuzuoglu, “CBFEM-MPI: A parallelized version of characteristic basis finite element method for extraction of 3-D interconnect capacitances,” IEEE Trans. Adv. Packag., vol. 32, no. 2, pp. 164–174, Feb. 2009. [3] A. Hyvarinen, J. Karhunen, and E. Oja, Independent Component Analysis. New York: Wiley, 2001.

Editor-in-Chief George E. Ponchak, Ph.D, FIEEE IEEE Transactions on Microwave Theory and Techniques Editorial Office c/o Mrs. Kim Tanger, Editorial Assistant Ohio Aerospace Institute 22800 Cedar Point Road Cleveland, Ohio 44142 E-mail: [email protected] or [email protected] Phone: 440-962-3023 Fax: 440-962-3057

Information for Authors The IEEE TRANSACTIONS on MICROWAVE THEORY and TECHNIQUES is published monthly with a focus on that part of engineering and theory associated with microwave/millimeter-wave technology and components, electronic devices, guided wave structures and theory, electromagnetic theory, and Radio Frequency Hybrid and Monolithic Integrated Circuits, including mixed-signal circuits, from a few 100 MHz to THz. I. Paper Submission in Electronic Form Authors need to visit the website http://www.mtt.org/transactions/34-author-information-transactions.html for the author instructions. To reduce time from submission to publication of papers, the editorial office accepts manuscripts only in electronic form as .pdf files and all communications with authors will be via email. The files must not be larger than 1MB and no *.zip files are accepted. Submissions should be submitted through the Manuscript Central site at: http://mc.manuscriptcentral.com/tmtt-ieee and use the templates provided under http://www.ieee.org/publications_standards/publications/authors/authors_journals.html (Template for all Transactions (except IEEE Transactions on Magnetics), two-column template; can also be requested from the editorial office). Figures, graphs and all other necessary information for reviewing the manuscript must be included in this file (as opposed to being attached to it as separate files) and placed at appropriate locations within the text rather than at the end: • • • • • • • • • •

Figures should be large enough to be easily readable on a computer screen and on paper when printed out. A photograph of any component or circuit presented must be included. If, at the decision of the Editor, the component or circuit can be fabricated, measured characteristics must be included. All papers with theoretical contributions must have independent verification with measurement-based validation strongly preferred. Instrument screen captures are not suitable for publication and the data should be replotted. The print version of the paper will be in black and white, but color figures may be used in the electronic version of the paper. Axes should be labeled with large lettering. Whenever possible, theory and corresponding experimental results should be printed on the same graph for easy comparison. Follow the Guidelines for Author-Supplied Electronic Text and Graphics available for download at the above website. The minimum paper length is 4 pages, excluding the authors’ photos and biographies. Short papers of three pages or less should be sent to the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. The font size is specified in the templates. TeX and LaTeX users must use scalable fonts rather than bitmapped fonts to allow easy reading of .pdf files on the computer screen. Note: Manuscripts that are related to material submitted to or published at conferences are considered only if the content is significantly updated or contains material of substantially complementary nature. Authors must reference all of their previous papers that are similar. Please attach .pdf files of previous papers and clearly state (on a separate page) the difference with respect to the current submission. Failure to disclose prior papers by the authors that are similar will be rejected. II. Final Submission Format After a manuscript has been accepted for publication, the author will be requested to provide an electronic copy of the final version of the manuscript in pdf format; Microsoft Word is the preferred format for this final submission, although TEX and LATEX formats are also acceptable. Note: Although we require a .pdf file of the manuscript for the review process, this format is not acceptable (neither is .ps) for the final submission. Some additional guidelines must, however, be followed for the submission of the final manuscript in electronic form: • Include all macros (/def) that are required to produce your manuscript (TEX and LATEX). • IEEE Transaction/Journal style dictates a 21-pica (3.5 inch) column width. If mathematical expressions are produced with this in mind, they are more aesthetically pleasing in the final version. • Figures and tables must be submitted as separate files in .ps, .eps, .doc or .tiff format III. Page Charge Papers will be reviewed for their technical merit, and decisions to publish will be made independently of an author’s ability to pay page charges. Page charges of $110 (U.S.) per printed page will be requested on papers of six printed pages or less. Overlength page charges of $200 per page are mandatory for each page in excess of six pages. If the author’s organization agrees to honor the total page charge, which includes the page charges on the first six pages plus the mandatory overlength charge, the author will receive 100 reprints. If the supporting organization honors only the mandatory charge, no free reprints will be sent.

Digital Object Identifier 10.1109/TMTT.2012.2201276

Digital Object Identifier 10.1109/TMTT.2012.2201273

Copyright of IEEE Transactions on Microwave Theory & Techniques is the property of IEEE and its content may not be copied or emailed to multiple sites or posted to a listserv without the copyright holder's express written permission. However, users may print, download, or email articles for individual use.

Digital Object Identifier 10.1109/TMTT.2012.2201283

Digital Object Identifier 10.1109/TMTT.2012.2201282

Copyright of IEEE Transactions on Microwave Theory & Techniques is the property of IEEE and its content may not be copied or emailed to multiple sites or posted to a listserv without the copyright holder's express written permission. However, users may print, download, or email articles for individual use.

EDITORIAL BOARD Editor-in-Chief: GEORGE E. PONCHAK Associate Editors: H. ZIRATH, W. VAN MOER, J.-S. RIEH, Q. XUE, L. ZHU, K. J. CHEN, M. YU, C.-W. TANG, J. PAPAPOLYMEROU, N. S. BARKER, C. D. SARRIS, C. FUMEAUX, D. HEO, B. BAKKALOGLU The following members reviewed papers during 2011

P. Aaen A. Abbaspour-Tamijani A. Abbosh D. Abbott A. Abdipour M. Abe M. Abegaonkar R. Abhari A. Abramowicz M. Acar L. Accatino R. Achar E. Ackerman J. Adam K. Agawa M. Ahmad H.-R. Ahn B. Ai M. Aikawa J. Aikio C. Aitchison M. Akaike T. Akin S. Aksoy I. Aksun A. Akyurtlu G. Ala L. Albasha A. Alexanian W. Ali-Ahmad F. Alimenti R. Allam K. Allen A. Alphones A. Alu A. Álvarez-Melcon A. Al-Zayed S. Amari H. Amasuga R. Amaya H. An D. Anagnostou M. Andersen K. Andersson M. Ando Y. Ando P. Andreani M. Andrés W. Andress K. Ang C. Angell I. Angelov Y. Antar G. Antonini H. Aoki V. Aparin F. Apollonio R. Araneo J. Archer F. Ares F. Ariaei T. Arima M. Armendariz L. Arnaut F. Arndt E. Artal H. Arthaber F. Aryanfar U. Arz M. Asai Y. Asano A. Asensio-Lopez K. Ashby H. Ashoka A. Atalar A. Atia S. Auster I. Awai A. Aydiner M. Ayza K. Azadet R. Azaro A. Babakhani P. Baccarelli M. Baginski I. Bahl S. Bajpai J. Baker-Jarvis B. Bakkaloglu M. Bakr A. Baladin C. Balanis S. Balasubramaniam J. Balbastre J. Ball P. Balsara Q. Balzano A. Banai S. Banba R. Bansal D. Barataud A. Barbosa F. Bardati I. Bardi J. Bardin A. Barel S. Barker F. Barnes J. Barr G. Bartolucci R. Bashirullan S. Bastioli A. Basu B. Bates R. Baxley Y. Bayram J.-B. Bégueret N. Behdad F. Belgacem H. Bell D. Belot J. Benedikt T. Berceli C. Berland M. Berroth G. Bertin E. Bertran A. Bessemoulin M. Beurden A. Bevilacqua A. Beyer M. Bialkowski

E. Biebl P. Bienstman S. Bila D. Blackham R. Blaikie M. Blank P. Blockley P. Blondy P. Blount D. Boccoli G. Boeck L. Boglione R. Boix G. Bonaguide F. Bonani G. Bonmassar O. Boos B. Borges V. Boria-Esbert O. Boric-Lubecke A. Borji S. Borm J. Bornemann W. Bosch R. Bosisio H. Boss G. Botta N. Boulejfen S. Boumaiza J. Bouny C. Boyd C. Bozler M. Bozzi R. Bradley D. Braess N. Braithwaite M. Brandolini G. Branner T. Brazil J. Breitbarth M. Bressan K. Breuer B. Bridges D. Bridges J. Brinkhoff E. Brown S. Brozovich E. Bryerton D. Budimir G. Burdge P. Burghignoli N. Buris C. C. Galup-Montoro B. Cabon P. Cabral L. Cabria C. Caloz C. Camacho-Peñalosa V. Camarchia E. Camargo R. Cameron M. Camiade C. Campbell M. Campovecchio F. Canavero A. Cangellaris A. Cantoni C. Cao F. Capolino F. Cappelluti G. Carchon J. Carmo K. Carr F. Carrez R. Carrillo-Ramirez P. Carro R. Carter N. Carvalho P. Casas R. Castello J. Catala M. Cavagnaro R. Caverly D. Cavigia J. Cazaux M. Celuch Z. Cendes D. Chadha M. Chae S. Chakraborty C. Chan C. Chang H. Chang K. Chang S. Chang T. Chang W. Chang E. Channabasappa H. Chapell W. Chappell C. Charles M. Chatras I. Chatterjee G. Chattopadhyay S. Chaudhuri S. Chebolu A. Cheldavi A. Chen C. Chen H. Chen J. Chen K. Chen M. Chen N. Chen S. Chen Y. Chen Z. Chen Z.-N. Chen H. Cheng K. Cheng M. Cheng Y. Cheng C. Cheon C. Chi M. Chia Y. Chiang J. Chiao A. Chin K. Chin H. Chiou Y. Chiou C. Chiu

H. Chiu A. Chizh C. Cho K. Cho T. Cho A. Choffrut C. Choi J. Choi W. Choi C. Chong M. Chongcheawchamnan C. Chou D. Choudhury E. Chow Y. Chow C. Christodoulou C. Christopoulos Q. Chu T. Chu H. Chuang M. Chuang Y. Chun S. Chung Y. Chung D. Chye A. Cidronali T. Cisco C. Cismaru O. Civi S. Clavijo M. Clénet D. Cogan P. Colantonio M. Cole J. Coleman J. Collantes R. Collin C. Collins B. Colpitts R. Compton G. Conciauro M. Condon D. Consonni A. Constanzo M. Converse K. Cools F. Cooray I. Corbella A. Costanzo S. Cotton C. Courtney G. Coutts J. Cowles J. Craninckx C. Crespo-Cadenas J. Cressler S. Cripps T. Crowe J. Cruz T. Cui E. Cullens T. Cunha W. Curtice J. Dabrowski W. Dai G. Dambrine P. Dankov F. Danneville I. Darwazeh A. Darwish N. Das M. Davidovich L. Davis D. Dawn J. Dawson H. Dayal F. De Flaviis D. De Zutter B. Deal A. Dearn J. Deen M. Dehan C. Dehollain C. Deibele G. Dejean M. DeLisio N. Deltimple S. Demir V. Demir J. Deng A. Dengi T. Denidni W. DeRaedt H. Deshpande Y. Deval R. Dey T. Dhaene L. Diaz A. Diaz-Morcillo L. Ding M. Dionigi C. Diskus A. Djordjevi T. Djordjevic J. Dobrowolski H. Dogan S. Donati X. Dong A. Dounavis P. Draxler R. Drayton A. Dreher J. Drewniak J. Duchamp A. Duffy L. Dunleavy J. Dunsmore S. Durden L. Dussopt C. Duvanaud J. East J. Ebel K. Eccleston I. Ederra R. Egri I. Ehrenberg N. Ehsan T. Eibert H. Eisele W. Eisenstadt G. Eleftheriades

F. Ellinger G. Ellis T. Ellis M. El-Nozahi M. Elsbury S. Elschner M. El-Shenawee T. Enoki K. Entesari L. Epp I. Erdin O. Ergul T. Eriksson C. Ernst D. Erricolo I. Eshrah M. Essaaidi H. Esteban C. Eswarappa W. Eyssa A. Ezzeddine C. Fager M. Fahmi Y. Fan D. Fang M. Farina A. Fathy M. Faulkner P. Fay A. Fazzi E. Fear P. Fedorenko D. Feld Y. Feng A. Feresidis A. Fernandez T. Fernandez M. Fernández-Barciela M. Ferndahl F. Fernez P. Ferrari E. Ferre-Pikal A. Ferrero M. Ferriss H. Fetterman J. Fiedziuszko S. Fiedziuszko G. Fikioris J. Fikioris I. Filanovsky F. Filicori D. Filipovic R. Fletcher B. Floyd H. Foltz N. Fong B. Fornberg F. Fortes K. Foster P. Foster P. Franzon A. Frappe J. Freire M. Freire A. Freundorfer F. Frezza I. Frigyes R. Frye J. Fu O. Fu R. Fujimoto O. Fujiwara C. Fumeaux C. Furse V. Fusco D. Gabbay E. Gad M. Gadringer N. Gagnon J. Gajadharsing A. Gala C. Galbraith B. Galwas J. Gambini A. Gameiro O. Gandhi B. Gao J. Gao S. Gao C. Gaquiere H. Garbe J. Garcia M. Garcia P. Garcia-Ducar F. Garcia-Vidal K. Gard P. Gardner P. Garland P. Gaudo J. Gautier S. Gedney B. Geelen F. Gekat B. Geller R. Genov A. Georgiadis N. Georgieva J. Gerdes W. Gerhard S. Gevorgian H. Ghali M. Ghanevati F. Ghannouchi K. Gharaibeh R. Gharpurey G. Ghione M. Ghovanloo F. Giannini A. Gibson I. Gil P. Gilabert B. Gimeno D. Ginste A. Goacher E. Godshalk A. Goel C. Goldsmith M. Golio M. Golosovsky R. Gómez-García A. Goncharenko X. Gong

R. Gonzalo S. Goodnick S. Gopalsami A. Gopinath A. Görür K. Gosalia M. Gouker K. Goverdhanam W. Grabherr J. Graffeuil L. Gragnani J. Grahn J. Grajal V. Granatstein A. Grbic A. Grebennikov I. Gresham A. Griol D. Grischowsky S. Grivet-Talocia E. Grossman S. Gruszczynski T. Grzegorczyk S. Guenneau T. Guerrero S. Gunnarsson J. Guo Y. Guo C. Gupta M. Gupta R. Gupta R. Gutmann W. Gwarek R. Habash S. Hadjiloucas D. Haemmerich M. Hagmann S. Hagness A. Halappa P. Hale D. Ham E. Hamidi O. Hammi H. Han T. Hancock A. Hanke G. Hanson Y. Hao Z. Hao R. Harjani L. Harle H. Harris P. Harrison O. Hartin J. Hasch H. Hashemi K. Hashimoto J. Haslett G. Hau S. Hauptmann L. Hayden L. He Y. He R. Heath E. Hegazi G. Hegazi S. Heinen W. Heinrich G. Heiter M. Hella R. Henderson F. Henkel B. Henning D. Heo K. Herrick F. Herzel J. Hesler J. Hesthaven K. Hettak H. Heuermann P. Heydari A. Hietala A. Higgins A. Hirata J. Hirokawa M. Ho K. Hoffmann R. Hoffmann E. Holzman V. Hombach J. Hong S. Hong W. Hong K. Honjo G. Hopkins Y. Horii J. Horng T.-S. Horng J. Horton K. Hosoya M. Hotta J. Hoversten J. Howard M. Høyerby H. Hsieh L. Hsieh C. Hsu H. Hsu J. Hsu C. Hsue R. Hu C. Huang F. Huang H. Huang P. Huang T. Huang J. Hubert W. Huei A. Hülsmann A. Hung C. Hung J. Hung I. Hunter I. Huynen H. Hwang J. Hwang K. Hwang R. Hwang G. Iannaccone K. Ikossi M. Isaksson T. Ishizaki

Digital Object Identifier 10.1109/TMTT.2012.2201277

S. Islam M. Ito K. Itoh T. Itoh Y. Itoh A. Ittipiboon F. Ivanek D. Iverson M. Iwamoto D. Jablonski D. Jachowski C. Jackson D. Jackson R. Jackson A. Jacob K. Jacobs S. Jacobsen D. Jaeger J. Jaeger S. Jagannathan N. Jain G. James M. Janezic S. Jang M. Jankovic D. Jansen L. Jansson H. Jantunen H. Jardon-Aguilar J. Jargon N. Jarosik B. Jarry P. Jarry A. Jastrzebski B. Jemison W. Jemison S. Jeng A. Jenkins S. Jeon D. Jeong J. Jeong Y. Jeong A. Jerng T. Jerse T. Jiang X. Jiang G. Jianjun D. Jiao J. Jin J. M. Jin J. Joe T. Johnson B. Jokanovic U. Jordan K. Joshin J. Joubert S. Jung T. Kaho S. Kanamaluru K. Kanaya S. Kang P. Kangaslahti B. Kapilevich I. Karanasiou M. Karim T. Kataoka A. Katz R. Kaul R. Kaunisto T. Kawai S. Kawasaki M. Kazimierczuk L. Kempel P. Kenington P. Kennedy A. Kerr D. Kettle A. Khalil W. Khalil S. Khang A. Khanifar A. Khanna R. Khazaka J. Khoja S. Kiaei J. Kiang B. Kim C. Kim D. Kim H. Kim I. Kim J. Kim S. Kim T. Kim W. Kim N. Kinayman R. King N. Kinzie S. Kirchoefer A. Kirilenko M. Kishihara T. Kitazawa J. Kitchen T. Klapwijk E. Klumperink D. Klymyshyn L. Knockaert R. Knoechel M. Koch K. Koh N. Kolias J. Komiak A. Komijani G. Kompa A. Konanur A. Konczykowska H. Kondoh B. Kopp B. Kormanyos J. Korvink P. Kosmas Y. Kotsuka S. Koziel A. Kozyrev V. Krishnamurthy H. Krishnaswamy C. Krowne J. Krupka D. Kryger H. Ku H. Kubo A. Kucar

A. Kucharski C. Kudsia A. Kudymov D. Kuester B. Kuhn W. Kuhn T. Kuki A. Kumar J. Kuno C. Kuo J.-T. Kuo H. Kurebayashi F. Kuroki L. Kushner S. Kusunoki D. Kuylenstierna Y. Kwon G. Kyriacou A. Lacaita J. Lamb P. Lampariello U. Langmann T. Larsen L. Larson J. Laskar C. Lau K. Lau A. Lauer D. Lautru P. Lavrador A. Lavrinenko A. Lazaro G. Lazzi R. Lech B. Lee C.-H. Lee C. Lee H. Lee J. Lee J.-H. Lee K. Lee R. Lee S. Lee T. Lee Y. Lee D. Leenaerts Z. Lei G. Leizerovich K. Leong Y. Leong R. Leoni C. Ler G. Leuzzi B. Levitas R. Levy C. Li L. Li M. Li X. Li L. Lianming C. Liao S. Liao D. Lie E. Lima E. Limiti F. Lin J. Lin K. Lin T. Lin Y. Lin S. Lindenmeier A. Lindner F. Ling D. Linkhart P. Linnér D. Linten D. Linton D. Lippens F. Little V. Litvinov C. Liu H. Liu J. Liu K. Liu Q. Liu S. Liu Y. Liu Z. Liu A. Llewandowski O. Llopis I. Lo L. Locht A. Loke K. Lonngren T. Lopetegi N. Lopez U. Lott G. Lovat D. Lovelace Z. Low C. Lu L. Lu S. Lu Y. Lu V. Lubecke S. Lucyszyn D. Ludwig N. Luhmann M. Lui J. Luy G. Lyons A. M. Niknejad K. Ma Z. Ma S. Maas P. Maccarini G. Macchiarella J. Machac B. Machiels M. Madihian A. Madjar G. Magerl S. Magierowski R. Mahmoudi I. Maio F. Maiwald A. Majedi H. Majedi M. Majewski M. Makimoto R. Makinen D. Malocha J. Manges

R. Mansour D. Manstretta J. Mao S. Mao F. Maradei A. Margomenos D. Markovic E. Márquez-Segura J. Martens F. Martin E. Martini K. Maruhashi J. Marzo D. Masotti A. Massa G. Massa F. Mastri J. Mateu A. Matsushima M. Mattes G. Matthaei K. Mayaram M. Mayer U. Mayer W. Mayer J. Mazeau S. Mazumder A. Mazzanti G. Mazzarella K. McCarthy G. McDonald I. McGregor M. McKinley J. McLean D. McQuiddy A. Mediano F. Medina M. Megahed I. Mehdi K. Mehrany A. Melcon R. Melville F. Mena D. Mencarelli C. Meng R. Menozzi W. Menzel P. Mercier B. Merkl F. Mesa R. Metaxas A. Metzger P. Meyer P. Mezzanotte E. Michielsen A. Mickelson D. Miller P. Millot J. Mingo F. Miranda D. Mirshekar A. Mirzaei S. Mitilineos R. Miyamoto K. Mizuno J. Modelski W. Moer M. Moghaddam A. Mohammadi S. Mohammadi A. Mohammadian P. Mohseni E. Moldovan M. Mollazadeh M. Mongiardo P. Monteiro J. Montejo-Garai G. Montoro J. Monzó-Cabrera J. Morente T. Morf D. Morgan M. Morgan A. Morini A. Morris J. Morsey A. Mortazawi M. Moussa M. Mrozowski Q. Mu J.-E. Mueller J. Muldavin K. Murata S.-S. Myoung M. Myslinski B. Nabet V. Nair K. Naishadham Y. Nakasha M. Nakatsugawa M. Nakhla J.-C. Nallatamby I. Nam S. Nam J. Nanzer T. Narhi A. Nashashibi A. Natarajan J. Nath A. Navarrini J. Navarro J. Nebus R. Negra J. Neilson B. Nelson P. Nepa A. Neri H. Newman G. Ng D. Ngo E. Ngoya C. Nguyen E. Nicol A. Nicolet S. Nicolson E. Niehenke M. Nielsen K. Nikita P. Nikitin N. Nikolova M. Nisenoff K. Nishikawa T. Nishino

G. Niu B. Noori C. Nordquist B. Notaros K. Noujeim D. Novak I. Novak G. Nusinovich K. O I. Obeid J. Obregon R. O’Dea M. O’Droma M. Odyniec J.-E. Oh T. Ohira E. Öjefors H. Okazaki V. Okhmatovski A. Oki M. Okumura G. Olbrich S. Olson F. Olyslager A. Omar K. Onodera B.-L. Ooi S. Ootaka H. Oraizi G. Orengo A. Orlandi R. Orta J. Ortega-Gonzalez S. Ortiz S. Otaka B. Otis K. Ozdemir T. Ozdemir O. Ozlem P. Paco R. Paknys S. Pal Y. Palaskas D. Palmer S. Pamarti G.-W. Pan S.-K. Pan A. Panariello K. Pance J. Papapolymerou S. Parisi C.-S. Park E. Park J.-S. Park M.-J. Park S. Park W. Park A. Parker T. Parker D. Pasquet M. Pastorino H. Pau S. Paulotto A. Pavio D. Pavlidis W. Pearson J.-C. Pedro S. Peik S. Pellerano G. Pelosi M. Pelosi D. Pelz R. Pengelly J. Pereda F. Pereira A. Perennec B. Perlman D. Peroulis L. Perregrini K. Per-Simon M. Persson M. Petelin A. Peterson A. Petosa O. Peverini U. Pfeiffer A.-V. Pham J. Phillips H. Pickett M. Pieraccini L. Pierantoni B. Pillans S. Pinel Z. Ping M. Pirola S. Pisa G. Pisano D. Pissoort D. Plant C. Plett J. Plumridge C. Pobanz A. Poddar F. Podevin R. Pogorzelski G. Ponchak A. Poon D. Popovic Z. Popovic J. Portilla M. Pospieszalski A. Pothier K. Pourvoyeur J. Powell H. Powen R. Prabhu L. Pradell S. Prasad D. Prather A. Priou S. Pruvost Y. Qian R. Qiang J. Qiu T. Quach X. Quan R. Quay C. Queck C. Quendo R. Quéré F. Quesada F. Raab V. Radisic

M. Raffetto A. Raffo T. Rahkonen R. Raich A. Raisanen O. Ramahi M. Ramdani R. Ranson P. Rantakari L. Ranzani P. Ratajczak H. Rategh C. Rauscher J. Rautio T. Rautio B. Rawat J. Rayas-Sanchez G. Rebeiz J. Rebollar M. Reddy J. Reid R. Reid J. Reina-Tosina S. Reising B. Rembold K. Remley R. Renaut S. Rengarajan D. Resca P. Reynaert S. Reynolds A. Rezazadeh E. Rezek S. Ricci A. Riddle L. Rienzo D. Ritter E. Rius J. Rizk V. Rizzoli M. Roberg I. Robertson P. Roblin A. Roden C. Rodenbeck W. Rodriguez F. Rodriguez-Morales M. Rodwell A. Rofougaran R. Rogers H. Rogier U. Rohde V. Rokhlin Y. Rolain J.-M. Rollin R. Romanofsky S. Romisch G. Romo Y. Rong D. Rönnow D. Root N. Rorsman M. Rosario L. Roselli A. Rosen U. Rosenberg M. Rosker T. Roste F. Rotella E. Rothwell R. Rotman P. Rovati J. Roy L. Roy M. Roy T. Rozzi T. Rubaek J. Rubio D. Rudolph M. Rudolph A. Ruehli C. Ruppel A. Rydberg J. Ryynänen C. Saavedra F. Sabath K. Sachse B. Sadler N. Safari A. Safarian A. Safavi-Naeini A. Safwat P. Saha K. Saito I. Sakagami S. Sakhnenko T. Samaras J. Sambles C. Samori A. Sanada J. Sanchez S. Sancho K. Sano A. Santarelli H. Santos S. Sanyal K. Sarabandi T. Sarkar C. Sarris H. Sato P. Saunier M. Sawan H. Sayadian A. Sayeed W. Scanlon E. Schamiloglu J. Schellenberg M. Schindler E. Schlecht E. Schmidhammer L.-P. Schmidt S. Schmidt D. Schmitt F.-J. Schmueckle J. Schoebel D. Schreurs D. Schrijver A. Schuchinsky P. Schuh L. Schulwitz K. Schünemann J. Schutt-Aine

J. Scott F. Sechi K. Sellal V. Semenov E. Semouchkina K.-S. Seo J. Sercu A. Serebryannikov J. Sevic O. Sevimli F. Seyfert L. Shafai A. Shameli O. Shanaa Z. Shao I. Shapir A. Sharma S. Sharma J. Sharp D. Sheen T. Shen Z. Shen Y. Shestopalov J. Shi Y.-Q. Shi H. Shigematsu Y. Shih H. Shin S. Shin S.-H. Shin N. Shino W. Shiroma S. Shitov K. Shu D. Shyroki D. Sievenpiper C. Silva D. Silveira M. Silveirinha K. Silvonen W. Simbuerger G. Simin R. Simons C. Simovsky J. Simpson V. Simulik D. Simunic H. Singh D. Sinnott Z. Sipus C. Siviero H. Sjöland M. Slazar-Palma R. Sloan P. Smith C. Snowden R. V. Snyder M. Sobhy A. Sodagar N. Sokal K. Solbach J. Sombrin Y.-K. Song R. Sorrentino A. Soury E. Sovero J. Sowers R. Sperlich B. Spielman K. Stadius P. Staecker D. Staiculescu D. Stancil A. Stancu A. Stanitzki S. Stapleton J. Staudinger P. Stauffer B. Stec D. Steenson P. Steenson M. Steer G. Stegmayer J. Stenarson B. Stengel K. Stephan C. Stevens N. Stevens M. Steyaert J. Stiens I. Stievano S. Stitzer M. Straayer B. Strassner A. Street W. Struble M. Stubbs M. Stuchly B. Stupfel A. Suárez G. Subramanyam T. Sudo N. Suematsu T. Suetsugu C. Sullivan F. Sullivan A. Sulyman N. Sun S. Sun X. Sun R. Sutton K. Suzuki J. Svacina M. Swaminathan D. Swanson B. Szendrenyi W. Tabbara A. Taflove Y. Tajima T. Takagi M. Takahashi I. Takenaka T. Takenaka V. Talanov S. Talisa K.-W. Tam B. Tan E. Tan J. Tan T. Tanaka C.-W. Tang W.-C. Tang

X.-H. Tang T. Taris R. Tascone P. Tasker J. Taub J. Tauritz V. Tavares S. Taylor D. Teeter R. Temkin M. Tentzeris V. Teppati J.-P. Teyssier N. Thakor H. Thal J. Tham M. Thumm M. Tiebout E. Tiiliharju M.-R. Tofighi P. Tognolatti T. Toifl T. Tokumitsu A. Tombak A. Topa E. Topsakal H. Torres-Silva G. Town S. Tretyakov R. Trew P. Troyk C. Trueman A. Truitt C.-M. Tsai Z.-M. Tsai J. Tsalamengas C.-H. Tseng T. Tsiboukis J. Tsui M. Tsutsumi S. H.-L. Tu W.-H. Tu N. Tufillaro V. Turin G. Twomey C.-K. Tzuang T. Ueda V. Urick K. U-Yen N. Uzunoglu T. Vähä-Heikkilä R. Vahldieck A. Valdovinos G. Vandenbosch K. Vanhille D. Vanhoenacker-Janvier G. Vannini L. Vardapetyan G. Vasilescu C. Vaucher J. Vaz L. Vegni G. Vendelin S. Verdeyme M. Vérez A. Verma J. Verspecht P. Vial H.-O. Vickes A. Victor L. Vietzorreck C. Vittoria S. Vitusevich R. Voelker S. Voinigescu J. Volakis A. Vorst M. Vossiek M. Vouvakis B. Vowinkel L. Vreede K. Vryssas C. Wagner B. Waldmann P. Waldow A. Walker P. Wambacq S. Wane B.-Z. Wang C. Wang C.-F. Wang C.-J. Wang E. Wang F. Wang H. Wang J. Wang K.-C. Wang N. Wang X. Wang Y. Wang Y.-H. Wang Z.-G. Wang C. Ward J. Ward W. Wattanapanitch J. Webb D. Webster R. Webster S. Wedge J. Weem X. Wei D. Weide R. Weigel R. Weikle C. Weil T. Weiland D. Weile S. Weinreb M. Weiss S. Weiss T. Weller C. Wen G. Wen S. Wentworth D. Wentzloff R. Wenzel J. Whelehan J. Whitaker J. White J. Wiart M. Wickert

A. Wiesbauer J. Wight D. Willems B. Willemsen D. Williams A. Williamson J. Wilson J. Wiltse T. Winkel K. Wise D. Wisell M. Wolf E. Wollack G. Wollenberg F. Wong K. Wong M. Wong S. Wong K. Woo J. Wood G. Woods D. Woolard C. Wu J.-M. Wu K.-L. Wu K. Wu L. Wu R.-B. Wu T. Wu T.-L. Wu R. Wylde T. Wysocki M. Xia S. Xiang J. Xiao Y. Xiao C. Xie J. Xu S. Xu Q. Xue M. Yagoub T. Yakabe A. Yakovlev K. Yamamoto K. Yamauchi W. Yan C.-L. Yang F. Yang N. Yang X. Yang Y. Yang Z. Yang F. Yanovsky H.-W. Yao J. Yao A. Yarovoy Y. Yashchyshyn K. Yashiro K. Yasumoto J. Yau S. Ye J. Yeh K.-S. Yeo S.-P. Yeo K.-W. Yeom L.-K. Yeung W.-Y. Yin X.-S. Yin S. Yngvesson D. Yongsheng D. Yoo H.-J. Yoo J.-G. Yook E. Yoon J.-B. Yoon R. York S. Yoshikado A. Young B. Young D. Young P. Young W. Young H.-K. Yu M. Yu P. Yu R. Yu W. Yu Y. Yu M. Yuan M. Yuce S.-W. Yun F. Zabini J. Zaeytijd K. Zaki P. Zampardi J. Zapata L. Zappelli C. Zelley P. Zhai C. Zhang F. Zhang G. Zhang H. Zhang J. Zhang N. Zhang Q.-J. Zhang R. Zhang Y. Zhang A.-P. Zhao Y.-J. Zhao Y. Zhao Y. Zheng Q. Zhiguo H. Zhou A. Zhu L. Zhu N.-H. Zhu X. Zhu J. Zhuang H. Zirath

Copyright of IEEE Transactions on Microwave Theory & Techniques is the property of IEEE and its content may not be copied or emailed to multiple sites or posted to a listserv without the copyright holder's express written permission. However, users may print, download, or email articles for individual use.