AUGUST 2012 
IEEE MTT-V060-I08 (2012-08) [60, 8 ed.]

Citation preview

AUGUST 2012

VOLUME 60

NUMBER 8

IETMAB

(ISSN 0018-9480)

PAPERS

Theory and Numerical Methods Robust Passive Macro-Model Generation With Local Compensation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . T. Wang and Z. Ye Variability Analysis of Multiport Systems Via Polynomial-Chaos Expansion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D. Spina, F. Ferranti, T. Dhaene, L. Knockaert, G. Antonini, and D. Vande Ginste TLM Extension to Electromagnetic Field Analysis of Anisotropic and Dispersive Media: A Unified Field Equation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. L. Farhat, S. Le Maguer, P. Quéffélec, and M. Ney On the Equivalence Between the Maxwell-Garnett Mixing Rule and the Debye Relaxation Formula . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B. Salski and M. Celuch A Spurious-Free Discontinuous Galerkin Time-Domain Method for the Accurate Modeling of Microwave Filters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. Alvarez, L. Diaz Angulo, A. Rubio Bretones, and S. G. Garcia Independence of the Unloaded of a Planar Electromagnetic Resonator From Its Shape . . . . . . . . . . . . . . . . . . A. Naji and P. Warr Fast and Efficient Analysis of Transmission Lines With Arbitrary Nonuniformities of Sub-Wavelength Scale . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S. M. H. Javadzadeh, Z. Mardy, K. Mehrany, F. Farzaneh, and M. Fardmanesh Passive Components and Circuits Generalized Lattice Network-Based Balanced Composite Right-/Left-Handed Transmission Lines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. Esteban, C. Camacho-Peñalosa, J. E. Page, and T. M. Mart´ın-Guerrero Synthesis of Narrowband Reflection-Type Phasers With Arbitrary Prescribed Group Delay . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Q. Zhang, S. Gupta, and C. Caloz Novel Dual-Band Out-of-Phase Power Divider With High Power-Handling Capability . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . G.-L. Dai, X.-C. Wei, E.-P. Li, and M.-Y. Xia A Fully Symmetrical Crossover and Its Dual-Frequency Application . . . .. . . . J. Shao, H. Ren, B. Arigong, C. Li, and H. Zhang Design of Compact Quad-Frequency Impedance Transformer Using Two-Section Coupled Line . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Y.-F. Bai, X.-H. Wang, C.-J. Gao, Q.-L. Huang, and X.-W. Shi Lumped-Element Realization of Absorptive Bandstop Filter With Anomalously High Spectral Isolation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. Lee, T. C. Lee, and W. J. Chappell A Three-Pole 1.2–2.6-GHz RF MEMS Tunable Notch Filter With 40-dB Rejection and Bandwidth Control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C.-C. Cheng and G. M. Rebeiz A High-Performance Continuously Tunable MEMS Bandpass Filter at 1 GHz . . . . . . . . . . . . . Y. Shim, Z. Wu, and M. Rais-Zadeh

2313 2329 2339 2352 2359 2370 2378

2385 2394 2403 2410 2417 2424 2431 2439

(Contents Continued on Back Cover)

(Contents Continued from Front Cover) A Temperature-Compensation Technique for Substrate Integrated Waveguide Cavities and Filters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . T. Djerafi, K. Wu, and D. Deslandes Development of Optically Transparent Ultrathin Microwave Absorber for Ultrahigh-Frequency RF Identification System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Y. Okano, S. Ogino, and K. Ishikawa Hybrid and Monolithic RF Integrated Circuits Compact Tunable Reflection Phase Shifters Using Short Section of Coupled Lines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. M. Abbosh Design and Analysis of Down-Conversion Gate/Base-Pumped Harmonic Mixers Using Novel Reduced-Size 180 Hybrid With Different Input Frequencies . . . . . . . . . . . . . J.-J. Kuo, C.-H. Lien, Z.-M. Tsai, K.-Y. Lin, K. Schmalz, J. C. Scheytt, and H. Wang A Low-Voltage, Low-Power, and Low-Noise UWB Mixer Using Bulk-Injection and Switched Biasing Techniques . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M.-G. Kim, H.-W. An, Y.-M. Kang, J.-Y. Lee, and T.-Y. Yun Analysis of the Locking Range of Rationally Synchronized Oscillators With High Reference Signal Power . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M. Fernández García, S. Ver Hoeye, C. Vázquez, G. R. Hotopan, R. Camblor, and F. Las Heras Orthogonal -Wall and -Wall Tuning of Distributed Resonators: Using Concurrency for Continuous Ultra-Wideband Frequency Generation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Jooyaie and M.-C. F. Chang Parasitic-Insensitive Linearization Methods for 60-GHz 90-nm CMOS LNAs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . W.-T. Li, J.-H. Tsai, H.-Y. Yang, W.-H. Chou, S.-B. Gea, H.-C. Lu, and T.-W. Huang A 5-Gb/s 2.1–2.2-GHz Bandpass Modulator for Switch-Mode Power Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . P. Ostrovskyy, H. Gustat, M. Ortmanns, and J. C. Scheytt A Multiband Reconfigurable Power Amplifier for UMTS Handset Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . U. Kim, S. Kang, J. Woo, Y. Kwon, and J. Kim 3–3.6-GHz Wideband GaN Doherty Power Amplifier Exploiting Output Compensation Stages . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. M. Rubio, J. Fang, V. Camarchia, R. Quaglia, M. Pirola, and G. Ghione A Fully Integrated Adaptive Multiband Multimode Switching-Mode CMOS Power Amplifier . . . . . . . . . A. F. Aref and R. Negra Multichannel and Wideband Power Amplifier Design Methodology for 4G Communication Systems Based on Hybrid Class-J Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . K. Mimis, K. A. Morris, S. Bensmida, and J. P. McGeehan A CMOS Power Amplifier With a Built-In RF Predistorter for Handset Applications . . . . . . . . . . . K. Y. Son, B. Koo, and S. Hong A Compact Linear 60-GHz PA With 29.2% PAE Operating at Weak Avalanche Area in SiGe . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Y. Sun, G. G. Fischer, and J. C. Scheytt Design and Analysis of a 21–29-GHz Ultra-Wideband Receiver Front-End in 0.18- m CMOS Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Y.-S. Lin, J.-H. Lee, S.-L. Huang, C.-H. Wang, C.-C. Wang, and S.-S. Lu Instrumentation and Measurement Techniques Performance Limitations and Measurement Analysis of a Near-Field Microwave Microscope for Nondestructive and Subsurface Detection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. D. Chisum and Z. Popović Low-Frequency Noise Sources and Gain Stability in Microwave Amplifiers for Radiometry . . . . . . . E. Gonneau and L. Escotte A 15–50-GHz Quasi-Optical Scalar Network Analyzer Scalable to Terahertz Frequencies . . . . A. Grichener and G. M. Rebeiz RF Applications and Systems Direct Baseband I-Q Regeneration Method for Five-Port Receivers Improving DC-Offset and Second-Order Intermodulation Distortion Rejection . . . . . . . . . C. de la Morena-Álvarez-Palencia, K. Mabrouk, B. Huyart, A. Mbaye, and M. Burgos-García Novel Modeling and Calibration Approach for Multiport Receivers Mitigating System Imperfections and Hardware Impairments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Hasan and M. Helaoui Buried Object Characterization Using Ultra-Wideband Ground Penetrating Radar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . L. Li, A. E.-C. Tan, K. Jhamb, and K. Rambabu Localized Rapid Heating by Low-Power Solid-State Microwave Drill . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Y. Meir and E. Jerby Information for Authors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

2448 2456 2465 2473 2486 2494 2505 2512 2524 2532 2543 2549 2562 2571 2581 2590

2605 2616 2622

2634 2644 2654 2665 2673

CALLS FOR PAPERS

Special Issue on Biomedical Applications of RF/Microwave Technologies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Special Issue on Phased-Array Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TTHZ Special Issue on Terahertz Spectroscopic Techniques and Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

2674 2675 2676

IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY

The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society upon payment of the annual Society membership fee of $17.00, plus an annual subscription fee of $23.00 per year for electronic media only or $46.00 per year for electronic and print media. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only. ADMINISTRATIVE COMMITTEE N. KOLIAS, President A. ABUNJAILEH S. BARBIN L. BOGLIONE

M. GUPTA President Elect

T. BRAZIL W. CHAPPELL

G. LYONS, Secretary

N. KOLIAS S. KOUL

M. GOUKER K. ITOH

T. LEE M. MADIHIAN

Honorary Life Members

T. ITOH A. A. OLINER

W. CHAPPELL, Treasurer

A. MORTAZAWI V. NAIR

Distinguished Lecturers

P. STAECKER K. TOMIYASU

J-C. CHIAO M. GUPTA

S. KOUL L. PIERANTONI

D. PASQUET G. PONCHAK D. SCHREURS

R. SORRENTINO B. SZENDRENYI R. WEIGEL

D. SCHREURS J. WOOD

K. WU Q. XUE

Past Presidents

R. SNYDER (2011) S. M. EL-GHAZALY (2010) B. PERLMAN (2009)

MTT-S Chapter Chairs Albuquerque: H. J. WAGNON Atlanta: K. NAISHADHAM Austria: A. SPRINGER Baltimore: J. SCHOFIELD Bangalore/India: K. VINOY Beijing: Z. FENG Belarus: A. GUSINSKY Benelux: G. VANDENBOSCH Boston: J. MULDAVIN Bombay/India: M. KADU Brasilia: J. BEZERRA/ M. VINICIUS ALVES NUNES Buenaventura: M. QUDDUS Bulgaria: K. ASPARUHOVA Canada, Atlantic: Z. CHEN Cedar Rapids/Central Iowa: M. ROY Central Indiana: C. FULTON Central & South Italy: L. TARRICONE Central No. Carolina: M. HUGHES Central Texas: J. PRUITT Chengdu: Z. NEI Chicago: D. ERRICOLO Cleveland: M. SCARDELLETTI Columbus: D. PSYCHOUDAKIS Connecticut: C. BLAIR Croatia: D. BONEFACIC Czech/Slovakia: J. DRINOVSKY Dallas: C. SANABRIA Dayton: A. TERZUOLI

Editor-In-Chief GEORGE E. PONCHAK NASA Glenn Research Center Cleveland, OH USA Editorial Assistant KIM TANGER OAI USA

Delhi/India: S. KOUL Delhi/India, Amity Univ: J. P. GODARA Delhi/India, ABES Eng. College: S. MITTAL Delhi/India, IIT Delhi: M. S. PARIHAR Delhi/India, Jamia Millia Islamia: A. KHAN Delhi/India, Rajasthan Tech. Univ.: P. K. SHARMA Delhi/India, Swami Keshvanand Inst.: A. S. POONIA Denver: M. JANEZIC Eastern No. Carolina: T. NICHOLS Egypt: E. HASHEESH Finland: A. LUUKANEN Florida West Coast: J. WANG Foothills: F. FREYNE France: P. EUDELINE Germany: G. BOECK Greece: R. MAKRI Gujarat/India: R. J. SHARMA Harbin: Q. WU Hawaii: R. MIYAMOTO Hong Kong: Q. XUE Houston: S. A. LONG Houston, College Station: G. H. HUFF Hungary: L. NAGY Huntsville: E. GREGORIAN Hyderabad: L. MERUGU India/Calcutta: D. GUHA

India: D. BHATNAGER Indonesia: E. T. RAHARDO Israel: S. AUSTER Japan: K. HONJO Kansai: I. AWAI Kitchener-Waterloo: R. R. MANSOUR Lebanon: E. NASSAR Lithuania: V. URBANAVICIUS Long Island/New York: J. COLOTTI Los Angeles, Coastal: V. RADISIC Los Angeles, Metro/San Fernando: T. CISCO Macau: K. W. TAM Madras/India: S. SALIVAHANAN Malaysia: M. ESA Malaysia, Penang: B. L. LIM Melbourne: R. BOTSFORD Milwaukee: S. G. JOSHI Mohawk Valley: E. P. RATAZZI Monterrey/Mexico: R. M. RODRIGUEZ-DAGNINO Morocco: M. ESSAAIDI Montreal: K. WU Morocco: M. ESSAAIDI Nagoya: T. OHIRA Nanjing: W. XUN Nanjing/Southeast Univ: W. HONG New Hampshire: D. SHERWOOD New Jersey Coast: J. SINSKY New South Wales: K. ESSELLE New Zealand: A. WILLIAMSON North Italy: G. VECCHI North Jersey: K. DIXIT

Northern Australia: J. MAZIERSKA Northern Canada: M. DANESHMAND Northern Nevada: B. S. RAWAT Norway: K. M. GJERTSEN Orange County: H. J. DE LOS SANTOS Oregon: K. MAYS Orlando: S. EBADI Ottawa: Q. YE Philadelphia: Q. VASSEUR Phoenix: S. ROCKWELL Poland: J. PIOTROWSKI Portugal: N. BORGES DE CARVALHO Princeton/Central Jersey: A. KATZ Queensland: A. ROBINSON Rio de Janeiro: J. R. BERGMANN Rochester: G. PETTIS Romania: G. LOJEWSKI Russia, Moscow: V. A. KALOSHIN Russia, Nizhny-Novgorad: G. L. PAKHOMOV Russia, Novosibirsk: A. YAROSLAVTSEV Russia, Saratov/Penza: N. M. RYSKIN Russia, Saint Petersburg: S. P. ZUBKO Russia, Tomsk: R. V. MESCHERIAKOV San Diego: J. TWOMEY Santa Clara Valley/San Francisco: N. SHAMS Seattle: L. CAI Seoul: C. SEO Serbia and Montenegro: B. MILOVANOVIA Shanghai: J. MAO Singapore: M. ONG LING CHUEN South Africa: R. GESCHKE

South Australia: C. FUMEAUX South Brazil: J. R. BERGMANN Southeastern Michigan: T. OZDEMIR Southern Alberta: E. FEAR Spain: J. I. ALONSO Springfield: P. R. SIQUEIRA Sri Lanka: V. R. HERATH Sweden: A. RYDBERG Switzerland: M. MATTES Syracuse: H. P. PARTAL Taegu: Y.-H. JEONG Tainan: T. HORNG Taipei: C.-S. LU Thailand: P. AKKARAEKTHALIN Toronto: G. V. ELEFTHERIADES Tucson: H. XIN Tunisia: A. GHARSALLAH Turkey: B. SAKA Twin Cities: M. J. GAWRONSKI UK/RI: A. REZAZADEH Ukraine, Kiev: Y. PROKOPENKO Ukraine, Rep. of Georgia: G. GHVEDASHVILI Ukraine, Vinnitsya: V. M. DUBOVOY Ukraine, West: I. IVASENKO Uttar Pradesh/India: N. ALAM Utter Pradesh/India: R. C. AGARWAL Vancouver: S. MCCLAIN Venezuela: J. B. PENA Victoria: K. GHORBANI Virginia Mountain: T. A. WINSLOW Washington DC/Northern Virginia: M. NUESLEIN Winnipeg: V. OKHMATOVSKI Xian: X. SHI

Associate Editors HERBERT ZIRATH Chalmers Univ. Technol. Goteborg, Sweden WENDY VAN MOER Vrije Universiteit Brussel Brussels JAE-SUNG RIEH Korea Univ. Seoul, Korea QUAN XUE City Univ. Hong Kong Hong Kong LEI ZHU Nanyang Technol. Univ. Singapore

KEVIN J. CHEN Hong Kong Univ. Sci. Technol. Hong Kong MING YU COM DEV Cambridge, ON, Canada CHIN-WEN TANG Nat. Chung Cheng Univ. Taiwan JOHN PAPAPOLYMEROU Georgia Inst. Technol. Atlanta, GA USA

J. WOOD, Editor-in-Chief, IEEE Microwave Magazine C. TZUANG, Editor-in-Chief, IEEE Microwave and Wireless Component Letters

N. SCOTT BARKER Univ. Virginia Charlottesville, VA USA COSTAS D. SARRIS Univ. Toronto. Toronto, ON, Canada CHRISTOPHE FUMEAUX The Univ. Adelaide Adelaide, South Australia, Australia DEUKHYOUN HEO Washington State Univ. Pullman, WA USA BERTAN BAKKALOGLU Arizona State Univ. Tempe, AZ USA

P. H. SIEGEL, Editor-in-Chief, IEEE Trans. Terahertz Science and Technology T. LEE, Web Master

IEEE Officers MICHAEL R. LIGHTNER, Vice President, Educational Activities DAVID A. HODGES, Vice President, Publication Services and Products HOWARD E. MICHEL, Vice President, Member and Geographic Activities STEVE M. MILLS, President, Standards Association FREDERICK C. MINTZER, Vice President, Technical Activities JAMES M. HOWARD, President, IEEE-USA

GORDON W. DAY, President PETER W. STAECKER, President-Elect CELIA L. DESMOND, Secretary HAROLD L. FLESCHER, Treasurer MOSHE KAM, Past President

PETER N. CLOUT, Director, Division IV—Electromagnetics and Radiation

IEEE Executive Staff DR. E. JAMES PRENDERGAST, Executive Director & Chief Operating Officer THOMAS SIEGERT, Business Administration MATTHEW LOEB, Corporate Activities DOUGLAS GORHAM, Educational Activities EILEEN LACH, General Counsel & Corporate Compliance BETSY DAVIS, SPHR, Human Resources CHRIS BRANTLEY, IEEE-USA

ALEXANDER PASIK, Information Technology PATRICK MAHONEY, Marketing CECELIA JANKOWSKI, Member and Geographic Activities ANTHONY DURNIAK, Publications Activities MARY WARD-CALLAN, Technical Activities

IEEE Periodicals Transactions/Journals Department Staff Director: FRAN ZAPPULLA Editorial Director: DAWN MELLEY Production Director: PETER M. TUOHY Managing Editor: MONA MITTRA Senior Editor: CHRISTINA M. REZES

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, Piscataway, NJ 08854-4141. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $157.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee indicated in the code at the bottom of the first page is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, Piscataway, NJ 08854-4141. Copyright © 2012 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE, 445 Hoes Lane, Piscataway, NJ 08854-4141. GST Registration No. 125634188. CPC Sales Agreement #40013087. Return undeliverable Canada addresses to: Pitney Bowes IMEX, P.O. Box 4332, Stanton Rd., Toronto, ON M5W 3J4, Canada. IEEE prohibits discrimination, harassment and bullying. For more information visit http://www.ieee.org/nondiscrimination. Printed in U.S.A.

Digital Object Identifier 10.1109/TMTT.2012.2210137

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

2313

Robust Passive Macro-Model Generation With Local Compensation Tianshi Wang and Zuochang Ye, Member, IEEE

Abstract—This paper presents a new passivity enforcement technique for linear time-invariant multiport systems generated from tabulated measured or simulated data. Traditional methods based on iterative eigenvalue/singular value perturbation do not guarantee convergence, and the error introduced is sometimes large due to the lack of explicit error control. The key to the new algorithm is to correct passivity violations locally with moderate increase of system size. Since all violations are fixed locally, the impact on system transfer function outside the passivity violating frequency range is minimized. Thus, the convergence issue is avoided and the accuracy degradation due to passivity enforcement can also be minimized. The proposed method is very efficient, as optimization procedures are not required. Experimental results demonstrate its performance. Index Terms—Local compensation, passivity enforcement, -parameters, state-space model, vector fitting.

I. INTRODUCTION

P

ASSIVITY-CONSTRAINED macro-modeling based on approximation to tabulated data has been an active research topic for a long time. The vector-fitting [1] and rationalfitting [2] methods have been widely used to generate models for passive circuit elements in RF circuit design, as well as package modeling for signal integrity analysis based on tabulated -parameter data obtained either by measurements or numerical simulations. While such methods are very efficient and accurate, the resulting model may not always satisfy passivity requirement. Since passivity affects the numerical stability in circuit simulation when the macro-model is connected to other networks, guaranteeing passivity is usually a must when performing macro-modeling for passive systems. Passivity can be defined as the inability for a given system to generate energy. Mathematically, passivity condition requires that the transfer function matrix of the system be positive real (for systems with hybrid representation) or bounded real (for systems with scattering representation) across the whole frequency range. Existing methods for passive macro-modeling can usually be divided into two stages. The first stage employs widely used Manuscript received September 13, 2011; revised April 16, 2012; accepted April 23, 2012. Date of publication June 22, 2012; date of current version July 30, 2012. This work was supported by the National Science Foundation under Grant 61106031. This work was supported in part under the Tsinghua University Initiative Scientific Research Program. T. Wang is with the Department of Automation, Tsinghua University, Beijing 100084, China (e-mail: [email protected]). Z. Ye is with the Institute of Microelectronics, Tsinghua University, Beijing 100084, China (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2201738

macro-modeling methods, such as the vector- or rational-fitting methods, to generate models from tabulated data without considering passivity conditions. The second stage is to enforce the passivity while preserving the accuracy. According to a recent survey [3], existing passivity-enforcing methods can be roughly categorized as three classes. The first-class methods formulate the problem as semi-definite programming (SDP) [4], and thus have the proven global optimality. However, such methods are generally very expensive, and thus can only deal with smallto medium-sized problems. The second-class methods enforce passivity at discrete frequencies [5]–[7], and after proper formulation the problem can be converted to a second-order cone programming (SOCP). The drawback of such methods is obviously due to its passivity guarantee on discrete frequency points. Outside these frequency points, passivity is generally not ensured. Although the passivity enforcement can be implemented in an iterative manner and dynamically adding frequency points to ensure the global passivity, such a procedure is sometimes found to be nonconverging. The third-class methods perform iterative perturbation to eigenvalues of Hamiltonian matrices [8]–[10], and thus avoid the limitation with discrete frequency points. Such methods detect passivity violations by examining the existence of purely imaginary eigenvalues of a Hamiltonian matrix associated with the model. When imaginary eigenvalues are found, passivity violations are thus located and perturbation to the coefficients of the model is performed to displace the imaginary eigenvalues off the imaginary axis. However, such iterative algorithms do not guarantee convergence, as illustrated in [11], since the perturbation targeted to move some eigenvalues away from the imaginary axis may potentially move other ones onto the imaginary axis, thus sometimes introducing more violations. This paper presents a new technique for the procedure of passivity enforcement. The key is to locate and fix passivity violations locally at the cost of additional poles. Convergence issue is avoided as it guarantees that each compensation, with its ability to “fix” a passivity violation, will not “create” a new violation. This is achieved at the cost of marginal increase in the size of the system. When combined with optimization methods, the resulting model can be further improved to guarantee at least local optimality [11]. Existing methods that are similar to the proposed method are [12]–[14]. Such methods also guarantee convergence as the proposed method does. The key difference between these methods and the proposed method is that these existing methods do not add new poles, instead they either optimize the residues [12], [13] or perturb the poles [14] and thus preserve system size. An important potential problem with such methods is that playing with existing poles will more or less degrade global accuracy, and when the passivity violations are not close to any of the

0018-9480/$31.00 © 2012 IEEE

2314

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

poles, such degradation could be very significant. In such cases, the proposed method could at least be a good alternative. The outline of this paper is as follows. After introducing the background in Section II, the primary idea and a simple implementation are introduced in Section III and a new algorithm is presented to generate macro-models robustly from tabulated data. Several improvements are then made to the algorithm in Section IV, leading to a revised implementation of the primary idea. In Section V, we extend the proposed method to handle systems in scattering representation. Results and conclusions are given in Sections VI and VII. II. BACKGROUND

For the scattering representation, passivity requires that the transfer matrix be bounded real, i.e., (6) The Hamiltonian matrix can be used to detect passivity violations (7) Passivity is satisfied if the above Hamiltonian matrix has no imaginary eigenvalue [8]. A variant of the Hamiltonian matrix, i.e., extended Hamiltonian pencil (EHP) [15], [16]

A. Macro-Model Generation From Tabulated Data A linear system can be described using state-space representation as (1) (2) The frequency-domain transfer function of this system can be written as (3) The goal of macro-modeling is to find an appropriate statespace representation of a set of tabulated data such that the transfer function described in (3) fits the data most accurately, i.e., to minimize the following error metric: (4) where stands for the Frobenius norm. The tabulated data can be generated either by measurements or simulations. Such a model can be obtained using the vectorfitting [1] or rational-fitting [2] methods. For the sake of generality, both scattering and hybrid (including admittance and impedance) input–output representations will be considered. Also, we assume the macro-model generated from tabulated data to be strictly stable, which means all eigenvalues of matrix A are confined in the left plane of the state space, i.e., the region . This can be guaranteed by most macro-modeling methods. B. Passivity Passivity is a crucial requirements for the macro-modeling of passive systems. When connected with other systems, nonpassive models may lead to numerical instability, while the interconnection of passive systems is guaranteed to be stable. Mathematically, for strictly stable systems described using the hybrid representation, passivity requires the transfer function matrix be positive real on the entire imaginary axis, i.e., (5)

(8) can also be used to detect the passivity violations. It is sometimes advantageous due to its sparsity. Using a Hamiltonian matrix or EHP, we can pinpoint passivity violation regions, which is essential for passivity enforcement schemes. III. PASSIVITY ENFORCEMENT BY LOCAL COMPENSATION This technique is motivated by an observation that nonpassivity of a single-input single-output (SISO) system usually has more or less connection with negative resistance. Such resistance is often seen in circuits that are prone to oscillate due to instability. In the cases where oscillations are unwanted [unlike in circuits such as voltage-controlled oscillators (VCOs)], real resistors can be added in series or parallel with the negative resistance to get rid of such instability. This idea can be extended to multiple-input multiple-output (MIMO) systems as well. Consider the transfer function given in (3), and assume that it is given in the hybrid representation. From the passivity condition (5), it would be easy to see that the eigenvalues of will be shifted by if is shifted by , where . By properly choosing , the eigenvalues of can be enforced to be positive across the entire frequency range, which enforces the system to be passive. A similar idea has been used in [11] to get a passive initial solution followed by a post-passivity optimization. Simply shifting by a constant matrix will change the transfer function across the entire frequency range. This indicates a “global” passivity compensation. Most of the time such global compensation is unwanted as it brings unnecessary error to the frequency ranges in which the original system is passive. It would be natural to think that if the shifter is a frequency-dependent matrix, i.e., , then the compensation can be applied only in frequency ranges where passivity conditions are violated, and keep other places unchanged. Fig. 1 illustrates this procedure using a simple SISO example. Although the basic idea of the local compensation is straightforward. Several questions still need to be addressed for the idea to be applicable. First of all, is the frequency-dependent matrix

WANG AND YE: ROBUST PASSIVE MACRO-MODEL GENERATION WITH LOCAL COMPENSATION

2315

Fig. 1. Illustration of local compensation for hybrid representation.

realizable? If yes, how to realize it in the form of state-space model? Second, can this realization be extended to MIMO case? The following sections will discuss those issues in detail.

Fig. 2. Realization of local compensation for SISO systems using RLC circuits.

The real part of this transfer function can be written as

A. State-Space Realization of Transfer Function Adding Given two SISO systems in the state-space representation , and , the parallel connection of them can be expressed as , where (9)

(15) According to this expression, the peak of the real part appears at the frequency and the magnitude is , i.e.,

(10)

(16)

(11) (12)

The next step is to convert such transfer function into statespace representation

is the sum of the transfer functions

(17)

(13)

It can be examine that with the following setting of matrices, the transfer function of the SISO system will be given by (14):

with transfer This indicates that for a given system function , in order to perform a frequency-dependent update on , we need to construct a proper system with the desired update function and assemble the system with (9)–(12) so that the overall system will have the desired transfer function. Thus, the task becomes to find a proper system .

(18)

of

The transfer function of and , i.e.,

B. SISO Case In order to realize the local compensation, we need to find a system transfer function whose real part behaves like a bandpass filter, i.e., it has a peak at a given frequency and gradually vanishes as the frequency is away from that given frequency. For - and -parameter systems, such compensation can be directly realized using an RLC circuit, as illustrated in Fig. 2. At resonant frequency, , the RLC circuit behaves like a resistor, which compensates the negative resistance of the nonpassive network. Away from the resonant frequency, the RLC network has negligible impact on the transfer function. In principle, a high-order bandpass filter can be designed to accomplish such compensation for better accuracy. In practice, we have found that keeping the order as low as two, as shown in Fig. 2, is enough for most of the problems. The state-space realization can be obtained by directly converting the above RLC realization. For either - or -parameter realization, the added transfer function has the following form: (14)

Now the problem remains to determine the parameters , , and . A simple approach is given below. After detection of passivity violations, briefly discussed in Subsection III-D, we have obtained several characteristics of any given violation range. Assume the violation region is between and and the minimum (most negative) eigenvalue of is at frequency , which is illustrated in Fig. 3. According to (16), we can determine as (19) At , the peak of real part of the transfer function (14) should be large enough to lift the eigenvalues of above the real axis so we let (20) can be adjusted to tune the algowhere the parameter rithm. At and , the real part of the transfer function should be no less than a certain proportion of the peak to ensure that the

2316

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

where is given in (14) for regular cases and (24) for degenerated cases. The state-space realization can also be written as (17) with matrices therein replaced by

(27) Fig. 3. Characteristics of passivity violation in hybrid case.

violation in the entire band can be well compensated. We let

(21) where is an other tuning parameter determining the bandwidth of the compensation. should be as small as possible so that the error introduced by this compensation will not be too large, and it should be large enough to accomplish the compensation. An empirical selection of and is given as follows:

(22) (23) Equation (19), (22), and (23) guarantee that . The added poles are on the left half plane when and , which guarantees the stability of the compensation system. When , corresponding to , the compensation system will degenerate to a first-order low-pass system whose transfer function and state-space representation are given in (24) and (25), respectively, (24)

where , , , and are given in (18) and (25), respectively, for regular and degenerated cases. A quick test of controllability and observability shows that the representation in (27) is both controllable and observable. Therefore, it is the minimal realization of this system. In other words, every compensation enlarges the system size by for regular violations or for degenerated violations, where denotes the number of ports. It is also worth mentioning that if the original rational model is fitted with a common set of poles, such MIMO compensation procedure can still preserve this property. D. Detection of Violations In this section, we will present the method to locate violations and obtain and , defined in Fig. 3. To locate passivity violations, we first calculate the imaginary eigenvalues of the Hamiltonian matrix. Those imaginary eigenvalues are corresponding to passivity violations. There is an algorithm in [8] that employs this property to locate passivity violations on the premise that the original model is asymptotically passive, which means that the model is passive when . In practice, this slightly stronger condition may or may not be satisfied. In the case that the asymptotic passivity is not satisfied, we need to fix it. Details are given in Subsection III-E. In this way, we are able to determine and . Furthermore, we can also estimate and within any bandwidth. This estimation can be determined through bisection method [17], steepest descent method or Newton’s method. E. Asymptotic Passivity

(25)

In either scenario, the local compensation can preserve the stability of the original system. C. MIMO Case In MIMO, instead of looking for a scalar transfer function as (14), we want a transfer matrix that updates the eigenvalues of . A straightforward way is to let the update transfer matrix be diagonal with identical diagonal entries, i.e.,

.. .

.. .

..

.

As discussed in Subsection III-D, the detection of passivity violation requires that the system is asymptotically passive. Existing vector-fitting and rational-fitting methods do not guarantee this asymptotic passivity, thus it needs to be fixed prior to local compensation. Such fixing can also be done similarly to local compensation, except that instead of using a bandpass filter, we now need a high-pass filter to accomplish the compensation, i.e., by adding a transfer matrix with high-pass , as illustrated in Fig. 4. Again, such frequency-dependent transfer matrix should be realizable with state-space models. One example of such realizable transfer functions is given as follows: (28)

.. . (26)

Note that the denominator of (28) has the same form with (14). Thus, with and , the update system is guaran-

WANG AND YE: ROBUST PASSIVE MACRO-MODEL GENERATION WITH LOCAL COMPENSATION

2317

Algorithm 1 Local Compensation

Fig. 4. Illustration of the enforcement of asymptotic passivity.

teed to be stable. The real part of this transfer function can be written as

(29) We can see that when , the real part described in (29) will always be positive when , which indicates the transfer function as (28) will not introduce passivity violations to already-passive frequency ranges when added to the original one. For the sake of simplicity, we can let , thus (29) can be rewritten as

Enforce asymptotic nonpassivity as in Section III-E. while nonpassive do Detect and store all passivity violations. for each passivity violation do Detect characteristics of the violation bandwidth using methods in Section III-D. Determine parameters as in (19), (22), and (23) and form the compensation system as in (27). Form a parallel connection with (9)–(12). end for Increase the iteration count by 1. end while The computational cost is dominated by solving the eigenvalues of the Hamiltonian matrix, which is with the regular Hamiltonian representation, and when using the EHP representation. IV. IMPROVED LOCAL COMPENSATION

(30) From

(30),

we can see that when , , when , . Thus, if is larger than ( denotes the eigenvalue of when ), we can ensure that this eigenvalue is compensated to be asymptotically positive real. Moreover, we need to examine the magnitude of transfer function (28) in order to estimate the error caused by asymptotic passivity enforcement

(31) Calculation of the differentiation of (31) indicates that monotonically increases if , , . In and when can ensure that the this way, appropriate selection of error introduced to the frequency ranges under investigation is trivial. The state-space representation is given as follows:

(32)

The algorithm proposed above can generate passive macromodels and the error caused by the passivity enforcement procedure is usually small due to its nature of local fixing. However, there are still some potential issues with the proposed method. The first issue is associated with the simple method for treating MIMO systems by choosing a diagonal update matrix with identical diagonal entries. The compensation increases every eigenvalue of by a value determined by the most negative one. This may cause unnecessary error by compensating eigenvalues that are originally positive or close to zero. In addition, adding a constant to every eigenvalue indicates that the system is increased by at least . This is sometimes not preferable, especially for many-terminal systems when there are only one or very few passivity violating eigenvalues for each passivity violating frequency range. The second issue is that the size of the added system is linearly dependent on the number of passivity violating frequency regions. When the number of such regions is large, the size of the resulting model could be large, and it would be desirable to reduce it. The third issue is that the compensation systems are of order two. For systems with large passivity violations, a compensation system for such a violation region may potentially introduce too much error to the rest of the bandwidth of the model because a second-order bandpass transfer function, such as (14), cannot decrease sufficiently fast. In such a case, it might be more appropriate to use higher order compensation systems to make the compensation more “local.” The above issues are addressed in Sections IV-A–C. A. Selective Compensation

F. Algorithm The local compensation algorithm is summarized in Algorithm 1.

To reduce the error and the size increase of the resulting model in the MIMO case, an effective way is to find a transfer matrix that only updates selected eigenvalues while keeping other eigenvalues (almost) unchanged. For this purpose, we can

2318

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

eigendecompose the Hermitian part of the transfer matrix at a given frequency

In this situation, expressed as

for the updated system can be

(33) on where is diagonal with eigenvalues of its diagonals and contains orthogonal eigenvectors. Notice that and are usually frequency dependent. Suppose the given frequency is and . To accomplish selective compensation, the added transfer matrix should be written as (34) It would be easy to see that (35) (36) Thus, if is zero, except for , where is the index of the eigenvalue to be compensated, only the th eigenvalue of will be modified, and other eigenvalues are unchanged at frequency . For compensating regular violations, . The state-space realization of such selective compensation is given as follows:

(39) . where Eigendecompose the above expression as (40) We can see that . In other words, eigenvalues of for the updated system cannot be simply computed by adding the selective update eigenvalue, and the above derivation does not hold any more. However, due to the nature of local compensation, the eigenvalue change only impacts a small frequency range. In such a frequency range, so and the above derivation still approximately holds. In fact, even in the worst case, such a compensation will not worsen the passivity violation. This can be explained using the following theorem. Theorem 1: If diagonal matrices , , , and orthogonal matrices satisfy (41) (42)

.. .

.. .

.. .

.. . (37)

and , while other elements of i.e., and are . is the index of the eigenvalue to be compensated. For compensating degenerated violations, . The state-space realization is given as follows:

then Proof of Theorem 1 is given in the Appendix A. Theorem 1 indicates that after selective compensation the minimum eigenvalue of at any frequency will not fall below the original one and violation ranges will not be enlarged. This is important, as it gives a theoretical proof on the convergence of applying such compensation. In practice, as far as we have seen, no convergence issue with such compensation has been observed. The selective compensation method can also be applied to fix asymptotic passivity. In the MIMO case, we can also use the transformation matrix of to enforce one or more eigenvalues of to be asymptotically positive using the following transfer matrix: ..

.

(38) and , while other elements of i.e., and are 0. With such an update system, we can compensate the real part of any selected eigenvalue, and the system is enlarged by size 2, for regular violations, or 1, for degenerated violations, instead of or in the original method. The above discussion is focused on a given frequency point . Since the transformation matrix is an -parameterized matrix, in theory at the other frequency , will also change to so that .

(43) ..

.

The state-space representation of the above transfer matrix is given as follows:

WANG AND YE: ROBUST PASSIVE MACRO-MODEL GENERATION WITH LOCAL COMPENSATION

2319

Fig. 6. Illustration of the use of high-order compensation system.

Such selective compensation enlarges the size of the system . by 2 instead of It is worth noting that, in the SISO case, the selective local compensation degenerates into the method of local compensation. In MIMO problems, it generates more accurate model with smaller order.

replaced by one large compensation system (larger than all small compensations combined). Note that the reduction of system size through violation clustering is accomplished by moderately trading the model accuracy. When the number of close violations is large and/or the magnitudes of the violations are small, such a tradeoff is worthwhile. Admittedly, the tradeoff is decided mainly based on parameters of clustering algorithms. In Fig. 5, we used -means, where was selected based on our experience that, for small- or medium-sized problems, ten compensation systems are usually sufficient and when the number of compensations exceeds ten, it is usually caused by small and adjoining passivity violation regions. For more complicated problems, more intelligent clustering analysis other than -means (e.g., hierarchical clustering) can be applied to determine whether or not violation clustering really occurs. However, even though it is important to stress that our passivity enforcement scheme supports such manipulation of compensation systems to acquire a tradeoff between order and accuracy, details concerning those more intelligent data mining methods are quite beyond the scope of this study.

B. Violation Clustering

C. High-Order Compensation

Each step of the local compensation will enlarge system size by 2 or with or without selective compensation. The number of such compensations is determined by the number of violating frequency ranges. When the number of violating ranges is large, the resulting model could still be very large. In practice, we have found that, in many cases, most parts of the enlarged systems are for compensating small and close violations usually with very small . Since they are very close to each other, it is natural to perform the compensation in fewer iterations by clustering such violations, as demonstrated in Fig. 5. Such a scenario can be detected through 1-D clustering analysis of the peak frequencies of all compensation systems. Once such clusters of compensations are located, we can replace these compensations with one single compensation, which should have larger magnitude and wider frequency ranges so as to cover the violations. Such as the case in Fig. 5, we have used -means as the clustering method and set as the number of clusters. When the number of compensations exceeds , they fall into clusters according to their peak frequencies. For example, the bandwidth depicted in Fig. 5 contains a typical cluster. Such a cluster with multiple compensations will be

As noted in practice, second-order compensation systems are usually sufficient for most of the problems. However, sometimes higher order compensation systems are needed, especially in the presence of passivity violations with large magnitude and narrow bandwidth. Those violations are not likely to occur in the modeling bandwidth if the tabulated data characterizes a passive circuit. However, they are often seen around the out-band region that is close to the boundary. To fix such violations, the compensating transfer function has to attenuate quickly to minimize the impact to the modeling region, which calls for a high-order compensating system. Fig. 6 illustrates compensation with a high-order bandpass system. Note that the compensating system itself should be globally passive like the previously used second-order compensating system so as not to introduce new passivity violations elsewhere. As the above proposed second-order compensating system is inspired by the bandpass behavior of parallel or serial RLC circuits, it is not known so far how to generalized it to high order. On the other hand, filter synthesis is very mature and synthesis tools are easily accessible. For example, in MATLAB, depending on the requirement of filter behaviors such as in-band and outband ripples, user can choose from cheby1, cheby2, butter, and

Fig. 5. Eigenvalue plot of of the original system and compensation system using clustering compensation.

..

.. .

.. .

.. .

.. .

. (44) ..

.

2320

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

ellip functions. It would be preferable to incorporate such offthe-shelf tools to generate the high-order compensating system. However, there is an important difference between the required filter system and traditional filter system that excludes off-the-shelf filter synthesis tools to be used directly to generate the high-order compensating system. This difference is due to the definition of filter behavior. Traditionally the behavior of a filter is defined in magnitude. For example, a “bandpass filter” means that the magnitude of the transfer function of the filter is bandpass. In the context of local compensation, the behavior of the required filter is defined in its real part, and we have a further constraint that the real part should be always positive, a constraint that is generally not supported in traditional filter synthesis tools. Here we proposed a simple, yet effective method to convert a general magnitude filter to a positive real-part filter. Such conversion enables incorporating existing filter synthesis tools to generate a general high-order compensating system. Suppose is a filter with its magnitude, i.e., , having the desired filter property. Such a filter can be generated with existing filter synthesis tools. Now it is desirable that the real part of a new filter, say, , i.e., , has the same behavior. This requires that for any frequency ,

Fig. 7. Illustration of local compensation in scattering case.

Instead of updating the eigenvalues of , as in the hybrid case, in the scattering case, the singular values of , or equivalently the eigenvalues of , are required to be modified. A series connection (instead of parallel connection for the hybrid case) will be used as it leads to multiplication of transfer functions. With state-space representation, given two systems , when is connected before , the serial connection of the two systems will result in the following system:

Simple calculation will show that transfer function of product of transfer functions of and , i.e.,

(45) Finding from (45) is known as computing the partial fractional expansion (PFE) of . Procedure to compute PFE has been described in [11]. The proposed procedure to generate the desired general high-order compensating system is given in Algorithm 2. The resulting system will have the desired real-part filtering property. This resolves the problem of generating a general high-order compensating system. Algorithm 2 Generating General High-Order Compensating System 1) Step 1 Determine the specs of the desired compensating system, i.e., center frequency, bandwidth, order, etc., from observation of passivity violations. 2) Step 2 Generate a filter , whose magnitude meets the specs, using any of the existing filter synthesis tools. 3) Step 3 Compute from via PFE.

V. SCATTERING REPRESENTATION In this section, we extend the above algorithms to handle systems in scattering representation. In scattering representation, a system is passive if its transfer matrix is bounded real, i.e., the singular values of the transfer matrix are always no larger than 1 for any frequency, i.e.,

(46)

(47) is the

(48) Therefore, we can design a frequency-dependent compensaand multiply it tion system with the transfer function with the transfer function of the nonpassive model so that eigenvalues of can be reduced locally. This procedure is illustrated in Fig. 7. Parallel to the discussions in hybrid representation, several types of have been investigated in order to compensate passivity violations in different scenarios. A. SISO Case As demonstrated in Fig. 7, we want to find a compensation system whose transfer function has bandstop characteristics. One example of such a transfer function is given as follows: (49) (50) has a minima of at freWe can see that quency , allowing this system to compensate locally when multiplied to the original one, as has been shown in Fig. 7. The state-space representation of the above transfer function is given as follows:

WANG AND YE: ROBUST PASSIVE MACRO-MODEL GENERATION WITH LOCAL COMPENSATION

we can let the update transfer matrix be diagonal with identical diagonal entries like in (26), but replace therein to be in (49) or (51). This straightforward extension can compensate all eigenvalues of equally. Parameters in can be determined according to the eigenvalue of with the largest magnitude above 1. The state-space realization of this MIMO update transfer matrix is similar to the hybrid case. Selective compensation can also be applied in scattering representation. Suppose for a given frequency , is decomposed as

Fig. 8. Characteristics of a passivity violation in scattering case.

When

2321

, (49) and (51) degenerate into

(57)

(51)

is diagonal and is orthogonal. The transfer matrix where of the compensating transfer function can be chosen as

and

(58) where Similar to the discussion in hybrid representation, the determination of parameters in (49) and (51) depends on , , , and illustrated in Fig. 8. Such parameters can be obtained using similar methods as described in Section III. With , , , and obtained, , , and in (49) and (51) can be determined as

(59) where can be placed where the singular value needs to be fixed. The singular value decomposition of the compensated system can then be written as

(52)

(53)

(60)

(54)

written as (59), only one selected sinIt is clear that with gular value is compensated and others remain unchanged. At the other frequency , where , for the compensated system can be eigen-decomposed as

where and are parameters for tuning the performance of the algorithm so that

(55) (61)

(56) The above conditions indicate that when the compensation system is connected to the original one, the singular values of will become smaller than 1 at . Moreover, at both ends of the violation bandwidth, i.e., and , the transfer function of the compensation system still preserves a certain magnitude below 1 so that violation within the whole range is inclined to be compensated through one iteration. B. MIMO Case The discussion in the SISO case can be directly extended to the MIMO case. Similar to the method in hybrid representation,

We have the following theorem, which is parallel to Theorem 1 in the hybrid case, stating that the compensated system is guaranteed to be better than the original system, and thus convergence is guaranteed. Theorem 2: If diagonal matrices , , , and orthogonal matrices satisfy (62) (63) then Proof is given in Appendix B. Theorem 2 indicates that just like in the hybrid case, selective compensation in the scattering

2322

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 9. Illustration of asymptotic passivity enforcement in scattering case.

case will not worsen passivity violation, as the maximum singular value of will not be larger after compensation. The state-space representation for regular passivity violation is given by Fig. 10. Eigenvalue plot of system obtained using

.. .

.. .

.. .

.. .

and

for the original system and compensated .

It is clear that is close to 1 when , and when , . Therefore, carefully choosing can ensure that can reduce the singular value of any transfer function at when multiplied to it, while at the same time introduce trivial error to the finite modeling bandwidth. The state-space representation of (66) is given as follows: (64)

i.e., , while other elements of are 0. The state-space representation for degenerated passivity violation is given by

(68) Such asymptotic passivity compensation can also be extended to the MIMO case with or without selective compensation.

(65) i.e., , while other elements of are 0. Violation clustering can also be done in the same way as in the hybrid case. C. Asymptotic Passivity In the scattering case, asymptotic passivity is also an issue, and it needs to be fixed before the compensation is applied. In order to fix asymptotic passivity, we need a low-pass system to lower the singular values for high frequency. Such a process is illustrated in Fig. 9. Following is a simple transfer functions whose magnitude has low-pass property:

VI. RESULTS A. Local Compensation Algorithm Parameterization: This section illustrates the inintroduced in (20) and (21) on the fluence of parameters performance of the algorithm. A simple one-port system is utilized for this purpose. Fig. 10 plots the eigenvalue of so that we can see the frequency bandwidths with passivity violations and how they are compensated. The compensation algorithm has been run using different values of parameter . Results are shown in Table I. Model error is defined as Error

(69)

(66) The magnitude of

is given by (67)

is the tabulated measured or simulated data. where Generally speaking, the larger is, the greater the error of model will be. Small will result in higher accuracy, but potentially more iterations, and thus higher complexity of the final model. After testing various systems with different number of

WANG AND YE: ROBUST PASSIVE MACRO-MODEL GENERATION WITH LOCAL COMPENSATION

INFLUENCE

OF OF

TABLE I PARAMETER ON PERFORMANCE LOCAL COMPENSATION

INFLUENCE

OF OF

TABLE II PARAMETER ON PERFORMANCE LOCAL COMPENSATION

2323

Fig. 12. Plot of all nine entries of the transfer matrix for the original data and compensated passive model.

Fig. 11. Eigenvalue plot of for the original system and compensated system obtained through local compensation. Note that the compensation lifts every one of all three eigenvalues.

ports, we found that is a reasonable compromise. Similar relations exists for the parameter and the performance for various is given in Table II. In the following examples, we will use the value of and . 1) MIMO Validation: A demonstrating example is macromodeling from measured data of a balun. The tabulated data in -parameters is up to 18 GHz and is referred to as original data. Vector fitting was used to acquire a stable initial nonpassive state-space model with 40 poles. Fig. 11 shows how the method of local compensation has compensated all violations of the original nonpassive model generated by vector fitting. Fig. 12 shows the accuracy of the compensated passive model by displaying all 3 3 entries of the transfer matrix of the model in comparison with original tabulated data. Quantitatively, the error as defined in (69) is 0.32% and the system size (denoted by the size of matrix A) is enlarged from 120 to 138. 2) Comparison With SOCP and Eigenvalue Perturbation Method (EPM): The comparison with existing methods is based on a recent comparative study [3], where three main

classes of methods were investigated. Even though there are new methods invented after [3], methods as in [3] are still the most mainstream well-studied passivity enforcement schemes, making them the benchmark methods. As discussed in [3], the first class of methods, which employ convex optimization as direct enforcement of positive/bounded real Lemma constraints, are high in computational complexity. Meanwhile, methods categorized in the other two classes are much more similar with our proposed method. Thus, we will compare our method, denoted as LC (short for local compensation), with those two classes as in [3], denoted as SOCP and EPM. In this study, we have used RPdriver.m in Matrix-fitting toolbox v1 [18]–[21] as the implementation of SOCP. EPM is implemented based on [8], with relative error control and weighting scheme, as described in [22]–[24]. Three benchmark problems available in the public domain are tested. Example 1 is ex3 in QPpassive toolbox. It is a three-port subnetwork described in -parameters (one type of -parameters), modeled over a 10-kHz bandwidth with 28 poles. Example 2 is ex4 in the QPpassive toolbox. It is a six-port subnetwork also described in -parameters, modeled over a 10-kHz bandwidth with 30 poles. Example 3 is ex4 in Matrix-fitting toolbox v1. It is a three-port subnetwork described in -parameters, modeled over a 100-kHz bandwidth. Examples 1 and 2 are already rational models while Example 3 is given as tabulated data. Thus, vector fitting is used to acquire a stable macro-model with 50 poles for Example 3. The eigenvalues/singular values of the original macro-models and the passive ones acquired through local compensation are given in Fig. 13. The magnitudes of all entries of the transfer matrices of data and models are given in Fig. 14, where “data” stands for original nonpassive models for Example 1 and 2 and actual tabulated data for Example 3. From Fig. 13, we can see that these three benchmark problems range from a simple case (Example 1) to a much tougher case (Example 2), from a -parameters case to an -parameters case (Example 3). From Fig. 14, we can see that LC generally generate results as accurate as SOCP and EPM. In tougher cases like Example 2, where it takes EPM ten iterations to converge and result in a less accurate model, LC still yields a rather satisfactory result. Compared with SOCP and EPM, LC has the drawback of increasing system size. In Examples 1, 2 and

2324

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 13. Eigenvalue/singular value plot of Examples 1, 2, and 3 before and after local compensation.

Fig. 14. Model error of all entries in Examples 1, 2, and 3 with the methods of SOCP, EPM, and LC.

3, system size is enlarged from 84, 180, 150 to 90, 194, and 158, respectively. However, it is worth mentioning that even though LC enforces passivity constraints at the sacrifice of increasing system size marginally, it possesses a valuable merit in its theory and implementation: simplicity. Methods based on simple ideas and theories are easier to comprehend and are usually more convenient to implement and maintain, also more robust in worst cases. Such “transparency in theory” makes them more appealing to a larger crowd of users and more prepared for immediate industrial application. In this way, local compensa-

tion, with its simplicity and robustness, can still be considered as a very suitable option for passivity enforcement among all the existing methods in the area. To further compare the proposed method with SOCP and EPM, ten additional problems are tested. They are measured data of various modules of common mode filters from TDK Electronics (case #1–8) and chip packages (case #9–10). In the comparison, we set the inner iteration number in the RPdriver.m as 3 to increase convergence. The comparison is summarized in Table III. From the table, we can confirm LC’s accuracy, ef-

WANG AND YE: ROBUST PASSIVE MACRO-MODEL GENERATION WITH LOCAL COMPENSATION

2325

TABLE III COMPARISON AMONG PERFORMANCES OF LC, EPM, AND SOCP

Fig. 15. Eigenvalue plot of for the original 21-port system and compensated system obtained through local compensation. Fig. 17. Entries of the transfer matrices of the original system in case #2 of Table IV and models generated with and without selective compensation. and are the selected entries because they have much larger model error than the others.

can compensate the eigenvalue with passivity violation selectively. Table IV shows the performances of original local compensation and selective compensation in the same ten MIMO problems as in Table III. From the table, it can be seen that selective compensation can generally reduce both error and size of the final system. Fig. 17 plots certain entries of the transfer matrix of case #2 to show the accuracy improvement with selective compensation. Fig. 18 shows the selective compensation applied to fixed asymptotic passivity violations. Fig. 16. Plot of 21 diagonal entries of the transfer matrix of the passive model in comparison with original data.

ficiency, and robustness. Notably, case #10 is a rather tough problem where convergence is difficult. Fig. 15 shows how local compensation enforces the passivity of the original system by updating the eigenvalues to be positive real and Fig. 16 shows the error of the passive model. B. Improved Local Compensation As discussed in Subsection IV-A, in order to cut down unnecessary error caused by compensating all eigenvalues equally, we

C. Time-Domain Simulation Since understanding passivity enforcement’s effect on timedomain simulation is fundamental, we have conducted experiments to test the passive models generated by the proposed method on different time-domain simulation problems. We can conclude that generally the more accurate the model, the more accurate the simulation. This indicates that the introduced pole/ residue pairs did not degrade the stability of time-domain simulation. Mathematically, this is due to the fact that the poles added by LC are usually among the original ones in the complex plane. Physically, LC is equivalent to connecting very small frequency-dependent positive resistances to the original circuit

2326

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

TABLE IV COMPARISON BETWEEN THE PERFORMANCES OF ORIGINAL LOCAL COMPENSATION AND SELECTIVE COMPENSATION METHODS

size from 160 to 173, the simulation runtime increases from 3.46 to 4.08 s when compared with simulation using the nonpassive model generated directly from vector fitting. VII. CONCLUSIONS

Fig. 18. Process of asymptotic passivity enforcement using selective compensation.

In this paper, we have presented an effective method for passive macro-modeling. Traditional methods are based on eigenvalue/singular value perturbation. Such methods do not guarantee convergence, and even when they converge, accuracy degradation often occurs when the number of iterations increases. The idea of the proposed method is to fix the passivity violation locally with the help of added poles and residues. Such added poles and residues provide a filtered compensation to fix the eigenvalues/singular values of the transfer matrix locally across frequency ranges that passivity condition is violated. Due to its nature of locality, accuracy degradation is small across frequency ranges where passivity is not violated. It is also provable that local compensation is guaranteed to only improve the passivity, thus although iterations may be still needed, the convergence issue is avoided. APPENDIX A PROOF OF THEOREM 1 Proof: (70) (71) Assume that

Fig. 19. Plot of results of time-domain simulation using the passive model generated by local compensation.

to improve passivity so it is understandable that the time-domain simulation is still stable. However, since the system is enlarged by LC, simulation time would increase, but due to the fact that the enlarged size is marginal, the increased simulation time is not significant. One simple example is shown in Fig. 19, where the four-port subnetwork of case #2, as in Table III, is stimulated by a periodic input on Port No.1 with other ports attached to the ground. Fig. 19 plots the output (the current into Port No.1) of transient simulations using original -parameters tabulated data and the passive model. The simulation is rather accurate, and while the passivity enforcement enlarges system

(72) Then given any matrix .. .

..

.

.. . (73) (74)

Assume that

, then

(75)

WANG AND YE: ROBUST PASSIVE MACRO-MODEL GENERATION WITH LOCAL COMPENSATION

Let and

and are both orthogonal

2327

(86)

, then

(87) (76) (77)

where denotes the 2-norm or Euclidean norm of . From the basic properties of the matrix norm, we know

(88)

(78)

Similar to the discussion in the hybrid case, from (63) and (85)–(88), we can know that is no larger than , thus no larger than . the weighed average of Thus, (89)

From (76)–(78), we can conclude that (78) is weighed av. Thus, erage of (79) Just as with (78), we have (80)

The authors acknowledge and greatly appreciate the revision and valuable suggestions from B. Gu, Magma Design Automation, Austin, TX. REFERENCES

Therefore,

(81)

APPENDIX B PROOF OF THEOREM 2 Proof: (82) (83) , then

Assume that

(84) Let row vector

ACKNOWLEDGMENT

denote

, then (85)

[1] B. Gustavsen and A. Semlyen, “Rational approximation of frequency domain responses by vector fitting,” IEEE Trans. Power Del., vol. 14, no. 3, pp. 1052–1061, Jul. 1999. [2] C. Coelho, J. Phillips, and L. Silveira, “Robust rational function approximation algorithm for model generation,” in Proc. 36th Design Automat. Conf., 1999, pp. 207–212. [3] S. Grivet-Talocia and A. Ubolli, “A comparative study of passivity enforcement schemes for linear lumped macromodels,” IEEE Trans. Adv. Packag., vol. 31, no. 4, pp. 673–683, Nov. 2008. [4] C. Coelho, J. Phillips, and L. Silveira, “A convex programming approach for generating guaranteed passive approximations to tabulated frequency-data,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 23, no. 2, pp. 293–301, Feb. 2004. [5] B. Gustavsen and A. Semlyen, “Enforcing passivity for admittance matrices approximated by rational functions,” IEEE Trans. Power Syst., vol. 16, no. 1, pp. 97–104, Feb. 2001. [6] B. Gustavsen, “Computer code for passivity enforcement of rational macromodels by residue perturbation,” IEEE Trans. Adv. Packag., vol. 30, no. 2, pp. 209–215, May 2007. [7] B. Gustavsen, “Fast passivity enforcement of rational macromodels by perturbation of residue matrix eigenvalues,” in IEEE Signal Propag. Interconnects Workshop, May 2007, pp. 71–74. [8] S. Grivet-Talocia, “Passivity enforcement via perturbation of hamiltonian matrices,” IEEE Trans. Circuits Syst. I, Reg. Papers , vol. 51, no. 9, pp. 1755–1769, Sep. 2004. [9] S. Grivet-Talocia and A. Ubolli, “On the generation of large passive macromodels for complex interconnect structures,” IEEE Trans. Adv. Packag., vol. 29, no. 1, pp. 39–54, Feb. 2006. [10] S. Grivet-Talocia, “An adaptive sampling technique for passivity characterization and enforcement of large interconnect macromodels,” IEEE Trans. Adv. Packag., vol. 30, no. 2, pp. 226–237, May 2007. [11] Z. Ye, Y. Li, M. Gao, and Z. Yu, “A novel framework for passive macro-modeling,” in Proc. 48th ACM/EDAC/IEEE Design Autom. Conf., Jun. 2011, pp. 546–551. [12] D. Saraswat, R. Achar, and M. Nakhla, “A fast algorithm and practical considerations for passive macromodeling of measured/simulated data,” IEEE Trans. Adv. Packag., vol. 27, no. 1, pp. 57–70, Feb. 2004.

2328

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

[13] D. Saraswat, R. Achar, and M. Nakhla, “On passivity enforcement for macromodels of -parameter based tabulated subnetworks,” in IEEE Circuits Syst. Int. Symp., May 2005, vol. 4, pp. 3777–3780. [14] D. Deschrijver and T. Dhaene, “Fast passivity enforcement of -parameter macromodels by pole perturbation,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 3, pp. 620–626, Mar. 2009. [15] Z. Ye, L. M. Silveira, and J. R. Phillips, “Fast and reliable passivity assessment and enforcement with extended Hamiltonian pencil,” in Proc. Int. Comput.-Aided Design Conf., 2009, pp. 774–778. [16] Z. Ye, L. M. Silveira, and J. R. Phillips, “Extended Hamiltonian pencil for passivity assessment and enforcement for -parameter systems,” in DATE, 2010, pp. 1148–1152. [17] S. Boyd, V. Balakrishnan, and P. Kabamba, “A bisection method for computing the -norm of a transfer matrix and related problems,” Math. Control, Signals, Syst., vol. 2, no. 3, pp. 207–219, 1989. [18] B. Gustavsen and A. Semlyen, “Fast passivity assessment for -parameter rational models via a half-size test matrix,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 12, pp. 2701–2708, Dec. 2008. [19] B. Gustavsen, “Fast passivity enforcement for pole-residue models by perturbation of residue matrix eigenvalues,” IEEE Trans. Power Del., vol. 23, no. 4, pp. 2278–2285, Oct. 2008. [20] A. Semlyen and B. Gustavsen, “A half-size singularity test matrix for fast and reliable passivity assessment of rational models,” IEEE Trans. Power Del., vol. 24, no. 1, pp. 345–351, Jan. 2009. [21] B. Gustavsen, “Fast passivity enforcement for -parameter models by perturbation of residue matrix eigenvalues,” IEEE Trans. Adv. Packag., vol. 33, no. 1, pp. 257–265, Feb. 2010. [22] A. Ubolli and S. Grivet-Talocia, “Weighting strategies for passivity enforcement schemes,” in Proc. IEEE Electr. Perform. Electron. Packag., Oct. 2007, pp. 55–58. [23] S. Grivet-Talocia and A. Ubolli, “Passivity enforcement with relative error control,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 11, pp. 2374–2383, Nov. 2007.

[24] S. Grivet-Talocia and A. Ubolli, “On relative error minimization in passivity enforcement schemes,” in IEEE Signal Propag. Interconnects Workshop, May 2007, pp. 75–78.

Tianshi Wang is currently working toward the Bachelor’s degree in automation at Tsinghua University, Beijing, China. Since 2011, he has been with the Computer-Aided Design (CAD) Group, Institute of Micro-electronics, Tsinghua University. His research interests include the analysis and simulation of eletronic systems, especially passive macro-modeling and model-order reduction.

Zuochang Ye (M’08) received the B.S. and Ph.D. degrees from Tsinghua University, Beijing China, in 2002 and 2007, respectively. From 2007 to 2008, he was a Research Scientist with Cadence Research Laboratories, Berkeley, CA. He is currently an Assistant Professor with the Institute of Microelectronics, Tsinghua University. His research interest is computer-aided design (CAD) for very large scale integration (VLSI) circuits, particularly on numerical algorithms for electromagnetic (EM) simulation and circuit simulation.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

2329

Variability Analysis of Multiport Systems Via Polynomial-Chaos Expansion Domenico Spina, Francesco Ferranti, Member, IEEE, Tom Dhaene, Senior Member, IEEE, Luc Knockaert, Senior Member, IEEE, Giulio Antonini, Senior Member, IEEE, and Dries Vande Ginste, Member, IEEE

Abstract—We present a novel technique to perform variability analysis of multiport systems. The versatility of the proposed technique makes it suitable for the analysis of different types of modern electrical systems (e.g., interconnections, filters, connectors). The proposed method, based on the calculation of a set of univariate macromodels and on the use of the polynomial chaos expansion, produces a macromodel of the transfer function of the multiport system including its statistical properties. The accuracy and the significant speed up with respect to the classical Monte Carlo analysis are verified by means of two numerical examples. Index Terms—Multiport systems, polynomial chaos, rational modeling, variability analysis.

I. INTRODUCTION

T

HE increasing demand for performance from integrated circuits (ICs) pushes operation to higher signal bandwidths, while rapid advances in manufacturing capabilities have significantly reduced the feature size and increased the density of these devices. In this scenario, the analysis of the effects of geometrical or electrical parameters variability on the ICs performance is fundamental. The standard approach for variability analysis is the Monte Carlo (MC) method. MC gives accurate results and its implementation is straightforward, but it requires a large number of simulations. Since simulations are often computationally expensive due to the increased complexity of systems, MC has a very high computational cost. Recently, a new approach, based on the polynomial chaos (PC) expansion, has emerged to perform variability analysis as an efficient alternative to the computationally cumbersome MC-based techniques. The PC-based modeling approach expands a stochastic process in terms of orthogonal polynomials, giving an analytical representation of the

Manuscript received December 16, 2011; revised May 09, 2012; accepted May 10, 2012. Date of publication June 19, 2012; date of current version July 30, 2012. D. Spina, F. Ferranti, T. Dhaene, and L. Knockaert are with the Department of Information Technology, Internet Based Communication Networks and Services (IBCN), Ghent University—IBBT, B-9050 Gent, Belgium (e-mail: [email protected]; [email protected]; [email protected]; [email protected]). G. Antonini is with the UAq Electromagnetic Compatibility (EMC) Laboratory, Dipartimento di Ingegneria Elettrica e dell’Informazione, Università degli Studi dell’Aquila, 67100 L’Aquila, Italy (e-mail: [email protected]). D. Vande Ginste is with the Department of of Information Technology, Electromagnetics Group, Ghent University, B-9000 Gent, Belgium (e-mail: dries. [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2202685

variability of the system with respect to the random variables under consideration [1]. Over the recent years, techniques were developed to study the stochastic variations of electrical circuits by means of the PC expansion. These techniques were tailored to handle specific systems, namely, multiconductor transmission lines [2]–[4] and lumped element circuits [5], [6]. Instead, the variability analysis technique presented in this paper can be applied to any generic multiport system, if the linear system can be described by a state-space model. The starting point of the proposed technique is the evaluation of the system transfer function on a discrete set of frequencies and geometrical or physical parameters chosen for the variability analysis. The transfer function of the system in the frequencydomain can be expressed in different forms (e.g., scattering, impedance, or admittance parameters), making the proposed method applicable to a large range of microwave systems. Next, a univariate frequency-domain macromodel is computed using the vector-fitting (VF) technique [7]–[9] for each combination of the discretized design parameters. In this paper, we refer to these initial univariate macromodels as root macromodels. Afterwards, a state-space realization is obtained for each root macromodel, allowing to calculate the PC model with respect to the random variables under consideration. The main advantage of this new approach is clear: the PC-model of the state-space matrices is able to describe the statistical properties of the system over the entire frequency range of the chosen samples. Furthermore, the PC model of the system transfer function can be calculated for each frequency of interest by combining the PC model of the state-space matrices with the existing deterministic equations for systems expressed in state-space form. Finally, the corresponding PC expansion of the ports voltage and current can be easily obtained from the PC representation of the system transfer function. This paper is structured as follows. First, an overview of PC theory is given in Section II. The variability analysis in the frequency-domain is described in Section III, and two pertinent numerical microwave examples are presented in Section IV, validating the proposed technique. Conclusions are summed up in Section V. II. PRELIMINARIES: PC PROPERTIES Under specific conditions [10], a stochastic process can be expanded as a series of orthogonal polynomials with suitable coefficients as [1]

0018-9480/$31.00 © 2012 IEEE

(1)

2330

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

where are the corresponding orthogonal polynomials depending on the vector of normalized random variables and the coefficients are called PC coefficients. Regarding the polynomials, the following orthogonality condition is satisfied [11]: (2) where are positive numbers, is the Kronecker delta, and , called weighting function in the theory of orthogonal polynomials [12], is a probability measure with support . The construction of the PC expansion (1) entails the following threestep process. Step 1) Calculating the orthogonal polynomials . Step 2) Truncating the series to a finite order. Step 3) Computing the PC coefficients . If the stochastic process is composed of independent random variables, the identification of the orthogonal polynomials, also called basis functions, is straightforward: the global uncertainty probability density function (PDF) is the product of the PDFs of the single random variables. In this case, the weighting function can be written as

approach described in [1], [11], and [13]. In this case, decorrelation can be obtained via a variable transformation, such as the Nataf transformation [14] or the Karhunen–Loéve expansion [15] and the convergence rate of the PC expansion may not be exponential. After determination of the basis functions, (1) is truncated as follows: (6) Next, the PC coefficients must be computed. Therefore, expressing a stochastic process through the PC expansion requires the calculation of suitable scalar coefficients for known basis functions. As pointed out in Section I, the main advantage of the PC expansion is the analytical representation of the system variability. For example, the mean and the variance of the stochastic process can be written as [1] (7) (8)

(3) where is the number of random variables. Therefore, due to the orthogonality relation (2), the basis functions can be calculated as product combinations of orthogonal polynomials corresponding to each individual random variable [13]. Consequently, (1) can be truncated to a limited number of basis functions as with

and

Apart from all moments, also stochastic functions of , such as the PDF and the cumulative density function (CDF), can be computed following standard analytical formulas or numerical schemes [16]. If the stochastic process under study is written in a matrix form , the PC coefficients must be calculated for each entry of . In this case, (6) can be written as

(4)

(9)

where represents the polynomial function of degree and is the highest corresponding to the random variable degree of the polynomials used in the truncated PC expansion. It is easy to show [1] that the total number of basis functions used in the PC expansion is

where is the matrix of PC coefficients for the th polynomial basis and has the same size of . For a complete reference to PC theory, the reader is referred to [1] and [10]–[13].

(5) Note that for random variables with specific PDFs (indicated in the sequel as standard distributions) the basis functions are the polynomials of the Wiener–Askey scheme [12]. For example, in the Gaussian PDF case the basis functions are the Hermite polynomials, and in the uniform PDF case the basis functions are the Legendre polynomials. The optimality of the polynomials of the Wiener–Askey scheme is guaranteed as their weighting function corresponds to the PDF of the associated random variable, when placed in a standard form [11], [12]. Due to this property, an exponential convergence rate can be achieved [11]. Furthermore, optimal basis functions can be calculated numerically for independent random variables with arbitrary PDFs following the approach described in [11]. In the general case of correlated random variables with arbitrary PDFs, the basis functions can be calculated following the

III. VARIABILITY ANALYSIS OF MULTIPORT SYSTEMS A. Transfer Function PC Modeling The starting point of our approach is the description of a multiport system with a generic linear input–output representation in state-space form (10) (11) where the dependency on a vector of random variables is explicitly indicated. The goal is to calculate the PC expansion in the form (9) of the state-space variables , and consequently, of the output , starting from the PC expansion of the state-space matrices. Without loss of generality, for ease of notation, the random variables of the stochastic process are chosen as independent and the corresponding PDFs are standard distributions. Note, however, that (10) and (11) can also be calculated for the

SPINA et al.: VARIABILITY ANALYSIS OF MULTIPORT SYSTEMS VIA PC EXPANSION

general case of correlated random variables with arbitrary distributions, using the techniques described in Section II. In what follows, we will demonstrate that, to achieve our goal, it is necessary to do the following. • Decide on the number of basis functions (5). • Compute the PC coefficients of the state-space matrices. • Calculate and solve an equivalent linear system for the coefficients of the PC expansion of . • Combine the obtained results in a suitable way in order to obtain the PC expansion of . In our approach, the number of basis functions is chosen up front, based on the consideration that, for practical applications, can be limited between two and five [2], [12]. Two main approaches exist in the literature to compute the PC coefficients: the spectral projection and the linear regression technique [1]. The first approach projects the stochastic process on each basis function, requiring the evaluation of the following multidimensional integral: (12) for each coefficient of the PC expansion. The second approach calculates all the PC coefficients solving a least square system [1] (13) Equation (13) is calculated with respect to an initial set of discrete samples of the normalized random variables , indicated as . The th row of the matrix contains the multivariate polynomial basis evaluated at and the matrix represents the corresponding set of stochastic process values. To apply the linear regression approach in our method, the equivalent matrices and must be built for the state-space matrices in (10) and (11). The proposed technique starts by computing univariate frequency-domain macromodels, called root macromodels [17], [18]. This is done by invoking the VF algorithm times, i.e., for a discrete set of values of the normalized random variables , each time using fre. A simple pole-flipping scheme is used quency samples to enforce stability [7]. Afterwards, a state-space realization is obtained for each stable root macromodel using a realization technique. The realization technique used to convert a pole-residue model to a state-space form has an influence on the smoothness of the state-space matrices with respect to the design parameters, and therefore, on the accuracy of the final PC model. We use a standard Gilbert realization [19] in our approach. Note that all realizations of all state-space matrices must have the same dimensions to build the matrix . This requirement can easily be satisfied if one considers that the range of variation of each random variable is relatively small. Therefore, the VF algorithm is applied first to estimate the maximum number of poles needed for the rational modeling by computing the poles at the corner points of the discrete set of initial data, and afterwards to build the corresponding root macromodels using this number of poles. Finally, ordering the basis functions and the state-space matrices computed for each , an equivalent

2331

(13) can be obtained for each state-space matrix. Let us suppose that poles are needed to build each root macromodel, then the matrices and of (13) calculated for the state-space matrix can be written as .. .

.. .

.. .

.. .

.. .

(14)

is the product of the identity matrix with where the th basis function calculated for the th sample of , the symbol , , represents the th PC coefficient matrix, while is the matrix calculated for . Equation (13) for the state-space matrices can be solved in a least squares sense using an element-wise column-wise or matrix-wise approach. At this point, we have obtained the representation of the statespace matrices in the form (9), using an a priori estimation of the expansion order and the linear regression method to calculate the PC coefficients, which are from now on denoted as , , , , . Using (9) to express the state-space matrices, the state-vector and the output, (10) and (11) can be rewritten as

(15)

(16) where the only unknowns are the matrices of PC coefficients for the state-vector, and for the output. Next we calculate the desired state-vector coefficients solving a corresponding linear system of the form (17) where is the matrix containing all PC coefficients of the matrix, is the matrix containing all unknown PC coefficients, and is a matrix containing weighted scalar products, as discussed in what follows. Equation (17) can be obtained by projecting (15) on the basis functions of the PC expansion. To explain how (17) is built, let us for simplicity assume that the state-space matrices depend on one random variable and two basis functions are used for the PC expansion. The extension to the case of multiple random variables and higher order of

2332

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

expansion is straightforward. Furthermore, the explicit dependency on the vector is omitted in the following equations for the sake of clarity. In this simplified case, (15) can be rewritten as

(18) Due to the orthogonality relation (2), projection of (18) onto the first basis function yields

(19) Similarly, projecting (18) onto the second basis function obtain

, we

(20) Upon calculation of the scalar products in (19) and (20), a matrix equation in the form (17) is obtained (21) where

Fig. 1. Flowchart of the proposed modeling strategy.

(22) and is the identity matrix. Note that solving (21) for different frequency samples does not require renewed calculation of the scalar products in (22). Finally, it is now possible to directly compute the PC coefficients of the output . Indeed, because of the orthogonality relation (2), projecting (16) onto the basis functions , leads to

(23) where all the scalar products were already precomputed in the previous step in order to build the matrix . The proposed PC-based approach aims at performing frequency-domain variability analysis on a large range of microwave systems (e.g., filters, connectors, nonuniform transmission lines), overcoming the limitation of the previously developed PC-based technique [2]–[6] that were tailored to handle specific systems. This appealing characteristic of the proposed PC-based method is obtained by applying the PC

expansion to a state-space representation of the multiport systems. This approach has the following two main advantages: • the system transfer function can be expressed in several forms such as scattering, impedance, or admittance parameters; • the PC model of the state-space representation is frequency independent; however, it allows to describe the system in a large frequency range, see (15) and (16). Indeed, the frequency-dependent PC expansion of the system transfer function can be calculated for every frequency of interest by solving the linear system (17) for and applying the results obtained in (23). To solve (17), it is only required to compute the projection of (15) onto each basis function. We remark that these projections are frequency independent and can be calculated up front. The proposed modeling strategy is summarized in Fig. 1. B. Port Voltages and Currents PC Modeling In this section, we describe how to compute the PC expansion of the port voltages and currents starting from the PC expansion of the transfer function of the multiport system. We suppose that the terminations are linear and independent of the random variables . For ease of notation, the dependency on the Laplace variable and the random variables are omitted in the following equations. For a multiport system described by its impedance parameters , the following relation applies [20]: (24)

SPINA et al.: VARIABILITY ANALYSIS OF MULTIPORT SYSTEMS VIA PC EXPANSION

2333

where the symbol is used for the port voltages and is used for the port currents. We introduce the terminations of the lines to solve (24). In case of linear loads, we have

TABLE I LEGENDRE POLYNOMIALS PRODUCTS RANDOM VARIABLES WITH

FOR TWO INDEPENDENT AND [3]

(25) where is the vector of the source currents, while the matrices and describe linear resistive and capacitive lumped elements at the ports of the system. Substituting (25) in (24) gives (26) In (26) only and depend on the random variables , and therefore application of the PC expansion leads to

(27) represents the vector containing the th PC coeffiwhere cients of the port voltages and is a matrix containing the th PC coefficients of the impedance parameters. The desired PC coefficients for the port voltages are again obtained by projecting (27) onto the basis functions , as follows:

Fig. 2. Example A: cross section of the lossy microstrip.

with uniform PDFs. The corresponding basis functions are products of the Legendre polynomials [13] and are shown in Table I1 for and , while the weighting function (3) is

(28) As before, the scalar products are already known because they were pre-computed during the calculation of the matrix . Therefore, the th PC coefficient vector of the port voltages can be calculated immediately from (28). Once the PC expansion for the port voltages is calculated, the corresponding expression for the port currents can be obtained directly expressing (25) with respect to the chosen basis functions as follows:

(29) Similar relations apply in the case of admittance and scattering parameters, as illustrated in Section IV. IV. NUMERICAL EXAMPLES In this section, the proposed technique is applied to two different structures. In each example, the scattering parameters of the structure, calculated with respect to a reference impedance of 50 , are considered as a stochastic process with respect to two or three independent random variables ( or )

(30)

elsewhere.

The proposed PC-based method and the MC method are compared to validate the efficiency and accuracy of our novel technique. In each example, the scalar products in (17) are calculated analytically on beforehand. The simulations are performed with MATLAB2 2010a on a computer with an Intel Core i3 processor and 4-GB RAM. A. Transmission Line In this first example, a lossy microstrip line of length 8 cm is modeled within the frequency range [0–4] GHz. Its cross section is shown in Fig. 2. The copper line has width m, thickness m, and conductivity S/m. The dielectric is SiO of thickness m with relative permittivity and loss tangent . The length and width of the conductor and the dielectric relative permittivity are considered as independent random variables with a uniform PDF, varying within a range of 5% with respect to the previously mentioned central value. We note that the choice of a line length as parameter for the variability analysis is particularly meaningful because of the following: 1Based on the fact that Legendre polynomials. 2The

Mathworks Inc., Natick, MA.

where

are the

2334

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 3. Example A: variability of the magnitude of . The thick red line (in and , while the blue online version) corresponds to the central value for lines (in online version) are the results of MC simulations.

Fig. 4. Example A: the top plot shows a comparison between the mean of the obtained with the MC analysis (full black line) and the proposed real part of ] for the validation frePC-based method [green circles (in online version): quencies. The lower plot shows the absolute error between the two values.

TABLE II EXAMPLE A: EFFICIENCY OF THE PROPOSED PC-BASED TECHNIQUE

• it causes a shift of the resonances of the microstrip since a total variation of 0.8 cm in the line length is considered during the variability analysis (see Fig. 3); • it cannot be modeled using the techniques described in [2]–[4] since they start from a stochastic model of the per-unit-length parameters for the variability analysis. The scattering parameters are evaluated using a quasi-analytical model [21] over a grid composed of 4 4 4 ( ) samples for all the random variables and 101 samples for the frequency. The frequency samples are divided in two groups: modeling points (51 samples), used to calculate the state-space representation of the scattering parameters, and validation points (50 samples), used to verify the accuracy of the PC model with respect to the MC analysis. The state-space matrices are calculated using the VF algorithm, targeting 50 dB as maximum absolute model error between the scattering parameters and the corresponding root macromodels in order to estimate the required number of poles. The PC expansion is calculated using and according to (5). In Table II, the computational time needed for the MC analysis (performed using 10 000 samples for the validation frequencies) and the proposed PC-based technique is reported. Additionally, for the proposed PC method,

Fig. 5. Example A: the top plot shows a comparison between the standard deobtained with the MC analysis (full black line) viation of the real part of ] for and the proposed PC-based method [green circles (in online version): the validation frequencies. The lower plot shows the absolute error between the two values.

the computational time needed to calculate the initial samples and to build the polynomial model of the scattering parameters is shown. The comparison in Table II illustrates the significant efficiency gain of the proposed technique. To calculate the port voltages and currents variability, we use a frequency-domain Thévenin voltage source of 1 V with a source impedance of 50 . The line is also terminated by 50 . The proposed PC-based technique has an excellent accuracy compared with the classical MC analysis in computing system variability features, as shown in Figs. 4–7. In particular, Figs. 4 and 5 show the mean and the standard deviation of the real part of the element , Fig. 6 describes the PDF and the CDF of at 1.24 GHz and Fig. 7 shows the standard deviation of the imaginary part of the current at the output port of the microstrip. Similar results can be obtained for the other entries of the scattering matrix and for the port signals.

SPINA et al.: VARIABILITY ANALYSIS OF MULTIPORT SYSTEMS VIA PC EXPANSION

Fig. 6. Example A: PDF and CDF of the magnitude of at 1.24 GHz. Full black line: PDF computed using the novel technique. Dashed black line: CDF : PDF computed using MC computed using the novel technique. Circles : CDF computed using MC technique. technique. Squares

2335

Fig. 9. Example B: variability of the magnitude of . The thick red line (in online version) corresponds to the central value for and , while the blue lines (in online version) are the results of MC simulations.

TABLE III EXAMPLE B: EFFICIENCY OF THE PROPOSED PC-BASED TECHNIQUE

Fig. 7. Example A: the top plot shows a comparison between the standard deviation of the imaginary part of the current at the output port of the microstrip obtained with the MC analysis (full black line) and the proposed PC-based method ] for the validation frequencies. The lower [green circles (in online version): plot shows the absolute error between the two values.

Fig. 8. Example B: geometry of the double-folded stub microstrip bandstop filter.

Fig. 10. Example B: standard deviation of imaginary part of obtained with the MC analysis using different sample size. Full black line: standard deviation computed using 10 000 samples; Dashed green line (in online version): stan: standard deviation dard deviation computed using 1000 samples; Squares computed using 100 samples.

B. Double Folded Stub Microstrip Bandstop Filter In this second example, a double folded stub microstrip bandstop filter [17] has been modeled within the frequency range [4.75–20.25] GHz. Its layout is shown in Fig. 8.

The substrate is 0.1270 mm thick with a relative dielectric constant and a loss tangent . The length and the distance are considered independent random

2336

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 11. Example B: the top plot shows a comparison between the mean of the obtained with the MC analysis (full black line) and the proposed real part of ] for the validation frePC-based method [green circles (in online version): quencies. The lower plot shows the absolute error between the two values.

Fig. 12. Example B: the top plot shows a comparison between the standard obtained with the MC analysis (full black line) deviation of the real part of ] for and the proposed PC-based method [green circles (in online version): the validation frequencies. The lower plot shows the absolute error between the two values.

variables with a uniform PDF, varying in a range of 10% with respect to the central value mm and mm, respectively. The scattering parameters are evaluated using the program ADS Momentum3 over a grid composed of 6 6 samples for the geometrical parameters and 62 samples for the frequency. The frequency samples are then divided in two groups: modeling points (31 samples) and validation points (31 samples). In this example, the scattering parameters show a high variability with respect to , as shown in Fig. 9. As in the previous example, VF is used to construct the root macromodels for the modeling points, and the accuracy target was again set at 50 dB. The PC expansion is calculated using and according to (5). 3Momentum

EEsof EDA, Agilent Technologies, Santa Rosa, CA.

Fig. 13. Example B: PDF and CDF of the magnitude of at 7.5 GHz. Full black line: PDF computed using the novel technique. Dashed black line: CDF : PDF computed using MC computed using the novel technique. Circles : CDF computed using MC technique. technique. Squares

Fig. 14. Example B: the top plot shows a comparison between the standard deviation of the imaginary part of the voltage at the output port of the filter obtained with the MC analysis (full black line) and the proposed PC-based method ] for the validation frequencies. The lower [green circles (in online version): plot shows the absolute error between the two values.

The comparison between the computational time needed for the MC analysis performed using 10 000 samples for the validation points and the proposed PC-based technique is shown in Table III, demonstrating the efficiency of the proposed PC-based method. In Fig. 10, it is shown an example of the convergence rate of the MC analysis in computing the system variability features; note how the choice of the sample size for the MC analysis affects the accuracy. In order to evaluate the variability of the port voltages and currents, the filter is excited by a frequency-domain Thévenin voltage source of 1 V with a source impedance of 50 and the filter is terminated on a 50- impedance. Figs. 11–13 show the accuracy of the proposed PC-based technique compared to the classical MC analysis in computing system variability features. In particular, Figs. 11 and 12 show the mean and standard deviation of the real part of the element

SPINA et al.: VARIABILITY ANALYSIS OF MULTIPORT SYSTEMS VIA PC EXPANSION

, Fig. 13 describes the PDF and the CDF of at 7.5 GHz and Fig. 14 shows the standard deviation of the imaginary part of the voltage at the output port of the filter. Similar results can be obtained for all other entries of the scattering matrix and for the port signals. V. CONCLUSION In this paper, an innovative technique for efficient variability analysis of general multiport systems, such as interconnections, filters, connectors, etc., is presented. It is based on the calculation of root macromodels of the system transfer functions and on the PC expansion of the corresponding state-space matrices. The approach allows a representation of the transfer function including its statistical properties. This transfer function may be expressed in terms of, e.g., scattering, impedance, or admittance parameters, as such making it applicable to a large range of systems. The accuracy and efficiency of the proposed method are validated by means of comparison with the standard MC approach, and this for two distinct illustrative examples. REFERENCES [1] M. S. Eldred, “Recent advance in non-intrusive polynomial-chaos and stochastic collocation methods for uncertainty analysis and design,” in Proc. 50th AIAA/ASME/ASCE/AHS/ASC Struct., Structural Dynam., Mat. Conf., Palm Springs, CA, May 2009, Art. ID AIAA 2009-2274. [2] I. S. Stievano, P. Manfredi, and F. G. Canavero, “Parameters variability effects on multiconductor interconnects via Hermite polynomial chaos,” IEEE Trans. Compon., Packag., Manuf. Technol., vol. 1, no. 8, pp. 1234–1239, Aug. 2011. [3] I. S. Stievano, P. Manfredi, and F. G. Canavero, “Stochastic analysis of multiconductor cables and interconnects,” IEEE Trans. Electromagn. Compat., vol. 53, no. 2, pp. 501–507, May 2011. [4] D. V. Ginste, D. De Zutter, D. Deschrijver, T. Dhaene, P. Manfredi, and F. G. Canavero, “Stochastic modeling based variability analysis of on-chip interconnects,” IEEE Trans. Compon., Packag., Manuf. Technol., 2012, accepted for publication. [5] Q. Su and K. Strunz, “Stochastic circuit modelling with Hermite polynomial chaos,” Electron. Lett., vol. 41, no. 21, pp. 1163–1165, Oct. 2005. [6] K. Strunz and Q. Su, “Stochastic formulation of SPICE-type electronic circuit simulation using polynomial chaos,” ACM Trans. Model. Comput. Simulation, vol. 18, no. 4, pp. 501–507, Sep. 2008. [7] B. Gustavsen and A. Semlyen, “Rational approximation of frequency domain responses by vector fitting,” IEEE Trans. Power Del., vol. 14, no. 3, pp. 1052–1061, Jul. 1999. [8] B. Gustavsen, “Improving the pole relocating properties of vector fitting,” IEEE Trans. Power Del., vol. 21, no. 3, pp. 1587–1592, Jul. 2006. [9] D. Deschrijver, M. Mrozowski, T. Dhaene, and D. D. De Zutter, “Macromodeling of multiport systems using a fast implementation of the vector fitting method,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 6, pp. 383–385, Jun. 2008. [10] W. Schoutens, Stochastic Processes and Orthogonal Polynomials. Berlin, Germany: Springer, 2000. [11] J. A. S. Witteveen and H. Bijl, “Modeling arbitrary uncertainties using Gram–Schmidt polynomial chaos,” in Proc. 44th AIAA Aerosp. Sci. Meeting and Exhibit, Palm Springs, CA, Jan. 2006, Art. ID AIAA2006-0896. [12] D. Xiu and G. M. Karniadakis, “The Wiener–Askey polynomial chaos for stochastic differential equations,” SIAM J. Sci. Comput., vol. 24, no. 2, pp. 619–644, Apr. 2002. [13] C. Soize and R. Ghanem, “Physical systems with random uncertainties: Chaos representations with arbitrary probability measure,” SIAM J. Sci. Comput., vol. 26, no. 2, pp. 395–410, Jul. 2004.

2337

[14] A. D. Kiureghian and P. L. Liu, “Structural reliability under incomplete probability information,,” ASCE J. Eng. Mech., vol. 112, no. 1, pp. 85–104, 1986. [15] M. Loeve, Probability Theory, 4th ed. Berlin, Germany: SpringerVerlag, 1977. [16] A. Papoulis, Probability, Random Variables and Stochastic Processes. New York: McGraw-Hill, 1991. [17] F. Ferranti, L. Knockaert, and T. Dhaene, “Parameterized -parameter based macromodeling with guaranteed passivity,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 10, pp. 608–610, Oct. 2009. [18] F. Ferranti, L. Knockaert, T. Dhaene, and G. Antonini, “Passivity-preserving parametric macromodeling for highly dynamic tabulated data based on Lur’e equations,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 12, pp. 3688–3696, Dec. 2010. [19] E. G. Gilbert, “Controllability and observability in multivariable control systems,” SIAM J. Control, vol. 2, no. 1, pp. 128–151, 1963. [20] D. M. Pozar, Microwave Engineering, ser. Elect. Comput. Eng.. Reading, MA: Addison-Wesley, 1990. [21] K. C. Gupta, R. Garg, I. Bahl, and P. Bhartia, Microstrip Lines and Slotlines, 2nd ed. Norwood, MA: Artech House, 1996.

Domenico Spina received the M.S. degree (summa cum laude) in electronic engineering from Università degli Studi dell’Aquila, L’Aquila, Italy, in 2010, and is currently working toward the Ph.D. degree at the Department of Information Technology, Ghent University, Ghent, Belgium. His current research interests include modeling and simulation, system identification, microwave engineering, sensitivity, and uncertainty analysis.

Francesco Ferranti (M’10) received the B.S. degree (summa cum laude) in electronic engineering from the Università degli Studi di Palermo, Palermo, Italy, in 2005, the M.S. degree (summa cum laude with honors) in electronic engineering from Università degli Studi dell’Aquila, L’Aquila, Italy, in 2007, and the Ph.D. degree in electrical engineering from Ghent University, Ghent, Belgium, in 2011. He is currently a Post-Doctoral Research Fellow with the Department of Information Technology, Ghent University. His current research interests include parametric macromodeling, parameterized model order reduction, electromagnetic compatibility, numerical modeling, and system identification.

Tom Dhaene (M’00–SM’05) was born in Deinze, Belgium, on June 25, 1966. He received the Ph.D. degree in electrotechnical engineering from Ghent University, Ghent, Belgium, in 1993. From 1989 to 1993, he was a Research Assistant with the Department of Information Technology, University of Ghent, where his research focused on different aspects of full-wave electromagnetic circuit modeling, transient simulation, and time-domain characterization of high-frequency and high-speed interconnections. In 1993, he joined the Electronic Design Automation Company Alphabit (now part of Agilent), Santa Clara, CA. He was one of the key developers of the planar electromagnetic (EM) simulator ADS Momentum. In September 2000, he joined the Department of Mathematics and Computer Science, University of Antwerp, Antwerp, Belgium, as a Professor. Since October 2007, he has been a Full Professor with the Department of Information Technology, Ghent University. He has authored or coauthored over 250 peer-reviewed papers and abstracts in international conference proceedings, journals, and books. He holds five U.S. patents.

2338

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Luc Knockaert (M’81–SM’00) received the M.Sc. degree in physical engineering, M.Sc. degree in telecommunications engineering, and Ph.D. degree in electrical engineering from Ghent University, Ghent, Belgium, in 1974, 1977, and 1987, respectively. From 1979 to 1984 and 1988 to 1995, he was involved with the North–South cooperation and development projects with the University of the Democratic Republic of Congo and the University of Burundi. He is currently with the Interdisciplinary Institute for BroadBand Technologies and a Professor with the Department of Information Technology, Ghent University. He has authored or coauthored over 100 papers in international journals and conference proceedings. His current research interests include the application of linear algebra and adaptive methods in signal estimation, model-order reduction, and computational electromagnetics. Prof. Knockaert is a member of the Mathematical Association of America and the Society for Industrial and Applied Mathematics.

Giulio Antonini (M’94–SM’05) received the Laurea degree (summa cum laude) in electrical engineering from the Università degli Studi dell’Aquila, L’Aquila, Italy, in 1994, and the Ph.D. degree in electrical engineering from the University of Rome “La Sapienza,” Rome, Italy, in 1998. Since 1998, he has been with the Department of Electrical Engineering, UAq EMC Laboratory, University of L’Aquila, where he is currently an Associate Professor. He has given keynote lectures and chaired several special sessions at international conferences. He has authored or coauthored over 180 technical papers and two book chapters. He serves as member of the Editorial Board of IET Science, Measure-

ments, and Technology. He holds one European patent. His current research interests include electromagnetic compatibility analysis, numerical modeling, and signal integrity for high-speed digital systems. Dr. Antonini is the chair of the IEEE EMC Italy Chapter, the chair of the TC-10 Committee, and a member of the TC-9 Committee of the IEEE Electromagnetic Compatibility (EMC) Society. He serves as a reviewer for a number of IEEE publications. He was the recipient of the IEEE TRANSACTIONS ON ELECTROMAGNETIC COMPATIBILITY Best Paper Award (1997), the CST University Publication Award (2004), and the IBM Shared University Research Award (2004, 2005, 2006), a Technical Achievement Award from the IEEE EMC Society (2006), and the Institution of Engineering and Technology-Science, Measurement and Technology Best Paper Award (2008).

Dries Vande Ginste (M’07) was born in 1977. He received the M.S. and Ph.D. degrees in electrical engineering from Ghent University, Ghent, Belgium, in 2000 and 2005, respectively. He is currently an Assistant Professor with the Department of Information Technology, Electromagnetics Group, Ghent University. In 2004, he was a Visiting Scientist with the Department of Electrical and Computer Engineering, University of Illinois at Urbana–Champaign. In 2011, he was a Visiting Professor with the Dipartimento di Elettronica, EMC Group, Politecnico di Torino, Turin, Italy. His current research interests include computational electromagnetics, electromagnetic compatibility, signal and power integrity, and antenna designs. Dr. Vande Ginste was the recipient of the International Union of Radio Science (URSI) Young Scientist Award of the 2011 URSI General Assembly and Scientific Symposium.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

2339

TLM Extension to Electromagnetic Field Analysis of Anisotropic and Dispersive Media: A Unified Field Equation Arij Léo Farhat, Sandrick Le Maguer, Patrick Quéffélec, Senior Member, IEEE, and Michel Ney, Fellow, IEEE

Abstract—The transmission-line matrix (TLM) method, in time domain, is extended to account for the presence of anisotropic and dispersive media in electromagnetic structures or devices. The model is thoroughly constructed by using Maxwell’s equations that make it a unified general TLM formulation. Numerical results are compared with experimental measurements; hence, in the case of ferrite-based structures, validating the model and showing the accuracy of the approach. Index Terms—Anisotropic and dispersive media, ferrites, nonreciprocal circuits, permeability, time-domain analysis, transmission-line matrix (TLM) method.

I. INTRODUCTION

O

VER THE last few years, the rapid development of communication applications has generated a growing interest for miniaturization and cost reduction of microwave devices. The increase of operating frequencies and tunability are additional constraints that require more complex and accurate models for computer-aided design (CAD) of communication system components. Among those components, circulators and isolators use the anisotropic properties of ferrite materials to insure the required nonreciprocal character of the wave propagation. Their field-dependent permeability provides some tunability of circuits, such as filters and phase shifters. More recently, their high refractive index has found interest in the size reduction of patch antennas. It has been demonstrated that antennas with a magneto-dielectric substrate that exhibits a permeability greater than its permittivity has better performances than those deposited on pure dielectric substrates [1]. To assist the design of ferrite-based microwave devices, one needs to have a proper design tool enabling the prediction of Manuscript received June 23, 2011; revised December 28, 2011; accepted January 05, 2012. Date of publication April 10, 2012; date of current version July 30, 2012. A. L. Farhat is with Cobham Microwaves, 91978 Villebon-sur-Yvette, France (e-mail: [email protected]). S. Le Maguer is with “Sprezzatura,” 29200 Brest, France (e-mail: [email protected]). P. Quéffélec is with the Laboratoire des Sciences et Techniques de l’Information, Communication et de la Connaissance (Lab-STICC, UMR CNRS), Université de Bretagne Occidentale, UFR Sciences, 29200 Brest, France (e-mail: [email protected]). M. Ney is with the Laboratoire des Sciences et Techniques de l’Information, Communication et de la Connaissance (Lab-STICC, UMR CNRS), Telecom Bretagne, 29200 Brest, France (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2190090

the microwave behavior of ferrite samples whatever their magnetization state. For instance, it is considered to use hexaferrite materials that operate at the remanent state to work out self-biased circulators [2]. It would also be very interesting to be able to predict the variation of the performances of patch antennas deposited on a ferrite substrate as a function of its magnetization state. Magnetized ferrites are anisotropic media. Their electromagnetic properties must be represented by a tensor quantity, called the permeability tensor. The usual permeability model based on the Polder formulations [3] is only valid for a saturated sample. Designing ferrite microwave devices requires the knowledge of the permeability tensor of the magnetic materials used as a substrate, which directly influence the guided wavelengths and the performances of the devices. The objective of this paper is twofold: first, to develop a rigorous model for field computation in the presence of complex media and second to insert a new model of nonsaturated ferrites [4] into the algorithm. Presently there is no commercial simulator capable to account for the complex physical phenomena appearing in the electromagnetic structures using magnetized magnetic materials, such as the following: • nonhomogenous internal polarization field implying a space variation of the permeability; • nonsaturated zones in plate ferrite samples due to demagnetizing fields even for substrates biased with a strong dc field; • dynamic interactions between magnetic domains in nonsaturated regions of the ferrite substrate and between grains whatever the magnetization state; • magnetostatic modes. The above phenomena must be accounted for in the model, as they strongly affect the performances of the device in terms of bandwidth, insertion losses, etc. They can also preclude miniaturization of circulators. We have observed that a cutoff band appeared in the experimental response of miniaturized Y-junction circulators [5]. This degradation was missing in the transmission signal predicted by commercial softwares. Finally, the time-domain character of the TLM not only allows a wideband characterization, but also accounts for the presence of potential nonlinearities. To predict the dynamic behavior of polycrystalline ferrites for an arbitrary magnetization state, one proposes a theoretical approach that provides all tensor components. They are functions of the dc bias field strength and direction, the sample geometry,

0018-9480/$31.00 © 2012 IEEE

2340

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

and static magnetic characteristics such as saturation magnetization and magnetocrystalline anisotropy field. Finally, sample structural properties (magnetic domains and grains shape) are accounted for by the model. Details of the proposed theoretical approach can be found in [4]. In this paper, the TLM is first extended to general dispersive and anisotropic media. Some pioneer work, based on circuit analogy, was presented for the TLM model [6]–[8]. This paper focuses on a formulation based directly on Maxwell’s equations [9]. It gives a clear and systematic derivation that constitutes a general approach, which can be applied to any type of media. Two different types of media are then studied: unmagnetized plasma considered as a dispersive medium and a nonsaturated ferrite (with an arbitrary magnetization state).

TABLE I ELECTROMAGNETIC QUANTITIES

II. TLM THEORETICAL MODEL A. Formulation: Unified Field Equation Let us consider a general anisotropic and dispersive medium in which electromagnetic quantities are governed by the general Maxwell curl equations in time domain

(1) where subscript and stand for electric and magnetic, respectively, * denotes the convolution operation, double underlined quantities are tensors, is the speed of light in vacuum, and the other electromagnetic quantities are defined in Table I. For the symmetrical condensed node (SCN) TLM, the presence of materials affects the field values at the node center, while arm impedances are all kept at free-space value. The application of the general procedure described by Peña and Ney in [9]

for general media is new and not straightforward. However, it has the advantage to allow the development for all TLM nodes such as hybrid symmetrical condensed node (HSCN) or super condensed symmetrical condensed node (SSCN) nodes, by following the same general procedure. Equation (1) can be written as (2), shown at the bottom of this page. 1) Maxwell–Ampere: Consider the -component of the Maxwell–Ampere’s curl equation in (2),

(3)

(2)

FARHAT et al.: TLM EXTENSION TO ELECTROMAGNETIC FIELD ANALYSIS OF ANISOTROPIC AND DISPERSIVE MEDIA

2341

The above relation can be sampled in the plane , according to the illustration shown in Fig. 1, and shown in (4) at the bottom of this page. By multiplying this equation by , one can write (5), shown at the bottom of this page. Rearranging (5) yields (6), shown at the bottom of this page. Now, by setting

and with

(7) Fig. 1. Field component sampling for discretization of Maxwell–Ampere’s curl’s equation -component.

and

(8)

Equation (6) becomes (9), shown at the bottom of the following page. Now, one can introduce the incident arm voltage

(4)

(5)

(6)

2342

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

at time and link them to field components at the node center and at time ,

Fig. 2. Field component sampling for discretization of Maxwell–Faraday’s curl’s equation -component.

Convolution products can be eliminated via Laplace transform and (14) can be written in the compact form (10) by setting (15) (11) and

(12)

where is the Laplace parameter. 2) Maxwell–Faraday: One can follow the similar procedure by using Faraday–Maxwell’s equation with the field sampling illustrated in Fig. 2. Consider the -component of the Maxwell–Faraday’s curl equation in (2),

(13) The subscript indicates field components computed from incident voltages , is the excitation that can exist at the note location and is the resulting field at the node center. Equation (13) yields (14), shown at the bottom of this page.

(16)

(9)

(14)

FARHAT et al.: TLM EXTENSION TO ELECTROMAGNETIC FIELD ANALYSIS OF ANISOTROPIC AND DISPERSIVE MEDIA

2343

According to the illustration shown in Fig. 2, (16) can be sampled in the plane , as shown in (17) at the bottom of this page. Now, by setting (18) (19) (20) Equation (17) can be written as (21), shown at the bottom of this page. After manipulation, (21) gives (22), shown at the bottom of this page. By setting

(25) Equation (22) becomes (26), shown at the bottom of the following page. Applying the Laplace transform eliminates the convolution products, and (26) can be written in the compact form

(23) and

(24)

(27)

(17)

(21)

(22)

2344

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

In compact matrix notation, the process can be written as follows:

Usually, elements of (32) are complex valued expressions and approaches such as Prony’s decomposition should be used. One now has all quantities to compute updated field values at the node center through the use of the -transform to obtain in time domain

(28) (33) with

being the identity matrix, and where

(29)

and

Once the discrete-time system is characterized, one can determine the updated fields in the node arms for the next time iteration. Note that, in this formulation, these quantities are defined as updated to distinguish them from the reflected voltages on the transmission lines. In previous formulations [6]–[8], these quantities are called the reflected fields. B. Digital Filtering

(30)

Hence, the right-hand-side vector of (28) gives the updated value of all field components at the node center and time by inverting the matrix such as (31), shown at the bottom of this page. One defines the tensor (32)

Once elements of the tensor (32) are determined, it is necessary to transform it to the discrete time domain to make it compatible with the TLM algorithm. This is achieved by using the -transform. However, tensor’s element expressions have to be first approximated by polynomial ratios expressed in frequency domain. 1) Frequency-Domain Prony Method: Given a set of NF complex frequency-domain data samples, , Prony’s method [10], [11] yields a least square approximation by using exponential basis functions. Let be the number of poles, be the residue,

(26)

(31)

2345

FARHAT et al.: TLM EXTENSION TO ELECTROMAGNETIC FIELD ANALYSIS OF ANISOTROPIC AND DISPERSIVE MEDIA

and be the complex frequency of the th pole, the starting point for this procedure is the approximation

where

(34) and setting 3) State Space Equation: The transfer function that represents the reflectivity can now be written in the following form:

Taking the Laplace transform yields

(35) (39)

Equation (35) is modified by increasing the order of the numerator by one for physical reasons. The goal of the Prony method is to identify the Padé coefficients ’s and ’s. After applying the procedure detailed in [12] to solve (35), Padé coefficients can be determined. Solving for the roots of the numerator and denominator lead to

The output equation of the state-space system is (40) where are the state variables. Combining (39) and (40) yields the state equation (41)

Evaluation of this expression for each variable leads to (42)

(36) 2) -Transform Method: Once the approximation has been obtained as expressed in (36), the discrete-time model is developed by using the -transform technique. The bilinear -transform for a single zero is

(43) (44) Expressing (42) and (44) in matrix form yields

(37) where

.. .

is the time-shift operator and the -domain zero is at

(45)

and

and Applying (37) on (36) yields, after some manipulations,

.. .

..

(38)

.. .

.. .

.

(46)

2346

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 3. Representation of the state space equations.

Fig. 5. Plasma-filled metal cavity resonance spectrum. Vertical lines show theoretical solutions. TABLE II COMPARISON BETWEEN TLM AND THEORETICAL RESULTS

persive medium and a nonsaturated ferrite (with an arbitrary magnetization state) characterized by an anisotropic and dispersive extra diagonal tensor. A. Isotropic and Dispersive Media One can consider an unmagnetized plasma with the following electric susceptibility: (48)

Fig. 4. Prony approximation of the tensor element (amplitude and phase).

Using compact notation, the general system of these equations is

is the plasma pulsation where resonance and is the plasma collision frequency. The plasma is considered as a dispersive dielectric with no conductivity . Equating (48) and (32) gives the resulting tensor

(47) The flow graph shown in Fig. 3 illustrates the general state space system corresponding to (47). III. ANISOTROPIC AND DISPERSIVE MEDIA To demonstrate the novelty and relevance of the proposed technique, two different types of dispersive and anisotropic materials are studied: an unmagnetized plasma considered as a dis-

.. .

.. .

(49)

2347

FARHAT et al.: TLM EXTENSION TO ELECTROMAGNETIC FIELD ANALYSIS OF ANISOTROPIC AND DISPERSIVE MEDIA

Fig. 6. Prony approximation of tensor’s elements (absolute values of

and

The inverse matrix is given by inverting each diagonal element

By applying Prony’s decomposition, the approximation of the function is then performed. Fig. 4(a) shows a comparison of the analytic and approximated amplitude function. The Prony approximation also gives a very good fit of the phase of the function, as shown in Fig. 4(b). Finally, a digital filtering is performed by applying a -transformation to obtain (33) in time domain. as described in Section II. The studied plasma sample has the following characteristics:

.. . .. .

).

rad s (50) The element of the tensor is estimated using the frequency-domain Prony method. Starting with the function known analytically in the frequency domain, which is, in this case, each element of the tensor , (51) Note that this is a first-order function.

GHz

The medium fills a rectangular metal cavity and resonance frequencies have to be determined. One of the dimensions (along the height) is small so that only modes are excited. The cavity is excited by a Gaussian pulse and a fast Fourier transform (FFT) is performed to determine mode resonance frequencies. Fig. 5 shows the mode spectrum, and resonance frequencies are compared with theoretical results. As can be observed in

2348

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 7. Rectangular waveguide measurement cell.

Table II, comparison between both methods yields some excellent agreement. B. Anisotropic and Dispersive Magnetic Media Depending on the strength of the applied magnetic dc field, a ferrite medium can be set in various states of magnetization: completely demagnetized, fully saturated [3], remanent, or partially saturated [13]–[15]. In the latter case, the ferrite is subdivided into Weiss domains, and thus must be considered as heterogeneous medium. This makes the calculation of the tensor quite complicated. To circumvent this difficulty, empirical models of permeability tensor were proposed [15], [16]. These models have the disadvantage of not presenting all the elements of the permeability tensor in a single course. That is why a new statistic model that provides all the elements of the tensor of ferrites in a single calculation process and that takes the hysteresis phenomenon into account was needed. The permeability tensor model developed in our laboratory [4], [17] has the advantage, compared to theoretical approaches previously proposed in the literature, of not considering the partly magnetized medium as composed of independent domains, but rather made of interactive grains composed of coupling domains. Assuming that a dc magnetic field is applied along the -direction, the permeability tensor in the Cartesian coordinate system takes the following well-known form: (52)

Fig. 8. Experimental test device.

Fig. 9. Confining of the energy along the -axis in the waveguide cross section: waves propagated in the positive and negative directions.

where all tensor components and in a real medium are complex quantities owing to the existence of magnetic losses. Equating (52) and (32) gives the resulting tensor shown in (53) at the bottom of the this page, where the electric susceptibility is related to its relative permittivity by and the magnetic susceptibility is .

(53)

(54)

FARHAT et al.: TLM EXTENSION TO ELECTROMAGNETIC FIELD ANALYSIS OF ANISOTROPIC AND DISPERSIVE MEDIA

Fig. 10.

-parameters magnitude versus frequency for different values of the magnetic static field applied . Ferrite under test properties: A/m, A/m. (top) Magnetic static field applied A/m. (middle) Magnetic static field applied (bottom) Magnetic static field applied.

One can write the inverse matrix as (54), shown at the bottom of the previous page, and

where

2349

T, A/m.

2350

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Approximations of functions and are performed by applying Prony’s decomposition with different values of . Fig. 6 shows that Prony’s approximation gives an adequate fit to functions for . One can follow the same procedure detailed in Section II-B to perform the digital filtering for ferrites. The above model is tested for validation by considering the reflection/transmission of a rectangular waveguide partly filled with ferrite, as shown in Fig. 7. The simulated -parameters at -band frequencies (8–12 GHz) will be compared with those measured for ferrites with well-known properties. The experimental propagation structure is a waveguide loaded with ferrite that is positioned between the poles of an electromagnet to magnetize the sample as shown in Fig. 8. When a uniform static magnetic field is applied along the side of the waveguide ( -axis of the Cartesian coordinate system), the field displacement occurs along the large side of the guide ( -axis) (Fig. 9). The nonreciprocity of the field displacement along the -axis, which depends on the wave propagation direction, breaks the symmetry and the reciprocity of the cell. The condition of nonreciprocity of the cell has been proven by experimentation for different ferrites and values of the applied static field [19]. The validation of the proposed method consists in verifying that the -parameters simulated with the TLM are in accordance with the ones measured for the ferrite biased by various strengths of the applied dc field. The experimental results were obtained with an -band rectangular waveguide (dimensions and mm) made of brass. -parameters were measured for different values of the applied static magnetic field. The cell was loaded with a ferrite of a saturation magnetization T, an anisotropy field A/m, and a resonance linewidth A/m. The network analyzer (HP 8510B) calibration procedure, necessary to achieve accurate measurements at high frequencies, is a thru-reflect-line (TRL) [20]. Measurements illustrated in Fig. 10 proved the nonreciprocity of the measurement device. One can also observe a good agreement between the measurement and simulations over a wide frequency band and for different magnetization states of the ferrite. In the -band, the theoretical and experimental -parameters magnitudes are very close. Moreover, measurements and simulations show the same shift for the resonance frequency appearing in the reflection parameter. The study of the cell sensitivity has allowed us to observe significant variations in the values and frequency behavior of -parameters when the electromagnetic characteristics of the ferrite or the magnetic static field magnitude changes. This confirms the good accuracy of the theoretical results. IV. CONCLUSION The permeability tensor model of polycrystalline ferrites as a function of the dc bias field has been, for the first time, derived from a self-consistent theoretical approach and integrated in the TLM algorithm. The TLM algorithm was implemented in the case of general anisotropic and dispersive media. The theoretical derivation was

revisited, starting with Maxwell’s equations, without invoking circuit analogy. The procedure is general and can be applied to derive the algorithm for extended TLM nodes. Preliminary results computed in the case of a dispersive plasma medium show that the model is accurate when compared with theoretical results. To illustrate the interest of this theoretical approach for practical applications, an example of a waveguide partly filled with a ferrite in different polarization states has been given. Comparison between experimental measurements and TLM simulations yielded a good agreement. The ultimate objective is to insert a new pseudoanalytical model for ferrites in different magnetization states that are used for planar nonreciprocal devices implemented in low-temperature co-fired ceramic (LTCC). REFERENCES [1] H. Mosallaei and K. Sarabandi, “Magneto-dielectrics in electromagnetics: Concept and applications,” IEEE Trans. Antennas Propag., vol. 52, no. 6, pp. 1558–1567, Jun. 2004. [2] S. A. Oliver, P. Shi, W. Hu, H. How, S. W. McKnight, N. E. McGruer, P. M. Zavracky, and C. Vittoria, “Integrated self biased hexaferrite microstrip circulators for millimeter-wavelength applications,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 2, pp. 385–387, Feb. 2001. [3] D. Polder, “On the theory of ferromagnetic resonance,” Philosoph. Mag., vol. 40, pp. 99–115, Jan. 1949. [4] P. Gelin and P. Quéffélec, “Generalized permeability tensor model: Application to barium hexaferrite in a remanent state for self-biased circulators,” IEEE Trans. Magn., vol. 44, no. 1, pp. 24–31, Jan. 2008. [5] A. Guennou, B. Della, P. Quéffélec, P. Gelin, and J. L. Mattei, “Influence of the magnetic field nonuniformity on an -band microstrip Y-junction circulator bandwidth: Theory/experiment comparison,” IEEE Trans. Magn., vol. 43, no. 6, pp. 2642–2644, Jun. 2007. [6] J. Paul, C. Christopoulos, and D. Thomas, “Generalized material models in TLM—Part 1: Materials with frequency-dependent properties,” IEEE Trans. Antennas Propag., vol. 47, no. 10, pp. 1529–1534, Oct. 1999. [7] J. Paul and C. Christopoulos, “Generalized material models in TLM\Part 2: Materials with frequency-dependent properties,” IEEE Trans. Antennas Propag., vol. 47, no. 10, pp. 1535–1542, Oct. 1999. [8] J. Paul, C. Christopoulos, and D. Thomas, “Generalized material models in TLM—Part 3: Materials with nonlinear properties,” IEEE Trans. Antennas Propag., vol. 50, no. 7, pp. 997–1004, Jul. 2002. [9] N. Peña and M. M. Ney, “A general formulation of a three-dimensional TLM condensed node with the modeling of electric and magnetic losses and current sources,” in 12th Annu. Rev. Progr. Appl. Comput. Electromagn., Monterey, CA, Mar. 18–22, 1996, pp. 262–269. [10] M. L. Van Blaricum and R. Mittra, “A technique for extracting the poles and residues of a system directly from its transient response,” IEEE Trans. Antennas Propag., vol. AP-23, no. 6, pp. 777–781, Nov. 1975. [11] W. L. Ko and R. Mittra, “A combination of FDTD and Prony’s methods for analyzing microwave integrated circuits,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 12, pp. 2176–2181, Dec. 1991. [12] J. N. Brittingharam, E. K. Miller, and J. L. Willows, “Pole extraction from real-frequency information,” Proc. IEEE, vol. 68, no. 2, pp. 263–273, Feb. 1980. [13] G. T. Rado, “Theory of the microwave permeability tensor and Faraday effect in non saturated ferromagnetic materials,” Phys. Rev., vol. 89, p. 529, 1953. [14] E. Schlömann, “Microwave behavior of partially magnetized ferrites,” J. Appl. Phys., vol. 41, no. 1, pp. 204–214, Jan. 1970. [15] J. Green and F. Sandy, “Microwave characterization of partially magnetized ferrites,” IEEE Trans. Microw. Theory Tech., vol. MTT-22, no. 6, pp. 641–645, Jun. 1974. [16] M. Igarashi and Y. Nato, “Tensor permeability of partially magnetized ferrites,” IEEE Trans. Microw. Theory Tech., vol. MTT-13, no. 9, pp. 568–571, Sep. 1977. [17] P. Gelin, P. Quéffélec, and F. Le Pennec, “Effect of domain and grain shapes on the dynamic behavior of polycristalline ferrites. Application to the initial permeability,” J. Appl. Phys., vol. 98, Sep. 2005, Art. ID 053906.

FARHAT et al.: TLM EXTENSION TO ELECTROMAGNETIC FIELD ANALYSIS OF ANISOTROPIC AND DISPERSIVE MEDIA

[18] P. Gelin and K. Berthou-Pichavant, “New consistent model for ferrite permeability tensor with arbitrary magnetization state,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 8, pp. 1185–1192, Aug. 1997. [19] P. Quéffélec, M. Le Floc’h, and Ph. Gelin, “Nonreciprocal cell for the broad band measurement of tensorial permeability of magnetized ferrites: Direct problem,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 4, pp. 390–397, Apr. 1999. [20] G. Engen and C. Hoer, “Thru-reflect-line: An improved technique for calibrating the dual six-port automatic network analyzer,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 12, pp. 987–993, Dec. 1979.

Arij Léo Farhat received the Ph.D. degree from Telecom Bretagne, Brest, France, in 2011. His research activities with the Laboratory of Sciences and Techniques of Information, Communication and Knowledge (Lab-STICC), concerned the numerical modeling of electromagnetic wave propagation in anisotropic media. He is currently with Cobham Microwave, Villebon-sur-Yvette, France, as a Research and Development Engineer, where his development activities concern ferrite devices as circulators, isolators, and phase shifters for space, medical, and military applications.

2351

Sandrick Le Maguer, photograph and biography not available at time of publication.

Patrick Quéffélec (A’99–M’99–SM’07) received the Ph.D. and Habilitation degrees from the University of Brest, Brest, France, in 1994 and 2002, respectively. He is currently a Professor involved with research at the Laboratory of Sciences and Techniques of Information, Communication and Knowledge (Lab-STICC), a research unit associated with the French National Research Council (UMR CNRS n°6285), Brest, France. His research activities deal with the electromagnetic wave propagation in heterogeneous and anisotropic media. He proposes new approaches in materials and measurements for microwave ferrites and devices. Motivated by the applications of new magnetic materials in nonreciprocal or tunable devices, he investigates the fundamental properties of magnetoelectric nanocomposites at microwave frequency.

Michel Ney (S’80–M82–SM’91–F’11), photograph and biography not available at time of publication.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

On the Equivalence Between the Maxwell-Garnett Mixing Rule and the Debye Relaxation Formula Bartlomiej Salski, Member, IEEE, and Malgorzata Celuch, Member, IEEE

Abstract—This paper presents a closed-form noniterative transformation of the Maxwell-Garnett mixing rule for biphased mixtures to the triple-pole Debye relaxation formula. For the first time, it is formally proven that such a transformation is complete for conductive constituent materials. In other words, the Maxwell-Garnett representation of any biphased mixture of any conductive materials always has its formal equivalent in the Debye form with three poles at most. For specific aspect ratios of ellipsoidal inclusions, the number of poles reduces to one or two, which is formally proven herein, while in previous studies, a single-pole Debye model was arbitrarily assumed. The proposed transformation provides Debye parameters as an explicit function of a mixture composition, which is competitive to alternative techniques based on laborious curve-fitting algorithms. The newly proposed approach is of particular importance to time-domain modeling of dilute mixtures, where the Maxwell-Garnett mixing rule is usually approximated with available dispersive models. Computational examples given in this paper show advantages of the presented method over previous Maxwell-Garnett to Debye conversion algorithms, in terms of accuracy, robustness, and computational cost. Index Terms—Carbon, composite materials, computational electromagnetics, dispersion, electromagnetic wave absorption.

I. INTRODUCTION

A

RTIFICIALLY composed inhomogeneous materials have recently attracted a lot of attention within the research and industrial communities. One of the main reasons is that they offer very interesting possibilities of modifying bulk electromagnetic properties of composite materials with practically no influence on their mechanical properties. Thus, classical construction materials used for a variety of applications may also acquire new functions, such as screening of electromagnetic waves or their reflectionless absorption. This concerns, for example, polymer composites doped with carbon fibers or nanotubes [1]. Due to a complicated microscopic geometry of such mixtures, a quantitative knowledge about their electromagnetic properties is not that straightforward so it has become essential to develop models (either analytical or numerical) providing macroscopic electromagnetic properties Manuscript received December 20, 2011; revised April 20, 2012; accepted April 27, 2012. This work was supported in part by the Polish National Centre for Research and Development under Contract ERA-NET-MNT/14/2009. B. Salski is with QWED, Warsaw 02-078, Poland (e-mail: bsalski@qwed. com.pl). M. Celuch is with the Institute of Radioelectronics, Warsaw University of Technology, Warsaw 00-665, Poland (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2201743

of such mixtures. Those models must be sufficiently accurate to allow virtual prototyping with as little as possible of costly and time-consuming experiments. It is frequently required to predict electrical properties of a particular mixture, or, reversing the problem, adjusting the mixture composition providing the desired material properties. The only practical approach is to develop models of macroscopic homogeneous equivalents of microscopically inhomogeneous mixtures. Otherwise, direct electromagnetic analysis of an inhomogeneous material comprising nanoscale insertions would typically lead to prohibitive requirements for computer resources. Typically, the models of effective permittivity of mixtures are specified in a frequency domain [2]. Thus, they are not directly applicable in time-domain simulations. One of the advantages of time-domain methods is the possibility of extracting wideband characteristics from a single simulation. To profit from such a possibility, one needs to apply a time-domain model, which is accurate in a wide frequency band. Typically, time-consuming and often cumbersome procedures for fitting the effective permittivity formula with one of the dispersive models available in the time-domain are applied. Such an approach is often used to fit the classical Maxwell-Garnett mixing rule [3], quantifying effective permittivity of dilute mixtures, with the Debye relaxation formula, the implementation of which is known in timedomain computational routines [4], [5]. There are a few methods frequently applied to convert one dispersive model to another, such as genetic algorithms [6] or other large-scale optimization methods [7]. A common disadvantage of those methods, however, is that there is no certainty that the convergence between the two models will be achieved. Moreover, if a curve-fitting algorithm fails to converge, it is not clear whether it is due to an erroneously chosen optimization algorithm, a wrong starting point, or simply because the models are nonequivalent. Recently, to overcome the aforementioned drawbacks, the concept of a noniterative transformation of the Maxwell-Garnett mixing rule to the single-pole Debye model has been introduced by the authors of this paper [8], [9]. It has been shown that, under explicitly specified conditions, the transformation is accurate and robust. A similar approach has been independently investigated in [10] and [11], where the authors focused on the conditional equivalence between the single-pole Debye model and the Maxwell-Garnett formula for the mixtures with spherical and cylindrical inclusions. The authors of [10] and [11] have provided a solution for several types of constituent materials, such as nondispersive, dispersive, and conductive. It can also be recalled that an alternative method, converting a biphased Frohlich model to the Lorentz-Debye dispersive model, has also been recently published [12].

0018-9480/$31.00 © 2012 IEEE

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

In this paper, a general solution is introduced, formally proving that the Maxwell-Garnett mixing rule for biphased conductive mixtures is unconditionally equivalent to the triple-pole Debye model. The advantage of the concept is that the conversion lacks arbitrary assumptions or approximate solutions. Thus, there are no restrictions concerning the shape of ellipsoidal inclusions, which can be spherical, cylindrical, or disk shaped with an arbitrary aspect ratio. It is also shown that, under clearly specified conditions, the triple-pole Debye model can be reduced to a single- or dual-pole one, providing similar solutions to those of [10] and [11]. In Section II, the Maxwell-Garnett rule for biphased mixtures, together with the triple-pole Debye relaxation formula, are expressed as rational functions and the noniterative transformation is specified in detail. In Section III, a complete solution providing Debye coefficients as a function of the Maxwell-Garnett parameters is given. In Section IV, computational validation is presented, focusing on the accuracy of the presented transformation and its advantages over alternative approaches, like that in [10] and [11]. II. RATIONAL FUNCTIONS REPRESENTATION Consider a biphased mixture comprised of ellipsoidal inclusions uniformly dispersed within a host material. The MaxwellGarnett mixing rule representing effective permittivity of such a composite may be given in the following form [6]:

(5) are real numbers and . where , , , and Such a procedure has been exploited in [9]. Now, an algorithmically similar, but essentially novel approach can be applied to the triple-pole Debye relaxation formula given as follows: (6) where and denote, respectively, optical and static permittivity, is a relaxation time, is electric conductivity, and denotes the number of a dispersive pole. Consequently, (6) can be rearranged into rational functions of its real and imaginary components (7) (8) It can be noticed that both (4) and (5) and (7) and (8) are now given in a comparable notation. Thus, if (4) is further rearranged into a single rational function, the following equivalence relations will be obtained: for

(1)

where and denote bulk relative permittivity of the host and the ellipsoidal inclusions, respectively, is the volume fraction of inclusions, and , the so-called depolarization factor [13]

(2) where denote the consecutive Cartesian coordinates, and , , and stand for semiaxes of an ellipsoid. It is assumed, hereafter, that both the host and inclusions permittivities are complex and given as follows: (3) where and denote bulk conductivity of the host and inclusions, respectively, stands for the angular frequency, and is the vacuum permittivity. Applying (3) in (1), the following polynomial notation of (1) in a complex domain can be derived:

(9)

for

(10)

for

(11)

for

(12)

The consideration given above explicitly proves that, in the case of conductive constituents of a biphased mixture, as given in (3), the triple-pole Debye dispersive model is unconditionally equivalent to the Maxwell-Garnett mixing rule. As will be shown later, in specific cases the triple-order Debye model can be reduced to the first- or second-order one, depending on the shape of inclusions. However, a major challenge now is to compute and compare the polynomial coefficients of both rational functions. Those derivations will be given in Section III. III. POLYNOMIAL COEFFICIENTS In order to evaluate polynomial coefficients of the rational functions given in (4) and (5), representing the Maxwell-Garnett mixing rule, and represent them in a compact notation, the following auxiliary terms are introduced: (13) (14)

(4)

(15)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SALSKI AND CELUCH: EQUIVALENCE BETWEEN MAXWELL-GARNETT MIXING RULE AND DEBYE RELAXATION FORMULA

(16) (17)

3

except conductivity , can be determined if the polynomial coefficients of the rational function, as given in (7), are derived. For that purpose, the following auxiliary terms are introduced:

(18) (19)

(49)

(20)

(50) (51) (52) (53)

(21) (22)

Thus, the polynomial coefficients in (7) are given as

(23)

(54)

(24)

(55)

(25)

(56)

(26)

(57)

(27)

(58)

(28)

(59)

(29)

(60)

(30) (31) (32) (33) (34) (35)

, , , and are the unknown where , , , variables to be further determined, while and are explicitly given by (9) and (10), as a function of the original Maxwell-Garnett parameters. The Debye optical permittivity can be immediately computed from (60) as follows: (61)

Applying those newly defined terms, the Maxwell-Garnett polynomial coefficients in (4) and (5) are given as follows:

Since (54)–(56) are symmetric in , the same cubic function for all

, they lead to (62)

(36) (37) (38) (39) (40) (41) (42) (43) for

(44) (45) (46) (47) (48)

Subsequently, the attention will be focused on the triple-pole Debye relaxation formula, as given in (6). Those components,

the roots of which can be noniteratively computed [14]. Decoefficients, up to three roots can be the sopending on the lution of (62), indicating the total number of poles of the Debye dispersive model. Subsequently, the solution of (62) together with (56) and (51) provides the Debye relaxation coefficients (63) and . where Eventually, the solution of (57)–(59) leads to the Debye static permittivity coefficients (64)–(66), shown at the bottom of the following page. If a single root is given in (62), producing zero in the denominators in (64) and (65), it implies that , and the static permittivity of the single pole is given by (66). The remaining component in (6), i.e., electric conductivity , can be computed comparing (5) with (8), which leads to the following relation: (67)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 1. (a) Real part of the Maxwell-Garnett formula for a biphased mixture S/m) uniformly distributed in composed of spherical inclusions ( for various volume fractions of inclusions. (b) Magnitudes Teflon of relative discrepancy of the solutions computed with the method proposed in this paper (blue (in online version) lower curves) and with that in [10] (red (in online version) upper curves), both referred to the solution shown in (a).

Concluding this section, a rigorous derivation of the triple-pole Debye model from the Maxwell-Garnett formula for biphased conductive mixtures has been conducted. Implementation of the method proposed in this paper in the form of a MATLAB script is available at [15]. In Section IV, several examples will be investigated to validate the concept and to compare performance of the method with alternative solutions. In all the examples, it is assumed that the inclusions are randomly dispersed in the host material. IV. COMPUTATIONAL VALIDATION A. Spherical Inclusions Consider a biphased mixture composed of spherical incluS/m, uniformly sions, with electrical conductivity of distributed in Teflon . Such composition is considered as the Case 5s-B in [10, Table I]. Figs. 1(a) and 2(a) show the real and imaginary permittivity components, respectively, computed with the Maxwell-Garnett formula, as given in (1),

Fig. 2. (a) Imaginary part of the Maxwell-Garnett formula for a biphased mixS/m) uniformly distributed ture composed of spherical inclusions ( for various volume fractions of inclusions. (b) Magnitudes in Teflon of relative discrepancy of the solutions computed with the method proposed in this paper (blue (in online version) lower curves) and with that in [10] (red (in online version) upper curves), both referred to the solution shown in (a).

for various volume fractions of inclusions. Next, the formula has been transformed to the Debye model using the method proposed in this paper and with the one presented in [10]. Figs. 1(b) and 2(b) depict the magnitudes of relative inaccuracy of both solutions compared with the reference Maxwell-Garnett formula. Although the chosen volume fractions can extend beyond the applicability of the Maxwell-Garnett mixing rule, which is much below the percolation threshold, the authors follow the computational examples given in [10] to show the advantage of the method proposed in this paper over alternative solutions. It can be seen that both methods (that of [10] and the one presented in this paper) provide highly accurate Debye representation of the original Maxwell-Garnett rule. The accuracy of our method is several orders of magnitude better than that of [10] for the considered example. This can be mathematically attributed to more degrees of freedom having been allowed in our approach. An additional and practical advantage is that the method presented in this paper allows taking into account conductive losses

(64) (65) (66)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SALSKI AND CELUCH: EQUIVALENCE BETWEEN MAXWELL-GARNETT MIXING RULE AND DEBYE RELAXATION FORMULA

Fig. 3. (a) Real part of the Maxwell-Garnett formula for a biphased mixture S/m), with the aspect ratio of composed of cylindrical inclusions ( , for various volume fractions 500, uniformly distributed in Teflon of inclusions. (b) Magnitudes of relative discrepancy of the solutions computed with the method proposed in this paper (blue (in online version) lower curves) and with that in [11] (red (in online version) upper curves), both referred to the solution shown in (a).

of a host material, which has not been demonstrated explicitly in [10], although conductive losses can be represented by the Debye model with a relaxation constant approaching infinity. It becomes essential when the volume fraction of inclusions is relatively small and/or electrical losses of the host material cannot be neglected, giving a nonnegligible contribution to the parameters of the composite. B. Cylindrical Inclusions Consider now the biphased mixture processed with the same constituent materials as in the previous example. In this case, however, inclusions are in the shape of prolate spheroids with the aspect ratio assumed to be 500:1. Such composition is considered as the Case 5s-B in [11, Table I]. Figs. 3(a) and 4(a) show the real and imaginary permittivity components, respectively, computed with the Maxwell-Garnett formula, as given in (1), for various volume fractions of the spheroidal inclusions. Figs. 3(b) and 4(b) depict the magnitudes of relative inaccuracy of the solution presented in this paper and the one given in [11], both compared to the Maxwell-Garnett formula. It can be noticed in Fig. 3(b) that the accuracy of the method given in [11] substantially deteriorates with frequency, reaching 3.4%, 10.8%, and 23.14% above the Debye’s relaxation frequency for 2.5%, 8.4%, and 20.1% inclusions’ volume fraction, respectively. To the contrary, the accuracy of the method proposed in this paper is better than 0.05 parts per million (ppm)

5

Fig. 4. (a) Imaginary part of the Maxwell-Garnett formula for a biphased mixS/m), with the aspect ratio ture composed of cylindrical inclusions ( , for various volume fractions of 500, uniformly distributed in Teflon of inclusions. (b) Magnitudes of relative discrepancy of the solutions computed with the method proposed in this paper (blue (in online version) lower curves) and with that in [11] (red (in online version) upper curves), both referred to the solution shown in (a).

in the whole computed spectrum and about 3–8 orders of magnitude more accurate than that of [11]. The issue is even more significant if imaginary components are considered as shown in Fig. 4(b), where the inaccuracy of the method given in [11] reaches 68.1%, 66.6%, and 63.4% above the Debye’s relaxation frequency, for 2.5%, 8.4%, and 20.1% inclusions’ volume fraction, respectively. The reason for such deterioration of the results computed with the method given in [11] is that it does not take into account the contribution of a shorter semiaxis of the considered prolate spheroids to the generation of a second dispersive pole. This can be demonstrated by retrieving the Debye coefficients. The conversion with the method given in this paper provides the following Debye parameters: , , , ps, fs, and S/m, while the method given in [11] gives , , ps, and S/m. It can be seen that the second pole is of minor importance in the considered example since it appears much higher in the frequency spectrum. Nevertheless, the importance of the issue increases with the decrease of the inclusions’ aspect ratio, when both relaxation constants are becoming closer. It should be emphasized that the method presented in this paper lacks any of the above-mentioned drawbacks and it is up to the user to decide whether the higher order dispersive pole are to be neglected or not.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

C. Ellipsoidal Inclusions

Fig. 5. (a) Real part of the Maxwell-Garnett formula for a biphased mixture S/m), with the aspect ratios composed of ellipsoidal inclusions ( , for the of 1:1:500 and 1:1.1:500, uniformly distributed in Teflon volume fraction of 2.5%. (b) Magnitudes of relative discrepancy for both aspect ratios, both referred to the solution computed with (1).

Fig. 6. (a) Imaginary part of the Maxwell-Garnett formula for a biphased mixS/m), with the aspect ratios ture composed of ellipsoidal inclusions ( , for the of 1:1:500 and 1:1.1:500, uniformly distributed in Teflon volume fraction of 2.5%. (b) Magnitudes of relative discrepancy for both aspect ratios, both referred to the solution computed with (1).

As opposed to [10] and [11], the method proposed in this paper allows a conversion from the Maxwell-Garnett formula to the Debye dispersive model for the arbitrary aspect ratios of the ellipsoidal inclusions. In particular, tri-axial ellipsoids can be considered. The method can be applicable to the modeling of such inclusions as sand [16], atmospheric ice [17], or it can be used to take into account a nonideal aspect ratio of the applied carbon fibers. Figs. 5(a) and 6(a) show the real and imaginary permittivity components computed with the method proposed in this paper for a 2.5% inclusions’ volume fraction for two slightly different aspect ratios: 1:1:500 and 1:1.1:500. The conversion provides the following Debye parameters: , , , , ps, fs, fs, and S/m, and , , , , ps, fs, fs, and S/m, respectively. It can be seen that a small variation of one of the smaller axes of the ellipsoids contributes to a noticeable change of the static permittivity [see Fig. 5(a)] and maximum losses [see Fig. 6(a)], leading to significant inaccuracies, as shown in Figs. 5(b) and 6(b), if the actual dimensions of each of the three axes cannot be rigorously taken into account. V. CONCLUSION It has been formally proven for the first time in the literature that a triple-pole Debye dispersive model is an unconditional equivalent of the Maxwell-Garnett formula for biphased conductive mixtures. If spheroidal inclusions are considered, the dispersive model automatically reduces to a single pole, while the cylindrical inclusions formally require two poles. However, if the aspect ratio of the cylindrical inclusions is large enough, as in the case of carbon fibers, those two poles are sufficiently distant, and the single-pole dispersion can be conditionally assumed. In the case of ellipsoidal inclusions with nonequal axes, a third Debye pole is required to represent effective permittivity dispersion properly. It can be concluded that each axis of the ellipsoidal inclusions dispersed in a mixture is associated with a single relaxation pole, thus requiring three Debye poles to represent the mixture with arbitrary ellipsoidal inclusions. This can be justified in the following way. If one considers a mixture with the aligned (oriented) ellipsoidal inclusions, the axes of which are nonequal, the resultant mixture will be anisotropic. According to [13] and [18], if the inclusions are aligned with the Cartesian axes, effective permittivity of such a mixture will be different along each of the axes and will depend on the polarizability of the inclusions along that axes. In consequence, permittivity along each axes will be represented by a different Debye pole. Subsequently, if inclusions are equally dispersed in the host material, in terms of their orientation, effective permittivity becomes isotropic. This is due to the fact that, in average, the same amount of inclusions is oriented along each of the Cartesian axes. However, as a result of mixing, permittivity along each axes will be the sum of those three Debye poles.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SALSKI AND CELUCH: EQUIVALENCE BETWEEN MAXWELL-GARNETT MIXING RULE AND DEBYE RELAXATION FORMULA

The authors consider a key contribution of this work to reside in a systematic transformation between the Maxwell-Garnett and Debye models. It demonstrates their formal equivalence and allows a priori choice of the number of the Debye poles for guaranteed convergence and accuracy. Moreover, the work also brings practical advantages. Namely, it allows much faster and more accurate optimization of microwave scenarios exploiting dilute biphased mixtures. While our own target environment has been that of the FDTD method, the proposed methodology and the derived explicit formulas are applicable in other electromagnetic simulation methods. The method proposed in this paper can be extended in the future to other types of constituent materials, like dispersive ones. REFERENCES [1] A. Sihvola, Electromagnetic Mixing Formulas and Applications. London, U.K.: IEE Press, 1999. [2] L. Jylha and A. Sihvola, “Equation for the effective permittivity of particle-filled composites for material design applications,” J. Phys. D, Appl. Phys., vol. 40, pp. 4966–4973, 2007. [3] J. C. M. Garnett, “Colours in metal glasses and metal films,” Philos. Trans. Roy. Soc. London A, Math. Phys. Sci., vol. 3, pp. 385–420, 1904. [4] A. Taflove and S. C. Hagness, Computational Electrodynamics—The Finite-Difference Time-Domain Method. Boston, MA: Artech House, 2005. [5] G. Kristensson, S. Rikte, and A. Sihvola, “Mixing formulas in time domain,” J. Opt. Soc. Amer. A, Opt. Image Sci., vol. 15, pp. 1411–1422, 1998. [6] M. Y. Koledintseva, J. L. Drewniak, and R. DuBroff, “Modeling of shielding composite materials and structures for microwave frequencies,” Progr. Electromagn. Res. B, vol. 15, pp. 197–215, 2009. [7] B. Salski and M. Celuch, “Optimisation of carbon-reinforced absorbing and bands,” in 40th Eur. Microw. Conf., 2010, composites for pp. 890–893. [8] B. Salski and M. Celuch, “Electromagnetic modeling of inhomogeneous composites with conductive inclusions,” presented at the 13th Comput. Modeling Microw. Eng. Appl. Seminar, Thun, Switzerland, Mar. 2011. [9] B. Salski and M. Celuch, “The Debye rigorous equivalent of the Maxwell Garnett mixing rule for effective modeling of carbon suspensions under MW irradiation,” in IEEE MTT-S Int. Microw. Symp. Dig., Baltimore, MD, Jun. 2011, pp. 1–4. [10] F. de Paulis, M. H. Nisanci, M. Y. Koledintseva, J. L. Drewniak, and A. Orlandi, “From Maxwell Garnett to Debye model for electromagnetic simulation of composite dielectrics part I: Random spherical inclusions,” IEEE Trans. Electromagn. Compat., vol. 53, no. 4, pp. 933–942, Nov. 2011. [11] M. H. Nisanci, F. de Paulis, M. Y. Koledintseva, J. L. Drewniak, and A. Orlandi, “From Maxwell Garnett to Debye model for electromagnetic simulation of composite dielectrics part II: Random cylindrical inclusions,” IEEE Trans. Electromagn. Compat., vol. 54, no. 2, pp. 280–289, Apr. 2011. [12] J. Qi and A. Sihvola, “Dispersion of the dielectric Fröhlich model and mixtures,” IEEE Trans. Dielectr. Electr. Insul., vol. 18, no. 1, pp. 149–154, Feb. 2011. [13] A. Sihvola and J. A. Kong, “Effective permittivity of dielectric mixtures,” IEEE Trans. Geosci. Remote Sens., vol. 26, no. 4, pp. 420–429, Jul. 1988. [14] R. S. Irving, Integers, Polynomials, and Rings. New York: SpringerVerlag, 2004. [15] B. Salski, “The Matlab script file,” 2012. [Online]. Available: http:// www.qwed.eu/mg2deb.zip [16] S. I. Ghobrial and S. M. Sharief, “Microwave attenuation and cross polarization in dust storms,” IEEE Trans. Antennas. Propag., vol. AP-35, no. 4, pp. 418–425, Apr. 1987.

7

[17] A. Macke and M. I. Mishchenko, “Applicability of regular particle shapes in light scattering calculations for atmospheric ice particles,” Appl. Opt., vol. 35, no. 21, pp. 4291–4296, 1996. [18] B. Salski, “The extension of the Maxwell Garnett mixing rule for dielectric composites with nonuniform orientation of ellipsoidal inclusions,” Progr. Electromagn. Res. Lett., vol. 30, pp. 173–184, 2012. Bartlomiej Salski (M’08) received the Ph.D. degree (with honors) in electronics from the Warsaw University of Technology (WUT), Warsaw, Poland, in 2010. Since 2002, he has worked in cooperation with the Institute of Radioelectronics, WUT, during which time he has participated in several international industrial projects focused on microwave heating applicators, microwave characterization of materials, antenna design and, time-domain electromagnetic modeling. Since 2011, he has been an Assistant Professor with WUT. In 2005, he joined QWED, Warsaw, Poland, where he is responsible for the development of finite-difference time-domain (FDTD) numerical techniques and algorithms applicable to the electromagnetic analysis of periodic structures and multipole dispersive materials, as well as radiation and scattering problems. He is currently involved in several research and development projects concerning synthetic aperture radar techniques, tunable metamaterial devices, high-power microwave heating, effective modeling of polymer composites with conductive inclusions, or laser devices based on photonic crystals. He has authored over 34 publications including seven journal papers in the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION, Applied Optics, Microwave Magazine, Liquid Crystals, and Progress in Electromagnetic Research Letters. He has been a Reviewer for Progress in Electromagnetic Research and the Radioelektronika Conference and Computation in Electromagnetics Conference. Dr. Salski has been a reviewer for the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS and the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He was an invited speaker and a session chair for the 2011 Radioelektronika Conference. He is currently the secretary/treasurer of the IEEE AES/AP/MTT Joint Chapter, Poland Section. Malgorzata Celuch (M’96) received the International Baccalaureate (with honors) at the United World College of the Atlantic, South Glamorgan, U.K., and the M.Sc. (honors) and Ph.D. (honors) degrees from the Warsaw University of Technology, Warsaw, Poland, in 1988 and 1996, respectively. Since 1996, she has been an Assistant Professor with the Warsaw University of Technology. She coauthored QuickWave software. She was co-founder and Vice-President of QWED. She has authored over 150 publications, including 20 journal papers and three book chapters. She has been a Reviewer for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION, IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS and the IEEE Antennas and Propagation Society Magazine. Her main fields of research are electromagnetic modeling of microwave circuits and numerical methods for computational electromagnetics, including conformal FDTD methods, new applications of FDTD with enthalpy-dependent material parameters, and frequency-domain parameter extraction from FDTD simulations. Dr. Celuch has been a reviewer for the Journal of Microwave Power and Electromagnetic Energy, Applied Computational Electromagnetics Society Journal, International Journal of Infrared and Millimeter Waves, Physica Status Solidi B, Computer Physics Communications, and Wydawnictwa Komunikacji i Lacznosci. She has been a Technical Program Committee (TPC) member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS) since 2002. She is the founder and chair of a new TPRC sub-committee devoted to microwave power applications. She is a member of the Microwave Materials and Applications Conference MMA, IET CEM, and ANTEM. She has been an invited speaker, session organizer, and session chair at numerous conferences. Since 2008, she has acted as expert for the European Commission. She served as cochair of IEEE AES/AP/MTT Joint Chapter, Section Poland (2007–2008). She is founding member of the Polish UWC Association and also member of its Board of Audit. She was the recipient of awards from the Minister of Education, Rector, the Foundation for Polish Science, as well as the Highest Quality Workshop Award of the IEEE MTT-S IMS 2009. The QWED team was the recipient of many prestigious awards, including the Prime Minister of Poland Award and the European Information Technology Prize.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

2359

A Spurious-Free Discontinuous Galerkin Time-Domain Method for the Accurate Modeling of Microwave Filters Jesus Alvarez, Student Member, IEEE, Luis Diaz Angulo, Amelia Rubio Bretones, Senior Member, IEEE, and Salvador G. Garcia, Member, IEEE

Abstract—The simulation of highly resonant structures requires techniques that are accurate and free of spurious-mode contamination. Spurious modes can severely corrupt the solution of a physical problem, and their suppression is a must for any numerical scheme in the frequency or in the time domain (TD). In this paper, we present the application of a highly accurate spurious-free vector discontinuous Galerkin TD method to waveguide applications. We show that spurious solutions (which increase with the number of degrees of freedom of the problem) can be efficiently attenuated by using penalized fluxes. For validation, we apply our approach to the simulation of microwave filters since their highly resonant behavior is challenging for TD techniques. Index Terms—Discontinuous Galerkin, finite-element methods (FEMs), microwave filters, numerical dispersion, spurious modes, time-domain (TD) analysis.

I. INTRODUCTION

T

HE discontinuous Galerkin time-domain (DGTD) method is a numerical technique that is attracting attention in time-domain (TD) computational electromagnetics [1]. The DGTD starts from a variational formulation to integrate the spatial part of Maxwell’s TD curl equations, with an appropriate differential integration scheme for the time part. As in finite-element methods (FEMs), the space is divided into nonoverlapping elements, in each of which the solution is expanded in a set of local basis functions of arbitrary order. A weak form of Maxwell’s curl equations is found element by element by employing a Galerkin test procedure. Unlike in the FEM, the solution is allowed to be fully discontinuous across the boundaries between adjacent elements. Thus, local mass and stiffness matrices do not require the assembly of adjacent element terms, with the subsequent computational advantage over the classical FEM. DGTD needs only the inversion square

Manuscript received November 21, 2011; revised May 03, 2012; accepted May 07, 2012. Date of publication June 14, 2012; date of current version July 30, 2012. The work was supported by the European Community’s Seventh Framework Programme FP7/2007-2013 under Grant 205294 (HIRF SE project), under Spanish National Project TEC2010-20841-C04-04 and Spanish National Project CSD2008-00068, and under Junta de Andalucia Project P09-TIC-5327. J. Alvarez is with Cassidian, EADS-CASA, 28906 Getafe, Spain. L. Diaz Angulo, A. Rubio Bretones, and S. G. Garcia are with the Department of Electromagnetism, University of Granada, 18071 Granada, Spain (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2202683

matrices of elements (with the number of degrees of freedom (DOFs) per element), while the system of equations of needs to be solved at each time step for the FEM in the TD [2], [3]. For the solution between elements sharing a common surface to be connected, continuous numerical fluxes of the tangential field components are defined at the interface in the manner used in finite-volume time-domain (FVTD) methods [4]. The simplest flux condition found in the literature is the centered one, which employs a simple average of the tangential field on each side to build the flux [5]. Another one is the upwind flux [4] used in the classical FVTD, which is found from the solution of the Riemann discontinuous initial value problem, and depends both on the electric and the magnetic fields on each side. In between the centered and the upwind fluxes, there is a family of them, called partially penalized, which can be defined by using a parameter that penalizes the discontinuities in the tangential components in a lossy manner [1]. Classical continuous FEM methods, both in curl–curl and in the mixed formulation, are well known for supporting spurious modes, which are nonphysical solutions arising in the numerical approximation not present in the analytical problem. Especially harmful are nondivergent spurious modes (for divergence-free analytical problems), excited at nonnull frequencies, since they severely corrupt near-field solutions. Many strategies to reduce them are found in the literature. For the nodal (scalar basis) FEM, regularization techniques, including conditions on the divergence of the solution, have been successfully employed [6]. For the vector FEM, it is possible to use curl-conforming elements for which the basis vectors abide by the natural (dis)continuity of the electromagnetic fields,1 supporting spurious modes only at null frequency [3]. Higher order hierarchal basis functions were introduced in [7] with this purpose. DGTD also exhibits the appearance of spurious modes [8]–[14]. However, an added advantage of DGTD over FEM TD resides in its discontinuous nature, which allows them to be removed due to the use of upwind/penalized fluxes [10]–[14]. As stated above, these fluxes are characterized by the addition to Maxwell’s equations of dissipative terms, and are proven to attenuate spurious modes in space more strongly than physical modes. The suppression of spurious modes becomes a critical issue for DGTD formulations of the perfectly matched layer (PML) truncation condition since instabilities appear otherwise 1Continuity on the tangential components and discontinuity in the normal ones.

0018-9480/$31.00 © 2012 IEEE

2360

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

[15]. Both DGTD for vector and scalar basis are spurious free for penalized fluxes, and have been successfully developed by several authors [1], [5], [16]–[24], finding comparable levels of accuracy. Of course, there are advantages and disadvantages of vector and nodal formulations, basically in terms of computational implementations, also depending on the time integration scheme, but these issues lie beyond the scope of this study, and will be addressed elsewhere. In this paper, we present an analysis of the accuracy of DGTD methods for the simulation of highly resonant structures, and we demonstrate that the impact of using different fluxes depend on the observable used for comparison. This paper is organized as follows. We first summarize the vector and nodal formulations of DTGD. We next revisit and provide a deep discussion on the topic of spurious modes for simple 1-D and 3-D problems, both for centered and partially penalized fluxes. Finally, we assess the accuracy of the DGTD method depending on the flux choice using microwave filters as a workbench. II. DGTD FUNDAMENTALS A. Vector Formulation Let us assume Maxwell’s symmetric curl equations for linear isotropic homogeneous media in Cartesian coordinates. Now, let us divide the space in nonoverlapping elements , each bounded by and define, element by element, locally continuous basis/test functions, and two inner products (volume and surface) (1)

These relate the volume integral of the left-hand side (LHS) to a flux integral in the right-hand side (RHS). Classical mixed FEM TD computes the RHS of (6) and (7) by forcing the tangential component to be continuous at the interface across adjacent elements (the superscript denotes magnitudes from adjacent elements, and ). However, DGTD defines continuous numerical fluxes of the tangential-field components to be used instead of at the RHS of (6) and (7) on each side of . These tangential fields do not coincide with any of the values on any side of , but depend linearly on them, with a general form

(8) with appropriate coefficients (see Section II-C). In (8), we have included possible surface currents, required, for instance, in the implementation of Huygen’s sources [17]. Assuming that the space and time dependencies of the fields can be separated, and that the spatial part is expanded within each element in a set of basis functions equal to the set of test functions (Faedo–Galerkin method), we get (9) and a final semidiscrete algorithm is found

(10a) (2) Requiring the residue of Maxwell’s curl TD equations to be orthogonal to each basis function element by element, we find that (3) (4) (5) With being, respectively, the electric field, magnetic field, electric conductivity, magnetic conductivity, permittivity, and permeability. After some algebra, we can write (3) and (4), respectively, as

(10b) For this, we have defined the following. and are column vector varying in time with the • field coefficients (DOFs) in the element , and and with the field coefficients (DOFs) of the adjacent elements (11a) (11b) •

, , , and are column vector varying in time with the weak form of the surface source terms in the element (12a)

(6)

(12b) (12c) (12d)

(7)



is the mass matrix (13)

ALVAREZ et al.: SPURIOUS-FREE DGTD METHOD



2361

is the stiffness matrix

can be expressed in terms of the fication)

parameter by simple identi-

(14) •

are the flux matrices (19a) (15a) (19b)

(15b) with

(15c)

(15d) For the TD integration, several approaches can be chosen. The most widely employed ones are the second-order leapfrog (LF) scheme based on centered differentiation,2 and fourth-order Runge–Kutta (RK4) [1]. B. Scalar-Basis (Nodal) Formulation The fundamentals of the scalar formulation are similar to those of the vector one. Now the basis and test functions are chosen to be scalar: . The weak form of Maxwell’s equations is found by nullifying the next inner product of each scalar basis function with Maxwell’s curl equations (16) A set of equations formally similar to (10) is found, now (and ) column matrix with the vector coefficients with varying in time (17a) with the sole difference in the stiffness matrix, which is instead

, and the intrinsic impedances of the media at the element and its adjacent , respectively. The most common choices are: centered , upwind , and partial penalized numerical fluxes ). The centered flux [21] is equivalent to averaging the solutions at both sides of the interface, while the upwind flux is the usual one employed in FVTD [4] arising from the solution of the Maxwell’s equations with discontinuous initial values (Riemann problem) [5]. The terms for produce nonnull factors that introduce artificial dissipation in (10), and this effect can be shown to be stronger for spurious modes than for physical ones [9], [14], [27]. The attenuation of the nonphysical modes is maximum for the upwind flux, and null for centered flux, which dissipates neither physical, nor spurious modes. The parameter actually penalizes the discontinuities on the physically continuous tangential components [ and ] by introducing a stabilization-like effect, introducing losses, if the average jump over the face is positive, and amplification in the case of negative discontinuity. In the adjacent element, the stabilization effect will take the opposite sign. The value of the penalization parameter also has an impact on the stability constraints in the time increment, which becomes the most restrictive for the upwind flux and less restrictive for the centered flux. A tradeoff solution can be found by tuning to retain spurious-mode dissipation while relaxing the stability constraints. This fact is analyzed in case of the LF integration scheme in [27], and in [15], for Runge–Kutta schemes.

(18) Common choices for the scalar basis functions [5], are set 3-D Lagrange interpolating th-order polynomials [25] and Legendre polynomials [22]. III. NUMERICAL FLUXES Numerical fluxes reported in the literature can be seen as particular cases of the partial penalized flux [1], [15], [26], [27], with the form of (8), here rewritten for convenience as a function of a penalization parameter (the parameters in (8), 2Dissipative terms related to and require a backward approximation and ), to formulate an when solved by LF ( explicit scheme since adjacent terms involve synchronous magnitudes.

IV. NUMERICAL DISPERSION AND DISSIPATION The dispersion and dissipation of the numerical method will be studied by searching for plane-wave solutions of frequency and wave vector in general complex. These functions, replaced in the original equations, lead to an eigenproblem, with eigenvalues providing the numerical dispersion and dissipation relationships , and with eigenvectors providing the numerical-structure relationships between the DOFs (field components). For instance, the analytical Maxwell’s equations support plane waves in free space with the well-known dispersion relationship , and eigenvectors related by , with and being the free-space speed of light and impedance, respectively.

2362

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

A practical way to study the dispersion of a numerical scheme approaching Maxwell’s equations consists of restricting the space of solution to a bounded region with periodic boundary conditions (PBCs) since they can be numerically enforced in an easy way. Let us assume for simplicity a 1-D domain and let us search for modes fulfilling PBCs in space (20) . Plane-wave solutions of the form for arbitrary (leftwards and rightwards ) comply with the PBC condition (20) for a infinite numerable spectrum of real wavenumbers (each oscillating at a complex frequency )

(21) where we will refer to as a fundamental mode, and to all other as harmonic modes. Let us apply this technique to the DGTD method in a semidiscrete form in space [1]. For this, we define a column vector with all the DOFs of a given problem and express the homogeneous semidiscrete discontinuous Galerkin (DG) equations (10) (22) with being the semidiscrete DG operator. PBCs are easily enforced in DG through the flux conditions by setting

(23) Plugging (23) into (22), we find a homogeneous algebraic system of equations, with a number of unknowns equal to the number of DOFs. Nontrivial solutions correspond to the eigenvectors of the semidiscrete space operator. Under the assumption that the space operator is diagonalizable, there will exist a basis of eigenvectors , , each propagating with a complex frequency , with its corresponding eigenvalue. It should be noted that the Shannon sampling theorem [28] establishes an upper limit to the maximum wavenumber, which can be sampled in a spatial domain discretized with DOFs samples. For instance, let us assume a one-element domain in 1D-DGTD, solved with th-order polynomials [ electric DOFs plus magnetic DOFs]. The analytical bandwidth (21), which can be represented numerically, is restricted to (24) , there3 exists leftward anThat is, for each alytical modes plus rightward ones , which can be numerically approximated. Of course, numerical eigenvalues fulfilling the Shannon sampling theorem are not necessarily proper approximation of the analytical ones . In a broad sense, we will refer to these numerical modes, which do not 3See

Figs. 1, 2 to see the case

.

Fig. 1. Numerical dispersion and dissipation as a function of , for scalar 1-D DGTD. Analytical dispersion in red (in online . Subindex in has been added version) a posteriori according to the analytical mode matched for some region (no has been guessed for in the centered case). (top) identification for . (bottom) Centered . The bandwidth allowed by the Centered Shannon theorem is delimited with green lines (in online version), while dashed lines indicate modes outside this band. Blue curved lines (in online version) is used for numerical modes and red straight lines (magenta and brown) (in online , , .) version) for the analytical ones. (

properly approximate any analytical one, as spurious or nonphysical modes. Let us illustrate this for our simple 1-D one-element case solved by nodal-DGTD and Lagrange polynomial th-order basis. Figs. 1 and 2 show the dispersion and dissipation relation for first- and second-order basis (with centered and upwind fluxes). We note that, for , there appear one rightward and one leftward solution, which approximate the fundamental mode for well-resolved problems . Another two modes (one leftward plus rightward) solutions are found, which should correspond to the first harmonics . Due to the coarse discretization of these modes, close to their own Shannon limit for , the numerical phase speed is far from the analytical one. These poorly sampled modes (for a well-resolved fundamental one) with an undesired behavior are the spurious or nonphysical modes. It bears noticing that, in case of , when and , the situation is the opposite: the

ALVAREZ et al.: SPURIOUS-FREE DGTD METHOD

2363

Fig. 3. Spectrum of the DG operator for a cubic domain (meshed with 24 tetraand ). Upwind flux (upper left), centered flux hedra) with PBC ( (lower). (upper right), partially penalized flux

Fig. 2. Numerical dispersion and dissipation as a function of for scalar 1D-DGTD. Analytical dispersion in red (in online . Sub-index in has been added version) a posteriori according to the analytical mode matched for some region (no has been guessed for in the centered case). (top) identification for . (bottom) Upwind . The bandwidth allowed by Shannon Upwind theorem is delimited with green lines (in online version), while dashed lines indicate modes outside this band. Blue curved lines (in online version) is used for numerical modes and red straight lines (magenta and brown) (in online version) , , .) for the analytical ones. (

fundamental modes numerically propagate in a wrong way, providing a good approximation of what has been defined as harmonics. For , a similar analysis can be made. Apart from the two fundamental modes, another four modes (two leftward plus two rightward) appear. In case of , the first harmonics can be distinguished in the numerical dispersion functions, but the second harmonics present wrong behavior on the phase speed. For different intervals of , the different solutions, fundamental or harmonics modes, offer a better or worse approximation to the analytical solutions . In case of upwind flux, much better approximation over more bandwidth is achieved than for the centered flux. A noteworthy point here is to analyze the dissipation relationship of the upwind flux. All modes propagate with an attenua-

Fig. 4. Power spectrum of the vertical component of electric field sampled at a point inside the cavity, computed using centered, upwind, and partial penalized fluxes, fourth-order -storage Runge–Kutta and . Centered flux values are the noisy ones, while upwind and penalized values almost coincide.

tion that is larger for poorly resolved modes than for well-resolved ones. Clearly, for the fundamental mode, dissipation is minimum for . In case of the harmonics, this situation takes place for different intervals of , where they are properly resolved. Furthermore, in all cases, good phase dispersion corresponds to low dissipation, and poor phase dispersion corresponds to a high dissipation relationship. However, for the centered flux, the numerical modes do not attenuate in any case, and poorly sampled analytical modes with wrong behavior (spurious) may appear together with the well-resolved ones in a simulation. The definition we use here of spurious solutions is broad in the sense that it provides information for the whole spectrum

2364

Fig. 5. DMCWF dimensions and problem setup (

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

results over-impose for both fluxes).

of the semidiscrete space operator (which constitute a basis for all possible solutions or diagonalizable operators): it provides criteria to distinguish physical from nonphysical behavior, just in terms of the correct approximation between the analytical and numerical solutions. However, the qualification of spurious mode actually depends on the analytical problem under study. For instance, if we excite the PBC-analytical problem with the fundamental mode as initial values, we might not expect the appearance of any of the higher harmonics in its numerical counterpart. In this narrow sense, any solution apart from that corresponding to the fundamental mode might also be considered spurious (see [9]), even if it is well resolved in space. To illustrate this, we have projected the fundamental (rightward) analytical mode , expanded in a polynomial basis, into the basis of numerical eigenvectors. Since these are not orthogonal, we cannot assume a modal separation of the energy, but we still find that for a good resolution , the numerical mode propagates with with an amplitude 572 times higher that of the next mode, whereas this ratio lowers to 28 for a resolution of . Let us move to a 3-D case solved with hierarchal vector basis that is complete up to order , both for the gradient and the rotational spaces. We have meshed a cubic domain in a symmetrical way composed of 24 tetrahedrons, and enforced PBC in the -direction (23) with , , , and PBC conditions at the - and -planes with (no delay). The numerical eigenvalue is plotted in Fig. 3. There are modes corresponding to the number of DOFs of the problem ( tetrahedrons and DOFs per element). Again, we find that the spectrum of the DG operator depends heavily on the flux-evaluation scheme. It can be seen that,

for the centered scheme, none of the modes supported by the numerical method has dissipation . Therefore, all numerical modes, both well-resolved physical and poorly resolved spurious solutions, could be present in a numerical simulation and propagate on the computational domain. On the contrary, for the upwind case, we can clearly distinguish between well-resolved physical modes4 and poorly resolved spurious modes by looking at their attenuation . Hence, poorly resolved spurious modes decrease exponentially with spatial position and do not propagate along the computational domain. It is important to note that some undesirable dissipation also affects the well-resolved physical modes, depending on their spatial resolution. For the penalized flux with , similar conclusions are drawn. As mentioned earlier, the choice of the parameter also has an impact on the stability conditions of the final numerical scheme. For instance, when using an LF time-integration scheme, the upper limit for stability in becomes more restrictive as increases. The use of partial penalized flux with small values of the parameter has negligible effects on the stability of the scheme while keeping enough practical attenuation in the poorly resolved spurious modes. A deeper analysis of the stability is beyond the scope of this paper and is left for treatment elsewhere. Though the above results are only for the semidiscrete problem, the time-integration scheme has an impact on the eigenvalue problem. For the simplest case of the LF time scheme for lossless media and centered flux, the dispersion relationship would become , which 4Four fundamental rightward/leftward plane waves (two polarizations) and their corresponding harmonics.

ALVAREZ et al.: SPURIOUS-FREE DGTD METHOD

2365

TABLE I NUMBER OF ELEMENTS (M) FOR EACH SET OF BASIS FUNCTIONS FOR ORDER FOR THE GRADIENT THE DMCWF. GxRy STAND FOR SPACE, ORDER FOR THE ROTATIONAL SPACE

TABLE II LOCAL TIME-STEPPING LEVEL DISTRIBUTION FOR DMCWF PROBLEM

Fig. 8. Evolution of the energy inside the DMCWF [centered flux is upper red curve (in online version), penalized flux is lower blue curve (in online version)].

TABLE III COMPUTATIONAL REQUIREMENTS OF THE DIFFERENT CASES (FOR AN EIGHT-PROCESSOR AMD OPTERON DUAL CORE 1.8 GHz). THE COMPUTED PHYSICAL TIME HAS BEEN 35 ns. FLUXES: C centered, upwind, P penalized WITH U

Number of steps for the maximum in the problem. Minimum–maximum values in units of 10 s.

Fig. 6. DMCWF near fields computation (centered, in red (in online version), is noisy, and penalized, in blue (in online version), is almost null).

semidiscrete scheme. Finally, let us consider a more realistic case: a 1-m-side cubic 3-D perfect electric conductor (PEC) cavity meshed with 5025 tetrahedrons. The fields in the cavity are then excited via an electric-current source with a Gaussian pulse time signal, with 10-dB bandwidth of approximately 400 MHz. The problem has been simulated up to a physical simulated time of 0.5 s by means of an RK4 time-integration scheme. This problem was computed with centered, upwind, and partial penalized flux, with very low with . The hierarchal vector-basis functions of complete order electric field is sampled at one point and the Fourier transform performed for the vertical component (Fig. 4). The power spectrum computed with centered flux is noisy and shows spectral pollution due to the presence of nonphysical spurious modes. In the case of upwind or partial penalized flux (even for such a low value of ), we can clearly distinguish the different resonant frequencies. V. APPLICATION TO WAVEGUIDE FILTERS

Fig. 7. DMCWF response. Measured and computed data comparison (centered, in red (in online version), and penalized, in blue (in online version), almost coincide).

introduces an upper stability limit for the maximum allowable , over which some mode becomes unstable, and thus so does the whole numerical scheme. For stable well-resolved schemes, the distribution of the spurious/physical modes is slightly distorted, but the conclusions are similar to those drawn for the

Waveguide filters, an especially challenging type of problem for TD techniques, are traditionally solved by methods in the frequency domain (FD), such as FEM, integral-equation methods, or analytical methods such as the mode matching. Due to the strong resonances that these structures present, two main features are required to deal with them in TD. One is the stability of the method since very long simulations are necessary. The other is its accuracy, to maintain the coherence of the electromagnetic field throughout the structure. In this work, we

2366

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 9. Single resonator composed of a rectangular cavity loaded by a dielectric cylindrical puck.

use waveguide filters to provide proof of the robustness and accuracy of the DGTD method. A set of enhancements have been included in our algorithm in order to optimize its computational behavior. • Second-order curvilinear tetrahedra have been used for the accurate modeling of geometries involving curvatures. • An -refinement heuristic strategy to choose the order of the basis function in each tetrahedron, depending on its size. To maintain uniform accuracy throughout the spatial domain, with reasonable computational effort, we used a higher order basis for larger tetrahedra, and lower orders for smaller ones, combining gradient spaces of reducedorder , with rotational spaces of complete order . It is important to note that smaller elements need shorter time steps, but if a lower order is used in these elements, the condition is relaxed. In the same way, longer time steps can be used for larger elements combined with higher orders. The combination and mixing of different orders of the basis functions depending on element size, makes the time step between all the elements more homogeneous. • Despite the adaptive -refinement described above, large differences in the maximum time steps for stability are found across the geometry. Thus, we have classified the elements according to this limit for the LF scheme at several levels, and we employed different time steps for each level. This technique, which is known as local time stepping (LTS) [27], [29], [30], can provide dramatic savings in the CPU time.

Two types of filters have been considered: a dual-mode circular waveguide filter (DMCWF) and a single resonator based on a rectangular cavity loaded by a dielectric cylindrical puck. The DMCWF structure has been analyzed in detail in [31] and measurements are available. The filter is composed of a circular cavity resonator that includes the input and output slots of a DMCWF. Due to the symmetries of the structure, vertical perfect magnetic conductor-wall and horizontal PEC-wall symmetry were considered in the numerical simulations, as is depicted in the simulation setup of the Fig. 5. It is important to note that a dense discretization of the slots is critical for accurate results. Table I gives the number of elements for each set of basis functions, while Table II gives the number of elements and time step for each level of the LTS algorithm. The two following TD (Fig. 6) and FD (Fig. 7) observables have been considered. 1) A field probe inside the rectangular waveguide to record field evolution in the TD. Fig. 6 shows different results for partially penalized ( ) and centered flux cases. In the case of centered flux, and due to the spurious modes, (and similarly for , though not shown) is not null and more energy is found across the simulation (see Fig. 8). No noticeable difference between centered and penalized is appreciated for . Note also that the energy needs considerable time to leave the filter since the structure is very resonant. This leads to long physical simulation times to achieve accurate results.

ALVAREZ et al.: SPURIOUS-FREE DGTD METHOD

2367

TABLE IV SINGLE RESONATOR: PARTIAL PENALIZED FLUX LEAP FROG (6-LTS), ELEMENTS, COMPUTED PHYSICAL TIME 180.0 ns

Fig. 10. Evolution of the energy inside the single resonator filter.

Fig. 11. response of the single resonator filter. Measured and computed data comparison.

2) The filter response in terms of the parameter. No remarkable differences were found between centered/upwind or LF/RK4 schemes. For instance, Fig. 7 shows the comparison between measurement, centered, and partial penalized computed with LF and LTS, with excellent agreement. No influence on the parameter appears to exist due to spurious modes, reaching excellent agreement in all cases. Table III summarizes the computational requirements of the different simulations performed. It should be noted that the second-order LF scheme, combined with a five-level LTS, provide the algorithm about eight times faster than do non-LTS schemes for this numerical case. The second example we will show is a microwave filter with a dielectric material, a single resonator based on a rectangular cavity loaded by a dielectric cylindrical puck. This filter has been reported in [32] and measurements are available. Again, the rectangular cavity is excited by two rectangular slots centered on opposite lateral faces. The resonator is chosen with a high permittivity (see setup in Fig. 9). The mode is excited in the input port, by impressing surface magnetic currents with its profile. The backwards propagated mode is absorbed by the PML, and the forward-propagated one is the incident wave used to excite the structure. The reflected wave required to evaluate the parameter is computed by projecting the computed electric fields with the profile at the output port. The energy (Fig. 10) takes a long time to leave the

, SECOND-ORDER ,

First row LTS level Second row Number of elements for each LTS level Third row in ps Fourth row Time steps in millions Fifth row Basis functions (gradient, rotational) orders Sixth row Number of elements for each basis functions

cavity due to the presence of the dielectric puck, which makes the structure very resonant. Excellent agreement in the parameter between simulation and the measurements is found in Fig. 11. Only the results for a partially penalized flux are shown (similar results can be found with the centered flux since, as with the previous filter, spurious modes has no noticeable effect on the transmission coefficient). The computed physical time, as appears in Table IV, was 180 ns, which corresponds to 1980 cycles of the lowest frequency and 2880 of the highest frequency under analysis. Second-order LF with local time stepping has been used with no instability problems. Due to the marked differences in the size of the elements, up to six levels in the LTS have been used, and the ratio between the shortest and largest time step was 729. Again, depending on the size of the elements, a different order of the basis functions has been chosen. VI. CONCLUSIONS In this paper, we have presented a highly accurate vectorbased DGTD. We have revisited the topic of spurious mode reduction and have shown how this can be minimized by means of penalized fluxes. The attenuation on the spurious modes appearing in DGTD is a remarkable difference with respect to the continuous formulation of FEMTD, and does not depend on the kind of basis functions used by the scheme, making both nodal and scalar DGTD spurious free for penalized fluxes. The application to microwave filters has demonstrated the accuracy and stability of the proposed approach. REFERENCES [1] J. S. Hesthaven and T. Warburton, Nodal Discontinuous Galerkin Methods. Algorithms, Analysis, and Applications. New York: Springer, 2008. [2] M.-F. Wong, O. Picon, and V. Fouad Hanna, “A finite element method based on Whitney forms to solve Maxwell equations in the time domain,” IEEE Trans. Magn., vol. 31, no. 3, pp. 1618–1621, May 1995. [3] B. Donderici and F. L. Teixeira, “Mixed finite-element time-domain method for transient Maxwell equations in doubly dispersive media,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 1, pp. 113–120, Jan. 2008. [4] A. H. Mohammadian, V. Shankar, and W. F. Hall, “Computation of electromagnetic scattering and radiation using a time-domain finitevolume discretization procedure,” Comput. Phys. Commun., vol. 68, pp. 175–196, 199. [5] J. S. Hesthaven and T. Warburton, “Nodal high-order methods on unstructured grids—I. Time-domain solution of Maxwell’s equations,” J. Comput. Phys., vol. 181, pp. 186–211, 2002.

2368

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

[6] R. Otin, “Regularized Maxwell equations and nodal finite elements for electromagnetic field computations,” Electromagnetics, vol. 30, pp. 190–204, 2010. [7] J. P. Webb, “Hierarchical vector basis functions of arbitrary order for triangular and tetrahedral finite elements,” IEEE Trans. Antennas Propag., vol. 47, no. 8, pp. 1244–1253, 1999. [8] F. Q. Hu and H. L. Atkins, “Eigensolution analysis of the discontinuous Galerkin method with nonuniform grids,” J. Comput. Phys., vol. 182, no. 2, pp. 516–545, Nov. 1999. [9] J. S. Hesthaven and T. Warburton, “Discontinuous Galerkin methods for the time-domain Maxwell’s equations: An introduction,” ACES Newslett., vol. 19, no. 1, pp. 10–29, 2004. [10] M. Ainsworth, “Dispersive and dissipative behaviour of high order discontinuous Galerkin finite element methods,” J. Comput. Phys., vol. 198, pp. 106–130, 2004. [11] P. M. M. Ainsworth and W. Muniz, “Dispersive and dissipative properties of discontinuous Galerkin finite element methods for the secondorder wave equation,” J. Sci. Comput., vol. 27, no. 1–3, pp. 3205–3223, Jun. 2006. [12] T. Warburton and M. Embree, “The role of the penalty in the local discontinuous Galerkin method for Maxwell’s eigenvalue problem,” Comput. Methods Appl. Mech. Eng., vol. 195, no. 25–28, pp. 3205–3223, Jul. 2006. [13] G. Cohen and M. Duruflé, “Non spurious spectral-like element methods for Maxwell’s equations,” J. Comput. Math., vol. 25, no. 3, pp. 282–304, 2007. [14] D. Sarmany, M. A. Botchev, and J. J. W. van der Vegt, “Dispersion and dissipation error in high-order Runge–Kutta discontinuous Galerkin discretisations of the Maxwell equations,” J. Sci. Comput., vol. 33, no. 1, pp. 47–74, Oct. 2007. [15] K. B. R. Diehl and J. Niegemann, “Comparison of low-storage Runge–Kutta schemes for discontinuous Galerkin time-domain simulations of Maxwell’s equations,” J. Comput. Theoret. Nanosci., vol. 7, pp. 1–9, 2010. [16] S. D. Gedney, C. Luo, J. A. Roden, R. D. Crawford, B. Guernsey, J. A. Miller, T. Kramer, and E. W. Lucas, “The discontinuous Galerkin finite-element time-domain method solution of Maxwell’s equation,” J. Appl. Comput. Electromagn. Soc., vol. 24, no. 2, pp. 129–142, Apr. 2009. [17] J. Alvarez, L. D. Angulo, M. F. Pantoja, A. R. Bretones, and S. G. Garcia, “Source and boundary implementation in vector and scalar DGTD,” IEEE Trans. Antennas Propag., vol. 58, no. 6, pp. 1997–2003, 2010. [18] S. L. L. Fezoui, S. Lanteri, and S. Piperno, “Convergence and stability of a discontinuous Galerkin time-domain method for the 3D heterogeneous Maxwell equations on unstructured meshes,” ESAIM: Math. Model. Numer. Anal., vol. 39, no. 6, pp. 1149–1176, Jun. 2005. [19] T. Xiao and Q. H. Liu, “Three-dimensional unstructured-grid discontinuous Galerkin method for Maxwell’s equations with well-posed perfectly matched layer,” Microw. Opt. Technol. Lett., vol. 46, no. 5, pp. 459–463, Sep. 2005. [20] M. H. Chen, B. Cockburn, and F. Reitich, “High-order RKDG methods for computational electromagnetics,” J. Sci. Comput., vol. 22–23, pp. 205–226, Jun. 2005. [21] M. Bernacki, L. Fezoui, S. Lanteri, and S. Piperno, “Parallel discontinuous Galerkin unstructured mesh solvers for the calculation of three-dimensional wave propagation problems,” Appl. Math. Model., vol. 30, no. 8, pp. 744–763, Aug. 2006. [22] T. Lu and P. Z. W. Cai, “Discontinuous Galerkin methods for dispersive and lossy Maxwells equations and PML boundary conditions,” J. Comput. Phys., no. 200, pp. 549–580, 2004. [23] L. Pebernet, X. Ferrieres, S. Pernet, B. L. Michielsen, F. Rogier, and P. Degond, “Discontinuous Galerkin method applied to electromagnetic compatibility problems: Introduction of thin wire and thin resistive material models,” IET Sci. Meas. Technol., vol. 2, no. 6, pp. 395–401, Nov. 2008. [24] S. G. García, M. F. Pantoja, C. M. de Jong van Coevorden, A. R. Bretones, and R. G. Martín, “A new hybrid DGTD/FDTD method in 2-D,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 12, pp. 764–766, Dec. 2008. [25] P. P. Silvester and R. L. Ferrari, Finite Elements for Electrical Engineers, 2nd ed. Cambridge, U.K.: Cambridge Univ. Press, 1990. [26] J. S. Hesthaven and T. Warburton, “High-order accurate methods for time-domain electromagnetics,” Comput. Model. Eng. Sci., vol. 5, no. 5, pp. 395–407, May 2004.

[27] X. F. E. Montseny, S. Pernet, and G. Cohen, “Dissipative terms and local time-stepping improvements in a spatial high order discontinuous Galerkin scheme for the time-domain Maxwell’s equations,” J. Comput. Phys., vol. 227, pp. 6795–6820, 2008. [28] A. Jerri, “The Shannon sampling theorem—Its various extensions and applications: A tutorial review,” Proc. IEEE, vol. 65, no. 11, pp. 1565–1596, Nov. 1977. [29] S. Piperno, “DGTD methods using modal basis functions and symplectic local time-stepping: Application to wave propagation problems,” J. Comput. Phys., vol. 217, pp. 340–363, 2006. [30] S. Piperno, “Symplectic local time-stepping in non-dissipative DGTD methods applied to wave propagation problems,” Math. Model. Numer. Anal., vol. 40, no. 5, pp. 815–841, 2006. [31] J. R. Montejo-Garai and J. Zapata, “A high-order non-conforming discontinuous Galerkin method for time-domain electromagnetics,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 6, pp. 1290–1297, Jun. 1995. [32] F. Alessandri, M. Chiodetti, A. Giugliarelli, D. Maiarelli, G. Martirano, D. Schmitt, L. Vanni, and F. Vitulli, “The electric-field integral-equation method for the analysis and design of a class of rectangular cavity filters loaded by dielectric and metallic cylindrical pucks,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 8, pp. 1790–1797, Aug. 2004.

Jesus Alvarez (S’09) was born in Leon, Spain. He received the B.Sc. degree in electrical engineering from the University of Cantabria, Santander, Spain, in 2001, the MSc. degree from the University Carlos III of Madrid, Madrid, Spain, in 2008, and is currently working toward the Ph.D. degree at the University of Granada, Granada, Spain. Since 2006, he has been with Cassidian, EADS-CASA, Getafe, Spain. His current research interests include computational electrodynamics in the TD, method of moments, and fast algorithms for integral equations in the FD, and computational electromagnetic applied to electromagnetic compatibility, antenna and RADAR cross-section problems.

Luis Diaz Angulo was born in Basque Country, Spain, in 1985. He received the B.Sc. and M.Sc. degrees in physics from the University of Granada, Granada, Spain, in 2005 and 2007, respectively, and is currently working toward the Ph.D. degree in physics at the University of Granada, Granada, Spain. From 2006 to 2007, he spent one year with the University of Manchester, Manchester, U.K., as an Erasmus student. Since 2007, he has been with the Department of Electromagnetism and Matter Physics, University of Granada. He has been involved with TD numerical methods applied to electromagnetism, especially DGTD methods. Other interests are applications of numerical methods in terahertz technologies, ground penetrating RADAR (GPR) imaging, and bioelectromagnetics.

Amelia Rubio Bretones (A’91–SM’99) was born in Granada, Spain. She received the Ph.D. degree in physics (cum laude) from the University of Granada, Granada, Spain in 1988. Since 1985, she has been with the Department of Electromagnetism, University of Granada, initially as an Assistant Professor, then as an Associate Professor in 1989, and since 2000, as a Full Professor. On several occasions, she was a Visiting Scientist with the Delft University of Technology, the Eindhoven University of Technology, and Pennsylvania State University. Her research interest is mainly in the field of numerical techniques for applied electromagnetics with an emphasis on TD techniques such as finite-difference TD, the application of the method of moments in the TD for antenna and scattering problems, and hybrid techniques.

ALVAREZ et al.: SPURIOUS-FREE DGTD METHOD

Salvador G. Garcia (M’03) was born in Baeza, Spain, in 1966. He received the M.S. and Ph.D. degrees (with extraordinary award) in physics from the University of Granada, Granada, Spain, in 1989 and 1994, respectively. In 1999, he joined the Department of Electromagnetism and Matter Physics, University of Granada, as a tenured Assistant Professor. He has authored or coauthored over 50 refereed journal papers and book chapters and over 80 conference papers and technical reports. He has participated in several national and

2369

international projects with public and private funding. He was a Visiting Scholar with the University of Duisburg (1997), the Institute of Mobile and Satellite Communication Techniques (1998), the University of Wisconsin–Madison (2001), and the University of Kentucky (2005), all while working under grants. His current research interests include computational electromagnetics, electromagnetic compatibility, terahertz technologies, microwave imaging and sensing [ground penetrating RADAR (GPR)], bioelectromagnetics, and antenna design.

2370

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Independence of the Unloaded of a Planar Electromagnetic Resonator From Its Shape Adham Naji, Member, IEEE, and Paul Warr

Abstract—A figure of merit in characterizing resonance performance is the unloaded quality factor . Classically, this figure is calculated for planar microwave resonators in the same manner it is calculated for any arbitrary structure, by finding the electromagnetic field equations of the resonant mode and substituting them into volume and surface integrals across the concerned resonating structure. It had been observed in the literature, however, that the calculation results for some planar cases would be “surprising” in that the fields’ modal functions (which are governed by the structure’s shape) had no bearing on the value of . It is shown in this paper, using simple electromagnetic analysis, that any planar resonator that does not exhibit considerable power loss to radiation will have its independent from its shape, and that becomes a characteristic feature of such planar resonators. The for such resonators, paper presents the model predicting the and it shows that only depends on the resonant frequency, on the substrate materials height, and on losses in the materials used to construct the resonating structure. Only when significant power is allowed to radiate out of the structure does the become dependent on shape (as shape usually controls radiation). While radiation or housing power loss calculations are often complex to perform, this theory also provides a simple and accurate method of estimating such losses. In addition to its theoretical importance, this result carries an important feature for practical design as it offers flexibility in choosing shapes for planar resonators, to achieve a required value of at a certain frequency (wavelength) and using a given set of materials. Index Terms—Microstrip resonator, patch resonator, planar resonator, -factor, unloaded .

I. INTRODUCTION

P

RACTICAL examples in the microwave regime often serve as canonical representatives that demonstrate general electromagnetic wave (macroscopic) concepts without loss of generality, as they maintain compliance to the wave equation while being usually easier to manufacture, capable of handling and storing (or radiating) electromagnetic energy without too much loss, using conventional materials [1]–[7]. For such reasons, the following discussion is demonstrated

Manuscript received February 29, 2012; accepted April 20, 2012. Date of publication June 14, 2012; date of current version July 30, 2012. This work was supported in part by the University of Bristol and the Arab International University. A. Naji is with Electrical and Electronic Engineering, Center for Communication Research, University of Bristol, BS8 1UB Bristol, U.K., and also with Informatics and Communications Engineering, Arab International University, Ghabagheb, Syria (e-mail: [email protected]). P. Warr is with Electrical and Electronic Engineering, Center for Communication Research, University of Bristol, BS8 1UB Bristol, U.K. (e-mail: paul.a. [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2198488

in the microwave regime but, nevertheless, is intended to be easily extendible to other parts of the spectrum in forms that may or may not differ during application from the immediate microwave demonstrations shown. Microwave resonators can take different forms and shapes, from metallic cavities to planar structures. Planar distributed structures, in particular, have seen increasing popularity in the last few decades due to their attractive compatibility with other common fabrication techniques in the semiconductors industry, such as integrated circuits (ICs) [8], [9]. Planar resonators often feature a ground plane, a dielectric substrate that sits above the ground plane, and a conductive layer that shapes the resonator’s face at the top of the uniform substrate (as in a microstrip setup, for example). They are called “planar” as their substrate’s height, , is much smaller than the resonant wavelength, , and the other two planar dimensions of the resonator’s face. Electromagnetic resonant modes that usually dominate such structures are hence transverse-magnetic modes without variations in the substrate height direction (taken as the -direction), i.e., , where and are indices of variation along the - and -axes, respectively. Note that, in this paper, we consider as planar those effectively two-dimensional (2-D) resonators that may host such modes without being too narrow (compared with ) in any planar direction ( - or -). Such narrow cases would approach line resonators, which are effectively one-dimensional (1-D) (widths less than, say, ). At resonance, the most important parameter that characterizes the performance and efficiency of resonance is the unloaded quality factor, . The importance of this parameter stems from the fact that it describes the resonance quality for the resonator itself, rather than the system parts coupled to it. The electromagnetic energy is usually introduced to a resonator via a feed, which supplies the exciting source fields to the resonant structure. The coupling coefficient, , between the feed and the resonator will affect the overall quality of resonance, seen from the system’s input, but not the unloaded quality of the resonator proper. More specifically, the relation between the overall loaded quality factor, , the unloaded quality factor, , and the coupling coefficient is known as [10]–[12] (1) is the external quality factor, a measure where of how the feed’s impedance is matched to that of the resonator, thus dictating how much power is lost or reflected. The value of the coupling coefficient describes the ratio of the relative resistance of the feeding network to that of the resonator’s

0018-9480/$31.00 © 2012 IEEE

NAJI AND WARR: INDEPENDENCE OF UNLOADED

OF PLANAR ELECTROMAGNETIC RESONATOR FROM ITS SHAPE

input (as seen from the coupling edge) [10]. This value represents a critical coupling only when these resistances are matched (equal) at the resonant frequency . Critical coupling is seen on a Smith chart as a resonant circular locus, which passes through the chart’s center. In practice, the parameters and can be measured at resonance using the Smith chart and/or the -parameters; the can be extracted therefrom [11]. In this paper, we are chiefly interested in to characterize the performance of the resonators under consideration themselves, rather than , which is dependent on how they are fitted in a particular system. The unloaded quality factor generally is defined at the resonant frequency as the ratio

2371

denoted , which is concerned only with the resonator performance itself, without radiation losses taken. is important in characterizing the resonator’s performance, as it represents the maximum possible quality factor using the given materials of the structure in hand. Allowing radiation into the picture would only reduce below . Thus, can be given as (3) In Sections II–IV, we prove analytically and experimentally that, for planar resonators with negligible radiation, is independent of the resonator shape. Furthermore, we show that can play an important role in the practical estimation of radiation or housing losses (when they exist), which results in a good approximation that is much easier to obtain compared with performing the cumbersome mathematical integrals that usually accompany radiation.

(2) II. ELECTROMAGNETIC ANALYSIS where and are the average stored electric and magnetic energies in the structure, is the power loss in the structure, and is the angular resonant frequency. The electric and magnetic fields form a standoff at resonance . The loss mechanisms that contribute to the overall loss are many, but mainly are: • loss within the dielectric, , which is attributed to the damping effects represented by the imaginary part of the complex relative permittivity of the used material ; • loss due to the finite conductivity of the conductors used, , which is attributed to the surface resistance of the conductors, , where is the conductivity and is the skin depth. is given in terms of the angular frequency, , the permeability, , and the conductivity, , as: ; • loss due to radiation to the outward surroundings, , which is dependent on the implementation and the surroundings of the resonator; when a housing is present to minimize the radiation, housing loss replaces radiation loss and is usually much smaller, provided that good housing features are used (i.e., the housing does not cause self-resonances near the frequency and is large within the nearfield zone limits [4], [8], [13], [14]). It is noted that classical sources in the literature vary in considering the radiation loss as part of the overall losses [4], [12], [15]–[17]. Often when resonators are concerned per se, with no antenna applications in mind (e.g., in nonradiant setups, such as electromagnetic filters, diplexers, or matching networks), their is calculated based on the dielectric and the conductor losses only. When antenna applications are intended or possible, radiation losses are considered for the calculation of . The first approach is less general but more indicative of the resonator’s quality proper (rather than any additional losses to radiation, which largely depend on each implementation scenario even if the resonator shape is unchanged). Therefore, we distinguish herein between the general quality factor , which is most practical in all scenarios, and the inherent quality factor,

A. Implication of Planar Geometry on The traditional manner for proceeding in the calculation of or , as seen in the myriad sources of the literature, is to substitute the terms in (3) by the surface and volume integrals of the electromagnetic field across the structure’s surfaces and volumes as follows: (4) (5) (6) where is the permittivity in free space, is the electric field, is the magnetic field, is the current density, is the volume beneath the resonator as in Fig. 1, is the area of conductive surface (note that it is taken twice in the integral, once for the resonator’s face, and once for the equivalent area on ground plane), and boldface fonts denote vector quantities. is implementation-dependent. The ground plane’s significant part here is seen as that part that is equal to the projection of the resonator’s face onto the ground plane, which reflects how the fields are mainly confined within the substrate, except for some fringing margin, which can be added by taking “effective” larger dimensions for the resonator size during calculations [12], [15], [16]. Since the modal field equations are found by applying the present boundary conditions to the wave equation, they will naturally be functions of the resonator shape [i.e., ]. For example, the appropriate field equations to substitute in the above equations for a circular patch resonator of radius with a dominant mode are (7) (8)

2372

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

. Second, by making use of the fact that, at resonance, , it is found that

(14) Since this equation represents two functions being integrated over their variables with the integration domain being an arbitrary one (i.e., the volume ), then an incremental choice for such a domain (an incrementally small volume, over which the fields are effectively constant to the integration variables) can be made, furnishing for the equality of integrals to be reduced to an equality of integrands. Therefore, we now have the important fact that, at resonance Fig. 1. Arbitrary planar resonator, with uniform substrate separating its face from the ground plane.

(9) (10)

(15) cancelling from This will result in all of the terms the numerator and denominator of , and resulting in the independence of from the modal function (expressed by its field equations) and from the resonator’s planar shape (in the plane). Thus, for any arbitrary planar shape becomes

where is Bessel’s function of the first kind and th degree, is the derivative of the Bessel function with respect to its argument, is an arbitrary amplitude constant, is the wavenumber, , is the wave impedance ( in free space), and is the cylindrical frame of coordinates. Whereas for a square resonator with dimensions and a mode , such equations will be (11) (12) (13)

(16)

where is the Cartesian frame of coordinates. Following this traditional method of calculation systematically would produce the correct results for and . However, one can notice from treatments in the literature that, for regularly studied shapes of planar resonators (e.g., square and circular), the final equations that describe are always simple and free from any dependence on the modal functions or the resonator shape. Although this could be understood and expected for the simplest case with rectangular or square shapes, more elaborate shapes such as circular and ring resonators, which have TM modes obeying Bessel’s functions, also exhibit the same feature. In fact, Collin, in his seminal classical work [12], remarked that it is “surprising” for in such geometries to be free from modal function dependencies (which follow the resonator shape). This independence from shape, however, holds true for all shapes of planar resonators. First, note that planar resonators always dictate that volume integrals are reduced to surface integrals, as the electromagnetic field is taken as invariant along the substrate height , i.e.,

(17) where is the loss tangent, and nonmagnetic materials have been chosen . This important and interesting result can further have a significant practical implication by offering flexibility during resonator design. To meet a given set of specifications for planar resonator design, such as a target , frequency , and using specific materials to construct the resonator, the design procedure can now have an additional degree of freedom—namely, the shape of the planar resonator itself. For example, fitting a more convenient shape into a limited space, for a given application, while maintaining the desired and . Naturally, the resonator frequency will depend upon the main dimension (usually with the largest resonating feature) of the resonator, but that will not be dependent on the overall shape of the planar resonator, which can be arbitrary. If the design target is given in terms of the general value, then the design procedure should start by aiming at a higher value, which is higher than the needed by approximately

NAJI AND WARR: INDEPENDENCE OF UNLOADED

OF PLANAR ELECTROMAGNETIC RESONATOR FROM ITS SHAPE

the equivalent amount of loss that will be introduced due to radiation or housing (depending on the design implementation). The independence from shape remains as long as radiation loss is insignificant. When radiation is significant (e.g., in open applications such as antennas, or when using unoptimized housings), which is inherently dependent on shape, it reduces the overall of the resonator and resonators of different shapes will then exhibit different values, as is well known from the literature on antenna theory [4], [16], [17]; that is, the independence of from shape will be obscured. One useful way of using our knowledge of the fact that is shape-independent when there is some radiation loss that needs to be suppressed is to utilize the additional degree of freedom offered by this independence. Since is unchanging with the shape, the designer can choose the arbitrary shape that would radiate the least at a given (beside using other measures to limit the radiation, such as higher permittivity substrates and correct housing dimensions). Moreover, an important utility for the theoretical value of is its ability to replace quite cumbersome calculations for radiation [4], [16] or housing losses, by relatively simple measurements of , as discussed in Section III. B. Effect of Radiation Loss If the structure exhibits nonnegligible radiation loss , due to an open setup (rather than being housed in a high-quality enclosure) or when the housing is of inappropriate design and dimensions, the value of will reduce below . Although it was shown that without radiation is independent of the planar resonator’s shape, usually the radiation term will depend on the modal function’s shape (and hence that of the resonator). This is deliberately the case when the resonator is designed as an antenna but is clearly undesirable when it is meant to exhibit high in a nonradiating application, such as a filter, diplexer, or matching network. The power that is radiated from a planar resonator is usually from two main sources: any surface currents that have leaked to the top surface, and any equivalence sources (magnetic current or electric current dipole moments, and , respectively, as in Fig. 2) at the edge of the resonator, where a magnetic-wall (or open-circuit) condition is usually observed. Such equivalence sources can be analytically found either using Huygen’s equivalence principle or from Bethe’s small aperture theory [18] (or its extended version by Collin [15]), depending on the problem in hand. Usually, most of the radiation is due to those sources at the edge of the resonator; this can be appreciated in antenna theory, when they form the main radiating feature of some planar patch antennas. Even with a knowledge of such radiation sources, performing the theoretical calculations of the power loss is, by and large, hindered by the analytical difficulty and the cumbersome nature of the field integrals and/or the boundary conditions of practical structures. This difficulty is recognized in the literature [4], [13], [19], and some general approximations have been made to describe the radiated field and how it delivers power [4]. The strength of the constituent terms of the radiated field, in general, will decay at rates , , and , as it travels a radial distance away from the resonator. In the near-field zone, close

2373

Fig. 2. Radiation loss in the space around the resonator is calculated by inand ) over the surtegrating the radiation from the distributed sources ( face , at the edge of the resonator. Red and blue arrows represent dipole moand , which are equivalent to radiation sources at the open-circuit ments, boundary (magnetic wall). Some other sources of radiation, such as leakage of surface currents into the top face of the resonator are also possible, but are usually much smaller than those at the magnetic-wall edge for planar resonators with much smaller than the wavelength.

to the resonator, the terms that matter the most decay as and , since is smaller than the wavelength. For these terms, the magnetic field is 90 out of phase with the electric field; therefore, the Poynting vector’s real part is zero, and the time-average power is zero. In the far-field zone, however, the fields become in-phase and decay as , as exceeds the wavelength, leading eventually to a plane wave traveling in the radial direction, , and delivering time-average power, according to Poynting’s theorem. Due to these power considerations, the correct positioning of the housing is close to the near-field zone and to be of high-conductivity thick walls. It is also important for it not to excite its own modes of resonance near and couple energy from the resonator. High-permittivity substrates and lower values are also preferred for lower radiation by confining the fields more into the substrate, reducing outward fringing and surface waves, and increasing the reflection along the magnetic-wall conditions (which discourages the fields from leaving the substrate) [8], [12], [20]. Furthermore, in contrast to open microstrip setups, the use of structures such as stripline can inherently limit radiation, due to their closed nature. Radiation in the far field may be approximated using the standard method [4], [16]

(18) with vectors (19) (20)

2374

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

where primed parameters are measured with respect to the sourced region (e.g., over the magnetic walls, if they are the regions where the radiation sources exist), and the relation to the center of coordinates is as shown in Fig. 2. Although it is an approximation, this set of equations would still require numerical computation for most practical structures, due to its complexity. Other approximations based on the equivalent radiation conductance at the structure’s edges have also been reported [16] and show dependence on the modal fields and shape. Other conventional methods mentioned in the literature [8], [13], [14] proposed estimating the radiation effects by comparing the values with and without the application of a housing (to shield the radiation). The effect of radiation is expressed by having an equivalent quality factor for the radiation , which contributes to the overall as . Thus, by measuring the and the effect of radiation can be approximated by the fact that: . If the values of , , and are also known (which are relatively easier to estimate), one may also deduce the value of from . However, a more accurate approach that takes the effects of housing into account would actually rely on the inherent (the maximum value, with no radiation), , as a reference for the comparison. This would take into account any losses due to the housing itself. In other words, when no housing is used, we have , and, when , housing is used, we have where represents the quality of the housing. Therefore, one can deduce the ratio of power loss due to radiation or housing relative to the total power loss as (21) (22)

or

in (21) is due to radiation loss in an open setup and where in (22) is due to housing loss in a housed setup. III. RESULTS To verify the main finding of the theory above, namely, the independence from shape when insignificant radiation is present, we carried out the following experiments. These experiments also serve as verification of the two further arguments mentioned earlier that is the maximum value reachable for and that differences from the measured ( or ) can provide useful practical approximations to the power loss ratios, as stated in (21) or (22). It is noted that the theoretical model developed above for does not take into account some loss mechanisms that exist but usually add small amounts of loss compared with those mentioned above, such as surface roughness losses and feed coupling. The feed, which offers electromagnetic energy for the resonator to resonate and is used to measure , is designed to be weakly coupled for the field patterns of the resonator not to be perturbed significantly. In addition to these sources of small loss, some tolerances in

Fig. 3. Six shapes considered for testing. All figures are adjusted to have frequencies as equal to each other as possible and as reported in the results (within fabrication and material specifications tolerances). All dimensions are shown in Table I. Note that, in shape (3), the figure is to scale within a rectangle of . All shapes where housed in an enclosure of copper-coated dimensions aluminium, with dimensions 50 50 31 mm and walls thickness of 2 mm. Conductors forming the resonators and ground planes are made from copper cladding (0.5 oz).

the results are to be expected due to the fabrication tolerances (maximum error circa 0.2 mm) and tolerances in the used materials specifications (e.g., uniformity of substrate height and tolerances in loss tangents and permittivity, which has a maximum of 2.5% for the used Rogers’s Duroid materials [21]). A few standard procedures exist for measuring the value of [11], [22]. The values were measured here using the standard single-port procedure outlined in [11], utilizing the measurements on a feed that provides the resonator with sufficient energy to establish the resonance while being weakly coupled as to introduce a minimum of field disturbance and power loss through coupling. The Vector Network Analyzer 37397C from Anritsu was used to measure the -parameters, after calibrating it using the standard open-short-terminated procedure. Three sets of measurements were carried out, as detailed in Sections III-A and III-B. In the first set, the enclosed setup of stripline was used. In the second and third sets, boxed-microstrip setups utilizing different substrates were used. A. Using Stripline Configurations This setup inherently offers minimum radiation, enabling a clear observation of how close the values will be for various resonator shapes. Six shapes were used, as shown in Figs. 3 and 4, to test the theory. All shapes were designed to have the same resonant frequency using the same set of materials, so as to make the comparison of valid. For this set, a high-permittivity dielectric substrates were also used to sandwich the resonator face ( , 0.64 mm above and 0.64 mm beneath the face, and loss tangent circa 0.0023) to help confine the electromagnetic fields to the substrate’s volume [12], [20]. The resonator face and ground plane were lithographically etched from 0.5-oz copper cladding. Table II summarizes the results for this set. Fig. 5 shows the responses from which

NAJI AND WARR: INDEPENDENCE OF UNLOADED

OF PLANAR ELECTROMAGNETIC RESONATOR FROM ITS SHAPE

2375

Fig. 4. Photograph examples of the realized shapes (with the aluminum housing removed).

TABLE I DIMENSION DETAILS FOR THE RESONATOR SHAPES SHOWN IN FIG. 3 FOR ALL THREE SETS OF MEASUREMENTS (STRIPLINE, BOXED-MICROSTRIP OVER SUBSTRATE A, AND BOXED-MICROSTRIP OVER SUBSTRATE B)

Fig. 5. One-port -parameter measurement results for the stripline set, from which the factors were extracted using the method outlined in [11].

TABLE III MEASUREMENTS AND THEORY PREDICTIONS, ALONG WITH MEASURED AND FEM-SIMULATED RADIATION/HOUSING LOSS RATIO VALUES, FOR THE RESONATOR SHAPES SHOWN IN FIG. 3 WHEN USED AS BOXED-MICROSTRIP CONFIGURATIONS OVER SUBSTRATE A

TABLE II MEASUREMENTS AND THEORY PREDICTIONS FOR THE RESONATOR SHAPES SHOWN IN FIG. 3 WHEN USED AS STRIPLINE CONFIGURATIONS

is slightly higher than the for the shapes, which is attributed to the aforementioned factors of additional loss. B. Using Boxed-Microstrip Configurations the values were extracted. The results are seen to confirm the theoretical findings. Efforts were made to make all the shapes resonate at the same frequency, but fabrication tolerances inevitably meant that slight shifts in frequencies would occur, as seen from Table II. However, since the shifts are sufficiently small to be considered of linear effect on , linear normalization could be carried out to compare the values at a given frequency. Such normalization frequency was chosen here arbitrarily to be that of the shape in Fig. 3(1) (the square shape), 1.613 GHz. The average value for the normalizedvalues is 178 and the maximum deviation from it is , which is only 2% of the average. Such a small value (2%) is well within the expected fabrication and material specifications tolerances. Therefore, it is seen that is, indeed, independent from shape. The theoretical value of

The second set of measurements used the same six shapes mentioned above, but with boxed (housed) microstrip setups. Microstrip’s naturally open configurations allow radiation, unless a good housing is used to suppress it. The substrates used here (which are denoted as substrates “A”) had 2.2, 1.575 mm, and loss 0.0009, while the housing was made of aluminum (walls 2 mm thick) with dimensions 50 50 31 mm. The resonator face and ground plane were lithographically etched from 0.5-oz copper cladding. Table III summarizes the results for this set. Fig. 6 shows the responses from which the values were extracted. The results show some variation in the values of , as expected, as some housing loss is present. It is shown that loss is indeed increased with this setup and when the shape of the resonator encourages radiation. This agrees with classical observations, and further shows how much radiation power (or housing losses) is

2376

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

TABLE IV MEASUREMENTS AND THEORY PREDICTIONS, ALONG WITH MEASURED AND FEM-SIMULATED RADIATION/HOUSING LOSS RATIO VALUES, FOR THE RESONATOR SHAPES SHOWN IN FIG. 3 WHEN USED AS BOXED-MICROSTRIP CONFIGURATIONS OVER SUBSTRATE B

Fig. 6. One-port -parameter measurements for the boxed-microstrip set over substrate A, from which the factors were extracted using the method outlined in [11].

lost, by comparing the (which is the maximum possible) with the measured value (which incorporates radiation and housing effects naturally). The ratio of lost power (i.e., radiation or housing loss relative to the overall loss), which is an indication of how much radiation or housing loss is taking place, is estimated herein in two different ways to verify the theory. First, it is calculated from a comparison between the measured and the theoretical values, as in (22). The resultant ratio is denoted . Second, it is calculated independently using finite-element method (FEM) electromagnetic field simulations (using the 3-D EM full-wave solver High-Frequency Structural Simulator (HFSS), from Ansoft1), which solve for the electric and magnetic fields numerically within the structure’s volume and deduce the average lost power using Poynting’s theorem and surface integrals over the housing’s volume, while estimating using (4)–(6). The resultant ratio is denoted . It can therefore be seen that, if and are equal, then the proposed approximate estimation of radiation and housing losses using measurements [as in (22)] can give a good estimation of the true losses as calculated by the elaborate numerical field solver. Indeed, the data shown in Table III confirm this conclusion. The agreement is good within some tolerance. This includes all of the aforementioned sources of tolerance, the tolerance due the FEM numerical errors (maximum of ), and additional small losses due to the leakage of currents to the top face of the resonator, as is typical of microstrip structures [12]. Note that the difference between and was generally minimized only by optimizing the housing and choosing its dimensions to reasonably suit (on average) most of the different resonator shapes considered. None of the housing’s own modes were excited near the desired frequency, and its dimensions were large within this limit. Although the advantage of being able to use flexible shapes (to attempt to reduce the loss by reshaping the resonator) is available for the same and , as mentioned earlier in Section II, this was not used here, as 1[Online].

Available: http://www.ansoft.com

Fig. 7. One-port -parameter measurements for the boxed-microstrip set over substrate B, from which the factors were extracted using the method outlined in [11].

it would have clearly negated the main purpose of the experiment, which is to show the theory’s applicability to the arbitrary shapes of resonators. The third set repeated what was done in the second set, except for using higher permittivity substrates (denoted substrates “B”) with 10.2, 1.27 mm, and loss tangent circa 0.0023. Table IV shows the results for this set, which also agree with the theory (in a manner similar to that of the previous set). Fig. 7 shows the responses from which the values were extracted. As expected, the additional use of high-permittivity dielectric substrates further enhances the confinement of the field inside the substrates [12], [20], giving up less radiation towards the air above the microstrip structure and causing less power loss to radiation or housing. A good combination of high-permittivity substrate and good housing can, thus, produce high that approach the maximum possible value . IV. CONCLUSION Planar resonators have a special feature related to their unloaded- factor when radiation is inconsiderable. They exhibit

NAJI AND WARR: INDEPENDENCE OF UNLOADED

OF PLANAR ELECTROMAGNETIC RESONATOR FROM ITS SHAPE

(at a resonant frequency ) that is independent of the resonator’s shape and of the modal functions of the fields that traverse the resonator’s structure. will only depend on the properties of the used materials, substrate’s height, and . Thus, at a given frequency and using the same set of materials for a planar resonator, the quality factor will remain the same, no matter how one changes the shape of the resonator. This feature can be useful in resonator design in general and is valuable when flexibility in shapes is required. The exception for this feature occurs when radiation loss from the resonator is considerable, which then affects the inherent of the resonator and makes it dependent on the resonator’s shape and its modal functions, as the radiation itself is dependent upon them. When the correct choice of housing is used, radiation can be eliminated to a large extent in most cases. Additionally, since the inherent (without radiation loss) value is independent of shape, while radiation is dependent on it, the designer gains an extra degree of freedom in tackling the radiation loss, by attempting to reshape the design to give less radiation, while approaching the same inherent (which is the maximum possible) at the same frequency . As the radiation loss calculations are often too complex to solve analytically, one can simply approximate radiation loss by comparing the measured (which naturally incorporates radiation loss or housing loss) with the theoretical inherent given in this paper. ACKNOWLEDGMENT The authors would like to thank N. AlShami and R. Davies, Bristol University, Bristol, U.K., for their help fabricating the prototypes. REFERENCES [1] J. D. Jackson, Classical Electrodynamics. New York: Wiley, 1998. [2] S. A. Maier, Plasmonics: Fundamentals and Applications. Berlin, Germany: Springer, 2007. [3] Principles of Microwave Circuits, C. G. Montgomery, R. H. Dicke, and E. M. Purcell, Eds. New York: Dover, 1965. [4] Fields and Waves in Communication Electronics, S. Ramo, H. R. Whinnery, and T. Van Duzer, Eds., 3rd ed. New York: Wiley, 1994. [5] H. Chen, L. Chan, and P. Sheng, “Transformation optics and metamaterials,” Nature Materials, vol. 9, no. 5, pp. 387–396, May 2010. [6] M. R. Dennis, “A cat’s eye for all directions,” Nature Materials, vol. 8, no. 8, pp. 613–614, 2009. [7] G. Lerosey, “Nano-optics: Yagi-uda antenna shines bright,” Nature Photon., vol. 4, pp. 267–268, May 2010. [8] J. G. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley-Blackwell, 2001. [9] T. Lee, Planar Microwave Engineering: A Practical Guide to Theory, Measurement, and Circuits. Cambridge, U.K.: Cambridge Univ., 2004. [10] E. L. Ginzton, Microwave Measurements. New York: McGraw-Hill, 1957.

2377

[11] R. J. Cameron, R. Mansour, and C. M. Kudsia, Microwave Filters for Communication Systems: Fundamentals, Design and Applications. New York: Wiley-Blackwell, 2007. [12] R. E. Collin, Foundations for Microwave Engineering, 2nd ed. New York: Wiley-Blackwell, 2000. [13] B. Easter and R. J. Roberts, “Radiation from half-wavelength open-circuit microstrip resonators,” Electron. Lett., vol. 6, no. 18, pp. 573–574, 1970. [14] E. Belohoubek and E. Denlinger, “Loss considerations for microstrip resonators,” IEEE Trans. Microw. Theory Tech., vol. MTT-23, no. 6, pp. 522–526, Jun. 1975. [15] R. E. Collin, Field Theory of Guided Waves, 2nd ed. New York: IEEE, 1996. [16] C. A. Balanis, Antenna Theory: Analysis and Design, 2nd ed. New York: Wiley-Blackwell, 1996. [17] C. A. Balanis, Advanced Engineering Electromagnetics. New York: Wiley, 1989. [18] H. A. Bethe, “Theory of diffraction by small holes,” Phys. Rev., vol. 66, no. 7–8, pp. 163–182, 1944. [19] L. J. Van Der Pauw, “The radiation of electromagnetic power by microstrip configurations,” IEEE Trans. Microw. Theory Tech., vol. MTT-25, no. 9, pp. 719–725, Sep. 1977. [20] D. M. Pozar, Microwave Engineering, 3rd ed. New York: Wiley, 2004. [21] “RT/Duroid 6010lm Datasheets,” Rogers Corpation [Online]. Available: http://www.rogerscorp.com/documents/612/acm/RT-duroid6006-6010-laminate-data-sheet.aspx [22] D. Kajfez and W. P. Wheless, “Invariant definitions of the unloaded factor,” IEEE Trans. Microw. Theory Tech., vol. 34, no. 7, pp. 840–841, Jul. 2003. Adham Naji (S’05–M’09) received the B.S. degree in electronic engineering from the University of Damascus, Damascus, Syria, in 2004, the M.Sc. degree (with distinction) in digital communications from the University of Bath, Bath, U.K., in 2005, and the Ph.D. degree in microwave and electromagnetic engineering from the University of Bristol, Bristol, U.K., in 2010. He was with the University of Bath, Bath, U.K., between March 2009 and July 2011 as a Research Officer in microwave system design. Currently, he is a Research Collaborator with the University of Bristol, Bristol, U.K., where he continues to work on his research, and a Lecturer in microwave engineering and information theory with the Arab International University. His research interests include reconfigurable microwave resonator and filter design, electromagnetic wave theory, antenna design, complex analysis in optics and microwave theory, and microscopy (topics such as super-resolution).

Paul Warr received the B.Eng. degree in electronics and communications from the University of Bath, Bath, U.K., in 1994, and the M.Sc. degree in communication systems and Ph.D. degree in radio frequency engineering from The University of Bristol, Bristol, U.K., in 1996 and 2001, respectively. He is currently a Senior Lecturer in electronics with the University of Bristol, Bristol, U.K., where his research covers the front-end aspects of software-defined radio, analog integrated circuit design, and distributed microwave structures.

2378

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fast and Efficient Analysis of Transmission Lines With Arbitrary Nonuniformities of Sub-Wavelength Scale S. Mohammad Hassan Javadzadeh, Zahra Mardy, Khashayar Mehrany, Member, IEEE, Forouhar Farzaneh, Senior Member, IEEE, and Mehdi Fardmanesh, Senior Member, IEEE

Abstract—The Telegrapher’s equations for a general nonuniform transmission line (NUTL) is analytically solved when the nonuniformities are of sub-wavelength scale. The proposed solution is based on an approximation of the chronological ordering operator for the Telegrapher’s equations in an arbitrary NUTL. The proposed approximation is quite accurate when the transmission line has sub-wavelength nonuniformities. The scale of transmission line nonuniformity is assessed by using the concept of minimum resolvable length of nonuniformity (MRLN), which is based on the physically intuitive idea that electromagnetic waves are not affected by spectrally mild nonuniformities. The MRLN is inferred by using the spatial Fourier transform of the characteristics impedance of the NUTL. The proposed formulation is verified by using the measured scattering parameters of three different NUTLs. Index Terms—Analysis of microwave passive component, microstrip lines, modeling techniques, nonuniform transmission lines (NUTLs), passive component modeling.

I. INTRODUCTION

T

HE NECESSITY of the technological movement toward small-scale device integration and high-performance microwave integrated circuits (MICs) introduce new challenges to microwave engineers. One aspect of these challenges brings into play the use of nonuniform transmission lines (NUTLs), which find different applications, e.g., in impedance matching [1], pulse shaping [2], [3], couplers [4], [5], antennas [6], and filters [7]. NUTLs, because of their capability of providing a smooth connection between high-density integrated circuits and their chip carriers, are also employed as an interconnection in very large scale integration (VLSI) [8], [9]. The immensity of research devoted to analysis and design of the NUTL is therefore of no surprise [10]–[17]. Nevertheless, finding simple, yet accurate enough expressions for voltage and current distributions in an arbitrary NUTL Manuscript received October 18, 2011; revised April 24, 2012; accepted April 27, 2012. Date of publication June 19, 2012; date of current version July 30, 2012. This work was supported by the Iran Telecommunication Research Center (ITRC). The authors are with the Electrical Engineering Department, Sharif University of Technology, Tehran 11555-4363, Iran (e-mail: smh_javadzadeh@sharif. edu; [email protected]; [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2201740

is still required to reduce the use of time- and memory-consuming numerical techniques for design applications. This is particularly true for an NUTL with sub-wavelength nonuniformities, whose presence can considerably burden the numerical simulation and even paralyze the possibility of optimized design. A simple analytical formula is provided in this paper to reduce the onerous demands imposed by such sub-wavelength nonuniformities. The proposed approach is based on the analogy of the NUTL and inhomogeneous medium. Since sub-wavelength inhomogeneities in the latter can be averaged out and modeled by effective permittivity and/or permeability [18]–[20], it is not unlikely to get rid of sub-wavelength nonuniformities in the former by using effective characteristic impedance and/or propagation constant. To achieve this purpose, the telegrapher’s equations for an NUTL with sub-wavelength nonuniformity are solved by approximating its chronological ordering operator. Closed-form expressions are thus given for the effective characteristic impedance and the effective complex propagation constant to account for sub-wavelength nonuniformities. The proposed theory is experimentally verified, when the scale of nonuniformities are sub-wavelength. A quantitative criterion is provided to measure the scale of nonuniformity and have it compared against the working wavelength of the line. The scale of nonuniformity of the NUTL is determined in terms of the here-proposed minimum resolvable length of nonuniformity (MRLN), which is extracted by using the spatial Fourier transform. This paper is organized as follows. In Section II, the main formulation of the proposed method is introduced and the equivalent uniform TL is presented. Section III presents concepts of the sub-wavelength nonuniformity. Section IV is dedicated for explaining of two special cases and some additional considerations. In Section V, experimental results are presented to confirm the accuracy of the proposed model. Finally, conclusions are made in Section VI. II. ANALYTICAL SOLUTION FOR SUB-WAVELENGTH NUTLs It is here assumed that an NUTL can be accurately analyzed by using the TEM (quasi-TEM for microstrips) modes only. Given that the voltage and current distributions corresponding to TEM electromagnetic fields are governed by the telegrapher’s equations, we have [21]

0018-9480/$31.00 © 2012 IEEE

(1)

JAVADZADEH et al.: FAST AND EFFICIENT ANALYSIS OF TRANSMISSION LINES

2379

where

(11) (2)

and

(12) and (13)

(3)

(14)

In this latter expression, and denote the -dependent distributed circuit parameters of the NUTL. and are the NUTL complex propagation constant and characteristic impedance, respectively, and can be written as

It is now straightforward to convert the above-mentioned transfer matrix into the scattering matrix, and thus extract the -parameters for an arbitrary NUTL

(4) (15) (5) It is unfortunately not possible to provide a closed-form expression for the current and voltage distribution within the NUTL. However, transfer matrix of the current and voltage distribution can be calculated by using Dyson’s perturbation theory [22]–[26] (6) is written in terms of the so-called chronological where ordering operator, , [26] (7) The first-order approximation of the chronological ordering operator, , then yields the following transfer matrix [27]–[29]:

(16) where (17) Comparing the approximate transfer matrix obtained by using the first-order approximation of the chronological ordering operator in (8) against the transfer matrix of a uniform transmission line with characteristic impedance of and complex propagation constant of , which reads as (18) reveals that an arbitrary NUTL of length can be electromagnetically approximated by a uniform transmission line whose characteristic impedance, , and complex propagation constant, , are as follows:

(8) Measurements show that the above-mentioned approximation is accurate enough whenever the spectral contents of the NUTL nonuniformities are mild enough to be averaged out by the electromagnetic fields propagating along the line. This point is further discussed in Section III, where a quantitative criterion is provided to measure the spectral mildness of the NUTL nonuniformities. In the following, the here-proposed approximation is further simplified (9) where (10)

(19)

(20) The subwavelength nonuniformities are in this fashion averaged out and an appropriate effective characteristic impedance and complex propagation constant are found. Interestingly, the effective parameters of the NUTL with subwavelength nonuniformities are not equal to the longitudinal average of -dependent and .

2380

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

III. APPLICABILITY OF THE PROPOSED APPROXIMATION Given that the closed-form expression in (8) eliminates the nonuniformities of the NUTL, and thus substitutes the nonuniformities by the appropriate average, the accuracy of the proposed transfer matrix is guaranteed only when the scale of nonuniformities is subwavelength. This is much like using a refractive index to describe the optical behavior of crystals despite the periodicity of the lattice. It is therefore necessary to quantitatively measure the scale of nonuniformities to ensure that the nonuniformities can be averaged. To this end, an MRLN, , is defined by using the spatial Fourier transform of the characteristic impedance, , of the NUTL. It is the quotient of the division of the NUTL length by , where (hereafter referred to as the maximum number of line divisions) is the minimum positive integer value that satisfies the following inequality: (21) and is the Fourier transform of the convolution of the characteristic impedance, , and the Dirac delta function, , which can be written in terms of the Fourier transform of the characteristic impedance, , and the discrete delta function,

where is the minimum value of for . Similarly, the maximum working frequency for which the proposed approximation has good accuracy is

(25)

is the maximum value of the effective permittivity where of the line for . In all tested examples, the level of error for the working frequencies smaller than is always below 5%. IV. SPECIAL CASES AND FURTHER CONSIDERATIONS In this section, two special cases are studied in further detail. First, the proposed approximation is applied to a uniform transmission line and it is shown that defined in (25) tends to infinity. This is heuristically justifiable because the proposed approximation incurs no error if the transmission line is uniform. Second, a periodic transmission line of finite length is considered and it is shown that the MRLN is either equal to the period of the line or is its fraction. Since the propagation of uniform plane waves in one-dimensionally periodic structures is analogous to wave propagation in transmission lines [30], the strong dependence of the MRLN on the period of the line is not surprising because it renders the famous condition of using the effective medium theory in optical metamaterials, i.e., optical quasi-static approximation [31]–[34]. A. Uniform Transmission Line

(22) where denotes the Fourier transform operator and for and . The inequality in (21) guarantees that the spatial frequencies higher than are not playing a vital role because 98% of the energy is within the spatial bandwidth of . In other words, the length scales smaller than the MRLN, i.e., , has no significant contribution. It is worth noting that the presence of strong nonuniformities in the characteristic impedance of the NUTL makes larger, and thus reduces the MRLN. Since the scale of nonuniformities is in this fashion measured by the MRLN, the accuracy of the closed-form expression in (8) is assured when the MRLN is subwavelength, i.e., when the MRLN is smaller than the wavelength of the propagating wave. The wavelength of the propagating wave along the line at each specific is known in terms of the working frequency, , the speed of light, , and the effective permittivity of the line at (23) and we should have (24)

For a uniform transmission line whose characteristic impedance is , the maximum number of line divisions, , tends to infinity because . The MRLN is therefore zero and the maximum working frequency tends to infinity . In other words, the proposed approximation incurs no error and is always valid because the first-order approximation of the chronological operator is exact for and . As expected, the effective characteristic impedance and propagation constant of the line are equal to , and , respectively,

(26)

(27) B. Periodic NUTLs For a periodic transmission line of length , we have , where is an integer and is the period of the line. It is then straightforward to show that the MRLN can be written as the quotient of the division of the NUTL period by ,

JAVADZADEH et al.: FAST AND EFFICIENT ANALYSIS OF TRANSMISSION LINES

2381

where is the minimum positive integer value that satisfies the following inequality: (28) is the Fourier transform of the convolution of the characteristic impedance, , and the Dirac delta function,

(29) where for

denotes the Fourier transform operator, , for and , and is the Fourier transform of . The effective characteristic impedance and propagation constant of the line can then be written as (30)

Fig. 1. Three different NUTLs used to justify the proposed model. (a) Back-toback linearly tapered width. (b) Saw-tooth width. (c) Back-to-back exponential width.

(31) It is worth noting that a uniform transmission line of length can be considered as a periodic transmission line whose period could be an arbitrary fraction of . Therefore, the MRLN of a uniform transmission line tends to zero as it is supposed to be a fraction of , which could itself tend to zero. V. EXPERIMENTAL RESULTS In this section, the proposed model is verified by measuring the -parameters of three different NUTLs shown in Fig. 1. The dimensions are all marked in this figure. We set mm, mm, mm, mm, mm, mm, and mm. They are realized on an RF-35 board whose thickness and dielectric permittivity are 0.76 mm and 3.5, respectively. Since SMA connectors are used to feed the NUTLs, the coaxial cable-to-microstrip junction whose effects are not included in the proposed model should be taken into account. This is here performed by using the simple model of [33], which is comprised of discrete elements viz. a series inductor and a shunt capacitance. A. Back-to-Back Linearly Tapered Width The NUTL shown in Fig. 1(a) is studied first. It is made of a back-to-back connection of two linearly tapered units whose widths are linearly varying with the longitudinal distance. Since the maximum number of line divisions and the overall length of the line are and cm, respectively, the MRLN is cm, and thus the maximum frequency below which the proposed model can still enjoy a good enough accuracy is, in

Fig. 2. Comparison of the measured and predicted amplitudes of the -parameters for the NUTL in Fig. 1(a), when the effective parameters in (19) and (20) are employed.

accordance with (25), GHz. This is clearly demonstrated in Fig. 2, where the measured scattering parameters at different frequencies are compared against the scattering parameters calculated by using the here-proposed effective characteristic impedance and effective complex propagation constant. As expected, the NUTL cannot be replaced with a uniform transmission line whose characteristic impedance and propagation constant are equal with the here-proposed effective characteristic impedance and effective propagation constant if the working frequency is above GHz. It is, however, possible to substitute the NUTL with a series connection of uniform transmission lines and thus increase the . This is demonstrated by dividing the NUTL into six equilength sections. The MRLN of each section is then cm, and the maximum frequency is increased to GHz.

2382

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 3. Comparison of the measured and predicted amplitudes of the -parameters for the NUTL in Fig. 1(a), when the line is modeled by the series connection of six equilength uniform transmission lines.

This is shown in Figs. 3 and 4, where the measured -parameters are compared against the modeled -parameters. This time the measured and the modeled scattering parameters agree with each other for working frequencies as high as GHz. B. Saw-Tooth Width The NUTL shown in Fig. 1(b) is then considered as the second example. It has a saw-tooth width profile, which is, in fact, made by the repetition of four similar units, each one similar to the back-to-back linearly tapered line studied in Section V-A. The maximum number of line divisions, and the overall length of the line are for this specific line and cm, respectively. The MRLN is therefore cm and GHz. It is, however, worth noting that since the saw-tooth line can also be considered as a periodic transmission line of finite length, the MRLN can be written as , where , and . The measured scattering parameters at different frequencies are then compared against the modeled scattering parameters. A very good agreement is observed between the two for frequencies lying below GHz. The amplitude of the -parameters is plotted versus frequency in Fig. 5. The phase of the -parameters enjoys the same level of accuracy. It is worth noting that the characteristic impedance and the propagation constant of the appropriate uniform transmission line modeling the NUTL at low enough frequencies cannot be obtained by using the longitudinal average of the characteristic impedance and the propagation constant along the NUTL. Rather, the weighed averages given in (30) and (31) should be employed.

Fig. 4. Comparison of the measured and predicted phases of the -parameters for the NUTL in Fig. 1(a), when the line is modeled by the series connection of . (b) . six equilength uniform transmission lines. (a)

C. Back-to-Back Exponential Width As another example, an NUTL formed by four units of lines with back-to-back exponential width profile, as shown in Fig. 1(c), is considered. Given that the NUTL can be also considered as a periodic transmission line of finite length, the MRLN can be written either as with and cm, or as with and .

Fig. 5. Comparison of the measured and predicted amplitudes of the -parameters for the NUTL in Fig. 1(b), when the effective parameters in (19) and (20) are employed.

The maximum frequency below which the proposed model has a good enough accuracy is then once again GHz.

JAVADZADEH et al.: FAST AND EFFICIENT ANALYSIS OF TRANSMISSION LINES

2383

below GHz. These figures substantiate the fact that the nonuniformities the subwavelength scale can, in fact, be averaged out. VI. CONCLUSION

Fig. 6. Comparison of the measured and predicted amplitudes of the -parameters for the NUTL in Fig. 1(c), when the effective parameters in (19) and (20) are employed.

The scale of nonuniformities has been measured by the here-proposed MRLN. It has been shown that sub-wavelength nonuniformities of NUTLs can be averaged out by introduction of effective characteristic impedance and effective complex propagation constant. The longitudinally inhomogeneous width profile of the NUTL is in this fashion either homogenized or smoothed out by substituting the NUTL with either a uniform transmission line or a series connection of uniform transmission lines. The maximum frequency below which the proposed averaging of transmission line nonuniformities remains accurate is obtained by comparing the MRLN against the wavelength of the propagating wave along the line at each specific . The scattering parameters obtained by using the here-proposed effective characteristic impedances and affective complex propagation constants match quite well with the measured scattering parameters in three different NUTLs. The proposed theory parallels the determination of effective permittivity and permeability of metamaterials at optical frequencies. REFERENCES

Fig. 7. Comparison of the measured and predicted phases of the -parameters for the NUTL in Fig. 1(c), when the effective parameters in (19) and (20) are . (b) . employed. (a)

The measured and modeled scattering parameters are plotted in Figs. 6 and 7, respectively. Once again, a very good agreement is observed between the two when the working frequency is

[1] R. E. Collin, Foundations for Microwave Engineering. New York: McGraw-Hill, 1992. [2] M. A. Mehalic and R. Mittra, “Arbitrary pulse shape synthesis via nonuniform transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 10, pp. 1514–1518, Oct. 1990. [3] E. Afshari, H. S. Bhat, A. Hajimiri, and J. E. Marsden, “Extremely wideband signal shaping using one- and two-dimensional nonuniform nonlinear transmission lines,” J. Appl. Phys., vol. 99, Mar. 2006, Art. ID 054901. [4] F. Hosseini, M. K.-A. Hosseini, and M. Yazdani, “Novel compact branchline coupler using non-uniform transmission line,” in Asia–Pacific Microw. Conf., 2009, pp. 1577–1580. [5] P. Salem, C. Wu, and M. C. E. Yagoub, “Non-uniform tapered ultra wideband directional coupler design and modern ultra wideband balun integration,” in Proc. Asia–Pacific Conf., Yokohama, Japan, Dec. 2006. [6] N. H. Younan, B. L. Cox, C. D. Taylor, and W. D. Rather, “An exponentially tapered transmission line antenna,” IEEE Trans. Electromagn. Compat., vol. 36, no. 1, pp. 141–144, Feb. 1994. [7] L. A. Hayden and V. K. Tripathi, “Nonuniformly coupled microstrip filters for analog signal processing,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 1, pp. 47–53, Jan. 1991. [8] J. E. Schutt-Aine, “Transient analysis of nonuiform transmission lines,” IEEE Trans. Circuits Syst., vol. 39, no. 5, pp. 378–385, May 1992. [9] T. Dhaene, L. Martens, and D. D. Zutter, “Transient simulation of arbitrary nonuniform interconnection structures characterized by scattering parameters,” IEEE Trans. Circuits Syst., vol. 39, no. 11, pp. 928–937, Nov. 1992. [10] T. Kashiwa, M. Sasaki, S. Maeda, and I. Fukai, “Full wave analysis of tapered microstrip lines using the conformal grids FD-TD method,” in IEEE MTT-S Int. Microw. Symp. Dig., 1992, pp. 1213–1216. [11] P. Bouchard, R. R. J. Gagne, and J.-J Lavoie, “Transients on lossless exponential transmission lines using allen’s method,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 6, pp. 1178–1182, 1993. [12] P. Bouchard and R. J. Gagne, “Transient analysis of lossy parabolic transmission lines with nonlinear loads,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 6, pp. 1330–1333, Jun. 1995. [13] C. L. Edwards, M. L. Edwards, S. Cheng, R. K. Stilwell, and C. C. Davis, “A simplified analytic CAD model for linearly tapered microstrip lines including losses,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 823–830, Mar. 2004. [14] J. P. Mahon and R. S. Elliott, “Tapered transmission lines with a controlled ripple response,” IEEE Trans. Microw. Theory Tech., vol. 21, no. 9, pp. 580–583, Sep. 1990.

2384

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

[15] Y. Wang, “New method for tapered transmission line design,” Electron. Lett., vol. 27, pp. 2396–2398, 1991. [16] M. H. Eghlidi, K. Mehrany, and B. Rashidian, “Analytical approach for analysis of nonuniform lossy/lossless transmission lines and tapered microstrips,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 12, pp. 4122–4129, Dec. 2006. [17] S. Barmada, A. Musolino, and M. Raugi, “Wavelet-based time-domain solution of multiconductor transmission lines with skin and proximity effect,” IEEE Trans. Electromagn. Compat., vol. 47, no. 4, pp. 774–780, Nov. 2005. [18] G. Castaldi, I. Gallina, V. Galdi, A. Alu, and N. Engheta, “Power scattering and absorption mediated by cloak/anti-cloak interaction: A transformation-optics route towards invisible sensors,” J. Opt. Soc. Amer. B, Opt. Phys., vol. 27, no. 10, pp. 2132–2140, Oct. 2010. [19] N. Engheta and C. H. Papas, “Ambartsumian’s principle of invariance and the reflection of radio waves from plane inhomogeneous slabs,” in Principle of Invariance and Its Applications, M. A. Mnatsakanian and H. V. Pickichian, Eds. Yerevan: Publishing House Acad. Sci., 1989, pp. 348–361. [20] , N. Engheta and R. W. Ziolkowski, Eds., Metamaterials: Physics and Engineering Explorations. New York: Wiley, 2006, p. 414. [21] M. V. Schneider, “Microstrip lines for microwave integrated circuits,” Bell Syst. Tech. J., pp. 1422–48, 1969. [22] S. Khorasani and A. Adibi, “Analytical solution of linear ordinary differential equations by differential transfer matrix method,” Electron. J. Differ. Equations, pp. 1–18, 2003. [23] A. Lakhtakia, “Comment on ’Analytical solution of nonhomogeneous anisotropic wave equations based on differential transfer matrices’,” J. Opt. A, Pure Appl. Opt., vol. 5, pp. 432–433, 2003. [24] F. J. Dyson, “The matrix in quantum electrodynamics,” Phase Transitions, vol. 75, pp. 1736–1755, 1949. [25] P. Roman, Advanced Quantum Theory. Reading, MA: Addison-Wesley, 1965, p. 310. [26] J. D. Bjorken and S. D. Drell, Relativistic Quantum Mechanics. New York: McGraw-Hill, 1965, p. 177. [27] S. Khorasani, “Reply to comment on ’Analytical solution of non-homogeneous anisotropic wave equations based on differential transfer matrices’,” J. Opt. A, Pure Appl. Opt., vol. 5, pp. 434–435, 2003. [28] K. Mehrany and S. Khorasani, “Analytical solution of non-homogeneous anisotropic wave equations based on differential transfer matrix method,” J. Opt. A, Pure Appl. Opt., vol. 4, pp. 624–635, 2002.

[29] S. Khorasani and K. Mehrany, “Differential transfer-matrix method for solution of one-dimensional linear nonhomogeneous optical structures,” J. Opt. Soc. Amer. B, Opt. Phys., vol. 20, pp. 91–96, 2003. [30] C. Denz, S. Flach, and Y. S. Kivshar, Nonlinearities in Periodic Structures and Metamaterials. Berlin, Germany: Springer, 2009. [31] M. Born and E. Wolf, Principles of Optics: Electromagnetic Theory of Propagation, Interference and Diffraction of Light. Cambrige, U.K.: Cambridge Univ. Press, 1997. [32] C. Gu and P. Yeh, “Form birefringence of layered media and volume gratings,” J. Opt. Soc. Amer. B, Opt. Phys., vol. 12, pp. 1093–1099, 1995. [33] C. Gu and P. Yeh, “Form birefringence dispersion in periodic layered media,” Opt. Lett., vol. 21, pp. 504–506, 1996. [34] K. C. Gupta, R. Garg, I. Bahl, and P. Bhartia, Microstrip Lines and Slotlines, 2nd ed. Norwood, MA: Artech House, 1996.

S. Mohammad Hassan Javadzadeh, photograph and biography not available at time of publication.

Zahra Mardy, photograph and biography not available at time of publication.

Khashayar Mehrany (M’06), photograph and biography not available at time of publication.

Forouhar Farzaneh (S’82–M’84–SM’96), photograph and biography not available at time of publication.

Mehdi Fardmanesh (S’91–M’91–SM’02), photograph and biography not available at time of publication.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

2385

Generalized Lattice Network-Based Balanced Composite Right-/Left-Handed Transmission Lines Jaime Esteban, Carlos Camacho-Peñalosa, Member, IEEE, Juan E. Page, and Teresa M. Mart´ın-Guerrero

Abstract—Artificial transmission lines based on lattice network unit cells can mimic the behavior of actual metamaterial transmission lines without introducing any stopband, as opposite to their conventional counterparts based on T- or -network unit cells, which can be balanced to suppress the stopbands in the transitions from left- to right-handed bands, but will always present stopbands in the right-handed- to left-handed-band transitions. The aim of this paper is twofold. First, the general properties of lattice network unit cells are discussed using simple circuit theory concepts. As a result, a systematic classification of all possible balanced lattice network-based artificial transmission line unit cells is described. Second, a novel multiband lattice network-based unit cell is presented. The proposed unit cell is made up of a coupledmicrostrip section in a host microstrip and requires neither air bridges nor via-holes. Coupled lines have already been used to obtain composite right-/left-handed transmission lines, but a solution, based on wiggly lines, is given in this paper to the problem caused by the different even- and odd-mode phase velocities. As a result, the wideband capabilities of the artificial transmission line are fully exploited, as the theoretical and experimental results corroborate. Index Terms—Composite right-/left-handed transmission line, coupled lines, lattice network, microstrip, wiggly line coupler.

I. INTRODUCTION

S

INCE the introduction of the transmission-line (TL) approach to metamaterials in 2002, many novel microwave circuits (in terms of performances and functionalities) have been proposed (see, for instance, [1] and [2] for a very comprehensive description of many of them). This metamaterial TL approach is mainly based on the use of artificial TLs to mimic the propagation properties of continuous transmission lines as if they were filled with an homogeneous, linear, and isotropic negative-refraction-index medium. Artificial TLs are periodic structures that work in the subwavelength regime, which means that the maximum phase delay Manuscript received September 14, 2011; revised March 28, 2012 and April 01, 2012; accepted April 09, 2012. Date of publication June 14, 2012; date of current version July 30, 2012. This work was supported in part by the Spanish Ministerio de Ciencia e Innovación (Programa Consolider-Ingenio 2010) under Grant CSD2008-00066 EMET and Grant CSD2008-00068 Terasense and by the Junta de Andalucía (Spain) under Grant P10-TIC-6883. J. Esteban and J. E. Page are with the Departamento de Electromagnetismo y Teoría de Circuitos, Escuela Técnica Superior de Ingenieros de Telecomunicación, Universidad Politécnica de Madrid, 28040 Madrid, Spain (e-mail: [email protected]; [email protected]). C. Camacho-Peñalosa and T. M. Martín-Guerrero are with the Departamento de Ingeniería de Comunicaciones, Escuela Técnica Superior de Ingenier´ıa de Telecomunicación, Universidad de Málaga, 29071 Málaga, Spain (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2198225

introduced by the unit cell is always lower than [1]. Their properties can be deduced from the properties of their unit cell, and the image-parameter filter theory seems to be a convenient tool to analyze their frequency response [3]. Originally, metamaterial TLs took the form of conventional or right-handed (RH) TLs periodically loaded by left-handed (LH) lumped components (series capacitors and shunt inductors), although other implementations are possible, including those based only on lumped elements or only on TL sections. Until very recently, all of these artificial TLs have shared model neta common topology for the unit cell: a T or a work. The use of this topology yields some general properties for the resulting artificial TLs [4], namely, they exhibit alternate RH and LH passbands, some stopbands around the zeros of the phase constant (which can be closed by using suitable balancing conditions), and some other stopbands around the poles of the series impedance (or the shunt admittance) whose presence can not be avoided [5]. Moreover, in the corresponding passbands, the real image impedance of the unit cell is frequency-dependent, and so is the Bloch impedance of the artificial TL. Recently, Bongard et al. [6]–[9] proposed to periodically load an RH TL with LH components arranged in what they called an “X” cell (i.e., a lattice network). In doing so, they have been able to produce an artificial TL that exhibits a composite right/lefthanded (CRLH [10]) frequency response, but without any stopband. This all-pass behavior means that the image impedance, as well as the characteristic impedance of the mimicked continuous line, is not only purely real (in the lossless case), but also frequency-independent and opens new possibilities in multiband applications, such as those presented in [11] and [12]. As it was the case of the first CRLH TL, the lattice networkbased CRLH TL proposed in [8] can be considered as a particular (low-order) case of a more general unit cell. Some properties of the general balanced TLs are presented in Section II. Actually, the material of this section is an extract of [5] and is included herein for the sake of completeness. The aim of Section III is to systematically classify all possible lattice network-based balanced lossless CRLH TLs and to discuss their general properties following a similar approach to that used in [5]. The properties of the first lower order unit cells of this class of artificial TLs are presented in Section IV, along with their relation with the CRLH TLs found in the technical literature. A higher order (in principle, an infinite-order) unit cell with multiband applicability is presented in Section V. This unit cell is based on the use of a coupled-microstrip section with interconnected termination. A coupled-line section has already been used in [13] and [14] to obtain CLRH TLs, but the problem of the different even- and odd-mode phase velocities

0018-9480/$31.00 © 2012 IEEE

2386

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 1. General topologies for the immittances of lossless balanced TLs of arbitrary order.

was not solved there. On the contrary, the unit cell proposed in Section V-A can overcome this problem by using a wiggling-slot coupling. The theoretical and experimental results in Section V-B confirm it. II. LOSSLESS BALANCED CONTINUOUS TL It is well known that a lossless TL with characteristic impedance is fully characterized by its distributed (per-unit-length) series impedance and its distributed (per-unit-length) shunt admittance . If the lossless TL is designed to be “balanced” [1] as (1) and (2) then this means that and have to be positive-real functions with exactly the same poles and zeros [5] and, thus, that the distributed series impedance and shunt admittance are governed by the dispersion diagram defined by . Therefore, they can be implemented by the canonical Foster’s topologies depicted in Fig. 1 and can be classified by the number of and elements on its canonical implementation (directly related to the number of poles and zeros of and ). III. LOSSLESS BALANCED LATTICE NETWORK-BASED ARTIFICIAL TL

Fig. 2. General doubly symmetric lattice network with series-arm impedances and cross-arm admittances .

In the lossless case, both immittances are purely imaginary, and . The interest of this network is due to the fact that it is possible to obtain a frequency-independent image impedance , provided and have the same poles and zeros (balanced). It is straightforward to show that a lossless balanced TL section with characteristic impedance and electrical length can be represented by a lattice network. The required immittances are given by (5) and (6) These immittances are not realizable with a finite number of lumped elements because of the distributed nature of the TL; however, a working approximation can be obtained if the electrical length is sufficiently small. In fact, this is the foundation of artificial TLs: to mimic a distributed one-dimensional transmission system by slicing it into smaller ones that can be approximated to the desired degree of accuracy by a lumped-element network. In this case, when , (5) and (6) yield (7) (8) where is the phase constant of the associated (mimicked) continuous TL and is the physical length (sufficiently small) of the continuous TL section being mimicked. The phase factor of the discrete realization is given by

The topology of the doubly symmetric lattice network [15] is shown in Fig. 2. The image parameters of such a network, i.e., the image impedance and the propagation factor are, respectively, given by (3) and (4)

(9) and takes the zero value at the zeros of the phase constant and the value at the poles of . It is important to realize that, since regardless of the value of the lattice constant , the resulting artificial TL

ESTEBAN et al.: GENERALIZED LATTICE NETWORK-BASED BALANCED CRLH TRANSMISSION LINES

Fig. 3. First lower order lossless balanced artificial TL unit cells (the lower series-arm impedance and the second cross-arm admittance have been suppressed in the circuit schemes for clarity) and its dispersion diagrams in continuous lines: (a) LH (at lower frequencies) TLs and (b) RH TLs. The dashed line represents of the associated mimthe electrical length of a section of physical length icked continuous TLs.

exhibits an all-pass frequency response, despite its discrete nature. It is also worth mentioning that , which is the phase factor of the unit cell, tends to , which is the phase constant of the continuous TL mimicked by the artificial TL, when . Since is a positive-real function, it will exhibit alternate poles and zeros, and thus alternate LH and RH passbands, bounded by those poles and zeros. The order of the desired frequency behavior for defines uniquely the per-unit-length immittances and and, thus, the lattice network immittances and [(7) and (8)]. In conjunction with the network topologies depicted in Fig. 1, these equations allow to derive the unit cell of an all-pass artificial TL of arbitrary order. For illustrative purposes, six different cases have been depicted in Figs. 3–5. It must be highlighted that the strict “balance” implied by (1) and (2) is only possible in lossless TLs. Near the balance frequencies the imaginary parts of the unit cell immittances involved in (3) tend to vanish (or so do their inverses), and then the ratio between their real parts (or the real parts of their inverses) becomes the key value for the resultant image impedance. The values of the real parts of these immittances (and their ratio) are

2387

Fig. 4. Second lower order lossless balanced artificial TL unit cells and its dispersion diagrams in continuous lines: (a) LH TLs and (b) RH TLs. The dashed of the line represents the electrical length of a section of physical length associated mimicked continuous TLs.

due to dissipation and radiation losses, and are extremely difficult to control by the designer. IV. PROPERTIES OF THE FIRST LOWER ORDER LOSSLESS BALANCED ARTIFICIAL TLS Figs. 3–5 show the first lower order lossless balanced artificial TL unit cells and their respective dispersion diagrams. These figures show pairs of dual circuits: parts (a) show the first lower order cells that produce an LH band at lower frequencies, while parts (b) show the dual circuits that produce an RH band at lower frequencies. As mentioned before, since the zeros and poles of define the frequency crossings of by zero and , interchanging the series- and cross-arm immittances would lead to the dual topologies and would interchange the frequencies at which the zero and values of the phase factor occur. Consider any pair of artificial TLs based on dual lattice-network cells, which mimic TLs with phase constants and . When these phase constants are related by (10)

2388

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 6. Group delay per unit cell of the first lower order lossless balanced artificial TL unit cells shown in Figs. 3–5. Normalized group delay units are the inverse of the normalized frequency units.

discontinuity in none of the crossings of the phase factors present in Figs. 4(b) and 5(a) and (b). Note that the group delay

(12)

Fig. 5. Third lower order lossless balanced artificial TL unit cells and its dispersion diagrams in continuous lines: (a) LH TLs and (b) RH TLs. The dashed of the line represents the electrical length of a section of physical length associated mimicked continuous TLs.

the corresponding phase factors by

and

are also related

(11) expression of (9). While the first one as stated by the of these two cells will have the series-arm impedance and the cross-arm admittance defined by (7) and (8), the second one will have the series-arm impedance and the cross-arm admittance . The upper and lower cells of Figs. 3–5 are related to each other in this way, and therefore their phase factors are related by (11). In Figs. 4(b) and 5(a) and (b), it is also evident how the frequencies at which the series-arm impedance (or the cross-arm admittance or the propagation constant ) shows a pole does not become the center of a stopband, as in artificial TLs with T or unit cells, but a crossing of the phase factor through the value. These apparent discontinuities do not spoil the all-pass properties of the artificial TL. An analysis of the group velocity in the artificial TL would help to clarify this point. However, the use of the group delay has been preferred, since it can be defined even for a lumped system. Fig. 6 shows the group delays introduced by the unit cells presented in Figs. 3–5. There is no

is the same for the pairs of unit cells in Figs. 3–5, because of the aforementioned relation (11) between phase factors. With respect to the artificial TL implementation, the unit cell shown in Figs. 4(a) can be regarded as the lumped-elementbased version of the lattice network proposed by Bongard et al. [6]–[9]. In fact, its unit cell is made up of an LH lattice network [the one depicted in Fig. 3(a)] that periodically loads an RH TL. Nevertheless, it can be proven [16] that, in the infinite wavelength frequency range , its unit cell becomes equivalent to the higher order lattice network-based implementation of Fig. 4(a). Similarly, a unit cell made up of the lattice network depicted in Fig. 4(b) that periodically loads a conventional RH TL can be considered as equivalent to the lattice network-based implementation of Fig. 5(b), at least in the infinite wavelength frequency range. V. INFINITE-ORDER BALANCED ARTIFICIAL TL Higher order artificial TLs can be proposed, and the concept can be brought further to an infinite-order artificial TL, by substituting immittances and with distributed-element networks, i.e., transmission lines. In order to fulfill the balance condition (2), an open-circuited (short-circuited) section of TL can be chosen as , whereas a short-circuited (open-circuited) section is chosen as , as shown in Fig. 7. Considering sections of lossless TLs with real characteristic impedances and and electrical lengths , the series- and cross-arm immittances of the unit cell shown in Fig. 7(a) are

(13)

ESTEBAN et al.: GENERALIZED LATTICE NETWORK-BASED BALANCED CRLH TRANSMISSION LINES

2389

Fig. 8. Unit cell made up of a Schiffman section of length in a host microstrip line of length , and its equivalent circuit.

Fig. 7. Infinite-order lossless balanced artificial TL unit cells and its dispersion diagrams in continuous lines: (a) LH TLs and (b) RH TLs. The dashed line repof the associated resents the electrical length of a section of physical length mimicked continuous TLs.

Using (3) and (4), the image impedance and phase factor become (14) and, for the unit cell in Fig. 7(b), we have

intricate circuit. However, [18] shows that there is a simple planar circuit that fully reproduces the unit cell of Fig. 7(b) resorting to a coupled section with interconnected termination (or Schiffman section [19]). The equivalent TLs for the short-circuited odd mode and the open-circuited even mode play the role of the series- and cross-arm impedances, respectively. The unit cell is then made up of a coupled-line Schiffman section in a host TL. Fig. 8 shows this kind of unit cell in microstrip technology. The coupled section is defined by four parameters: the even- and odd-mode characteristic impedances ( and ) and the even- and odd-mode electrical lengths ( and ), whereas the host line is defined by its characteristic impedance and electrical length . In the case of nondispersive transmission line technology, such as stripline, both odd and even modes of the coupled line section would be transverse electromagnetic (TEM), the electrical lengths would be equal to each other , and the image impedance would be (17) If this image impedance is equal to the characteristic impedance of the host line , it will also be the image impedance of the unit cell. Furthermore, in this case, the phase factor introduced by the unit cell will be simply the sum of the phases introduced by the coupled section and the host line, i.e.,

(15) (18) (16) These expressions require that both TLs sections (in the seriesand cross-arms) have the same electrical length at all frequencies, which, in principle, can only be ensured using nondispersive TLs with the same phase velocity. The phase factors of the upper and lower cells of Fig. 7 are also presented in this figure and are related by (11), as explicitly obtained in (14) and (16). A. Implementation Any attempt to implement the lattice networks of Fig. 7 by means of physical TLs, as in [17], would lead to an extremely

, the lines are not coupled, and the phase factor When reduces to , which is the phase introduced by the host line and the two-way path along the noncoupled lines. The unique parameter to design a desired phase factor is the ratio , i.e., the coupled-line coupling factor. The higher the coupling, the farther the phase factor is from the almost linear phase of continuous TLs. However, it is much more typical to employ a dispersive transmission-media technology, such as the microstrip line. Thus, the different phase velocities of even and odd modes ( and , respectively, with in coupled microstrip) complicates the balancing of the coupled section. In the lossless

2390

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

case, the image impedance of a Schiffman section with different even- and odd-mode velocities is

(19) and . where In [13] and [14], the coupled-microstrip unit cell of Fig. 8 is already used to obtain CLRH TLs, but the authors fail to solve the problem of the different phase velocities. In fact, it is erroneously stated in [14] that the selection of the host-line characteristic impedance can compensate for the difference between the velocities of the even and odd modes. A simple inspection of (19) serves to realize that, for instance, the frequency range corresponds to a stopband, since, in this frequency range , the image impedance is imaginary, and the coupled section cannot be matched, no matter what the (real) value of is. A stopband will be obtained for each nonsimultaneous zero or infinite value of and that correspond to the frequencies at which the phase factor takes the values 0 or . However, there is a possible solution to the dispersion problem, namely, to equate the electrical lengths of the seriesand cross-arm stubs of the lattice in Fig. 8, i.e., the electrical lengths for the even and odd modes. This can be done by means of what is referred to as wiggly lines [20], [21]. Wiggling the slot of the coupled lines does not significantly change the electrical length of the coupled section for the even mode, since this mode is essentially guided between the two strips as a whole and the ground plane. By contrast, the wiggling increases the electrical length for the odd mode, since this mode is mainly guided by the slot, and its field has to follow the longer wiggly course. A wideband balance of the artificial TL would require the wideband equating of the electrical lengths for the even and odd modes of the coupled-line section. Because of the different frequency behavior of the even- and odd-mode phase velocities, it is almost impossible to reach a perfect fit at all frequencies. The effort has been focused in equating these electrical lengths in the 2.5–5 GHz band. Hence, a coupled-microstrip section with a wiggling slot has been optimized for this band. The Arlon AR1000L050 substrate was chosen, with measured permittivity , loss tangent , 1.34-mm thickness, and 18- m copper metallization. The dimensions of the Schiffman section are presented in Fig. 9 along with a photograph of the manufactured prototype. The 1.28-mm width of the input and output microstrip lines corresponds to a characteristic impedance . The design (and the line coupling) was limited by the available photo-etching technology (minimum slot and strip widths of 0.2 mm and tolerances of 0.015 mm). The optimization was carried out by adjusting the wiggly diameter and chamfer size , in order to minimize the difference between the image impedance of the coupled section and the characteristic impedance . Both values were obtained by simulation of the geometry shown in Fig. 9 with a commercial finite-element software (HFSS), taking into account the dielectric, conductor, and radiation losses.

Fig. 9. Optimized wiggly coupled-microstrip Schiffman section. 0.50 mm, and 45 chamfers. The wiggly 1.11 mm. The unit cell has a period slot follows tangent circles of diameter 14 mm.

Fig. 10. Image impedance of the optimized unit cell shown in the photograph of Fig. 9, as predicted by HFSS. The characteristic impedance of the is also shown. microstrip line

The resultant image impedance and propagation factor of the optimized unit cell are presented in Figs. 10 and 11. The cell shows the expected alternated RH and LH bands with almost balanced transitions to each other. The residual stopbands, because of the imperfect match of the electrical lengths of even and odd modes, are conspicuous in Fig. 10, at the frequencies where the real part of the image impedance departs from the value and the imaginary part is nonnegligible (i.e., at 1.4, 2.45, 3.9, 5.1, and 6 GHz). Some of these narrow stopbands are also noticeable in Fig. 11 as sharp peaks of the attenuation factor , which would otherwise be a smooth curve, as a consequence only of dielectric, conductor, and radiation losses. A good suppression of the stopband at 3.9 GHz (line balance) is observable in both figures. The reason for the high values of the attenuation factor over 4.8 GHz is not mainly because of the residual

ESTEBAN et al.: GENERALIZED LATTICE NETWORK-BASED BALANCED CRLH TRANSMISSION LINES

Fig. 11. Propagation factor of the optimized unit cell shown in the photograph of Fig. 9, as predicted by HFSS.

stopband at 5.1 GHz, but because of dissipation losses and the ability of the coupled section to radiate above this frequency. It is worth noting that the wiggly section and the unit cell are not symmetrical, and therefore the section does not strictly support even and odd modes, and the unit cell does not have a single image impedance. However, the asymmetry is slight, and the -parameters obtained with HFSS were symmetrized to obtain the image parameters shown in Figs. 10 and 11. A simple symmetrization procedure was used, namely, both and were replaced by their average value . B. Results The -parameters of the unit cell shown in Fig. 9 have been measured using the host line characteristic impedance as reference impedance, and are compared in Fig. 12 with the simulation obtained by HFSS. Good agreement is obtained between simulation and measurement. The discrepancies are mainly attributable to limitations in the accuracy of the photo-etching process. The aforementioned slight asymmetry can be observed in the difference between - and -parameters. The unit cell is seemingly well matched over all of the frequency range, since the residual stop bands are not noticeable in the -parameters of a single cell. Only when a high number of cells are cascaded do the residual stop bands of the otherwise all-pass TL become evident. A nine-cell artificial TL has been manufactured and measured. A photograph of the artificial line is shown in Fig. 13, and the simulated and measured results are presented in Fig. 14, along with the results of the equivalent circuit of Fig. 8, which will be commented on in the Appendix. The five balanced frequencies are now evident as narrow bands with a lower return loss. Nevertheless, return loss better than 16 dB has been measured on the whole 2.5-5 GHz band, which includes an RH and

2391

Fig. 12. -parameters of the unit cell shown in the photograph of Fig. 9, measured and predicted by HFSS.

Fig. 13. Artificial TL made up of nine cells like the one shown in Fig. 9.

an LH band with a balanced RH-to-LH band transition between them. Return loss higher than 11 dB is obtained on the 1.5–5.9 GHz band, with the presence of two RH and two LH bands on an alternate LH/RH/LH/RH disposition. The infinite order of this cell (in the sense given in Sections III and IV) is limited by dissipation losses and by the frequency at which the Schiffman section becomes a good radiating element. This frequency, around 4.8 GHz, matches the frequency at which the section length compares with a significant fraction of the free space wavelength . With respect to the phase response, the measured phase introduced by each cell of the nine-cell TL can be obtained from the measured -parameter as . This phase could have been compared with the phase factor obtained by simulation of a single cell and presented in Fig. 11. Excellent agreement makes the curves so obtained to almost superimpose to each other. It has been preferred to show in Fig. 15, as a measure of the error, the difference between the simulated phase factor and the measured phase: . This difference is lower than 4 in the whole measured frequency band, which confirms the utility of the phase factor in the prediction of the behavior of a long artificial TL based on the wiggly Schiffman section of

2392

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 14. Measured, predicted by HFSS, and obtained by means of the equivalent circuit of Fig. 8, -parameters of an artificial TL with nine cells like the one shown in Fig. 9.

unit cells have been studied. As a result, a systematic procedure to classify all possible such artificial TLs has been described. The implementation of an infinite-order lattice network cell has been presented. This unit cell is based on the coupled-microstrip Schiffman section, which is an easily fabricable, singlelayer circuit, that does not require the use of either via-holes or air bridges, as opposed to the cells in [6]–[9]. The problem posed by the different even- and odd-mode phase velocities in the direct use of a coupled-microstrip section, which prevents the obtainment of the wideband characteristics of this kind of cell [13], [14], has been overcome by means of a wiggly coupling slot. Theoretical and experimental results have been presented for an example of a wiggly, coupled-microstrip, Schiffman section with good agreement. The results also show a good balance in both LH-to-RH-band and RH-to-LH-band transitions. The suitability of the lattice network as equivalent circuit, in spite of the slight physical asymmetry of the unit cell, has also been checked. The wiggly coupled section unit cell can be useful in multiband applications, where an artificial TL with a prescribed phase response is required. Unfortunately, there is only one parameter in the coupled-line unit cell, the coupling factor, that is available for the design of a desired phase-factor response. Since maximum coupling in coupled-microstrip technology is limited to moderate values, further improvement of the proposed unit cell would likely depend on the use of an alternative technology. APPENDIX

Fig. 15. Difference between the phase factor obtained by simulation of one unit cell (Fig. 11) and one-ninth of the measured phase introduced by the nine-cell artificial TL of Fig. 13.

TABLE I PHYSICAL AND ELECTRICAL PARAMETERS OF MODEL PSEUDOMODES

The lattice equivalent circuit of the coupled section, shown in Fig. 8, has facilitated the required physical insight to solve the dispersion problem and to ensure good TL balance, high return loss, and negligible stop bands. However, strictly speaking, the wiggly section is not symmetrical, and hence it is worthwhile to show that the simple equivalent circuit of Fig. 8 is nevertheless able to predict the artificial TL response. From the simulated response of the single unit cell of Fig. 9, a simple model has been obtained. In this model, even and odd pseudomodes are considered for the wiggly coupled section. A coupling length is used for the even pseudomode, whereas the length of the wiggling slot is considered for the odd pseudomode. Relative effective permittivity and characteristic impedance are attributed to both pseudomodes, following the simplest model (similar to [22]) given by (20)

(21) Fig. 9. Note that the versus curve is a key piece of information in the design of leaky wave antennas using CRLH TLs. VI. CONCLUSION The lattice network-based unit cell proposed by Bongard et al. [6]–[9] allows the implementation of all-pass, lossless, balanced CRLH TLs. In this paper, the general properties of such

and losses are considered by introducing an attenuation constant . As a result, only five real numbers are required for the characterization of each pseudomode, as summarized in Table I. From this model, the equivalent circuit of Fig. 8 has been used to obtain the response of the nine-cell artificial TL. The -parameters so obtained are shown in Fig. 14 along with the HFSS simulation of the whole line and the measured results.

ESTEBAN et al.: GENERALIZED LATTICE NETWORK-BASED BALANCED CRLH TRANSMISSION LINES

Good agreement is found between the equivalent circuit results and the simulation, except above 4.8 GHz, where the radiation of the line (and the increment of radiation losses that reduces the magnitude of ) is not reproduced by the equivalent circuit. REFERENCES [1] C. Caloz and T. Itoh, Electromagnetic Metamaterials: Transmission Line Theory and Microwave Applications. New York: Wiley, 2005. [2] , G. V. Eleftheriades and K. G. Balmain, Eds., Negative-Refraction Metamaterials, Fundamental Principles and Applications. New York: IEEE, 2005. [3] D. R. Jachowski and C. M. Krowne, “Frequency dependence of lefthanded and right-handed periodic transmission structures,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2004, vol. 3, pp. 1831–1834. [4] G. Sisó, M. Gil, J. Bonache, and F. Martín, “Generalized model for multiband metamaterial transmission lines,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 11, pp. 728–730, Nov. 2008. [5] C. Camacho-Peñalosa, T. M. Martín-Guerrero, J. Esteban, and J. E. Page, “Derivation and general properties of artificial lossless balanced composite right/left-handed transmission lines of arbitrary order,” Progr. Electromagn. Res. B, vol. 13, pp. 151–169, 2009. [6] F. Bongard and J. R. Mosig, “A novel composite right/left-handed unit cell and potential antenna applications,” in Proc. IEEE Antennas Propag. Soc. Int. Symp., Jul. 2008, pp. 1–4. [7] F. Bongard, J. Perruisseau-Carrier, and J. R. Mosig, “A novel composite right/left-handed unit cell based on a lattice topology: Theory and applications,” in Proc. Metamaterials, Pamplona, Spain, Sep. 2008, pp. 338–340. [8] F. Bongard, J. Perruisseau-Carrier, and J. R. Mosig, “Enhanced CRLH transmission line performances using a lattice network unit cell,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 7, pp. 431–433, Jul. 2009. [9] J. Perruisseau-Carrier, F. Bongard, M. Fernandez-Bolanos, and A. M. Ionescu, “A microfabricated 1-D metamaterial unit cell matched from DC to millimeter-waves,” IEEE Microw. Wireless Compon. Lett., vol. 21, no. 9, pp. 456–458, Sep. 2011. [10] A. Lai, T. Itoh, and C. Caloz, “Composite right/left-handed transmission line metamaterials,” IEEE Microw. Mag., vol. 5, no. 3, pp. 34–50, Sep. 2004. [11] A. Rennings, S. Otto, J. R. Mosig, C. Caloz, and I. Wolff, “Extended composite right/left-handed (E-CRLH) metamaterial and its application as quadband quarter-wavelength transmission line,” in Proc. Asia–Pacific Microw. Conf., Dec. 2006, pp. 1405–1408. [12] M. Durán-Sindreu, G. Sisó, J. Bonache, and F. Martín, “Planar multiband microwave components based on the generalized composite right/ left handed transmission line concept,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 12, pp. 3882–3891, Dec. 2010. [13] A. M. E. Safwat, “Microstrip coupled line composite right/left-handed unit cell,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 7, pp. 434–436, Jul. 2009. [14] A. E. Fouda, A. M. E. Safwat, and H. El-Hennawy, “On the applications of the coupled-line composite right/left-handed unit cell,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 6, pp. 1584–1591, Jun. 2010. [15] F. E. Terman, Radio Engineer’s Handbook. New York: McGraw-Hill, 1943. [16] J. Esteban, J. E. Page, C. Camacho-Peñalosa, and T. M. Mart´ın-Guerrero, “Some considerations on lattice network-based lossless balanced composite right/left-handed transmission lines,” in Proc. Mediterrannean Microw. Symp., Tangiers, Morocco, Nov. 2009, pp. 1–4. [17] T. Campbell, P. Schnitzler, and L. West, “Lattice Network Using Distributed Impedance Transmission Lines,” U.S. Patent 3 768 047, Oct. 23, 1973. [18] J. E. Page, J. Esteban, and C. Camacho-Peñalosa, “Lattice equivalent circuits of transmission-line and coupled-line sections,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 10, pp. 2422–2430, Oct. 2011. [19] B. M. Schiffman, “A new class of broadband microwave 90-degree phase shifters,” IRE Trans. Microw. Theory Tech., vol. MTT-6, no. 2, pp. 232–237, Apr. 1958. [20] A. Podell, “A high directivity microstrip coupler technique,” in Proc. G-MTT Int. Microw. Symp., May 1970, pp. 33–36. [21] S. Uysal and H. Aghvami, “Synthesis, design, and construction of ultrawide- band nonuniform quadrature directional couplers in inhomogeneous media,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 6, pp. 969–976, Jun. 1989. [22] W. J. Getsinger, “Dispersion of parallel-coupled microstrip,” IEEE Trans. Microw. Theory Tech., vol. MTT-21, no. 3, pp. 144–145, Mar. 1973.

2393

Jaime Esteban received the Ingeniero de Telecomunicación and Dr.Eng. degrees from the Universidad Politécnica de Madrid, Madrid, Spain, in 1987 and 1990, respectively. Since January 1988, he has been with the Departamento de Electromagnetismo y Teor´ıa de Circuitos, Universidad Politécnica de Madrid, Madrid, Spain. In 1990, he became Profesor Interino and, in 1992, Profesor Titular de Universidad. From 2005 to 2009 he was the Head of Studies of the Escuela Técnica Superior de Ingenieros de Telecomunicación, Universidad Politécnica de Madrid. His research topics include the analysis and characterization of waveguides, transmission lines, planar structures and periodic structures, the analysis and design of microwave and millimeter-wave passive devices, and numerical optimization techniques (genetic algorithms and evolution programs). His present research is focused on the analysis and applications of composite right-left-handed transmission lines and waveguides. Dr. Esteban was the recipient of a Spanish Ministry of Education and Science scholarship (1988–1990).

Carlos Camacho-Peñalosa (S’80–M’82) received the Ingeniero de Telecomunicación and Dr. Ing. degrees from the Universidad Politécnica de Madrid, Madrid, Spain, in 1976 and 1982, respectively. From 1976 to 1989, he was with the Escuela Técnica Superior de Ingenieros de Telecomunicación, Universidad Politécnica de Madrid, Madrid, Spain, as a Research Assistant, an Assistant Professor, and an Associate Professor. From September 1984 to July 1985, he was a Visiting Researcher with the Department of Electronics, Chelsea College, University of London, London, U.K. In 1989, he became a Full Professor with the Universidad de Málaga, Málaga, Spain. He was the Director of the Escuela Técnica Superior de Ingeniería de Telecomunicación (1991–1993), Vice-Rector (1993–1994), and Deputy Rector (1994) of the Universidad de Málaga. From 1996 to 2004, he was the Director of the Departamento de Ingeniería de Comunicaciones, Universidad de Málaga. From 2000 to 2003, he was Co-Head of the Nokia Mobile Communications Competence Centre, Málaga, Spain. His research interests include microwave and millimeter solid-state circuits, nonlinear systems, and applied electromagnetism. He has been responsible for several research projects on nonlinear microwave circuit analysis, microwave semiconductor device modeling, and applied electromagnetics.

Juan E. Page was born in Madrid, Spain, in 1946. He received the Ingeniero de Telecomunicación and Dr.Ing. degrees from the Universidad Politécnica de Madrid, Madrid, Spain, in 1971 and 1974, respectively. Since 1983, he has been Professor with the Departamento de Electromagnetismo y Teoría de Circuitos of the Universidad Politécnica de Madrid. His current activities include the teaching of electromagnetic and circuit theories and research in the field of computeraided design of microwave devices and systems.

Teresa M. Martín-Guerrero was born in Málaga, Spain. She received the Grado de Licenciado en Ciencias Físicas from the Universidad de Granada, Granada, Spain, in 1990, and the Grado de Doctor Ingeniero de Telecomunicación from the Universidad de Málaga, Málaga, Spain, in 1995. Her Ph.D. dissertation focused on distributed effects and modelling of FET-type devices. In 1991, she joined the Departamento de Ingeniería de Comunicaciones, Universidad de Málaga, Málaga, Spain, as Assistant Professor, and, in 1999 she became Associate Professor. Her current research activities deal with microwave and millimeter-wave device modeling, and differential techniques for positioning using Global Satellite Systems.

2394

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Synthesis of Narrowband Reflection-Type Phasers With Arbitrary Prescribed Group Delay Qingfeng Zhang, Member, IEEE, Shulabh Gupta, Student Member, IEEE, and Christophe Caloz, Fellow, IEEE

Abstract—An exact closed-form synthesis method is proposed for the design of narrowband reflection-type (mono-port) phasers with arbitrary prescribed group-delay responses. The proposed synthesis technique consists in three steps. First, it transforms the phase problem from the bandpass domain to the low-pass domain using a one-port ladder network, where a mathematical synthesis is performed via a Hurwitz polynomial. Second, it transforms the synthesized low-pass network back to the bandpass domain for implementation in a specific technology. Third, it uses an iterative post-distortion correction technique to compensate for distributed effects over the broader bandwidth required. The proposed synthesis method is verified by both full-wave analysis and experiment where the synthesized bandpass network is realized in an iris-coupled waveguide configuration. Index Terms—Analog signal processing, dispersive delay structure (DDS), group-delay engineering, Hurwitz polynomial, phaser.

I. INTRODUCTION

T

HE demand for communication and sensor systems with ever-increasing data throughput and reliability has spurred considerable interest for new ultra-wideband technologies. In particular, analog signal-processing techniques [1], little exploited thus far at microwaves, have been identified as attractive real-time alternatives to purely digital signal-processing techniques for detecting and monitoring ultra-wideband microwave signals. Digital approaches are most appropriate at low frequencies, where their great flexibility, compact size, low cost, and high reliability provide clear benefits. However, at higher frequencies, they suffer from reduced performance, excessive power consumption, and high cost due to analog-to-digital (A/D) and digital-to-analog (D/A) converters. Analog devices and systems are therefore increasingly attractive at these frequencies. Recently, reported applications in this area include analog real-time spectrum analyzers for the characterization and monitoring of complex nonstationary signals [2], [3], tunable impulse delay lines [4], Manuscript received February 09, 2012; accepted April 21, 2012. Date of publication June 11, 2012; date of current version July 30, 2012. This work was supported by the Natural Sciences and Engineering Research Council of Canada (NSERC) under Grant CRDPJ 402801-10 in partnership with Research in Motion (RIM). Q. Zhang and C. Caloz are with the Department of Electrical Engineering, PolyGrames Research Center, École Polytechnique de Montréal, Montréal, QC, Canada H3T 1J4 (e-mail: [email protected]). S. Gupta was with the Department of Electrical Engineering, PolyGrames Research Center, École Polytechnique de Montréal, Montréal, QC, Canada H3T 1J4 . He is now with the Department of Electrical Computer and Energy Engineering, University of Colorado at Boulder, Boulder, CO 80309–0425 USA. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2198486

Fig. 1. Reflection-type DDS system using a one-port to two-port conversion mechanism appended to the phaser. (a) Using a circulator. (b) Using a 3-dB hybrid coupler.

compressive receivers [5], real-time Fourier transformers [6], and inverse Fourier transformers [7]. The core of an analog real-time signal processor is a dispersive delay structure (DDS), which is a component providing a desired group-delay response versus frequency. A DDS may be transmission-type or reflection-type. Transmission-type DDSs include surface-acoustic-wave (SAW) devices [8], magnetostatic-wave devices [9], multisection coupler-based super-conductive delay lines [10], [11], and transmission-line all-pass networks [12], [13]. Thus far, to our knowledge, no systematic synthesis method is available to design arbitrarily prescribed group-delay responses in transmission-type DDSs. Reflection-type DDSs may be realized by combining a one-port phaser with a circulator or two phasers with a 3-dB hybrid coupler, as illustrated in Fig. 1. The response of the resulting two-port DDS system is thus composed of the sum of the group-delay response of the circulator or 3-dB hybrid and the phaser. Since the dispersion of the components external to the phaser, the circulator, or the hybrid coupler, can be accounted for in the group-delay response of the phaser, the group-delay synthesis can be restricted to the phaser part of the DDS system. Compared with transmission-type DDSs, the reflection-type DDS, with a one-port phaser, is easier to synthesize because the reflection coefficient of a one-port phaser, neglecting losses in the first approximation, is unity. One-port phasers typically include Bragg gratings at optical frequencies [14] and chirped dispersive delay lines at microwave frequencies [15]–[17]. In particular, microwave chirped delay-line structures are realized using spatial impedance profiles, but this approach is restricted to specific kinds of implementation [16]. A coupled-resonators network approach is more general for the design of phasers. Such an approach was first proposed in [18] and later improved in [19]. However, the corresponding synthesis techniques reported to date have been based on optimization procedures. This paper presents an exact synthesis technique for realizing one-port phasers with an arbitrary prescribed group-delay response versus frequency over a specified bandwidth. The proposed technique is based on synthesizing a generalized one-

0018-9480/$31.00 © 2012 IEEE

ZHANG et al.: SYNTHESIS OF NARROWBAND REFLECTION-TYPE PHASERS WITH ARBITRARY PRESCRIBED GROUP DELAY

2395

Fig. 2. Equivalent circuit representation for a one-port phaser. (a) Distributedelement bandpass network. (b) Lumped-element low-pass network with K-inverters. (c) Lumped-element LC ladder network.

port low-pass ladder network obtained by transforming the prescribed group delay response to the low-pass domain. The parameters of the elements of the resulting lowpass ladder network are next computed using an efficient iterative polynomial generation procedure, and the synthesis results are then transformed back to the bandpass domain to achieve the desired response. In contrast to conventional optimization techniques, the proposed synthesis is exact and closed-form for narrowband phaser designs. An iterative post-distortion correction technique is further proposed for broader bandwidths. This paper is organized as follows. Section II presents the synthesis theory for realizing phasers with arbitrary prescribed group-delay response in a narrowband frequency range and provides a narrowband design example. Section III extends the synthesis using the proposed post-distortion correction technique for broader bandwidths. Several illustrative examples with broader bandwidths using both ideal circuit and waveguide implementations are provided. Section IV provides an experimental validation. Finally the conclusions are provided in Section VI. II. SYNTHESIS THEORY A one-port phaser can be modeled by a short-circuited distributed-element bandpass network [20], as shown in Fig. 2(a). The objective is to synthesize an arbitrary prescribed phase versus frequency response in the frequency range extending from to , as shown in Fig. 3(a), using the distributed-element bandpass network of Fig. 2(a). The principle of the proposed synthesis technique is as follows. First, the original bandpass phase function of Fig. 3(a) is transformed into the low-pass phase function of Fig. 3(b) through a mapping function , illustrated in Fig. 3(c), which is derived from the transformation between the distributed-element bandpass network of Fig. 2(a) and the lumped-element low-pass network of Fig. 2(b). This network is next transformed into the low-pass LC ladder network shown in Fig. 2(c) so that the phase problem of the low-pass network of Fig. 2(b) simplifies to a problem expressed in terms of a Hur-

Fig. 3. Transformation of the bandpass phase function into the low-pass through a mapping function . (a) Phase phase function versus bandpass frequency function to synthesize. (b) Phase versus low-pass frequency function used as an auxiliary step for the synthesis of to low-pass frequency mapping function (a). (c) Bandpass frequency . (d) Low-pass frequency identity function.

witz polynomial.1 In essence, the proposed synthesis technique consists in transforming the phase problem of the bandpass domain into the low-pass domain problem, performing mathematical synthesis via a Hurwitz polynomial in the low-pass domain, and then transforming the result back to the bandpass domain for implementation. The various steps of the synthesis procedure are summarized in Fig. 4 and will be established in the forthcoming sections. A. Bandpass to Low-Pass Transformation The one-port phaser is represented by the equivalent circuit in Fig. 2(a), where the distributed reactances are usually realized by half-wavelength transmission lines. It is generally the group delay that is specified in analog signal-processing applications, and the corresponding phase is then obtained from as (1) where is an arbitrary phase constant. As shown in Fig. 3, the low-pass phase function is obtained from the bandpass phase function via a mapping function (2) and it may be expressed as (3) 1A

Hurwitz polynomial is a polynomial whose coefficients are positive real numbers or, equivalently, whose zeros are located in the left half-plane of the complex Laplace plane [21], [22]. The ratio of the even part to the odd part of a Hurwitz polynomial can be expanded as a continued fraction whose coefficients are all positive.

2396

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 4. Flowchart for the proposed phaser synthesis procedure.

The networks of Fig. 2(a) and (b) differ only in terms of the elements interconnecting the -inverters. Their bandpass-tolow-pass transformation reads

specified bandwidth, . Inserting (9) into (5b), we obtain the equivalent inductance

(10)

(4) and inserting (9) into (6) yields the mapping function

and is subject to the conditions (5a)

(11)

(5b) represents the reactances in Fig. 2(a) and reprewhere sents the inductances in Fig. 2(b). The ratio of (4) to (5b) yields then the required mapping function (6) where it is noted that the mapping function depends on the specific implementation of the reactance functions. The distributed resonators in Fig. 2(a) are typically realized by transmission lines, which can be approximated by series reactances [23] when the length of the transmission lines is close to half the guided wavelength. The corresponding series reactance is (7) are the characteristics impedance, length, where , , and and guided wavelength of the th transmission lines, respectively. Inserting (7) into (5a), we obtain

which is to be used in Fig. 3(c) to provide the transformed lowpass phase function of Fig. 3(b). The low-pass network of Fig. 2(b) can be further transformed into the LC ladder network of Fig. 2(c), which is a simplified low-pass network without K-inverters [20], [24]. The LC ladder network of Fig. 2(c) is employed because it provides a direct connection to a Hurwitz polynomial. The corresponding normalized input impedance may be written (12) ..

.

where is the complex frequency, and and are the even and odd parts of a Hurwitz polynomial [21], [22], respectively. The corresponding reflection coefficient expressed in terms of this impedance reads (13)

(8) which, upon substitution into (7), yields (9) It should be noted from (8) that the length of the transmission line is half the guided wavelength at the lowest frequency of the

is an Since the magnitude of the Hurwitz polynomial even function and its phase is an odd function (because the roots of a Hurwitz polynomial are distributed as conjugate pairs in the complex Laplace plane), we may write in the lowpass domain (14)

ZHANG et al.: SYNTHESIS OF NARROWBAND REFLECTION-TYPE PHASERS WITH ARBITRARY PRESCRIBED GROUP DELAY

2397

Assuming the ladder network is lossless, as conventionally done in the magnitude synthesis of filters, we have at the same time (15) Comparing (15) and (14) indicates that the phase of the Hurwitz polynomial is related to the phase of the ladder network by the simple relation (16) At this point, we need to generate the Hurwitz polynomial with the calculated phase . B. Generation of Arbitrary-Phase Hurwitz Polynomial in the Low-Pass Domain An arbitrary-phase polynomial, whose phase is zero at the origin (as required from the fact that the phase of a Hurwitz polynomial is an odd function) and exhibits specified values at a given set of frequencies, can be generated using the recurrence procedure presented in [25]. First, one specifies a set of frequency points and calculates the corresponding prescribed phase values for the Hurwitz polynomial using (16). Let and , where , , and are the frequency and phase sets. The condition can be satisfied by setting in (1). Then, we can generate the th-order polynomial corresponding to this phase using the recurrence formula [25] (17)

Fig. 5. H-plane-iris-coupled waveguide reflection-type phaser: (a) structure and (b) full-wave results.

where (18) .. for

lumped-element low-pass network of Fig. 2(b). Using the equivalence condition between the -inverters of Fig. 2(b) and the parameters of Fig. 2(c), as well as the equivalent inductances in (10), the -inverters in Fig. 2(a) are found as

. (20a)

and (19)

To apply this formula, one first computes the coefficients , from to , using (18) and (19), and next obtains the polynomials in (17). These polynomials correspond to the function in (14) with the order . We can next build the input impedance of the ladder network by taking the ratio of the odd part over the even part of , according to (12). The lumped-element values in the ladder network of Fig. 2(c) are then straightforwardly identified after writing the result in the form of a continued fraction expansion as in (12). C. Low-Pass-to-Bandpass Transformation Once the lumped-element parameters in Fig. 2(c) have been determined, we can transform the LC ladder network back to the distributed-element bandpass network of Fig. 2(a) via the

(20b) (20c) where . These formulas are the same as the wellknown formulas for two-port networks [20], [24], except for the last inverter , since the one-port network in Fig. 2(b) is terminated by an inductance instead of a resistor as in two-port networks. The whole synthesis procedure is summarized in Fig. 4. D. Design Example To verify the proposed synthesis technique, an H-plane-iriscoupled waveguide phaser, shown in Fig. 5(a), is designed for linear group delay with a swing of 10 ns. A WR90 (22.86 mm 10.16 mm) waveguide is chosen as the housing waveguide,

2398

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 6. Illustration of the procedure providing the new mapping function in the proposed correction technique using (a) the bandpass phase curve and (b) the corresponding low-pass phase curve .

and the thickness of all of the irises is set to 1.5 mm. The dimensions of the waveguide phaser are listed in Table II. The full-wave response of the resulting phaser (computed using the mode-matching commercial software Mician ) is shown in Fig. 5(b) within the frequency range from 10 to 10.05 GHz (0.5% fractional bandwidth). The response of the synthesized structure closely follows the prescribed group-delay response. The maximum error between the realized and prescribed responses is approximately 2%, which is acceptable for most practical applications. III. POSTDISTORTION TECHNIQUE FOR BROADER BANDWIDTHS A. Theory The synthesis method presented in the previous section works well for bandwidths below 1%. In the case of broader bandwidths, however, the realized group-delay response is degraded due to the imperfect modeling of the ideal circuit network of Fig. 2(a) by practical microwave structures. Specifically, the degradation is due to the two following factors. Firstly, a halfwavelength transmission line, which is really a -network [23], cannot be modeled by a series reactance in a wide frequency band. Secondly, the ideal -inverters in Fig. 2(a) cannot be realized by practical microwave structures, which unavoidably exhibit dispersion over a wide frequency range. While these two aforementioned factors generally restrict the fractional bandwidth to less than 10% for conventional magnitude filter designs [20], [24], they restrict the bandwidth to much smaller ranges, typically of less than 1%, on phasers, due to the high sensitivity of the group delay to the approximations involved. For this reason, this section provides an iterative correction procedure. Let us reconsider a prescribed group-delay response over the bandwidth . The corresponding phase , obtained by (1), is first transformed to the low-pass domain using the initial mapping function (11). This phase function is next used to generate the Hurwitz polynomial for constructing the low-pass ladder network of Fig. 2(c) with a corresponding phase that we shall note . The low-pass ladder network is then transformed back into the bandpass network of Fig. 2(a) using (20). The corresponding reactance functions and -inverters are finally implemented using practical microwave structures. Due to distributed effects, the synthesized phase includes a phase error

Fig. 7. Flowchart of the correction procedure.

. In the narrowband designs , this error is generally negligible, and the synthesis thus ends here. In the designs with wider bandwidths, however, the error between the realized phase and the prescribed phase is large and possibly unacceptable. Due to the aforementioned degraded factors, the mapping function (11) derived based on the bandpass network of Fig. 2(a) cannot be used. Therefore, we develop here a new mapping function, which takes into account the nonidealities of the bandpass network of Fig. 2(a), to correct the degraded bandpass phase. It is derived based on the degraded bandpass phase function and low-pass phase function , which can be mathematically represented by the auxiliary functions and , respectively, depicted in Fig. 6 and generated as (21) (22) In practice, these auxiliary functions can be obtained numerically using interpolation or curve-fitting methods [26]. The bandpass phase corresponding to each value is , as shown in Fig. 6(a). This phase value in the low-pass domain is related to the low-pass frequency through , i.e., . Therefore, using the auxiliary functions and , the value of corresponding to each can be calculated, and the desired mapping function can be built sequentially. This can be mathematically expressed as , which may be written as (23) The procedure of Fig. 2 is repeated using the new mapping function to construct a new bandpass network, which results in a refined phase response , with the corresponding phase error . If is less than the acceptable error , the synthesis is complete, otherwise a second iteration is made, and so on. At each th iteration, a new mapping function

ZHANG et al.: SYNTHESIS OF NARROWBAND REFLECTION-TYPE PHASERS WITH ARBITRARY PRESCRIBED GROUP DELAY

2399

Fig. 8. Group-delay responses of different phasers based on ideal circuits. (a) Sixth-order, 1–1.05 GHz. (b) Tenth-order, 1–1.05 GHz. (c) 10-degree, 20–20.1 GHz.

CALCULATED

TABLE I -PARAMETER FOR THE RESPONSE IN FIG. 8

is computed based on the low-pass phase and the bandpass phase , using (21)–(23), until the desired accuracy is achieved, i.e., . The overall iterative process is summarized in Fig. 7. B. Design Examples Fig. 8 shows three examples of linear-slope group-delay responses based on the circuit model of Fig. 2(a) with ideal -inverters. The first two examples are for a swing of 10 ns within the frequency band from 1 to 1.05 GHz (5% fractional bandwidth). The third example is high-frequency design exhibiting a swing of 1.2 ns from 20 to 21 GHz (5% fractional bandwidth). The corresponding calculated parameters of the -inverter are listed in Table I. The figures compare the synthesized responses before and after the group-delay correction. A large distortion is seen in the

group-delay response before correction, which indicates that the group delay is very sensitive to the narrowband approximation of half-wavelength transmission lines. Furthermore, this distortion increases with increasing order of the phaser, as seen by comparing Fig. 8(a) and (b). Using the postdistortion technique of Fig. 7, the distortions in the realized group-delay responses are dramatically reduced, leading to an enhanced-bandwidth design reaching here 5% (about more than for the purely closed-form synthesis of Section II). A waveguide implementation with the same configuration as in Fig. 5 is also provided to verify the proposed postdistortion technique. The dimensions of the waveguide phaser are listed in Table II. The full-wave response of the resulting phaser (computed using the mode-matching commercial software Mician ) is shown in Fig. 9. It can be seen that the realized group-delay curve after the post-distortion technique has been

2400

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

TABLE II DIMENSION OF THE WAVEGUIDE PHASER IN FIG. 10 (UNIT: MM)

Fig. 9. Full-wave comparison of the group delay before and after correction.

Fig. 11. Simulated and measured response for the waveguide phaser of Fig. 10.

significantly improved with a maximum error about 2%, thereby illustrating the proposed postdistortion technique.

shown in Fig. 11 and is compared with the response of the ideal bandpass circuit of Fig. 2(a) and the full-wave response obtained using the commercial software FEM-HFSS. Although the agreement of the measured response with the prescribed response is acceptable, discrepancies are observed. Considering the good agreement in Fig. 9 between the synthesized and prescribed responses for the case of irises without corners, the discrepancy in Fig. 11 between the full-wave analysis and the ideal circuit is attributed to the imperfect modeling of the rounded corners in the waveguide. Moreover, a discrepancy is observed between experimental and full-wave results. In order to find its cause, a comparison over a larger frequency range is shown in Fig. 13. It is noted that the peak of the experimental response is slightly shifted, by 0.025 GHz (about 0.25%), below the fullwave curve. This may be due to over-drilling of rounded corners in the fabrication process, as suggested by the corresponding green curve of full-wave results in Fig. 13, with 2.7-mm-radius instead of 3.0-mm-radius rounded corners (within the precision of the drilling machine).

IV. EXPERIMENTAL RESULTS

V. DISCUSSION

A waveguide prototype, as shown in Fig. 10, is fabricated corresponding to the response in Fig. 9. Whereas in the ideal design the irises are sharply configured with 90 corners, in the fabricated prototype they are connected to the sides of the waveguide with rounded corners (with radius of 3 mm), due to fabrication constraints. The modified dimensions, taking this effect into account, are listed in Table II. The fabricated prototype is measured with a vector network analyzer using offset short-shortload (SSL) calibration. The measured -parameter response is

The proposed postdistortion technique can be used to improve the response obtained by synthesis (Section II) for bandwidths extended beyond the synthesis bandwidth. However, it cannot be applied to bandwidths larger than 5%–7%, according to empirical observations. The postdistortion technique (Section III) consists in introducing new mapping function to take into account the degrading factors over a bandwidth greater than the initial one (Section II). This function is initially obtained from the low-pass phase

Fig. 10. Photograph of the fabricated prototype with round corners.

ZHANG et al.: SYNTHESIS OF NARROWBAND REFLECTION-TYPE PHASERS WITH ARBITRARY PRESCRIBED GROUP DELAY

2401

procedure converges quickly for the designs with 5.0% and 6.0% bandwidths, while it fails to converge for the design with 7.3% bandwidth. VI. CONCLUSION An exact closed-form synthesis method has been proposed for the design of reflection-type phasers with an arbitrary prescribed group-delay response. The proposed synthesis technique consists of transforming the phase problem in the bandpass domain into the low-pass domain, performing mathematical synthesis via a Hurwitz polynomial in the low-pass domain, and then transforming the result back to the bandpass domain for implementation. In addition, an iterative correction technique has also been proposed to extend the proposed synthesis method to broader bandwidths. Several examples based on both the ideal circuit and the waveguide implementations has been presented to verify the proposed synthesis method, where the realized group-delay responses show a good agreement with the prescribed group delays. Fig. 12. Comparison of experimental and full-wave results for the waveguide phaser of Fig. 10 over an extended frequency range.

Fig. 13. Convergence (error ) of the postdistortion technique for phasers with the different bandwidths indicated in the legend.

and degraded bandpass phase responses and substituted to the original mapping function in the synthesis (Section II), yielding new -parameters for the low-pass and bandpass networks. This substitution procedure is repeated iteratively with a new function at each iteration step until convergence has been reached. However, this iterative procedure might fail to converge in very broadband designs. To illustrate this, Fig. 13 shows the convergence of three designs with different bandwidths. This convergence is expressed in terms of the error (24) and indicate the obtained and prescribed where group-delay responses, respectively. It is noted that the design

REFERENCES [1] M. Lewis, “Saw and optical signal processing,” in Proc. IEEE Ultrason. Symp., Sep. 2005, vol. 24, pp. 800–809. [2] S. Gupta, S. Abielmona, and C. Caloz, “Microwave analog real-time spectrum analyzer (RTSA) based on the spectral-spatial decomposition property of leaky-wave structures,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 12, pp. 2989–2999, Dec. 2009. [3] C. Caloz, “Metamaterial dispersion engineering concepts and applications,” Proc. IEEE, vol. 99, no. 10, pp. 1711–1719, Oct. 2011. [4] S. Abielmona, S. Gupta, and C. Caloz, “Experimental demonstration and characterization of a tunable CRLH delay line system for impulse/ continuous wave,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 12, pp. 864–866, Dec. 2007. [5] S. Abielmona, S. Gupta, and C. Caloz, “Compressive receiver using a CRLH-based dispersive delay line for analog signal processing,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 11, pp. 2617–2618, Nov. 2009. [6] S. Gupta and C. Caloz, “Analog real-time Fourier transformer using a group delay engineered C-section all-pass network,” in Proc. IEEE Antennas Propagat. Int. Symp., Jul. 2010, pp. 1–4. [7] S. Gupta and C. Caloz, “Analog inverse Fourier transformer using group delay engineered C-section all-pass network,” in Proc. Eur. Microw. Conf., Sep. 2010, pp. 389–392. [8] C. Campbell, Surface Acoustic Wave Devices and Their Signal Processing Applications. New York: Academic, 1989. [9] W. S. Ishak, “Magnetostatic wave technology: A review,” Proc. IEEE, vol. 76, no. 2, pp. 171–187, Feb. 1998. [10] M. J. Lancaster, Passive Microwave Device Applications of High-Temperature Superconductors. Cambridge, U.K.: Cambridge Univ., 2006. [11] R. S. Withers, A. C. Anderson, P. V. Wright, and S. A. Reible, “Superconductive tapped delay lines for microwave analog signal processing,” IEEE Trans. Magn., vol. MAG-19, no. 3, pp. 480–484, Mar. 1983. [12] H. S. Hewitt, “A computer designed, 720 to 1 microwave compression filter,” IEEE Trans. Microw. Theory Tech., vol. MTT-15, no. 12, pp. 687–694, Dec. 1967. [13] S. Gupta, A. Parsa, E. Perret, R. V. Snyder, R. J. Wenzel, and C. Caloz, “Group delay engineered non-commensurate transmission line all-pass network for analog signal processing,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 8, pp. 2392–2407, Aug. 2010. [14] R. Kashyap, Fiber Bragg Gratings. New York: Academic, 1999. [15] M. Coulombe and C. Caloz, “Reflection-type artificial dielectric substrate microstrip dispersive delay line (DDL) for analog signal processing,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 7, pp. 1714–1723, Jul. 2009. [16] M. A. G. Laso, T. Lopetegi, M. J. Erro, D. Benito, M. J. Garde, M. A. Muriel, M. Sorolla, and M. Guglielmi, “Real-time spectrum analysis in microstrip technology,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 705–717, Mar. 2003.

2402

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

[17] J. D. Schwartz, R. Abhari, D. V. Plant, and J. Azaña, “Design and analysis of 1-D uniform and chirped electromagnetic bandgap structures in substrate-integrated waveguides,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 7, pp. 1858–1866, Jul. 2010. [18] M. H. Chen, “The design of a multiple cavity equalizer,” IEEE Trans. Microw. Theory Tech., vol. MTT-30, no. 9, pp. 1380–1383, Sep. 1982. [19] H.-T. Hsu, H.-W. Yao, K. A. Zaki, and A. E. Atia, “Synthesis of coupled-resonators group-delay equalizers,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 8, pp. 1960–1968, Aug. 2002. [20] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: John, 2001. [21] H. Wall, Analytic Theory of Continued Fractions. Providence, RI: Amer. Math. Soc., 2000. [22] F. R. Gantmakher, The Theory of Matrices. New York: Chelsea, 1959. [23] R. Levy, “Theory of direct-coupled-cavity filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-15, no. 6, pp. 340–348, Jun. 1967. [24] G. L. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks, and Coupling Structures. Norwell, MA: Artech House, 1980. [25] T. Henk, “The generation of arbitrary-phase polynomials by recurrence formulae,” Int. J. Circuit Theory Applic., vol. 9, no. 4, pp. 461–478, Oct. 1981. [26] M. Abramowitz and I. A. Stegun, Handbook of Mathematical Functions with Formulas, Graphs, and Mathematical Tables. New York: Dover, 1972.

Shulabh Gupta (S’09) was born on December 14, 1982, in Etah, India. He received the B.Tech. degree in electronics from the Indian School of Mines, Dhanbad, India, in 2004, the M.S. degree in telecommunications from INRS-EMT, Université du Québec, Montréal, QC, Canada, in 2006, and the Ph.D. degree in electrical engineering from the École Polytechnique de Montréal, Montréal, Montréal, QC, Canada. His M.S. thesis research concerned optical signal processing related to the propagation of light in linear and nonlinear optical fibers and fiber Bragg gratings. His Ph.D. research was about the analog signal processing techniques using dispersion engineered structures. From December 2009 to May 2010, he was a Visiting Research Fellow with the Tokyo Institute of Technology, Tokyo, Japan, where he was involved with the application of artificial magnetic surfaces for oversized slotted waveguide antennas. He is currently a Postdoctoral Fellow with the University of Colorado at Boulder. His current research interests are high-power ultrawideband antennas, traveling-wave antennas, dispersion engineered structures for UWB systems and devices, nonlinear effects, and Fourier optics inspired leaky-wave structures and systems. Mr. Gupta was a recipient of the Young Scientist Award of EMTS Ottawa’07, URSI-GA, Chicago’08 and ISAP Jeju’11. He was the finalist in the Most Creative and Original Measurements Setup or Procedure Contest of the 2008 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium, Atlanta, GA, in 2008.

Qingfeng Zhang (S’07–M’11) was born in December 1984, in Changzhou, China. He received the B.E. degree in electrical engineering from the University of Science and Technology of China (USTC), Hefei, China, in 2007, and the Ph.D. degree in electrical and electronic engineering from Nanyang Technology University, Singapore, in 2010. His dissertation focused on dimensional synthesis of wide-band waveguide filters without global optimization. Since April 2011, he has been a Postdoctoral Fellow with Poly-Grames Microwave Research Center, École Polytechnique de Montréal, Montréal, QC, Canada. His current research interests include filter synthesis, dispersive delay structures, analog signal-processing systems, and leaky-wave antennas.

Christophe Caloz (S’00–A’00–M’03–SM’06–F’10) received the Diplôme d’Ingénieur en Électricité and Ph.D. degree from École Polytechnique Fédérale de Lausanne (EPFL), Lausanne, Switzerland, in 1995 and 2000, respectively. From 2001 to 2004, he was a Postdoctoral Research Engineer with the Microwave Electronics Laboratory, University of California at Los Angeles (UCLA). In June 2004, he joined École Polytechnique de Montréal, Montréal, QC, Canada, where he is now a Full Professor, a member of the Poly-Grames Microwave Research Center, and the holder of a Canada Research Chair (CRC). He has authored and coauthored over 420 technical conference, letter and journal papers, 12 books and book chapters, and he holds several patents. His research interests include all fields of theoretical, computational and technological electromagnetics engineering, with strong emphasis on emergent and multidisciplinary topics, including particularly nanoelectromagnetics. Dr. Caloz is a member of the IEEE Microwave Theory and Techniques Society (MTT-S) Technical Committees MTT-15 (Microwave Field Theory) and MTT-25 (RF Nanotechnology), a Speaker of the MTT-15 Speaker Bureau, the Chair of the Commission D (Electronics and Photonics) of the Canadian Union de Radio Science Internationale (URSI) and an MTT-S representative at the IEEE Nanotechnology Council (NTC). He received several awards, including the UCLA Chancellor’s Award for Post-doctoral Research in 2004, the IEEE MTT-S Outstanding Young Engineer Award in 2007, and many best paper awards with his students.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Novel Dual-Band Out-of-Phase Power Divider With High Power-Handling Capability Gao-Le Dai, Xing-Chang Wei, Senior Member, IEEE, Er-Ping Li, Fellow, IEEE, and Ming-Yao Xia, Senior Member, IEEE

Abstract—In this paper, we present a novel dual-band out-ofphase power divider with high power-handling capability. The proposed device consists of five ports including one input port, two output ports, and two extra ones, which are connected by the external isolation resistors. To obtain the out-of-phase feature between the two output ports, double-sided parallel-strip lines are employed, and a conductor plane is inserted in the middle of the substrate as a common ground. A set of closed-form design equations is developed for analysis of the novel design structure by using the rigorous even- and odd-mode analysis method. The analysis shows that the proposed power divider can operate at two frequencies of and with a wide frequency ratio . Furthermore, the power operation range at analysis also illustrates that the new circuit is superior in high power-handling capability over the conventional dividers with internal isolation resistors. For verification, an example power divider with frequency ratios of 2.4:1 is implemented. Simulation and experimental results agree well, validating the proposed design methodology. Index Terms—Double-sided parallel-strip line (DSPSL), dual band, out of phase, high power-handling capability, power divider.

I. INTRODUCTION

P

OWER dividers and combiners are widely used in various microwave and millimeter-wave systems. According to the phase difference between the two output ports, the power dividers can be classified into two types: in-phase dividing types and 180 out-of-phase ones. Over the last several decades, significant progress was made in power dividers on the former type [1]–[15], where the Wilkinson dividers are the most popular structures [1]–[11]. It, however, has difficulty to diffuse the heat to outside because the isolation resistors of the Wilkinson dividers are always located inside of the circuit topology. Gysel had developed a new type of power divider with an external resistor, which is able to efficiently emit the heat, in particular for high power application [12]–[16]. The resistors configured in the dividers are grounded with a direct path, which is able to dissipate the heat easily. Manuscript received September 08, 2011; revised February 22, 2012; accepted February 24, 2012. This work was supported by the Fundamental Research Funds for the Central Universities under Project 2011QNA5022. G.-L. Dai, X.-C. Wei, and E.-P. Li are with the Department of Information Science and Electronic Engineering, Zhejiang University, Hangzhou 310027, China (e-mail: [email protected]). M.-Y. Xia is with the School of Electronic Engineering, University of Electronic Science and Technology of China, Chengdu 611731, China. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2190745

On the contrary, power dividers with an out-of-phase response are very popular in balanced circuit designs, such as push–pull amplifiers, multipliers, and balanced mixers, which rely on the balanced signals for system performance improvement [17], [18]. To achieve the out-of-phase feature, several balanced transmission lines, such as microstrip-slot lines [19], asymmetrical coplanar striplines (ACPSs) [20], and double-sided parallel-strip lines (DSPSLs) [21], [22] are investigated in recent years. However, most of the previous studies employ internal resistors for isolation, which made heat sinking difficult. There is no report on research of a high power-handling divider with out-of-phase feature to the best of authors’ knowledge. In this paper, we present a novel dual-band out-of-phase power divider with high power-handling capability. The proposed power divider employs DSPSLs to obtain out-of-phase responses where a conductor plane is inserted into the middle of the substrate as a common ground. The new circuit consists of five ports, one input port, two output ones with 50- characteristic impedance, and the other two ports are loaded by external resistors, which aim to achieve the isolation between the two outputs. The proposed power divider loaded by the external isolation resistors ( ) is obviously different from the previous out-of-phase power dividers [17]–[22], which can be easily grounded with a direct path for heat sinking. This unique property can greatly enhance the power-handling capability. The analytical equations are derived based on the rigorous even- and odd-mode analysis containing all parameters of the novel structure. In addition, design charts are also proposed using these analytical equations. It shows that the proposed divider can operate at two frequencies of and with a wide frequency ratio range at . For verifying the performance, a sample with frequency ratios of 2.4:1 is fabricated and tested. The experimental results show that the good matching, isolation, and amplitude balance are simultaneously achievable for both of the operating frequency bands. This paper is organized as follows. Section II presents the theoretical and design analysis. Section III provides the test structure design and measurements. A conclusion is presented in Section IV. II. CONFIGURATION AND THEORETICAL ANALYSIS OF THE NOVEL POWER DIVIDER The configuration of the proposed dual-band out-of-phase power divider is shown in Fig. 1. It is a two-way power divider implemented using DSPSLs. A conductor plane is inserted in the midlayer as a common ground, which segregates several sections of the DSPSLs to back-to-back microstrip lines. It has

0018-9480/$31.00 © 2012 IEEE

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 2. Equivalent circuit of the power divider for odd-mode excitation. (a) Initial mode. (b) Simplified mode.

In order to achieve the maximum power transfer efficiency, the power dissipation on the resistor ( ) should be avoided, which means that the resistor should be shorted at dual operating frequencies. Therefore, the lossless transmission condition can be expressed as (1) Fig. 1. Layout of the proposed power divider. (a) 3-D view. (b) 2-D layout.

of been investigated that the inserted metal plane has no effect on the DSPSLs [23]. The 2-D layout of the proposed device is illustrated in Fig. 1(b). The two circuits on the top and bottom layers have identical sizes, and all transmission lines have the same electrical length . As illustrated in Fig. 1(a), the proposed divider has five ports: one input port (Port 1), two output ports (Ports 2 and 3), and two extra ones (Ports 4 and 5), which are loaded by the isolation resistors. Since the proposed power divider is symmetrical, we can use the even- and odd-mode analysis to extract the circuit parameters from the matching and isolation conditions. For convenience, all the impedance values are normalized with respect to input/output ports impedance . A. Odd-Mode Analysis For odd-mode excitation, the middle layer can be regarded as the infinite ground [23] due to the symmetry of the differential circuit. Therefore, the odd-mode equivalent circuit of the proposed power divider can be obtained as shown in Fig. 2(a). Here, the impedance of port 1 is split to be half of the initial value [22]. Meanwhile, all the transmission lines can be regarded as mictrostrip lines, and all the impedance parameters in this paper represent the values of microstrip lines.

Based on the transmission-line theory, the input impedances and can be obtained as (2a) (2b)

Combining (1) and (2), the relationship between the characteristic impedances and can be achieved in terms of the electrical length (3) To fulfill the dual-band operation, the electrical lengths the transmission lines at should be satisfied as [13]

of (4)

where is the frequency ratio of the dual-band operation. Under condition (3), the odd-mode equivalent circuit can be simplified as shown in Fig. 2(b). The T structure (Network I) with a short-circuit terminated stub is realized as a dual-band impedance transformer. Based on the transmission-line theory, the matrix of two-port network I can be calculated as [24]

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. DAI et al.: NOVEL DUAL-BAND OUT-OF-PHASE POWER DIVIDER

3

(5a) where (5b) (5c) (5d) (5e) Using the can be obtained as

matrix, the input impedance of network I

(6) Fig. 3. Equivalent circuit of the power divider for even-mode excitation. (a) Initial mode. (b) Reversed mode.

The matching condition at Port 1 then becomes (7) According to (5)–(7), the impedance values in terms of can be expressed as

and

(9c)

(9d)

(8a)

(9e)

(8b)

From solution (8), it is observed that the impedances of and can be uniquely determined for some chosen once the designed frequency ratio is given. B. Even-Mode Analysis For even-mode excitation, the two signals along the transmission lines on the top and bottom layers have the same magnitude and phase. Therefore, the voltage on the two strips of the input DSPSL and DSPSL open stub are the same. It means that no current flows through the input load and the open stub. Therefore, the input port and open stub can be regarded as open circuits. In this case, the equivalent-circuit model of the proposed power divider can be simplified as shown in Fig. 3(a). Hence, we flip the circuit topology for analysis convenience shown in Fig. 3(b). The matrix of two-port network II is then calculated as [24]

In the same manner, the input impedance of the network II can be obtained as (10) To satisfy the matching condition at port 4, the following equation can be achieved:

(11)

Based on (9)–(11), the impedance value of can be determined as

and the resistor

(12a) (12b) where

(9a)

(13a) (13b)

where (9b)

(13c)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

(13d)

C. Analysis of Impedance Values From the solutions from (3), (4), (8), (12), and (13), all the transmission-line impedances and the resistor vary with the different frequency ratio once the value of is fixed. However, the impedance of the transmission lines is always limited to a certain range with the restriction of printed circuit board (PCB) fabrication technology. In this section, we assume that the impedance values are in the range of . Therefore, the normalized impedance values have the range of . We investigate all the impedances against the frequency ratio under different impedance values of , as shown in Fig. 4. It can be observed that the values of and are increasing with the increase of . When is equal to 0.5, a wide frequency ratio at with no line impedance value over 150 can be achieved. Furthermore, the curves shown in Fig. 4 can also be used for the design charts of the proposed power divider. D. Power Operation Analysis As we know, the isolation resistors are generally used to dissipate the reflected power from output ports. The power-handling capabilities of the power dividers evidently depend on how the isolation resistors handle the generated heat. For the previous out-of-phase power dividers [17]–[22], the isolation resistors are connected to internal straps, which is difficult for heat sinking. Different from the power dividers mentioned above, the novel power divider presented in this paper has five ports where the two extra ports are connected via the external isolation resistors, which are grounded with a direct path for heat dissipation. The external resistors can be easily mounted on the cooling systems with the good thermal conductivity by adding a transmission line of the same characteristic impedance as the isolation resistor between the resistor and heat sink. This unique property greatly enhances the divider power-handling capability. III. DESIGN AND TESTING OF THE NOVEL POWER DIVIDER This section presents the design and experimental verifications of the proposed power divider described above. The divider is fabricated on two sandwiched Rogers 4003c substrates with the thickness of 0.813 mm and a relative dielectric constant of 3.38. The two substrates are bonded together. Typical parameters of the designed power divider are given as follows: GHz

GHz

The curves shown in Fig. 4 in Section II-C can be used as the design charts; hence, we employ Fig. 4(c) to design the proposed power divider. The value of transmission-line impedance

Fig. 4. Normalized impedance parameters versus frequency ratio under dif. (b) . (c) . (d) . ferent . (a)

and the resistor can be obtained as shown in Table I. Based on

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. DAI et al.: NOVEL DUAL-BAND OUT-OF-PHASE POWER DIVIDER

5

TABLE I NORMALIZED PARAMETERS OF THE PROPOSED POWER DIVIDER

Fig. 5. Calculated results of the proposed power divider.

TABLE II DIMENSIONS OF THE PROPOSED POWER DIVIDER

Fig. 6. Photograph of the proposed power divider.

(4), the desired electrical length of the transmission lines can be determined . By obtaining the design parameters shown in Table I, the reflection and transmission coefficients of the proposed power divider can be calculated by [13], [25]

Fig. 7. Calculated EM simulated and measured -parameters of the proposed . (b) . (c) . (d) . power divider. (a)

(14a) (14b)

(14c)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

proposed power divider will be very useful for many dual-band differential systems and push–pull applications. REFERENCES

Fig. 8. Measured phase difference between the two output ports.

(14d) and the calculated results are shown in Fig. 5. The physical dimensions of the circuit are obtained as illustrated in Table II by using the simulator Ansoft Designer. For the actual implementation, the component of is adopted in this design. The photograph of the fabricated power divider is shown in Fig. 6 and it is simulated by using Ansoft HFSS and measured by a Rohde & Schwarz ZVA 50 network analyzer. The analytically calculated, simulated, and measured results are presented in Fig. 7. The frequency responses of the divider in which the center frequencies of the lower and upper bands are found to be 1 GHz (EM simulated), 0.96 GHz (measured), 2.4 GHz (EM simulated), and 2.34 GHz (measured), respectively. It is observed from Fig. 7 that a minor frequency shift at operation frequencies occurs due to the fabrication tolerance. At the lower frequency band, the insertion loss is 3.5 dB, while the isolation between two output ports is larger than 25 dB. At the upper band, the measured insertion loss is 3.8 dB and the output isolation is larger than 20 dB. According to the measured results, the proposed power divider has an operating bandwidth over 100 MHz with a passband ripple of 0.5 dB and a minimum return loss/port isolation of 15 dB. As shown in Fig. 8, the measured phase difference between the two output ports is of 180 4.5 from 0.5 to 3 GHz. It is obvious that the out-of-phase feature of the divider is frequency independent. IV. CONCLUSION In this paper, a novel dual-band out-of-phase power divider with high power-handling capability has been presented. The proposed divider has been implemented using the DSPSL and the analytical equations have been developed by using the even-odd mode analysis technique. In Section III, an experimental divider has been designed and fabricated. The good agreement between the simulated and measured results has been achieved, validating the proposed methodology. The

[1] E. Wilkinson, “An -way hybrid power divider,” IRE Trans. Microw. Theory Tech., vol. MTT-8, no. 1, pp. 116–118, Jan. 1960. [2] L. Wu, Z. Sun, H. Yilmaz, and M. Berroth, “A dual-frequency Wilkinson power divider,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 278–284, Jan. 2006. [3] L. Wu, H. Yilmaz, T. Bitzer, A. Pascht, and M. Berroth, “A dual-frequency Wilkinson power divider: For a frequency and its harmonic,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 2, pp. 107–109, Feb. 2005. [4] T. Kawai, Y. Jun, Y. Kokubo, and I. Ohta, “A design method of dualfrequency Wilkinson power divider,” in Proc. Asia–Pacific Microw. Conf., 12–15, 2006, pp. 913–916. [5] K. K. M. Cheng and F. L. Wong, “A new Wilkinson power divider design for dual band applications,” IEEE. Microw. Wireless Compon. Lett., vol. 17, no. 9, pp. 664–666, Sep. 2007. [6] K. K. M. Cheng and C. Law, “Novel approach to the design and implementation of dual-band power divider,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 2, pp. 487–492, Feb. 2008. [7] M. J. Park and B. Lee, “A dual-band Wilkinson power divider,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 2, pp. 85–87, Feb. 2008. [8] Y. L. Wu, H. Zhou, Y. X. Zhang, and Y. A. Liu, “An unequal Wilkinson power divider for a frequency and its first harmonic,” IEEE. Microw. Wireless Compon. Lett., vol. 18, no. 11, pp. 737–739, Nov. 2008. [9] Y. Wu, Y. Liu, Y. Zhang, J. Gao, and H. Zhou, “A dual band unequal Wilkinson power divider without reactive components,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 1, pp. 216–222, Jan. 2009. [10] M. J. Park, “Two-section cascaded coupled line Wilkinson power divider for dual-band applications,” IEEE. Microw. Wireless Compon. Lett., vol. 19, no. 4, pp. 188–190, Apr. 2009. [11] M. J. Park, “Dual-band Wilkinson divider with coupled output port extensions,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 9, pp. 2232–2237, Sep. 2009. [12] U. H. Gysel, “A new -way power divider/combiner suitable for highpower application,” IEEE MTT-S Int. Microw. Symp. Dig., vol. 75, pp. 116–118, May 1975. [13] Z. Sun, L. Zhang, Y. Liu, and X. Tong, “Modified Gysel power divider for dual-band applications,” IEEE. Microw. Wireless Compon. Lett., vol. 21, no. 1, pp. 16–18, Jan. 2011. [14] H. Oraizi and A. R. Sharifi, “Optimum design of a wideband two-way Gysel power divider with source to load impedance matching,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 9, pp. 2238–2248, Sep. 2009. [15] Z. Sun, L. Zhang, Y. Yan, and H. Yang, “Design of Unequal dualband Gysel power divider with arbitrary termination resistance,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 8, pp. 1955–1962, Aug. 2011. [16] H. Oraizi and A. R. Sharifi, “Optimum design of asymmetrical multisection two-way power dividers with arbitrary power division and impedance matching,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 6, pp. 1478–1490, Jun. 2011. [17] W. R. Deal, V. Radisic, Y. Qian, and T. Itoh, “Intergrated-antenna push–pull power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 8, pp. 1418–1425, Aug. 1999. [18] L. Chiu, T. Y. Yum, Q. Xue, and C. H. Chan, “A wideband compact parallel-strip 180 Wilkinson power divider for push–pull circuitries,” IEEE. Microw. Wireless Compon. Lett., vol. 16, no. 1, pp. 49–51, Jan. 2006. [19] H. Ogawa, T. Hirota, and M. Aikawa, “New MIC power divider using coupled microstrip-slot lines: Two-sided MIC power divider,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 11, pp. 1155–1164, Nov. 1985. [20] L. Fan and K. Chang, “A 180 out-of-phase power divider using asymmetrical coplanar stripline,” IEEE Microw. Guided Wave Lett., vol. 6, no. 11, pp. 404–406, Nov. 1996. [21] J. X. Chen, C. H. K. Chin, K. W. Lau, and Q. Xue, “180 out-ofphase power divider based on double-sided parallel striplines,” Electron. Lett., vol. 42, no. 21, Oct. 2006. [22] T. Yang, J. X. Chen, X. Y. Zhang, and Q. Xue, “A dual-band out-ofphase power divider,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 3, pp. 188–190, Mar. 2008. [23] J. X. Chen, C. H. K. Chin, and Q. Xue, “Double-sided parallel-strip line with an inserted conductor plane and its applications,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 9, pp. 1899–1904, Sep. 2007.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. DAI et al.: NOVEL DUAL-BAND OUT-OF-PHASE POWER DIVIDER

[24] D. M. Pozar, Microwave Engineering. New York: Wiley, 2005. [25] J. Reed and G. J. Wheeler, “A method of analysis of symmetrical fourport networks,” IRE Trans. Microw. Theory Tech., vol. MTT-4, no. 10, pp. 246–252, Oct. 1956.

Gao-Le Dai was born in Ningbo, Zhejiang Province, China, in 1985. He received the B.S. and Ph.D. degrees in electronic engineering from Peking University, Beijing, China, in 2007 and 2011, respectively. From September 2008 to January 2009, he was a Research Assistant with the City University of Hong Kong. From September 2009 to February 2010, he was a Visiting Ph.D. Student with the National University of Singapore. In 2011, he joined the faculty of the Department of Information and Electronic Engineering, Zhejiang University, Hangzhou, China, as an Assistant Professor. His research interests include antenna and RF/microwave active and passive circuit designs.

Xing-Chang Wei (M’01–SM’09) received the Ph.D. degree in electrical engineering from the Xi’an University of Electronic Science and Technology, Xi’an, China, in 2001. From 2001 to 2010, he was with the ASTAR Institute of High Performance Computing, Singapore, as a Research Fellow, Senior Research Engineer, and then a Research Scientist. In 2010, he joined Zhejiang University, Hangzhou, China, as a Full Professor. He has authored over 40 papers in international journals and conferences. His main research interests include 3-D integrated circuit (IC) analysis, power integrity and signal integrity simulation and design, electromagnetic compatibility (EMC) modeling and simulation, and the development of fast algorithms for computational electromagnetic. Dr. Wei was the co-chair of the Technical Program Committee of the 2010 IEEE Electrical Design of Advanced Packaging and Systems Symposium. He was the recipient of the 2007 Singapore Institution of Engineers (IES) Prestigious Engineering Achievement Award for his contribution to the development of a novel electromagnetic compatibility simulation facility.

7

Er-Ping Li (S’91–M’92–SM’01–F’08) received the Ph.D. degree in electrical engineering from Sheffield Hallam University, Sheffield, U.K., in 1992. From 1989 to 1992, he was a Research Associate/Fellow with the School of Electronic and Information Technology, Sheffield Hallam University, Sheffield, U.K. From 1993 to 1999, he was a Senior Research Fellow, Principal Research Engineer, and Technical Director with the Singapore Research Institute and Industry. Since 2000, he has been with the Singapore National Research Institute of High Performance Computing, Singapore. In 2009, he joined Zhejiang University, Hangzhou, China, under the Thousand Talent Plan of China. His research interests include fast and efficient computational electromagnetics, microscale/nanoscale integrated circuits and electronic packages, electromagnetic compatibility, signal integrity, and nanotechnology. Dr. Li is a Fellow of the Electromagnetics Academy. He was an elected IEEE Electromagnetic Compatibility Distinguished Lecturer (2007–2008). He was the president for the International Zurich Symposium on EMC (2006 and 2008), Singapore, the general chair for the 2008 Asia–Pacific EMC Symposium, and the chairman of the IEEE EMC Singapore Chapter (2005–2006). He has been an invited speaker for numerous talks and keynote speeches at various international conferences and forums.

Ming-Yao Xia (M’00–SM’03) received the Master and Ph.D. degrees in electrical engineering (first-class honors) from the Institute of Electronics, Chinese Academy of Sciences (IECAS), Beijing, China, in 1988 and 1999, respectively. From 1988 to 2002, he was with IECAS as an Engineer and a Senior Engineer. From October 1995 to October 1996, he was a Visiting Scholar with the University of Oxford, Oxford, U.K. From June 1999 to August 2000 and January 2002 to June 2002, he was a Senior Research Assistant and a Research Fellow, respectively, with the City University of Hong Kong. In 2002, he joined Peking University, as an Associate Professor, and was promoted to Full Professor in 2004. In 2010, he joined the University of Electronic Science and Technology of China, Chengdu, China, as a Chang-Jiang Professor, nominated by the Ministry of Education of China. His research interests include many aspects of electromagnetic theory and applications such as wave propagation and scattering, microwave remote sensing, antennas, and microwave components. Dr. Xia was a recipient of the 1993 Young Scientist Award of the URSI, the 2001 First-Class Prize on Natural Science of the Chinese Academy of Sciences, and the 2008 Foundation for Outstanding Young Investigators Award of the National Science Foundation of China (NSFC).

2410

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

A Fully Symmetrical Crossover and Its Dual-Frequency Application Jin Shao, Student Member, IEEE, Han Ren, Bayaner Arigong, Student Member, IEEE, Changzhi Li, Member, IEEE, and Hualiang Zhang, Member, IEEE

Abstract—In this paper, a new fully symmetrical four-port microstrip crossover and its dual-frequency application are presented. The proposed single-band crossover has a simple structure and an easily controlled bandwidth; and its dual-frequency application can provide flexible frequency ratio between the two working frequencies. Moreover, analytical design equations are derived using the even–odd-mode method. The final explicit design equations are concise. To verify the design concepts, both a single-band crossover working at 1 GHz and a dual-frequency crossover working at 1/2.3 GHz are fabricated and tested. The measurement results agree well with the design theory. Index Terms—Controllable bandwidths, crossover, dual frequency, fully symmetrical, even–odd mode.

I. INTRODUCTION

A

CROSSOVER is a microwave device, which allows two lines to cross each other with very high isolation [1]–[3]. It has been widely used in antenna array systems. In the past, the crossover was achieved by 3-D or multilayer structures, which increases the complexity, as well as the cost of the fabrication process. To address this issue, recently, many novel fully planar single-band crossovers have been reported [4]–[8]. In [4], a four-port crossover based on the double-ring design is proposed, which also has been reanalyzed using transmission-line theory in [5]. In [6], a compact symmetric four-port crossover is reported. In [7] and [8], microstrip crossovers are designed based on the branch-line structures. Meanwhile, with the rapid advance in modern communications, the design of many passive microwave components is facing new challenges: low cost, compact size, and multiband operations. Among these requirements, multiband technology (e.g., dual band) is very important since it can support multiple communication spectrums using one component. In this way, it will lead to both the size and cost reductions of a whole system. Up to now, many microwave components have been made to achieve dual-band operations [9]–[16]. However, very few dual-band crossover designs have been reported thus far Manuscript received November 20, 2011; revised April 18, 2012; accepted April 23, 2012. Date of publication June 05, 2012; date of current version July 30, 2012. This work was supported in part by the Cancer Prevention Research Institute of Texas (CPRIT). J. Shao, H. Ren, B. Arigong, and H. Zhang are with the Electrical Engineering Department, University of North Texas, Denton, TX 76207 USA (e-mail: [email protected]). C. Li is with the Electrical and Computer Engineering Department, Texas Tech University, Lubbock, TX 79409-2013 USA. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2198229

Fig. 1. General schematic of the proposed single-band crossover.

[17]. Therefore, to meet the stringent communication system requirement, more novel dual-band crossovers need to be proposed. In this paper, a new planar crossover with symmetrical structure and its dual-frequency application are presented. The proposed crossover has many advantages, which are: 1) it features simple structure and concise design equations; 2) its bandwidth can be easily controlled; 3) it is easy to implement for dualfrequency operation; and 4) the frequency ratio between two working frequencies is flexible. To verify the design concepts, a single-band crossover working at 1 GHz and a dual-frequency crossover working at 1/2.3 GHz are designed, fabricated, and characterized. The measurement results agree well with the simulation results. II. PROPOSED SINGLE-BAND CROSSOVER Fig. 1 shows the schematic diagram of the proposed and are single-band crossover under analysis, where two symmetric planes. Among the new crossover, there are two critical parts, namely, the outer microstrip lines and the inner crossed microstrip lines. The characteristic impedances and the and respectively. electrical lengths of them are By carefully selecting these parameters (i.e., line impedance and length), the outer and inner parts will function jointly as a crossover. Since the designed single-band crossover is symmetrical and planes, the circuit can be analyzed by to both the even–odd-mode analysis method [18]. Under the suitable even–odd-mode excitations, the reduced networks with their and ) are equivalent input impedances (

0018-9480/$31.00 © 2012 IEEE

SHAO et al.: FULLY SYMMETRICAL CROSSOVER AND ITS DUAL-FREQUENCY APPLICATION

Fig. 3. Simulated results of

Fig. 2. Reduced networks with their equivalent input impedances under different excitations (i.e., even–even, even–odd, odd–even, and odd–odd).

shown in Fig. 2. Based on the corresponding equivalent input impedances and port impedance , the reflection coefficients under different excitations can be expressed as [19] (1) where

(2)

(3) (4) The -parameters of the crossover can be derived as (5) (6) (7) (8) For crossover applications, if the signal is coming from port 1, the expected -parameters are , the corresponding relations between reflection coefficients are (9)

2411

with

and

.

In practice, to satisfy the requirement of , we need to make sure that . From the reduced network shown in Fig. 2, we can find that is achievable only when equals 90 . Meanwhile, to ensure that , the following equations can be derived from (3) and (4):

(10) . Substituting into (10), since and are automatically equal in the proposed structure, the final design equation can be expressed as

where

(11) From (11), it is observed that the value of can be arbitrarily chosen for the proposed single-band crossover (as long as its electrical length is a quarter-wavelength long at the working frequency). This characteristic further enhances the design flexibility of the proposed crossover (e.g., when is large, the corresponding line width is small so that it is easy to fold these lines to shrink the total crossover size). In this paper, for the convenience of discussion and comparison, and are fixed to be 50 and 90 , port impedance is fixed to be 50 . Moreover, as we mentioned earlier, one of the advantages of the proposed single-band crossover is that its bandwidth can be easily controlled. Specifically, the parameter is the key for realizing this function. To illustrate the relations between and the bandwidth, Fig. 3 shows the simulation result [using Agilent’s Advanced Design System (ADS)] of when . We can see that the smaller results in wider bandwidths. Considering the limitation of the realizable impedance for microstrip transmission line and the possible solution of (11), the value of is limited from 0.8 to 2.5 in our calculations. More information about and corresponding bandwidth is listed in Table I. It is observed that the proposed crossover can provide varied fractional bandwidth (10-dB return-loss bandwidth varying from 12% to 35%) by choosing different values, which is attractive for practical applications.

2412

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

TABLE I FRACTIONAL BANDWIDTH UNDER DIFFERENT

In general, the design procedures of the single-band crossover can be summarized into two steps: firstly, choosing value of according to bandwidth requirement; secondly, using (11) to calculate and and . III. DUAL-FREQUENCY CROSSOVER A. Design Concept and Procedure of the Proposed Dual-Frequency Crossover Since the proposed single-band crossover is constructed by transmission lines, by employing dual-frequency transmission lines, it can be easily extended for dual-frequency applications. Following this design concept, a dual-frequency crossover is designed. Structurally speaking, the proposed single-band crossover is composed of an outer microstrip line and four inner 90 crossed microstrip lines . In order to realize a dual-frequency crossover, we need to replace the inner and outer microstrip lines of proposed single-band crossover with dual-band structures. Since the dual-band 90 microstrip lines (for the inner microstrip lines) have been reported in the past [20]–[22], in this paper, we focus our discussion on designing a dual-band arbitrary degree microstrip line (for the outer microstrip line). Specifically, we will employ a T-shape structure to realize it. The dual-band 90 line (i.e., the inner line) will be realized using a T-shape line [20], a modified T-shape (with short end) [21], a step-impedance structure [22] (as shown in the inset of Fig. 4), or other dual-band structures. Fig. 4 shows the configuration of proposed dual-frequency crossover and the T-shape structure (shown on the top of Fig. 4). are characteristic impedances and electrical lengths of series and shunt sections of the T-shape structure, respectively. Such structure is used to realize the dual-band outer microstrip line . The -matrix of a T-shape structure can be derived as [21]

(12) (13) (14) From (12)–(14), it is found that replacing and with and - , the resulting matrices are the same. This is the key on how we can make the T-shape structure work at dual frequencies. In other words, through the design process, we need

Fig. 4. Configuration of proposed dual-frequency crossover.

to make sure that and frequency , and at the second frequency can be calculated as

are the electrical lengths at the first and - are the electrical lengths . After some derivations, and (15) (16)

In the following discussion, we will describe the design process of the dual-band arbitrary degree line through an example, where is used. From Table I, the values of and are calculated to be 50 and 54.73 . Now we need to make sure our T-shape structure is equivalent to a 50- line with an electrical length of 109.46 at dual frequencies. The -matrix of the corresponding line is (17) After that, the design procedures of the dual-band T-shape structure can be summarized as follows. 1) Using (15) and (16) to calculate and based on the two working frequencies: and . and start with 1 for compactness. 2) Substituting (12)–(14) into (17) to get the value of and . 3) Determining whether the impedance of and are achievable by using the microstrip line. If it is not, go back to 1) and increase the value of and . 4) Computing the physical dimension of the proposed T shape based on , and . Following this procedure, the T-shape dual-band transmission line can be designed (in this way, the outer line of the proposed dual-frequency crossover is realized). In practice, different values of will affect both the bandwidth

SHAO et al.: FULLY SYMMETRICAL CROSSOVER AND ITS DUAL-FREQUENCY APPLICATION

Fig. 5. Calculated impedances of . when

and

2413

under different frequency ratios

and parameter values in (17), but the design procedures are the same. Finally, by replacing the inner line with the dual-band 90 transmission line, the proposed dual-frequency crossover is formed. B. Discussion on the Realizable Frequency Ratio of the Proposed Dual-Frequency Crossover Furthermore, we analyze the frequency ratio of proposed dual-frequency crossover. Frequency ratio is defined as . It is an important parameter to illustrate the flexibility of a dual-frequency design. A wide frequency ratio means the dual-frequency design can be implemented for a wide range of applications. Since the inner dual-band 90 microstrip line , (as labeled in Fig. 4), can be realized by a T-shape, a modified T-shape, a step-impedance structure, or other dual-band structures, it can cover different frequency ratios. Therefore, in this case, the frequency ratio of the proposed dual-frequency crossover is mainly determined by the frequency ratio of the outer dual-band T-shape structure (i.e., the transmission line). To calculate the frequency ratio of the proposed T-shape structure, we need to check whether or not the calculated impedances of and are within the achievable impedance range of microstrip line (from 20 to 120 ). Following the four-step design procedure to calculate the impedances of and under different frequency ratios, it is found that the T-shape structure features flexible frequency ratio with realistic microstrip impedance. Fig. 5 shows the calculated impedances of and under different frequency ratios (when ). We can see that the T-shape structure can achieve very wide frequency ratio (from 1.6 to 4.0). Moreover, it is worth pointing out that different combinations of and values have been applied for the results shown in Fig. 5. Specifically, for the frequency ratios below 2, and are 1 and 2, respectively; for the ratios above 2.8, both and are 1; for the ratios between 2–2.8, and changed irregularly to let and stay in the range of 20–120 . It is also important to mention that the solutions presented in Fig. 5 are not the only solutions (by choosing different and , other solutions are available for a specific frequency

Fig. 6. Simulation results of three dual-frequency crossovers. (a) 1/1.9 GHz, (b) 1/2.3 GHz. (c) 1/2.8 GHz.

ratio). For example, for the frequency ratio of 2.8, the solution provided in Fig. 5 is

2414

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 8. Simulated and measured results of magnitude of band crossover).

(a)

and

(single-

three crossovers). The parameters of the outer T-shape structures in Fig. 6(a) and (c) are , and , respectively. The design parameters of the inner T-shape structures in Fig. 6(a) and (c) are , and , respectively. The parameters of the T-shape structure in Fig. 6(b) will be given in Section IV. For the results shown in Fig. 6(b) and (c), the modified T-shape structure is used. Also, for the practical design of dual-frequency crossover under specific ratios, the inner dual-band 90 transmission line should be carefully designed by using a different type of dual-band structure for easy implementaton. Overall, these simulated results (in Fig. 6) show that the proposed dual-frequency crossover works well under different frequency ratios. IV. EXPERIMENTAL RESULTS

(b) Fig. 7. Top view of the fabricated: (a) single-band and (b) dual-frequency crossovers.

. Another possible solution is (slightly above 120 , but still achievable), . Finally, for different values of (for different bandwidths), the realizable frequency ratio range of the dual-frequency crossover needs to be recalculated following the procedure discussed above. To further verify the performance of proposed dual-frequency crossover, the simulated results of the magnitude of and of the dual-frequency crossovers with different frequency ratios (i.e., frequency ratio and ) are shown in Fig. 6. Three dual-frequency crossovers are designed to work at: 1/1.9, 1/2.3, and 1/2.8 GHz ( for all

To verify our design concept, a single-band crossover operating at 1 GHz and a dual-frequency crossover working at 1/2.3 GHz were fabricated on a Duroid 5880 substrate with a dielectric constant of 2.2 and substrate thickness of 0.787 mm. Fig. 7 shows the top view of fabricated single-band and dual-frequency crossovers. The parameter of both single-band and dual-frequency crossovers are chosen to be 1. For the single-band crossover, the corresponding and are 50 and 54.73 , and and are 50 and 90 . Fig. 8 shows the simulated (using Mentor Graphics’ IE3D) and measured results of and of the single-band crossover. The measured is less than 10 dB from 0.88 to 1.25 GHz, and the measured is within 1 dB from 0.89 to 1.15 GHz. Since the structure of the proposed crossover is bisymmetric, the simulated and are the same. Fig. 9 indicates that the simulated and measured results of and match with each other very well. Measured and are both lower than 20 dB from 0.92 to 1.09 GHz. For the dual-frequency crossover, of the T-shape structure (to realize the dual-band outer microstrip line) are calculated to be 24.47 , 23.44 , 109.09 , and 54.55 ,

SHAO et al.: FULLY SYMMETRICAL CROSSOVER AND ITS DUAL-FREQUENCY APPLICATION

Fig. 9. Simulated and measured results of magnitude of band crossover).

and

2415

(singleFig. 11. Simulated and measured results of magnitude of crossover).

Fig. 10. Simulated and measured results of magnitude of crossover).

(dual-frequency

(dual-frequency

respectively. The inner microstrip line is replaced by a dual-band modified T-shape structure (the shunt stub is short circuited). Correspondingly, of the modified T-shape structure are calculated to be 35.60 , 36.63 , 54.55 , and 54.55 , respectively [21]. Figs. 10 and 11 show simulated and measured and of the dual-frequency crossover. The 2-dB bandwidths ( dB) at two working frequency bands are both 120 MHz. The measured at 1.04 GHz (slightly deviating from the designed frequency of 1 GHz due to fabrication tolerance) and 2.30 GHz are 36.16 and 21.36 dB, respectively. The measured magnitude of at the same two frequencies are 0.38 and 0.98 dB. Fig. 12 shows that the simulated and measured results of and are matched with each other. The simulated and (isolation) are lower than 10 dB from 0.97 to 1.15 GHz at and lower than 10 dB from 2.15 to 2.33 GHz at . For the 20-dB bandwidth, at two working frequencies, the ranges are from 0.99 to 1.02 and 2.28 to 2.31 GHz, respectively. Correspondingly, the measured and are lower than 10 dB from 0.99 to 1.16 GHz at and lower than 10 dB

Fig. 12. Simulated and measured results of magnitude of frequency crossover).

and

(dual-

from 2.02 to 2.42 GHz at . For the 20-dB bandwidth, at two working frequencies, the ranges are from 1.00 to 1.03 and 2.30 to 2.40 GHz, respectively. Compared to previously proposed dual-frequency crossover [17], the new fully symmetrical dual-frequency crossover features similar bandwidth and loss performance. The measured at the second working frequency shows an additional dip at 2.4 GHz. This undesired dip may be caused by the junction effect and the in-house fabrication error. Overall, it is observed that the measurement results of the dual-frequency crossover match well with the simulation results, verifying our design concept. V. CONCLUSION In this paper, a fully symmetrical crossover and its dual-frequency application have been presented. For the single-band crossover, explicit design equations have been derived, and the corresponding bandwidth performance is discussed. For

2416

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

its dual-frequency application, the design procedure is introduced, and the realizable frequency ratio is discussed. To verify the design concept, both a single-band and a dual-frequency crossover are fabricated and measured. Good agreement has been achieved between the measurement and simulation results.

[21] H. Zhang and K. J. Chen, “A stub tapped branch-line coupler for dualband operations,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 2, pp. 106–108, Feb. 2007. [22] J. T. Kuo, T. H. Yeh, and C. C. Yeh, “Design of microstrip bandpass filters with a dual-passband response,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1331–1337, Apr. 2005.

ACKNOWLEDGMENT The authors would like to thank Dr. Y.-C. Chiou, Qualcomm Inc., San Diego, CA, and Prof. J.-T. Kuo, Chang Gung University, Taoyuan, Taiwan, for their very helpful technical discussion. REFERENCES [1] S. C. Wu, H. Y. Yang, N. Alexopoulos, and I. Wolff, “A rigorous dispersive characterization of microstrip cross and T junctions,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 12, pp. 1837–1844, Dec. 1990. [2] T. S. Hong, “A rigorous study of microstrip crossovers and their possible improvements,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 9, pp. 1802–1806, Sep. 1994. [3] G. E. Ponchak and E. Tentzeris, “Development of finite ground coplanar (FGC) waveguide 90 degree crossover junctions with low coupling,” in IEEE MTT-S Int. Microw. Sump. Dig., Jun. 2000, pp. 1891–1894. [4] Y. Chen and S. P. Yeo, “A symmetrical four-port microstrip coupler for crossover application,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 11, pp. 2434–2438, Nov. 2007. [5] Y. C. Chiou, C. H. Tsai, and J. T. Kuo, “Comments on ‘A symmetrical four-port microstrip coupler for crossover application’,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 7, pp. 1859–1860, Jul. 2009. [6] Y. C. Chiou, J. T. Kuo, and H. R. Lee, “Design of compact symmetric four-port crossover junction,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 9, pp. 545–547, Sep. 2009. [7] J. J. Yao, “Nonstandard hybrid and crossover design with branch-line structures,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 12, pp. 3801–3808, Dec. 2010. [8] J. J. Yao, C. Lee, and S. P. Yeo, “Microstrip branch-line couplers for crossover application,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 1, pp. 87–92, Jan. 2011. [9] Y. C. Chiou, C. Y. Wu, and J. T. Kuo, “New miniaturized dual-mode dual-band ring resonator bandpass filter with microwave c-sections,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 2, pp. 67–69, Feb. 2010. [10] H. Zhang and X. Hao, “Designs of dual-band Wilkinson power dividers with flexible frequency ratios,” in IEEE MTT-S Int. Microw. Sump. Dig., 2008, pp. 1223–1226. [11] J. Shao, H. Zhang, Y. Lin, and H. Xin, “Dual-frequency electromagnetic cloaks enabled by LC-based metamaterial circuits,” Progr. Electromagn. Res., vol. 119, pp. 225–237, 2011. [12] J. Shao, H. Zhang, C. Chen, S. Tan, and K. J. Chen, “A compact dualband coupled-line balun with tapped open-ended stubs,” Progr. Electromagn. Res. C, vol. 22, pp. 109–122, 2011. [13] H. Zhang, Y. Peng, and H. Xin, “A tapped stepped-impedance balun with dual-band operations,” IEEE Antennas Wireless Propag. Lett., vol. 7, pp. 119–122, 2008. [14] H. Zhang and K. J. Chen, “Design of dual-band rat-race couplers,” IET Microw. Antennas Propag., vol. 3, no. 3, pp. 514–521, 2009. [15] I. Lin, M. Vincentis, C. Caloz, and T. Itoh, “Arbitrary dual-band components using composite right/left-hand transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1142–1149, Apr. 2004. [16] K. K. M. Cheng and F. L. Wong, “A novel approach to the design and implementation of dual-band compact planar 90 branch-line coupler,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 11, pp. 2458–2463, Nov. 2004. [17] F. L. Wong and K. K. M. Cheng, “A novel, planar, and compact crossover design for dual-band applications,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 3, pp. 568–573, Mar. 2011. [18] R. E. Collin, Foundations for Microwave Engineering, 2nd ed. New York: McGraw-Hill, 1992. [19] Y. C. Chiou and J. T. Kuo, Jul. 2011, private communication. [20] H. Zhang and X. Hao, “Dual-band branch-line balun for millimeterwave applications,” in IEEE MTT-S Int. Microw. Sump. Dig., 2009, pp. 717–720.

Jin Shao (S’12) was born in Wuhu, China. He received the B.S. degree in electrical engineering from the Jiangsu Teachers University of Technology, Changzhou, China, in 2008, and the M.S. degree in electrical engineering from the University of North Texas, Denton, in 2011. His current research is focused on dual-band RF/microwave components.

Han Ren was born in Nanjing, China. He received the B.S. degree in electrical engineering from Nanjing University of Posts and Telecommunications, Nanjing, China, in 2008, and is currently working toward the M.S. degree at the University of North Texas, Denton. His current research is focused on passive microwave circuits.

Bayaner Arigong (S’08) was born in Inner Mongolia, China. He received the B.S. and M.S. degrees in electrical engineering from China University of Geosiences (CUG), Wuhan, China, in 2005 and 2008, respectively, and is currently working toward the Ph.D. degree at University of North Texas, Denton. His current research is RF, analog integrated circuit (IC) design, and transformation optics device design.

Changzhi Li (S’06–M’09) received the B.S. degree in electrical engineering from Zhejiang University, Hangzhou, China, in 2004, and the M.S. and Ph.D. degrees in electrical engineering from the University of Florida, Gainesville, in 2007 and 2009, respectively. In the summers of 2007–2009, he was with Alereon Inc., Austin, TX, and Coherent Logix Inc., Austin, TX, where he was involved with ultra-wideband (UWB) and software-defined radar. In August 2009, he joined Texas Tech University, Lubbock, as an Assistant Professor. His research interests include biomedical applications of microwaves/RF, wireless sensors, frequency synthesizers, and microwave/millimeter-wave circuits. Hualiang Zhang (M’07) was born in Wuhan, China. He received the Bachelor degree in electrical engineering from the University of Science and Technology of China (USTC), Hefei, China, in 2003, and the Ph.D. degree in electronic and computer engineering from the Hong Kong University of Science and Technology (HKUST), Kowloon, Hong Kong, in 2007. From 2007 to 2009, he was with the University of Arizona, as a Post-Doctoral Research Associate, where he conducted research related to RF/microwave circuits, antenna design, and metamaterial-based circuits. Since August 2009, he has been with the Electrical Engineering Department, University of North Texas, Denton, as an Assistant Professor. His research interests include design and synthesis of microwave filters, microelectromechanical systems (MEMS) technologies (especially their applications to the RF passive components), and optimization techniques.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

2417

Design of Compact Quad-Frequency Impedance Transformer Using Two-Section Coupled Line Yan-Fu Bai, Xin-Huai Wang, Member, IEEE, Chang-Jia Gao, Qiu-Lin Huang, Member, IEEE, and Xiao-Wei Shi, Senior Member, IEEE

Abstract—This paper presents a compact quad-frequency impedance transformer that is composed of a two-section coupled line to achieve ideal impedance matching at three arbitrary frequencies and a related frequency. The exact closed-form equations and design procedures are given based on strict theoretical analysis. After demonstrating the bandwidth performance and symmetry properties of different impedance transform ratios, we fabricated a circuit to show the validity of practical applications. Good agreement between the measured data and anticipated results is achieved. Index Terms—Coupled line, impedance transformer, quad frequency. Fig. 1. Quad-band impedance transformer.

I. INTRODUCTION

I

MPEDANCE transformer is one of the most important devices in microwave systems. The primary function of an impedance transformer is to reduce reflection between two ports with different input impedance. It is well known that the quarterwavelength transmission line is a basic impedance transformer that can achieve ideal impedance matching at the design frequency. Coupled lines have been applied to realize the design of couplers, filters, and phase shifters [1], [2]. To increase the compactness and flexibility, impedance transformers using coupled transmission lines was introduced in [3] and [4]. Recently, the demands of integrating several applications into single devices and multiband systems have steadily increased, which result in great development of the multifrequency impedance transformers. A dual-frequency transformer was presented in [5] that operates at an assigned frequency and its first harmonic. A two-section transformer was proposed in [6], which can provide perfect impedance matching at two arbitrary frequencies. A three-section transmission-line transformer for matching three frequencies and an arbitrary real impedance load is designed in [7]. However, it has large size and can only work at the frequency ratio in a range of 1 and 3. The concept of an impedance buffer and a method to synthesize multifrequency matching networks are introduced in [8], but it Manuscript received November 21, 2011; revised April 23, 2012; accepted May 02, 2012. Date of publication June 14, 2012; date of current version July 30, 2012. This work was supported in part by the Fundamental Research Funds for the Central Universities K50511020021, the National Science Foundation of China under Grant 60801039, and under the Guangdong Province Major Science and Technology Project 2009A080207006. The authors are with the National Key Laboratory of Antennas and Microwave Technology, Xidian University, Xi’an 710071, China (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2202682

is only for purely imaginary loads. Further published findings are mainly in the areas of matching a complex impedance load and increasing the operating bandwidth [9]–[14]. This paper presents a compact quad-frequency transformer based on two-section impedance transformer theory introduced in [6]. The proposed transformer is composed of a two-section coupled line and is able to implement perfect matching for an arbitrary real impedance load at four frequencies, including three uncorrelated frequencies , and a restricted frequency . We demonstrate exact analytical solutions and practical design equations. Analytically and numerically, we demonstrate the frequency ratio range of our method suited for the practical application. A circuit is then fabricated to prove correctness of our theoretical analysis and effectiveness of procedures. In addition, to avoid the consequences of non-TEM behavior in microstrip realization, stepped-impedance coupled lines are applied in the model building [15]. II. ANALYSIS Fig. 1 shows the structure of the impedance transformer. It is composed of two connected coupled-line sections, and the two sections are uncoupled. Each section consists of two coupled lines ended by a short circuit. We set the two coupled-line sections to have the same physical length and ratio of even-mode characteristic impedance to odd-mode characteristic impedance as follows:

0018-9480/$31.00 © 2012 IEEE

(1) (2)

2418

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

where

(12) physical length of coupled-line section 1; From (4) and transcendental formulas, (11) can be rewritten

physical length of coupled-line section 2; even-mode characteristic impedance of coupled-line section 1;

as

odd-mode characteristic impedance of coupled-line section 1;

(13)

even-mode characteristic impedance of coupled-line section 2; odd-mode characteristic impedance of coupled-line section 2. According to the theory introduced by Jones in [1], we obtain the equations as following formulas: (3)

Since

, we have (14)

By solving the above equations for , four groups of solutions are obtained as

(4) where electrical length of a uniform line length phase constant ;

and

electrical length of a uniform line length phase constant ;

and

insert phase of coupled-line section 1; insert phase of coupled-line section 2. In view of the microwave circuit theory [16], the input impedance is given by (5) (6) (7) (8) where

(15) where and are arbitrary integers. Since and we focus on a small transformer, we choose and . Without loss of generality, it is assumed that . By applying these four frequencies to (15), we obtain the four fundamental solutions to (14) as follows:

image impedance of coupled-line section 1; image impedance of coupled-line section 2; impedance of load.

(16a)

The impedance transform ratio parameter is defined as (9) (16b) Equating

and solving for

from (5), we obtain (10) (16c)

Equating (6)–(10) and assuming all parameters are real, then by using (4) we obtain the following identities: (11)

(16d)

BAI et al.: DESIGN OF COMPACT QUAD-FREQUENCY IMPEDANCE TRANSFORMER

where . Therefore, the transformer presented in this paper is able to achieve perfect impedance matching at four frequencies. From (16a) and (16d), we can obtain the relationship between and as

2419

in Monzon’s theory [6], which is a basic theory for two-section impedance transformer. As a result, the image impedance of coupled-line section 1 and coupled-line section 2 are given by

(17a)

(24)

Similarly, from (16b) and (16c), we obtain the relationship between and as (17b) From (17a), (17b), and (3), we acquire the following equations: (18a) (18b) Since and , where is the velocity of light, by using (18b), we obtain a frequency variable as follows: (19)

Thus, the derivation of design equations for the proposed transformer is completed. The procedure to design this quad-frequency transformer is as follows. Firstly, determine the required four frequencies and . Secondly, calculate and from (19) and (20). Thirdly, obtain and using (23b), (4), and (11). Then calculate the image impedance and using (24) and (25). Finally, obtain , and using (2), (7), and (8). The above results can be used to synthesize physical parameters and establish the circuit model. III. RANGE OF FREQUENCY RATIO

(20)

The above solution is explicit and in closed form. However, it is instructive to consider the applicative range of frequency ratio. Without loss of generality, we set , where . From (23b), we obtain

. From (19), the

(26)

From (18b) and (19), the physical length of a single coupledline section can be calculated by

is the wavelength corresponding to where following relation is then derived as

(25)

Since

, (26) can be derived as

(21) By using transcendental formulas, (16a) and (16b) can be rewritten as

(22a)

(27)

For

, we obtain and . Therefore, (27) can be rewritten as

(28) (22b) By using product-to-sum identities and trigonometric formula, (28) is derived as For

, after multiplying (22a) by (22b), we obtain

(29) (23a)

which has a solution given by

By using (3) and (20), (23a) should be rewritten as (30) (23b) and known, we can determine through (4) and With (11). Since the design is extended from a classical two-section transmission-line transformer, we apply the analytical solution

where as

is an arbitrary integer. Therefore, (30) can be rewritten

(31)

2420

Fig. 2. Relationship among

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

and

Fig. 4. Reflection coefficient as a function of

for fixed

and

.

Fig. 5. Reflection coefficient as a function of

for fixed

and

.

.

Fig. 3. Reflection coefficient as a function of

for fixed

and

.

TABLE I DESIGN PARAMETERS FOR FIXED

Since , the parameter has to be zero. As a result, we obtain the solution to the range of frequency ratio as follows: (32a) (32b) and are shown The numerical relationships among in Fig. 2. It is clearly illustrated that the increase rate of is raising with the reduction of and . It is well known that we need to have a stronger coupling coefficient between the coupled line for a bigger . Therefore, the requirement for manufacturing precision is gradually increasing along with the increasing of . The value of is supposed to be in a range of 1 and 4 for a practical fabrication. Some useful data and numerical results for the condition of are demonstrated as follows. Reflection coefficient characteristics as a function of for fixed GHz and are shown in Figs. 3–5. Table I shows the parameters used in this design. It presents some useful frequency ratio range that the coupled line can be fabricated easily. In addition, as shown in Figs. 3–5, the bandwidth increases with the reduction of .

The proposed impedance transformer is a multiband design. The four design frequencies cannot be located to achieve a single wideband match although can be located nearby to realize the dual-wideband match at and , which is illustrated in Fig. 3. However, it could not be matched at , which is between and , since the insert phase is at in any case. Fig. 6 shows the reflection coefficient related to variation of and for and . The symmetry properties between and is illustrated. In addition, it is shown that the bandwidth increases with the

BAI et al.: DESIGN OF COMPACT QUAD-FREQUENCY IMPEDANCE TRANSFORMER

Fig. 6. Reflection coefficient related to variation of

and

2421

. Fig. 8. Simulated result of reflection coefficient.

DIMENSIONS

OF

TABLE III FABRICATED IMPEDANCE TRANSFORMER (UNIT: MILLIMETERS)

Fig. 7. Model of quad-frequency impedance transformer. TABLE II DIMENSION OF SIMULATED IMPEDANCE TRANSFORMER (UNIT: MILLIMETERS)

reduction of . The above results are similar to the properties of a conventional two-section transmission-line transformer. IV. EXPERIMENTAL RESULTS To verify the theoretical analysis, a quad-frequency impedance transformer working at the frequencies 1, 1.25, 2.5, and 2.75 GHz has been simulated. and are chosen. The transformer is designed on a substrate of Rogers RT5880 with a dielectric constant of 2.2 and thickness of 0.7874 mm. Fig. 7 shows the model of quad-frequency impedance transformer. It is a compact and reasonable structure to decouple between the two-section coupled line. Due to non-TEM behavior in microstrip realization, the phase velocities are different between the odd and even modes in the microstrip coupled-line region. To overcome this difficulty, a kind of stepped-impedance coupled line is applied in model building [15]. The single section of coupled line is replaced by the stepped-impedance coupled line. Table II lists dimensions of this transformer. All of the parameters are synthesized by Agilent ADS LineCalc. The numerical results above are calculated by Wolfram Mathematica 8 and simulated results are carried out by Ansoft HFSS 13. To illustrate the availability and necessity of the steppedimpedance microstrip coupled line, simulated results of reflection coefficient are presented in Fig. 8. It is shown that there is good match at the four designated frequencies, which verifies the validity of the proposed method. However, as can be seen in this figure, the four impedance-matched frequencies could not

Fig. 9. Photograph of the proposed impedance transformer.

be achieved and they are deteriorated to two narrowbands since we cannot realize the expectant characteristic impedance using the microstrip coupled line without stepped impedance. A quad-frequency impedance transformer working at the frequencies of 1, 2, 3.3, and 4.3 GHz has then been simulated and fabricated. and are the same to the previous case. The substrate of Rogers RT5880 with the thickness of 0.7874 mm is also chosen. Table III lists the dimensions of this transformer. In order to use a 50- port network analyzer to measure the -parameters of this transformer, we choose a 100- SMD resistor as the target load. Fig. 9 shows a photograph of the fabricated quad-frequency impedance transformer and the area is 5.1 cm 2.5 cm.

2422

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 10. Measured result and simulated result of reflection coefficient.

The measured data are collected using an Agilent N5230A network analyzer. Fig. 10 presents measured and simulated results of reflection coefficient. At the assigned frequencies, the measured and simulated results show good agreement for the target load. Deviation tends to increase at higher frequency between the measured and simulated results, which may be caused by the more serious parasitic effects arisen from via-holes and soldering. It could be receded in practical work. V. CONCLUSION A compact impedance transformer based on a two-section coupled-line structure has been shown to be capable of matching at four frequencies. Three of these frequencies and are arbitrary and the last frequency is related to other frequencies. Exact closed-form solutions and design procedures have been presented. It is demonstrated analytically that the frequency ratio range needs to meet . We illustrate bandwidth performance of a different impedance transform ratio and testify the validity of its symmetry properties through some examples. A transformer has been fabricated at 1, 2, 3.3, and 4.3 GHz to validate the design method and exhibited good performance. REFERENCES [1] E. M. T. Jones, “Coupled-strip-transmission-line filters and directional couplers,” IRE Trans. Microw. Theory Tech., vol. MTT-4, no. 2, pp. 75–81, Apr. 1956. [2] B. M. Schiffman, “A new class of broadband microwave 90-degree phase shifters,” IRE Trans. Microw. Theory Tech., vol. MTT-6, no. 4, pp. 232–237, Apr. 1958. [3] E. G. Cristal, “Meander-line and hybrid meander-line transformers,” IEEE Trans. Microw. Theory Tech., vol. MTT-21, no. 2, pp. 69–75, Feb. 1973. [4] J. Chramiec and M. Kitlinski, “Design of quarter-wave compact impedance transformers using coupled transmission lines,” Electron. Lett., vol. 38, no. 25, pp. 1683–1685, Dec. 2002. [5] Y. L. Chow and K. L. Wan, “A transformer of one-third wavelength in two sections—For a frequency and its first harmonic,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 1, pp. 22–23, Jan. 2002.

[6] C. Monzon, “A small dual-frequency transformer in two sections,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1157–1161, Apr. 2003. [7] M. Chongcheawchamnan, S. Patisang, S. Srisathit, R. Phromloungsri, and S. Bunnjaweht, “Analysis and design of a three-section transmission-line transformer,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 7, pp. 2458–2462, Jul. 2005. [8] R. Giofre, P. Colantonio, F. Giannini, and L. Piazzon, “A new design strategy for multi frequencies passive matching networks,” in Proc. Eur. Microw. Conf., Oct. 2007, pp. 838–841. [9] I. J. Bahl, “Broadband and compact impedance transformers for microwave circuits,” IEEE Microw. Mag., vol. 7, no. 8, pp. 56–62, Aug. 2006. [10] T. Jensen, V. Zhurbenko, V. Krozer, and P. Meincke, “Coupled transmission lines as impedance transformer,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 12, pp. 2957–2965, Dec. 2007. [11] Y. Wu, Y. Liu, and S. Li, “A dual-frequency transformer for complex impedances with two unequal sections,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 9, pp. 77–79, Feb. 2009. [12] X. Liu, Y. Liu, S. Li, F. Wu, and Y. Wu, “A three-section dual-band transformer for frequency-dependent complex load impedance,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 10, pp. 611–613, Oct. 2009. [13] C. Ming-Lin, “Dual-band impedance transformer using two-section shunt stubs,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 5, pp. 1257–1263, May 2010. [14] M. A. Nikravan and Z. Atlasbaf, “T-section dual-band impedance transformer for frequency-dependent complex impedance loads,” Electron. Lett., vol. 47, no. 9, pp. 551–553, Apr. 2011. [15] B. Schiek and J. Kohler, “A method for broadband matching of microstrip differential phase shifters,” IEEE Trans. Microw. Theory Tech., vol. MTT-25, no. 8, pp. 666–671, Aug. 1977. [16] D. Pozar, Microwave Engineering, 3rd ed. New York: Wiley, 2005.

Yan-Fu Bai received the B.Eng. degree in electronic science and technology from Xidian University, Xi’an, China, in 2008, and is currently working toward the Ph.D. degree in electromagnetic fields and microwave technology at Xidian University. His recent research interests are mainly in microwave circuit design and antenna arraying technology.

Xin-Huai Wang (M’10) received the B.Eng. degree in electronic science and technology and Ph.D. degree in radio physics from Xidian University, Xi’an, China, in 2004 and 2010, respectively. Since 2010, he has been with the National Key Laboratory of Antennas and Microwave Technology, Xidian University, as a Lecturer. His recent research interests are mainly in microwave circuit and smart antenna system design.

Chang-Jia Gao received the B.Eng. degree in electronic information engineering from Xidian University, Xi’an, China, in 2008, and is currently working toward the Ph.D. degree in electromagnetic fields and microwave technology at Xidian University. His recent research interests are mainly in the design of microwave components and RFID system.

BAI et al.: DESIGN OF COMPACT QUAD-FREQUENCY IMPEDANCE TRANSFORMER

Qiu-Lin Hang (M’10) received the B.Eng. degree in electronic information engineering and Ph.D. degree in radio physics from Xidian University, Xi’an, China, in 2001 and 2007, respectively. Since 2002, he has been with the National Key Laboratory of Antennas and Microwave Technology, Xidian University, as an Assistant Lecturer, Lecturer, and Associate Professor. From 2009 to 2010, he was a Postdoctoral Fellow with the University of Rouen, Rouen, France. He has authored or coauthored over 20 international and regional refereed journal papers. His recent research interests are mainly concentrated on smart antennas and antenna arraying technology.

2423

Xiao-Wei Shi (SM’08) received the B.Sc. degree in radio physics and M.Eng. and Ph.D. degrees in electrical engineering from Xidian University, Xi’an, China, in 1982, 1990, and 1995, respectively. Since 1990, he has been with the National Key Laboratory of Antennas and Microwave Technology, Xidian University, as a Lecturer, Associate Professor, and Professor. He is also the Dean of the College of Network and Continuing Education, Xidian University. From 1996 to 1997, he was a Postdoctoral Fellow with the Electronics and Telecommunications Research Institute (ETRI), Daejeon, Korea. He has authored or coauthored over 100 international and regional refereed journal papers. His recent research interests are mainly in smart antennas design, electromagnetic scattering, and electromagnetic compatibility. Dr. Shi was the recipient of the First-Class Prize of Excellent Teaching of Shaanxi Province (1995) and the Scientific Progress Award of Shaanxi Province (1992). His paper “Generalized Matching Theory for Multiport Network” was awarded the Excellent Paper Award of the Chinese Institute of Electronics (CIE) (1993).

2424

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Lumped-Element Realization of Absorptive Bandstop Filter With Anomalously High Spectral Isolation Juseop Lee, Member, IEEE, Tsung Chieh Lee, Student Member, IEEE, and William J. Chappell, Senior Member, IEEE

Abstract—In this paper, we show a new absorptive banstop filter topology that is capable of creating large attenuation using low- small-size resonators. In addition, the implementation of a lumped-element absorptive bandstop filter is shown for the first time. Compared with the conventional absorptive filter structure, the new absorptive filter structure is smaller in size because there is no quarter-wavelength transmission line between two resonators and the resonators are lumped elements. For verification of the new topology, a lumped-element low-temperature co-fired ceramic (LTCC) bandstop filter with low- resonators has been designed and measured. Theory, simulation, and measurement showed good agreement between them, and the measurement showed 60-dB attenuation level at the center frequency. This attenuation level of the absorptive bandstop filter is 50 dB larger than the one obtained from the reflective bandstop filter with the same -factor and bandwidth. The small size and absorptive nature of the filter allow us to cascade the filters to create many different filter responses. It is shown that the lumped-element implementation makes the filter very amenable to realization of higher order responses in small form factors. Index Terms—Bandstop filter, filter synthesis, resonator filter. Fig. 1. Absorptive bandstop filter. (a) Absorptive bandstop filter topology with a transmission-line impedance inverter. (b) Lumped-element absorptive bandstop filter topology.

I. INTRODUCTION

B

ANDSTOP filters are used for blocking undesired signals in RF/microwave systems. Various approaches to bandstop filters have been attempted [1]–[7], features of which include cross-coupling structures and tunability. However, the bandstop filters described above are reflective filters, and the attenuation level in the stopbands is limited by the -factor of their resonators. In order to overcome such limitation, absorptive bandstop filter structures with distributed resonators have been proposed recently [8]–[12]. Theoretically, the absorptive bandstop filter can provide infinite attenuation at its center frequency despite using finite- distributed resonators because the filter is designed in such a way that resonator resistance is matched to the source and the load resistance. Therefore, it has been reported that large attenuation can be achieved using finite- distributed resonators in practice. Due to the distributed element implementation of this design, the quality factor is relatively Manuscript received March 19, 2012; accepted April 02, 2012. Date of publication June 08, 2012; date of current version July 30, 2012. J. Lee is with the Department of Computer and Communications Engineering, Korea University, 136-701 Seoul, Korea (e-mail: [email protected]). T. C. Lee and W. J. Chappell are with the School of Electrical and Computer Engineering, Purdue University, West Lafayette, IN 47907 USA. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2198237

high . This has led to good realizations of narrow and deep notches. However, a potential breakthrough of this approach is the ability of low- components to have anomalously high isolation. This effect leads to the possibility of designing smallsize filters with lumped elements. However, the filter topologies shown in [8]–[12] have one or multiple electrically long transmission lines. They have been bottlenecks of designing a miniaturized absorptive bandstop filter. For example, Fig. 1(a) shows a absorptive bandstop filter topology with a transmission-line impedance inverter presented in [10], and it is challenging to design small-size filters with a quarter-wavelength transmission line even though the resonators are lumped elements. Because of the use of a transmission-line inverter, lumped-element resonators are not helpful from a size perspective at low frequency where the transmission line is physically long. Therefore, we propose a new lumped-element absorptive bandstop filter topology [Fig. 1(b)] that allows us to design a small-size lumped-element bandstop filter with large attenuation. The proposed filter topology uses a small number of components compared with a lumped-element reflectionless bandstop filter in [13]. We will show, for the first time, that large attenuation can be achieved by using lowlumped-element resonators. Using lumped elements rather than distributed circuits results in a small form factor. Also,

0018-9480/$31.00 © 2012 IEEE

LEE et al.: LUMPED-ELEMENT REALIZATION OF ABSORPTIVE BANDSTOP FILTER WITH ANOMALOUSLY HIGH SPECTRAL ISOLATION

2425

the proposed filter topology has no transmission line between resonators so that it is suited for filter miniaturization. Therefore, the filter topology can find its application in on-chip bandstop filter design. The proposed bandstop filter topology allows us to have large attenuation using small-size lowresonators. For verification, an LTCC absorptive bandstop filter using lumped-element resonators with ’s of 7.5 and 10.5 is designed and measured. The measured results show that the attenuation is about 60 dB at the center frequency, while the conventional reflective bandstop filter with the same bandwidth and the same quality factor has 10-dB attenuation. II. DESIGN THEORY Fig. 1(b) shows a new topology of absorptive bandstop filter with lumped elements. Contrary to the reflective bandstop filter, it has coupling between two resonators. Also, contrary to the absorptive bandstop filters reported in [8]–[12], it has no transmission line between two resonators so that it can be fabricated in a small form factor. The lumped-element values are determined in such a way that each resonator is matched to the source and load impedance as

Fig. 2. Relationship between the correction factor and the quality factor of the resonator.

and the coefficients are given by

(1) where is the -factor of the resonators. The lumped element values in (1) are normalized values for the normalized source and load impedance. Impedance scaling should be applied for unnormalized impedance. It is shown that the lumped-element values are mainly determined by the filter center frequency and -factor of the resonators. A correction factor is introduced in order to take into account the fact that the inductive coupling makes the resonant frequency deviate from the filter center frequency. The correction factor is almost unity value, and its exact value can be determined in such a way that the magnitude of the transmission and reflection coefficients become zero. The magnitude of transmission and reflection coefficients can be derived by obtaining voltage–current relationships at each node, and they are given by

(2)

(3) where is the impedance of the input and output ports. Fig. 2 shows the relationship between the correction factor and -factor of the resonator. As the -factor of the resonator becomes large, the correction factor approaches unity value because the coupling between two resonators becomes smaller. For small -factor, the correction factor plays an important role in achieving good return loss and large attenuation. Another correction factor was employed in , and its solution turned out to be 1. Therefore, only one correction factor is required as a result. In Fig. 3, the frequency response of the absorptive bandstop filter with a -factor of 10 for the resonators is compared to that

2426

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 4. Transmission and reflection coefficients of the absorptive bandstop filter with different resonator -factors.

one another. The design equation in (1) can be generalized for the case that each resonator has different -factors as follows:

Fig. 3. Comparison between the absorptive bandstop filter and the reflective bandstop filter. (a) Transmission coefficient. (b) Reflection coefficient.

of the traditional reflective bandstop filter with the same -factor and 3-dB bandwidth. It is shown that the absorptive bandstop filter can exhibit infinite attenuation as well as good return loss both in the passband and stopband, while the reflective filter suffers from low attenuation due to low resonator -factor. Regardless of the -factor of the resonators, the absorptive filter can theoretically create infinite attenuation at the center frequency. However, different -factors result in different 3-dB bandwidth and roll-off slope in the transition band between the passband and the stopband. Fig. 4 shows the magnitude of the transmission and reflection coefficients of the proposed absorptive bandstop filter with different -factors. It is shown that a higher -factor results in narrow bandwidth with sharp roll-off responses in the transition band and vice versa. It is worth mentioning that there is no transmission and reflection at the center frequency for all -factors. Up to now, we have shown the design method for the bandstop filter for the case that the -factor of each resonator is identical to each other. The -factor of each resonator can be different from each other, and we can also design an absorptive bandstop filter using resonators whose -factor is different from

(4) and are the -factors of resonator 1 and resonator where 2, respectively. Fig. 5 shows the transmission and reflection coefficients of the bandstop filter with different -factor for each resonator. The larger the difference between two -factors, the smaller the return loss in the passband. It is also shown that large attenuation and return loss can still be obtained at the center frequency using low- resonators even though the return loss in the passband is degraded. It is of note that different -factors results in different reflection coefficients, but the transmission coefficient rarely changes. Therefore, we can have a larger number of alternatives in determining lumped-element values unless we need to achieve extremely large return loss such as 50 dB in the passband. III. FABRICATION AND MEASUREMENTS For verification of the proposed topology, an absorptive bandstop filter has been designed, fabricated, and measured. The center frequency of the filter is 2 GHz, and the -factors of the resonators are 7.5 and 10.5, respectively. Design equations in (4) have been used in obtaining the lumped-element values, and they are 14.92 nH, 0.43 pF, 25 ,

LEE et al.: LUMPED-ELEMENT REALIZATION OF ABSORPTIVE BANDSTOP FILTER WITH ANOMALOUSLY HIGH SPECTRAL ISOLATION

2427

Fig. 5. Transmission and reflection coefficients of the absorptive bandstop filter with different resonator -factors. The frequency responses of the filters with resonators whose -factors are different from each other are compared to the frequency response of the filter with resonators whose -factors are the same. Transmission coefficients for three cases are not distinguishable.

0.76 nH, 8.36 pF, and 100 . For small form factor, the filter is mainly composed of surface-mount components. While surface-mount components are acceptable for the capacitor and resistor, the required coupling between the two inductors indicates that they must be interwound. The coupling is the crucial design parameter, and therefore LTCC is an appropriate though not required implementation approach. An individual inductor can be designed observing the input admittance at one port with the other port grounded and using the relationship between the inductance and the input admittance as follows: (5) where represents the imaginary part. The coupling coefficient between inductors is mainly controlled by the relative position of the inductors with respect to each other, and we can design the coupling between two inductors using (6) where is the impedance between two ports of the twoport system in which one end of each of the two inductors is grounded. From full-wave simulation, we can extract the individual and mutual inductance by using (5) and (6). The coupled inductor structure is designed in such a way that the extracted values satisfy the required values in (4). Surface-mount chip components of 0402-size are used for the other lumped elements which are placed on the top layer of the LTCC structure. We used commercially available chip capacitors which have the nearest capacitance values to the desired values, considering parasitics generated by the LTCC structure. We used chip capacitors from Taiyo-Yuden, and a UVK105CH0R4-W and two UVK105CH3R3 capacitors are used for the series and parallel resonators, respectively.

Fig. 6. Drawings of the lumped element LTCC absorptive bandstop filter (not to scale). (a) 3-D view of the filter structure. (b) Top view of the filter structure. , , , , , , , , , , , , , , , , , , , and . All dimensions are in micrometers.

Fig. 6 shows the 3-D view of the filter structure and top view with detailed dimensions. We simulated the LTCC structure using Ansoft Designer which is a method-of-moment (MOM) simulator in order to take into account parasitics, and the simulation results were exported to Agilent Advanced Design System (ADS) for simulating entire structure with surface-mount chip components. In this design, Dupont 951 green tape (951PT) with dielectric constant of 7.8 and loss tangent of 0.006 at 3 GHz was used. The metal traces and pads were made of Dupont 6142D silver conductor, and vias were filled with Dupont 6141. The filter is a seven-layer structure, and the entire size is 2.7 2.2 0.7 mm (ground–signal–ground (GSG) pads for measurement excluded). The inductor in the series resonator is a multilayer spiral shape structure occupying four layers from layer 3 to layer 6, and the inductor in the parallel resonator is

2428

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 8. Comparison between the measured, simulated, and theoretical frequency responses. Fig. 7. Photograph of the fabricated bandstop filter and a part of the U.S. penny.

located at layer 6 only. Pads 1–3 are for the resistor and capacitor of the series resonator and pads 4 and 5 are for the resistor and capacitor of the parallel resonator. GSG pads are provided for measurement using a pair of GSG probes, and they are the reference planes after calibration. Successful LTCC implementation of this structure depends strongly on accurate coupling between the two inductors, which is controlled by the relative position of the inductors and the thickness of the tape. Therefore, shrinkage during the sintering process in the horizontal direction and vertical direction needs to be carefully considered before simulation. Fig. 7 shows a photograph of the fabricated bandstop filter, and Fig. 8 shows a comparison between measured, simulated, and theoretical frequency responses. There is good agreement in the frequency responses of the transmission coefficient, and both measured and simulated responses exhibit attenuation larger than 50 dB at the center frequency. Small discrepancy between simulated and measured return loss responses has been observed. This discrepancy can be attributed to the tolerance of the LTCC fabrication and that of each surface-mount component. Both measured and simulated return loss levels are smaller than the theoretical one due to parasitics in pads for surface-mount components, which are not included in the prototype shown in Fig. 1(b). The measured attenuation level produced by the absorptive bandstop filter is larger than the reflective bandstop filter with the same resonator , as shown in Fig. 9. Therefore, the measured result verifies that the proposed lumped-element absorptive bandstop filter prototype allows us to achieve large attenuation despite using lowresonators. Comparison between the absorptive filter and the reflective filter in Fig. 9 is summarized in Table I. High-power test was conducted, and it showed that the proposed filter were able to handle 2 W without a major problem. In addition, a sensitivity analysis is shown in Fig. 10 for readers’ information. All component values are assumed to have variations in the sensitivity analysis.

Fig. 9. Comparison between the measured response of the absorptive filter and the theoretical response of a reflective filter. Two filters have the same -factors and for both filters. for the comparison.

IV. APPLICATION AND DISCUSSION It has been shown that the proposed lumped-element absorptive bandstop filter can exhibit larger attenuation than the reflective filter. Here, we will discuss the applications of the proposed absorptive bandstop filter topology. First, we will show that the proposed bandstop filters centered at different frequencies can be cascaded in order to achieve larger bandwidths. Contrary to the reflective bandstop filter, we can cascade the proposed absorptive bandstop filters due to their good return loss both in the passband and stopband. For demonstration, we additionally designed filters centered at 1.87 and 2.12 GHz using the design equations in (4). From (4), we can find that filters centered at different center frequencies can be designed by using different capacitors and resistors without changing the physical structure designed for coupled inductors. Fig. 11 shows the measured results of each bandstop filter, and Fig. 12 shows the frequency response of the cascade of the bandstop filters centered at 1.87, 2.00, and 2.12 GHz. In getting the frequency response of the cascade, the measured

LEE et al.: LUMPED-ELEMENT REALIZATION OF ABSORPTIVE BANDSTOP FILTER WITH ANOMALOUSLY HIGH SPECTRAL ISOLATION

Fig. 10. Sensitivity analysis on the absorptive bandstop filter. All component variations. values are assumed to have

TABLE I COMPARISON BETWEEN THE PROPOSED ABSORPTIVE FILTER AND THE IDEAL REFLECTIVE FILTER

2429

Fig. 12. Comparison between the cascade bandstop filter and the single bandstop filter.

the proposed absorptive bandstop filters. The bandwidth can be adjusted by controlling the center frequency of each absorptive bandstop filter. It is also of note that the cascade does not cause higher complexity and sensitivity since the level of complexity and sensitivity of the cascade is determined by each filter design. Due to its small size, the proposed bandstop filter structure can also be applied to small-size wireless communications systems such as cell phones. For example, it is often required to have a large isolation to block the transmitted signal from the transmitter. Placing the absorptive bandstop filter on the transmitter frequency can make it much easier to filter the transmitted signal. It can lessen the requirement of the bandpass filters or duplexers. V. CONCLUSION

Fig. 11. Measured frequency responses of the bandstop filter at different center frequencies. (a) Center frequency 1.87 GHz. (b) Center frequency 2.00 GHz. (c) Center frequency 2.12 GHz.

In this paper, we have shown a new lumped-element bandstop filter topology which can create large attenuation despite using low- resonators. For rigorous filter synthesis, we derived the transmission and reflection coefficients of the filter topology. The attenuation level created by the new bandstop filter was shown to be larger than one created by the reflective bandstop filter with the same resonator -factor. The new topology was verified by designing and measuring a lumped-element LTCC bandstop filter. The resonator -factors were 7.5 and 10, and the center frequency was 2 GHz. The measured attenuation level was larger than 50 dB, which cannot be obtained by using a reflective bandstop filter with the same -factor. It has also been shown that the new bandstop filter topology has no physically long transmission line between two resonators so that it is suited for the design of a miniaturized bandstop filters with large attenuation. Applications of the proposed absorptive bandstop filter topology have also been discussed. ACKNOWLEDGMENT

frequency responses (ABCD parameters) of three filters have been cascaded in order to simply verify the cascade structure. It is shown that a larger bandwidth can be achieved by cascading

The authors would like to thank E. E. Hoppenjans, Indiana Microelectronics, West Lafayette, IN, for his help in fabricating the LTCC filters.

2430

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

REFERENCES [1] J. A. G. Malherbe and A. Swiatko, “Modified Chebyshev bandstop filter with transmission zeros at real frequencies,” Microw. Opt. Technol. Lett., vol. 53, no. 1, pp. 177–180, Jan. 2011. [2] R. Wu, S. Amari, and U. Resenberg, “New cross-coupled microstrip band reject filters,” in IEEE MTT-S Int. Microw. Symp. Dig., 2004, pp. 1597–1600. [3] D.-J. Woo, T.-K. Lee, J.-W. Lee, C.-S. Pyo, and W.-K. Choi, “Novel U-slot and V-slot DGSs for bandstop filter with improved Q factor,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 6, pp. 2840–2847, Jun. 2006. [4] Z. P. Wang, J. Kelly, and P. S. Hall, “Reconfigurable bandstop filter with wide tuning range,” Electron. Lett., vol. 46, no. 11, pp. 771–772, May 2010. [5] H. S. Lee, D.-H. Choi, and J.-B. Yoon, “MEMS-based tunable LC bandstop filter with an ultra-wide continuous tuning range,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 11, pp. 710–712, Nov. 2009. [6] J. Lee, E. J. Naglich, and W. J. Chappell, “Frequency response control in frequency-agile bandstop filters,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 12, pp. 669–671, Dec. 2010. [7] B. Carey-Smith and P. A. Warr, “Broadband configurable bandstop filter with composite tuning mechanism,” Electron. Lett., vol. 40, no. 25, pp. 1587–1589, Dec. 2004. [8] D. R. Jachowski, “Passive enhancement of resonator Q in microwave notch filters,” in IEEE MTT-S Int. Microw. Symp. Dig., 2004, pp. 1315–1318. [9] A. C. Guyette, I. C. Hunter, R. D. Pollard, and D. R. Jachowski, “Perfectly-matched bandstop filters using lossy resonators,” in IEEE MTT-S Int. Microw. Symp. Dig., 2005, pp. 517–520. [10] D. R. Jachowski, “Compact frequency-agile, absorptive bandstop filters,” in IEEE MTT-S Int. Microw. Symp. Dig., 2005, pp. 513–516. [11] D. R. Jachowski, “Frequency-agile bandstop filter with tunable attenuation,” in IEEE MTT-S Int. Microw. Symp. Dig., 2009, pp. 649–652. [12] D. R. Jachowski, “Cascadable lossy passive biquad bandstop filter,” in IEEE MTT-S Int. Microw. Symp. Dig., 2006, pp. 1213–1216. [13] M. A. Morgan and T. A. Boyd, “Theoretical and experimental study of a new class of reflectionless filter,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 5, pp. 1214–1221, May 2011. Juseop Lee (A’02–M’03) received the B.E. and M.E. degrees in radio science and engineering from Korea University, Seoul, Korea, in 1997 and 1999, respectively, and the Ph.D. degree in electrical engineering from The University of Michigan, Ann Arbor, in 2009. In 1999, he joined LG Information and Communications (now LG Electronics), Korea, where his activities included design and reliability analysis of RF components for code-division multiple-access (CDMA) cellular systems. In 2001, he joined the Electronics and Telecommunications Research Institute (ETRI), Korea, where - and he was involved in designing passive microwave equipment for -band communications satellites. In 2005, he joined The University of Michigan at Ann Arbor, where he was a Research Assistant and Graduate Student Instructor with the Radiation Laboratory, and his research activities focused on millimeter-wave radars and synthesis techniques for multiple-pass-

band microwave filters. In 2009, he joined Purdue University, West Lafayette, IN, where he was a Post-Doctoral Research Associate, and his activities included the design of adaptable RF systems. In 2011, he joined Korea University, Seoul, Korea, where he is currently an Assistant Professor. His research interests include RF and microwave components, satellite transponders, wireless power transfer, and electromagnetic theories. Dr. Lee was a recipient of the Freshman Award presented by Korea University, the Undergraduate Fellowship presented by Korea University, the Graduate Fellowship presented by LG Information and Communications, and the Graduate Fellowship presented by Korea Science and Engineering Foundation. He was a recipient of the Rackham Predoctoral Fellowship presented by Rackham Graduate School, The University of Michigan. He was also the recipient of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Graduate Fellowship. His coauthored paper received the second place award in the 2010 IEEE MTT-S International Microwave Symposium Student Paper Competition. He is listed in Who’s Who in America.

Tsung Chieh Lee (S’12) received the B.S.E.E. degree from National Chung Cheng University, Chiayi, Taiwan, in 2003, and the M.S.E.E. degree from National Chiao Tung University, Hsinchu, Taiwan, in 2005. He is currently working toward the Ph.D. degree in electrical and computer engineering at Purdue University, West Lafayette, IN. His current research focuses on tunable filter design and fabrication, advanced packaging for microwave applications, and RF/analog circuits.

William J. Chappell (S’98–M’02) received the B.S.E.E., M.S.E.E., and Ph.D. degrees from The University of Michigan, Ann Arbor, in 1998, 2000, and 2002, respectively. He is currently an Associate Professor with the School of Electrical and Computer Engineering, Purdue University, West Lafayette, IN, where he is also a Member of the Birck Nanotechnology Center and the Center for Wireless Systems and Applications. His research focus is on advanced applications of RF and microwave components. He has been involved with numerous Defense Advanced Research Projects Agency (DARPA) projects involved in advanced packaging and material processing for microwave applications. His research sponsors include HSARPA, the Office of Naval Research, the National Science Foundation, the state of Indiana, CERDEC, and ARO, as well as industry sponsors. His research group uses electromagnetic analysis, unique processing of materials, and advanced design to create novel microwave components. His specific research interests are the application of very high-quality and tunable components utilizing multilayer packages. In addition, he is involved with high-power RF systems, packages, and applications. Dr. Chappell was the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Administrative Committee (AdCom) secretary for 2009 and was elected to the IEEE MTT-S AdCom for 2010–2012.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

2431

A Three-Pole 1.2–2.6-GHz RF MEMS Tunable Notch Filter With 40-dB Rejection and Bandwidth Control Chih-Chieh Cheng, Member, IEEE, and Gabriel M. Rebeiz, Fellow, IEEE

Abstract—This paper presents a high-performance three-pole tunable notch filter with frequency and bandwidth control. The filter is implemented using suspended-stripline resonators and planar 3-bit RF MEMS capacitive networks. A tuning range of 1.1–2.7 GHz with a 40-dB rejection bandwidth of 115 25 MHz is demonstrated with a passband insertion loss 0.8 dB. A 40-dB rejection bandwidth of 26–126 and 24–200 MHz is demonstrated at 1.6 and 2.0 GHz, respectively. The filter introduces no noticeable distortion of wideband code-division multiple-access (WCDMA) waveforms with a power of 25 dBm at frequencies corresponding to the 30–40 dB nulls and at the 3-dB and 6-dB passband frequencies. At the 0.5-dB passband frequency, the filter can handle up to 30 dBm of WCDMA power with no measurable distortion. To our knowledge, this filter represents state-of-the-art tuning performance and power handling for planar notch filter designs. The application areas are in wideband cognitive radios with high interference levels. Index Terms—Bandwidth tunable filter, highMEMS, tunable notch filter.

N

filter, RF

I. INTRODUCTION

OTCH filters (or bandstop filters) eliminate interferences over a narrow bandwidth and pass wideband signals with low insertion loss. Tunable notch filters therefore greatly alleviate the linearity requirements of the receive chain and have the potential to replace the switched-filter banks and improve the performances in RF systems. An ideal tunable notch filter requires the following for a successful implentation: 1) a filter topology that can provide a wide tuning frequency range and bandwidth-tuning capabilities with feasible capacitance values and ratio; 2) high- resonators; and 3) high- and high-linearity tuning devices. Most of the published tunable notch filters are based on tunable resonators interconnected with impedance inverters [1]–[7]. The work in [2] presents the highest unloaded ( 450) at 3 GHz using cavity resonators. The work in [3] reports the widest tuning range (0.5–2 GHz) and fractional bandwidth variance of 0.15–0.91 at 1.3 GHz using a diode ) and nine pin diodes for each capacitively varactor ( Manuscript received December 31, 2011; revised April 03, 2012; accepted April 09, 2012. Date of publication June 14, 2012; date of current version July 30, 2012. This work was supported by the Defense Advanced Research Projects Agency ASP Program, Phase III, under a subcontract from Rockwell Collins. C.-C. Cheng is with the Tunable Solutions Development Department, Peregrine Semiconductor Corporation, San Diego, CA 92121 USA (e-mail: [email protected]). G. M. Rebeiz is with the Electrical and Computer Engineering Department, University of California at San Diego, La Jolla, CA 92093 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2198231

loaded stub resonator. Due to low tuner , the measured rejection is lower than 30 dB. In [4], the authors present an of 60–135 with good power handling and high unloaded linearity ( 45-dBm IIP3 for 3 MHz and 30 dBm ) using RF MEMS tuners and microstrip folded resonators. This filter tunes from 8.92 to 11.34 GHz with a capacitance ratio of 7.5 and a 20-dB rejection bandwidth of 1.18%–3.51%. However, it is difficult to achieve a wide tuning range and wide rejection bandwidth ( 40 dB) using this filter topology due to the fixed inverters. This paper presents a high- three-pole tunable notch filter with over an octave of frequency tuning and bandwidth control capacity. The filter topology is based on lumped-element resinverters [6]. In this design, the high- induconators and tors are implemented using suspended-stripline topology, and the tuning network is implemented using RF MEMS switched capacitors [8]–[10]. The filter topology and resonator design are introduced in Section II. Section III presents details of the filter and the RF MEMS tuning network. Finally, Section IV presents the measured filter -parameters, distortion, and power handling. II. FILTER DESIGN A. Filter Topology Fig. 1(a) and (b) presents the three-pole tunable notch filter and its transmission-line model. The filter is based on three ), frequency control capactransmission-line inductors ( itors ( ), and bandwidth control capacitors ( ). Fig. 1(c) shows the equivalent circuit using shunt LC resonators ), -inverters ( ) and -inverters ( and ), ( which can also be represented as series LC resonators with tunable inductors and capacitors and with inverters with a tunable characteristic impedance ( ) and electrical length ( ) [see Fig. 1(d)]. The circuit topology in Fig. 1(d) is a standard model for a and can be expressed as notch filter [9] and

(1) (2) is the characteristic impedance, is the fractional where are the low-pass design parameters, and is bandwidth,

0018-9480/$31.00 © 2012 IEEE

2432

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 2. Simulated and of the proposed tunable notch filter based on the design values in Table I for a constant 40-dB rejection bandwidth of 70 MHz.

TABLE I DESIGN PARAMETERS OF A 1.2–2.6-GHz NOTCH FILTER WITH 70-MHz 40-dB IS GIVEN AT THE 3-dB POINTS) REJECTION BANDWIDTH (FRACTIONAL

With the values obtained from (3) and choosing and , and can be obtained at by fitting the -parameters of the -inverters using an RF circuit simulator. The passband characteristics, which are defined by the low-pass filter parameters, can only be synthesized exactly when the inverter is ) and with a 90 phase shift ( ) matched ( [1]. If this is not done, the notch filter response becomes asymmetric, and this affects the rejection bandwidth [1]. For this filter topology, it is impossible to maintain both conditions over a and wide tuning range. However, as will be shown below, can be designed to be close to and 90 , respectively, with a passband return loss 10 dB. A three-pole 1.2–2.6-GHz notch filter with a constant 70-MHz 40-dB rejection bandwidth and a 10 dB is given as a design passband return loss example. For the case of a low-pass Butterworth prototype, the frequency response is [11] (5) Fig. 1. (a) Three-pole tunable notch filter with bandwidth control and based on lumped LC resonators. (b) Circuit model. (c) Circuit representation using ininverters and shunt resonators. (d) Circuit representation using tunable verters and series resonators.

the angular frequency. By setting selecting , and become [6]

and pre-

(3) (4)

is the power loss ratio, is the filter order, is the where cutoff frequency, for defined at the 3-dB point, and is the frequency of interest and is at the 40-dB power point. Using (5) and the low-pass to bandstop transformation [11], it can be shown that the 3-dB bandwidth of a bandstop filter is . Therefore, a 3-dB bandwidth of 325 MHz at is used in (3) and (4) and is equivalent to the 70-MHz 40-dB rejection. Fig. 2 presents the calculated and values at 1.2–2.6 GHz using (3) and (4) and the parameters given in Table I. In this case, transmission-line inductors were chosen to result in a compact size and realizable 5, 4, and 5 nH, respectively). As can be values (

CHENG AND REBEIZ: THREE-POLE 1.2–2.6-GHZ RF MEMS TUNABLE NOTCH FILTER

2433

Fig. 4. (a) Attainable attenuation and (b) dissipation loss at the 3-dB point versus at 1.2 and 2.6 GHz for a three-pole filter with a 3-dB bandwidth of 325 MHz (70 MHz 40-dB bandwidth).

the unloaded quality factor of the resonators (

) by [11]

dB (6) dB Fig. 3. (a) Attainable center frequency and 3-dB bandwidth with the design parameters in Table I and Fig. 2. (b) Simulated filter response for a 40-dB rejection bandwidth of 70 MHz (line ac). (c) Simulated filter response corresponding for a tunable 40-dB rejection bandwidth of 10–190 MHz at 1.55 GHz (line df).

or

(7) observed, a capacitance ratio of for the resonator and inverter capacitors is sufficient to implement a 1.2–2.6-GHz tunable notch filter with a 40-dB rejection and a constant 70-MHz bandwidth. In the calculations above, the resonator and tuning device are both assumed to be infinite for ease of analysis. Agilent ADS [12] was then employed to finely tune the three-pole filter including the effect of the impedance inverters. The worst is 35 and is 70 in the 1.2–2.6-GHz frequency case band, which still maintains a passband return loss of 10 dB (as will be seen in the measurement section). Fig. 3 presents the simulated 40-dB rejection bandwidth versus frequency for and capacitance ratios and for the inductors different and inverter values given in Table I and minimum capacitance values shown in Fig. 2. It is seen that this topology exhibits wide flexibility in either the tunable frequency or the tunable bandwidth or both. For example, Fig. 3(b) presents the filter response and the corresponding capacitances for a constant 70-MHz rejection bandwidth at 1.2–2.6 GHz [line ac in Fig. 3(a)]. Fig. 3(c) presents the filter response and the corresponding capacitance for a fixed center frequency of 1.55 GHz and a tunable 40-dB rejection bandwidth of 10–190 MHz. The bandwidth tuning range is maximum at 1.55 GHz and gradually decreases towards the band edge. B. Suspended-Stripline Resonator Design The attainable attenuation at the rejection null and the dissipation loss at the passband edge of the notch filter is related to

Fig. 4 presents the attenuation and dissipation loss at the passusing the filter parameters in Table I. To band edge versus ensure sufficient rejection bandwidth and minimize the dissipation loss, is chosen to be 80–200 at 1.2–2.6 GHz. For the three-pole filter, this results in 80-dB maximum rejection and 1-dB loss at the 3-dB passband frequency at 1.2–2.6 GHz. The high- shunt LC resonator is implemented using suspended stripline since it exhibits the highest among planar, based resonators (Fig. 5). A quartz wafer ( ) with 0.5 mm is chosen as the filter substrate for mechanical stability. The metallic layer on the substrate is elec3 10 m ) and the housing matroplated gold ( terial is aluminum ( 3.75 10 m ). The inductor are attached to the cavity side walls using a large number and of parallel bond-wires so as to ensure a low inductance connection to ground. For a total cavity depth of 4 mm ( 1.5 mm, 2.5 mm), the inductor dimensions are 1.7 mm, 12 mm, 10 mm for 90 and the values listed in Table I. , and An RC model is used for the resonator capacitor full-wave simulation is performed using Sonnet [13] to evaluate the composite of the shunt LC resonator [Fig. 5(a)]. The to obtain resonance at 1.2–2.6 GHz is shown in required Fig. 5(b). Fig. 5(c) presents the simulated resonator versus at 1.2–2.6 GHz. The intrinsic suspended resonator is . It is seen that a resonator 400–550 and is obtained for at 1.2–2.6 GHz is obtained for , of and this necessitates a loading capacitance

2434

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 6. Cantilever-based RF MEMS capacitive switch. (a) Top view. (b) Equivalent circuit. (c) Cross section. (d) Measured – curve. All dimensions are in m.

Fig. 5. (a) Top view and layer structure of the suspended-stripline resonator. values for resonance at 1.2–2.6 GHz. (c) Simulated resonator (b) Simulated versus frequency for different . (d) Simulated versus frequency of the 0.3-1 . proposed resonator for

100-250 at 1.2–2.6 GHz. Therefore, the tuner must be implemented using high- RF MEMS switched capacitors since such a cannot be obtained using Schottky diodes. III. FILTER IMPLEMENTATION A. RF MEMS Switched Capacitor Unit A cantilever-based RF MEMS switched capacitor with digital and analog tuning capabilities is used for the tuning device (Fig. 6) [14]. The digital mode is achieved by toggling the switch between the up- and down-state positions with a capac50 fF and 300 fF. The analog mode itance of is due to the cantilever zipping effect, which is achieved by applying a voltage that is larger than the pull-in voltage. This 340 fF changes the down-state capacitance from 300 fF to 50-65 V. The high device ( 300 at 1 GHz) is due for to the thick gold plated cantilever (4.0 m) and the use of different dc and RF electrodes. The pull-in voltage is designed to 50 V and the switching time is 30 s [14]. This RF be MEMS switched capacitor has been successfully implemented in several high- filters at 1–6 GHz with high reliability [9], [10], [15]. Additional details on this device are presented in [14]. B. Tuning Networks and Inverters The switched capacitors are placed in arrays to achieve the capacitance values shown in Fig. 2. A 3-bit tuning network is and sufficient for all of the different filter capacitors

Fig. 7. Layout of (a) and , (b) , and (c) . (d) Equivalent circuit of 3-bit tuning network implemented in this work. Simulated loading and , (f) , and (g) . capacitance versus each state of (e)

[Fig. 7(a)–(c)]. The equivalent circuit model for the 3-bit network is shown in Fig. 7(d), and Fig. 7(e)–(g) presents the simulated capacitance versus each state using the nominal device – curve. Due to the analog tuning capacity of the switched

CHENG AND REBEIZ: THREE-POLE 1.2–2.6-GHZ RF MEMS TUNABLE NOTCH FILTER

Fig. 8. Full-wave simulation of the overall resonator for different loading conditions and bias line resistivities at 1.2 and 2.6 GHz.

2435

Fig. 10. Photograph of the completed suspended-stripline RF MEMS tunable filter (cavity is opened).

A full-wave simulation using Sonnet for the entire filter including all the tuning network and bias lines is then performed to verify the design (Fig. 9). The filter tunes from 1.2 to 2.6 GHz with a 40-dB rejection bandwidth and passband from dc to 2.2–5 GHz (not shown). Note the LC resonator exhibits a due to the transmission-line second resonance mode near inductors. The upper limit of the passband is defined by the center frequency and rejection bandwidth of the second notch. IV. FABRICATION AND MEASUREMENT A. Fabrication Fig. 9. Full-wave simulated 1.2–2.6 GHz.

with a 70-MHz 40-dB rejection bandwidth at

capacitors, there are large overlaps between the different capacitance states, thereby ensuring continuous frequency and bandwidth tuning. is simulated using Sonnet for difThe overall resonator ferent loading conditions and bias line resistivities (Fig. 8). The MEMS switch is modeled as an equivalent metal–air–metal (MAM) capacitor with nearly the same dimensions as the device of Fig. 6. Simulations indicate that the 3-bit MEMS de0.1 at 1.2 and 2.6 GHz vices represent an equivalent corresponding to a tuning device of 400 ( 3.5 pF) 1000 ( 0.5 pF) at 2.6 GHz. This at 1.2 GHz and of 230–380 at 1.2–2.6 GHz. results in an LC resonator With the presence of the thin-metal pull-in electrode and the 20- m-wide gold bias lines, the overall drops to 210–320 at 1.2–2.6 GHz. The is further reduced with the presence of the resistive bias line sections, and the overall LC resonator is 180 at 1.2 GHz (equivalent 0.15 ) and 260 at 2.6 0.35 ) for a bias line resistivity of 5 GHz (equivalent k . These are still high values and will allow the filter to meet the requirement of 80 dB rejection and 1-dB passband loss at the 3-dB points (see Fig. 4). The impedance inverter has minimal effect on the overall filter performance and, therefore, its size is miniaturized using a meandered 50- transmission line with the septum under the inverter area to increase the effective dielectric constant ( ) (see Fig. 10).

The filter is fabricated on a 0.5-mm-thick quartz substrate using a standard RF MEMS fabrication process developed at the University of California at San Diego [14]. The resistive ). The pull-in and bias line layer is 1500 Å SiCr ( 5 k RF electrodes of the switches layer is 200/3000 Å sputtered . A film Ti/Au. The dielectric layer is 1500-Å PECVD of 1.5- m-thick PMMA is used as sacrificial layer. There are two layers for electroplated gold: 3.5–4- m-thick gold at the MEMS switches and 10- m-thick gold at the resonators, gold bias lines, and pads to reduce the ohmic loss. The substrates are diced first and then released individually using a CO critical point dryer. Fig. 10 shows the fabricated filter and the suspended stripline housing. Bond-wires are used between the RF MEMS filter chip and the cavity sidewalls to form the RF ground. A pressure contact is employed between the SMA connector and suspended stripline to facilitate multiple chip testing. Two 7-mm-wide apertures were created on the sides of housing to enable biasing of the MEMS switches using dc probes. B.

-Parameter Measurements

The filter -parameters were measured using an Agilent E5071B network analyzer and the reference planes are defined at the SMA connectors ( 0.1 dB loss each). Fig. 11 presents the measured response from 1.13 to 2.67 GHz. A 25 MHz is obtained 40-dB rejection bandwidth of 115 for most frequencies between 1.13 and 2.67 GHz with a 0.8 dB from dc to 2.5 GHz. The passband insertion loss presence of a second resonance frequency is close to 2.6 GHz

2436

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 11. Measured of the tunable RF MEMS filter for a 40 dB rejection bandwidth of 115 25 MHz at most frequencies.

Fig. 13. Measured -parameters with bandwidth control at 1.6 and 2.0 GHz.

electrodes and thus leaking some RF current into the bias lines and reducing the filter . Fig. 13 presents the measured performance under a fixed center frequency and a tunable rejection bandwidth. A 40-dB rejection bandwidth of 26–126 and 24–200 MHz is demonstrated at 1.60 and 2.0 GHz, respectively. This shows the flexibility of this design with full control on the impedance inverters. To our knowledge, this is the first tunable filter with 40-dB rejection bandwidth control. C. Power Handling and Nonlinear Characterization

Fig. 12. Measured and simulated (a) and (b) at three different center simulations are not shown but frequencies of 1.13, 1.90, and 2.67 GHz. agree well with measurements.

for the tuning state of 1.13 GHz which agrees with simulation. Fig. 12 presents the measured and simulated response using Sonnet at 1.13, 1.90 and 2.67 GHz. A capacitance ratio of is required to achieve a center frequency of 1.13 GHz. The fitted at 2.6 GHz of 240 agrees well with the simulated value of 260 (Fig. 8). At 1.13 GHz, the simulated is 150 while the fitted is 100. At this bias point, all of the RF MEMS switches are in the down-state position, and we believe from independent visual measurements using an optical profiler1 that some switches are touching (or are very close) to the bias 1[Online]. Available: http://www.veeco.com/pdfs.datasheets/ NT1100%20RevA5%20Final_394.pdf

The power-handling measurement and nonlinear characterization were both done at the highest frequency state, since this is the frequency setting which results in the highest , the largest swing, and the worst intermodulation product. The tunable RF MEMS filter has a Chebyshev-like frequency response in the stopband with a 40-dB null at 2.400 GHz and 6-, 3-, and 0.5-dB passband insertion losses at 2.335, 2.315, and 2.000 GHz, respectively. A single-tone waveform with various RF power level is placed at the passband insertion losses of 6, 3, and 0.5 dB and at the 40-dB rejection frequency, and a network response (Fig. 14). analyzer is used to measure the filter As the input power increases, the center frequency shifts gradually to lower frequencies, which is caused by the RF MEMS switch capacitance change due to self-actuation [16], [17]. The filter can handle up to 25 dBm and the frequency response shifts by 10–20 MHz depending on the power injection frequency [Fig. 14(b)–(e)]. Note that the tunable filter still keeps a very high rejection level of 40 dB for all cases. Therefore, this tunable filter can handle inteferers up to 25 dBm anywhere from the 3-dB point to the 40-dB point, which is much higher than any solid-state tunable filter [4]. The power handling increases to 31 dBm when the interferer is injected at the 0.5-dB passband point as shown in Fig. 14(e), and a 32.5-dBm power causes a frequency shift of only 40 MHz (no shift at 25 dBm). According to the circuit simulations using ADS, a capacitance change of 7–30 fF in the resonator tuning network results in a 10–40-MHz frequency shift, respectively, at a setting of 2.4 GHz and 70-MHz 40-dB rejection bandwidth. Since each resonator network is composed of 5–7 shunt-connected switches (as shown in Fig. 7), a worst case approach of seven parallel switches is taken for the analysis. Therefore,

CHENG AND REBEIZ: THREE-POLE 1.2–2.6-GHZ RF MEMS TUNABLE NOTCH FILTER

Fig. 15. Measured IIP3 for the RF MEMS tunable notch filter at (a) point at 2.35 GHz and (b) 0.5-dB passband point at 2.10 GHz.

2437

10-dB

Fig. 14. (a) Power measurement setup. Measured with various RF power injection level and frequency at (b) 2.400 GHz, (c) 2.335 GHz, (d) 2.315 GHz, and (e) 2.000 GHz .

this translates to a 1–4.3-fF capacitance change for each RF MEMS switch in the resonator. Simulations done using ADS and a linear model for the RF MEMS capacitors show that an input power of 15–25 dBm at 2.4 GHz results in 1.5–7 across the RF MEMS switches in the LC resonators. This in turn results in 0.5–4 fF change in the RF MEMS capacitors. Note that the RF voltage is across the open end of the RF MEMS switch (and not across the pull-down electrode), and therefore, the effective spring constant is lower and the switch is more sensitive to the applied voltage [14]. The simulations are in good agreement with measurements and show that the 20-25 dBm at the rejection bandwidth and filter can handle 31–32 dBm at the 0.5-dB passband loss. The tunable filter IIP3 is measured at the 10-dB rejection point (2.350 GHz) and at the 0.5-dB passband insertion loss point (2.100 GHz). The measured IIP3 is 50 dBm for 300 kHz at 2.35 GHz and 56 dBm for 2 MHz at 2.1 GHz (Fig. 15). The high IIP3 is a standard feature of RF MEMS-based tuners [16]. Finally, the filter WCDMA response is characterized by using an input 5-MHz WCDMA signal and measuring the output

Fig. 16. Measured filter output spectrum for WCDMA input at (a) null with 25 dBm, (b) 6-dB passband with 25 dBm, (c) 3-dB passband with 25-dBm input RF power, and (d ) 0.5-dB passband with 30-dBm input RF power.

spectrum at different power levels: 25 dBm at 2.510 GHz ( 30-dB point), and 2.335 GHz ( 6-dB point), 2.315 GHz ( 3-dB point), and 30 dBm at 2.00 GHz ( 0.5-dB point). As shown in Fig. 16, none of the cases show power regrowth, showing the extremely low distortion for RF MEMS tunable filters.

2438

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

V. CONCLUSION This paper presented a three-pole high-performance suspended-stripline RF MEMS tunable notch filter with bandwidth control capacity. The proposed filter can provide bandwidth for all varactors and, variance with a capacitance ratio therefore, can achieve a constant absolute bandwidth over an octave of frequency tuning. A simple hybrid simulation method is introduced to design the filter and to evaluate the composite resonator . This topology is scalable to multipole design with applications areas in cognitive radios in the 1-6-GHz range and having high interference levels such as those commonly found in wideband defense systems or next to base stations. ACKNOWLEDGMENT One of the authors, G. M. Rebeiz, would like to thank R. Newgard, R. Potter, T. Journot, and C. Conway, Rockwell Collins, Cedar Rapids, IA, for technical discussions. REFERENCES [1] I. C. Hunter and 1. D. Rhodes, “Electronically tunable microwave bandstop filters,” IEEE Microw. Theory Tech., vol. MTT-30, no. 9, pp. 1361–1367, Sep. 1982. [2] E. J. Naglich, J. Lee, D. Peroulis, and W. J. Chappell, “High- tunable bandstop filters with adaptable bandwidth and pole allocation,” in IEEE MTT-S Int. Microw. Symp. Dig., Baltimore, MD, Jun. 2011, pp. 1–4. [3] B. E. Carey-Smith and P. A. Warr, “Broadband-configurable bandstopfilter design employing a composite tuning mechanism,” IET Microw., Antennas Propag., vol. 1, no. 2, pp. 420–426, Apr. 2007. [4] I. Reines, S.-J. Park, and G. M. Rebeiz, “Compact low-loss tunable -band bandstop filter with miniature RF-MEMS switches,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 7, pp. 1887–1895, Jul. 2010. [5] Y.-H. Chun, J.-S. Hong, P. Bao, T. J. Jackson, and M. J. Lancaster, “BST varactor tuned bandstop filter with slotted ground structure,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2008, pp. 1115–1118. [6] Y. C. Ou and G. M. Rebeiz, “Lumped-element tunable bandstop filters for cognitive radio applications,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 10, pp. 2461–2468, Oct. 2011. [7] D. Bouyge, A. Crunteanu, A. Pothier, P. O. Martin, P. B. A. Velez, J. Bonache, J. C. Orlianges, and F. Martin, “Reconfigurable 4 pole bandstop filter based on RF-MEMS-loaded split ring resonators,” in IEEE MTT-S Int. Microw. Symp. Dig., 2010, pp. 588–591. [8] I. C. Reines, A. R. Brown, and G. M. Rebeiz, “1.6–2.4 GHz RF MEMS tunable 3-pole suspended combline filter,” in IEEE MTT-S Int. Microw. Symp. Dig., Atlanta, GA, Jun. 2008, pp. 133–136. [9] C. C. Cheng and G. M. Rebeiz, “High- 4–6 GHz suspended stripline RF MEMS tunable filter with bandwidth control,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 10, pp. 2469–2476, Oct. 2011. [10] S.-J. Park, I. Reines, C. Patel, and G. M. Rebeiz, “High- RF-MEMS 4–6 GHz tunable evanescent-mode cavity filter,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 2, pp. 381–389, Feb. 2010. [11] G. L. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance Matching Networks and Coupling Structures. Norwood, MA: Artech House, 1980. [12] “Advanced Design System 2009A,” Agilent Technol. Inc., Palo Alto, CA, 2009. [13] Sonnet 12.52. Sonnet Software Inc., North Syracuse, NY, 2005.

[14] A. Grichener and G. M. Rebeiz, “High-reliability RF-MEMS switched capacitors with digital and analog tuning,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 10, pp. 2692–2701, Oct. 2010. [15] M. A. El-Tanani and G. M. Rebeiz, “High performance 1.5–2.5 GHz RF MEMS tunable filters for wireless applications,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 6, pp. 1629–1637, Jun. 2010. [16] L. Dussopt and G. M. Rebeiz, “Intermodulation distortion and power handling in RF MEMS switches, varactors and tunable filters,” IEEE Trans. Microwave Theory Tech., vol. 51, no. 4, pp. 1247–1256, Apr. 2003. [17] G. M. Rebeiz et al., “Tuning in to RF MEMS,” IEEE Microw. Mag., vol. 10, no. 5, pp. 55–71, Oct. 2009. Chih-Chieh Cheng (M’11) received the B.S. degree in aerospace engineering from National Cheng Kung University, Tainan, Taiwan, in 2001, the M.S. degree in electrical engineering from the University of Wisconsin, Madison, in 2004, and the Ph.D. degree in electrical engineering from Arizona State University, Tempe, in 2009. He held a postdoctoral position with the University of California at San Diego, La Jolla. He is currently a Senior Engineer with Peregrine Semiconductor Corporation, San Diego, CA. His current research interest is novel components and circuits for integrated RF front-ends.

Gabriel M. Rebeiz (F’97) received the Ph.D. degree from the California Institute of Technology, Pasadena. He is the Wireless Communications Industry Chair Professor of electrical and computer engineering with the University of California at San Diego, La Jolla. Prior to this appointment, he was with the University of Michigan from 1988 to 2004. He has contributed to planar millimeter-wave (mm-wave) and terahertz (THz) antennas and imaging arrays from 1988 to 1996, and his group has optimized the dielectric-lens antennas, which is the most widely used antenna at mm-wave and THz frequencies. His group also developed 6–18-GHz and 40–50-GHz 8and 16-element phased arrays on a single silicon chip and the first mm-wave silicon passive imager chip at 85–105 GHz. His group also demonstrated high) and the new angular-based RF MEMS tunable filters at 1–6 GHz ( RF MEMS capacitive and metal-contact switches. As a consultant, he helped develop the USM/ViaSat 24-GHz single-chip SiGe automotive radar, phased -, and -bands for defense and commercial arrays operating at the -, applications, the RFMD RF MEMS switch, and the Agilent RF MEMS switch. He is the Director of the UCSD/DARPA Center on RF MEMS Reliability and Design Fundamentals. He is the author of the book RF MEMS: Theory, Design and Technology (Wiley, 2003). Prof. Rebeiz is a National Science Foundation Presidential Young Investigator, an URSI Koga Gold Medal Recipient, the IEEE Microwave Theory and Techniques Society (MTT-S) 2003 Distinguished Young Engineer, and the recipient of the IEEE MTT-S 2000 Microwave Prize, the IEEE MTT-S 2010 Distinguished Educator Award, and the IEEE AP 2011 John D. Kraus Award. He also received the 1998 Eta Kappa Nu Professor of the Year Award and the 1998 Amoco Teaching Award given to the best undergraduate teacher at the University of Michigan and the 2008 Teacher of the Year Award at the Jacobs School of Engineering, University of California at San Diego. His students have won a total of 19 best paper awards at IEEE MTT, RFIC and AP-S conferences. He has been an associate editor of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and a Distinguished Lecturer for IEEE MTT-S and the IEEE Antennas and Propagation Society. He has graduated 42 Ph.D. students and currently leads a group of 20 Ph.D. students and three Post-Doctoral Fellows in the area of mm-wave RFIC, microwaves circuits, RF MEMS, planar mm-wave antennas, and terahertz systems.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

2439

A High-Performance Continuously Tunable MEMS Bandpass Filter at 1 GHz Yonghyun Shim, Student Member, IEEE, Zhengzheng Wu, Student Member, IEEE, and Mina Rais-Zadeh, Member, IEEE

Abstract—This paper reports a continuously tunable lumped bandpass filter implemented in a third-order coupled resonator configuration. The filter is fabricated on a Borosilicate glass substrate using a surface micromachining technology that offers tunable passive components. Continuous electrostatic hightuning is achieved using three tunable capacitor banks, each consisting of one continuously tunable capacitor and three switched capacitors with pull-in voltage of less than 40 V. The center frequency of the filter is tuned from 1 GHz down to 600 MHz while maintaining a 3-dB bandwidth of 13%–14% and insertion loss of less than 4 dB. The maximum group delay is less than 10 ns across the entire tuning range. The temperature stability of the center frequency from 50 C to 50 C is better than 2%. The measured is tuning speed of the filter is better than 80 s, and the better than 20 dBm, which are in good agreement with simulations. The filter occupies a small size of less than 1.5 cm 1.1 cm. The implemented filter shows the highest performance amongst the fully integrated microelectromechanical systems filters operating at sub-gigahertz range. Index Terms—Micromachining, passive filters, RF microelectromechanical systems (MEMS), tunable bandpass filters, tunable capacitors, UHF filters.

I. INTRODUCTION

T

HERE IS an increasing demand for high-performance RF front-end modules for advanced ground mobile radios. With the introduction of joint tactical radios as the next-generation system in the U.S. military, ground mobile radios have to support various waveforms, including VHF and UHF bands, which will require reconfigurable RF front-ends [1]. The key challenge in developing reconfigurable RF front-end modules is to reduce the size and weight while supporting multiple communication standards [2]. As the key component of the RF frontend, the band-select filter needs to satisfy the above-mentioned requirements, namely, multiple frequency band coverage and good RF performance, all in a small form factor. This calls for a single fully integrated frequency-tunable bandpass filter. In the VHF or UHF range, lumped LC filters offer the smallest size compared to other alternative implementations

Manuscript received October 19, 2011; revised April 19, 2012; accepted April 23, 2012. Date of publication June 05, 2012; date of current version July 30, 2012. This work was supported by the Harris Corporation under the Wide Tuning Range Integrated Filter for Tactical Radios Project and by the National Science Foundation (NSF) under Grant 1055308. The authors are with the Electrical Engineering and Computer Science Department, The University of Michigan at Ann Arbor, Ann Arbor, MI 48109 USA (e-mail: [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2198228

such as cavity filters [3], [4] and distributed filters [5]–[7]. Using conventional CMOS technology, the size of the filter could be significantly reduced. However, the quality factor of CMOS-based passives is low, making it hard to achieve a sufficiently low insertion loss for the bandpass filter unless enhancement techniques using active components are utilized [8]. The power-handling capability of CMOS varactors is also limited, further constraining their application in RF systems [9]. Microelectromechanical systems (MEMS) technology can lead to low insertion-loss tunable filters with high RF power-handling capability, meeting all the requirements of ground mobile radios. There are a few reports on tunable bandpass filters having all integrated components centered at frequencies below 1 GHz [10], [11]. The reported filters are designed in the second-order coupled resonator configuration. Due to the low order of the filter, the shape factor and out-of-band rejection of these filters are limited. In addition, in these filter implementations, a largevalue fixed capacitor is placed in parallel with a smaller-value MEMS capacitor to obtain the required capacitance value, reducing the tuning range of the filters to less than 25%. In this paper, a continuously tunable MEMS bandpass filter using a third-order coupled resonator configuration is proposed. Using continuous tuning, the frequency of the filter can be tuned to select any desired frequency in the tunable frequency range or altered to account for fabrication inaccuracies. Continuous tuning is achieved using MEMS tunable capacitors that exhibit high ’s (exceeding 100), fast tuning speed (less than 80 s), wide capacitance tuning range (5:1), and good temperature stability [12], [13]. DC and RF characteristics of the tunable capacitor plays an important role in defining the characteristics of the filter, such as the tuning range, tuning speed, power handling, and power consumption. Among different actuation mechanisms, electrostatic tuning is most commonly used because of its low power consumption [14]. A problem with electrostatic tuning is the pull-in effect, which limits the travel range of the moving element. The tuning range of electrostatic capacitors can be improved by using a capacitor gap smaller than the actuation gap. Such capacitors, called dual-gap capacitors, can exhibit high tuning ratios exceeding 5:1 and are employed in this work to tune the frequency [12], [13]. Two-port capacitors are commonly used for matching or as the coupling elements in coupled resonator filters [15]. Using capacitive matching and coupling, it is hard to maintain a fixed bandwidth without tuning the value of the coupling capacitors. In this work, mutually coupled inductors and inductive

0018-9480/$31.00 © 2012 IEEE

2440

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

TABLE I TARGET SPECIFICATIONS OF THE PROPOSED FILTER

matching are utilized to provide a wider band matching and avoid complicated tuning control. Using broadband inductive matching and wide-range capacitive tuning in the resonator, a tunable filter is demonstrated with insertion loss of less than 4 dB and tuning range of 40%. To our best knowledge, this is the first single-chip implementation of a third-order tunable filter at sub-gigahertz range, which addresses diverse aspects of filter performance, such as insertion loss, tuning range, shape factor, linearity, tuning speed, and temperature stability. This paper is organized as follows. First, the design and tuning configuration of the filter are discussed. Next, the design of each passive component and their 3-D electromagnetic simulation results are shown. The linearity analysis for the 1-dB compression point (P1 dB) and the third-order intermodulation intercept point are also described. Finally, the measurement and characterization results of the fabricated filter are presented. II. TUNABLE BANDPASS FILTER DESIGN The target specifications of the pre-select tunable filter are listed in Table I. The filter is aimed to achieve frequency coverage from 600 MHz to 1 GHz with a 3-dB percentage bandwidth of 13%–14%. The insertion loss of the filter is targeted to be less than 4 dB to achieve a small noise figure for the entire radio. To obtain a shape factor (30-dB bandwidth to 3-dB bandwidth of less than 4, the order of the filter needs to be at least 3 [16]. A third-order Chebyshev filter with a 0.5-dB passband ripple is selected to achieve the desired shape factor. Using this configuration, the group delay is less than 10 ns, meeting all the specifications listed in Table I. The filter design procedure is as follows. First, the low-pass prototype in Chebychev configuration is designed. The low-pass prototype values of the third-order Chebyshev filter used here are 1.5963, 1.0967, 1.5963, and 1.0000, respectively. The low-pass filter (LPF) is then converted to a coupled resonator bandpass filter configuration using admittance inverters, as shown in Fig. 1(a). With this configuration, values of the lumped components are easily realizable using MEMS surface micromachining technologies [10]. The parameters of the

Fig. 1. Schematic views showing the design procedure of the third-order bandpass filter in this work. (a) Generalized bandpass filter using admittance inverter. (b) Conversion into inductive coupling. (c) Arrangement of inductance for mutual-inductive coupling. (d) Final schematic view of the filter. (e) Detail composition of the tunable bank included in each resonator.

admittance inverters are derived using the following equations [17]: (1) (2) where is the center frequency of the bandpass filter at initial state, is the input impedance, and and are inductor values in each LC tank. To ease the characterization and tuning scheme, the initial value of all three capacitors ( , , ) are set to be the same. An initial value of 2.3 pF is chosen for the tunable capacitors, considering that the inductance value needs to be in the range of 1–15 nH to provide a high of more than 40. Using these values for the capacitors, the required inductance value for and is 11 nH and the unloaded of each resonator would be about 40.

SHIM et al.: HIGH-PERFORMANCE CONTINUOUSLY TUNABLE MEMS BANDPASS FILTER AT 1 GHz

As shown in Fig. 1(b), the admittance inverter is implemented using inductive coupling with . Values of and are not set at this step as they also depend on the matching condition. The equivalent inductor of the second resonator in Fig. 1(b) is split into two inductors [ ’s in Fig. 1(c)]. In Fig. 1(c), in the first and third resonators can be approximated as . To achieve more feasible inductance values, the inductive -network of Fig. 1(c) is converted into mutually coupled inductors, as shown in Fig. 1(d). The matching inductance is derived considering and and the loaded of the resonator. The mutual inductance and resonator inductances ( ) are derived from , , and using well-known equations in [16]. To obtain the effective impedance of and at input and output nodes and impedance matching to 50 , impedance transformation using two inductors in Fig. 1(d) is obtained using the following expressions:

2441

TABLE II TUNING CONFIGURATION

TABLE III DESIGN VALUES OF THE MEMS FILTER

(3) (4) where is the target input impedance (50 ) and is the input impedance looking into the resonator. To achieve frequency tuning, a tunable capacitor bank, which consists of one fixed capacitor (MIM capacitor), one continuously tunable capacitor, and three capacitive switches [see Fig. 1(e)], is employed in each resonator section. The tuning control mechanism is as follows. First the continuously tunable capacitor is tuned. When this capacitor reaches its maximum value, a switch will be turned ON and the value of the continuously tunable capacitor will be reset to set the frequency as required. To further tune the center frequency, again the continuously tunable capacitor will be tuned to finally reach its maximum value. At this state, another switch will be turned on. Therefore, to achieve continuous tuning, only one continuously tunable capacitor is required. Other capacitors are switched to ease the tuning control. The initial capacitance value of all tunable capacitors is set to 200 fF with tuning bias of less than 40 V, and tuning speed of less than 80 s including the stabilization time. The capacitance value and the corresponding filter frequency range at each tuned state are listed in Table II. The mechanical design of the tunable capacitors is reported elsewhere [12]. The values of the passive components in Fig. 1 are listed in Table III. In Section III, the simulation results and 3-D electromagnetic layout of the filter are presented. III. 3-D ELECTROMAGNETIC SIMULATION Filters are designed and fabricated using a multiple-metal surface micromachining process technique [18]. This technology offers three metal layers (0.5 m Au/4 m Au/40 m Cu), one dielectric layer [aluminum oxide (Al O )] and two sacrificial layers (PMMA/Shipley 1813 photoresist). Using this process, each tunable or fixed passive component can be optimized for

Fig. 2. Layout of the MEMS tunable filter.

the highest performance with a selection of metals, dielectrics, and sacrificial layers. The performance of individual passive components, as well as the tunable filter, is simulated using the ANSOFT HFSS 3-D electromagnetic simulation tool [19]. The material properties, such as conductivity, dielectric constant, and loss tangent, are characterized and the extracted values from measurements are used in the simulations. The integrated filter layout is shown in Fig. 2. The detailed design of the matching inductor and coupled inductor is discussed in [18]. The lengths of the RF interconnecting lines are minimized and the ground connections are optimally placed to

2442

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

TABLE V PARAMETERS OF THE VARACTOR USED IN NONLINEAR SIMULATIONS

Fig. 3. Simulation results of the MEMS filter. (a) delay.

-parameters. (b) Group

TABLE IV SIMULATED FILTER SPECIFICATIONS USING HFSS 3-D EM TOOL

Fig. 4. Schematic view of the nonlinear electromechanical model for the: (a) varactor and (b) entire filter.

IV. LINEARITY ANALYSIS

reduce loss and parasitics. The bias lines of the three tunable capacitors in each resonator tank are connected together. One bias line also controls all corresponding capacitive switches. Therefore, only one analog bias line and three digital (0 V/40 V) bias lines are needed to tune the filter (instead of 12 control lines). The HFSS simulated insertion loss, return loss, and group delay of the integrated filter at each tuned state are shown in Fig. 3. In the simulations, nonideal conditions such as reduced capacitance tuning range and additional loss from the substrate are reflected from the characterization results of the tunable capacitor banks and the inductors [18]. The group delay in Fig. 3(b) is derived using the formula suggested in [20]. The simulated performance of the filter is summarized in Table IV.

The Agilent ADS simulation tool is used to analyze the nonlinear performance of the filters [21]. To estimate the P1 dB and values, the nonlinearity of the varactor and capacitive switches are taken into account using nonlinear electromechanical (EM) models [22]. The simulation parameters such as initial capacitance, air gap, and mechanical properties of the varactor are summarized in Table V. All values are carefully extracted from HFSS electromagnetic simulations and modal/displacement analysis in ANSYS [23]. Since the integrated varactor has separate electrodes for actuation and capacitance sensing, the total force can be approximated as the sum of actuation force from dc bias applied to the actuation electrode and the RF self-actuation force from the capacitance sensing electrode. Since the varactor has a dual-gap configuration, the equations in [22] are modified to take into

SHIM et al.: HIGH-PERFORMANCE CONTINUOUSLY TUNABLE MEMS BANDPASS FILTER AT 1 GHz

Fig. 5. value extracted from the nonlinear electromechanical model at frequency offset of 20 kHz: (a) without dc bias and (b) with 25 V of dc bias.

2443

Fig. 7. P1 dB value extracted from the nonlinear electromechanical model: (a) without dc bias and (b) with 25 V of dc bias.

Fig. 6. value extracted from the nonlinear electromechanical model at different frequency offsets with and without dc bias. Tuning characteristics of the tunable capacitor is shown in the inset.

account both the sense and actuation gaps. The following equations are applied to the EM model of the varactor in Fig. 4(a) in order to calculate the th-iterated total force applied to the top membrane and the sense capacitance, respectively,

(5) (6) where , and are the change of air gap and the equivalent RF bias from the th iteration, and the dc tuning bias, respectively. As shown in Fig. 4(a), a four-port symbolically defined device (SDD4P) is utilized to implement (5), where the value of Port 2 output, , is derived from the other three port values, , and . Likewise, an SDD2P on the right side is utilized to calculate the value of Port 2 output, , from the Port 1 input value, , using (6). The LPF polynomial reflects the mechanical response of the MEMS capacitor with parameters , , and . The schematic of the filter configuration taking into account the nonlinear models of the varactor and switched capacitors is shown in Fig. 4(b). The initial air gap at the sensing node is set as 1.5 m. Fig. 5 shows the harmonic simulation result at different input power levels. The frequency difference of the two input tones is

Fig. 8. (a) Scanning electron microscope (SEM) view. (b) Photographic view of a fabricated filter.

20 kHz. The extracted value is 30 dBm when no dc bias is applied to the varactors/switches. With the application of 25-V dc bias, the is reduced to 20 dBm. At this bias point, the capacitance value of the varactors is most sensitive to the applied RF power as the C–V curve has the sharpest slope at this point (see inset of Fig. 6). Therefore, 20 dBm is a pessimistic estimation of when a dc bias is applied. The extracted at different input frequency offsets is shown in Fig. 6. At both

2444

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

TABLE VI MEASURED FILTER SPECIFICATIONS AT EACH TUNED STAGE

Fig. 9. Measured filter response at each tuned stage. (a) Insertion loss. (b) Return loss.

initial and tuned states, the value is better for larger frequency offsets. This is due to the low-pass filtering behavior of the MEMS capacitors, which is taken into account in the nonlinear EM model of Fig. 4(a) by considering a cutoff frequency of 22 kHz (the mechanical resonant frequency of the tunable capacitor). A similar trend is expected in the measured . The P1 dB is also simulated using the same EM models. Fig. 7(a) and (b) shows the simulated P1 dB when 0 and 25 V of actuation bias are applied, respectively. The extracted P1 dB is 22 dBm when no dc bias is applied to the varactors/switches, whereas it is reduced to 15 dBm when 25 V of dc bias is applied. The power-handling capability of these filters is thus limited to P1 dB and not to the value. V. MEASUREMENT RESULT Insertion loss and return loss are measured using Cascade Microtech ground–signal–ground (GSG) ACP probes and an N5214A Agilent PNA-X network analyzer. The dc bias is applied to each bias line using Microtech dc probes. The images of the fabricated device are shown in Fig. 8. The footprint of the entire filter is around 1.5 cm 1.0 cm, which is much smaller than other UHF filters using microstrip lines and SMT passive components [5]–[7].

Fig. 10. Measured filter response at different temperatures. (a) At initial state. . (b) When a dc bias of 25 V is applied to

A. Insertion Loss and Return Loss Fig. 9 shows the measured insertion loss and return loss at each tuned state when dc bias of 0 to 40 V is applied to the varactors and switched capacitors. The center frequency is tuned from an initial value of 1011–602 MHz by applying a maximum of 40 V to the capacitors. Across the entire tuning range, the insertion loss is less than 4 dB and the return loss is greater than 15 dB. The measurement results are summarized in Table VI. The 3-dB bandwidth shows good agreement with the electromagnetic simulation. However, the measured shape factor at most tuned states is above 4. This is caused by an unwanted

SHIM et al.: HIGH-PERFORMANCE CONTINUOUSLY TUNABLE MEMS BANDPASS FILTER AT 1 GHz

2445

Fig. 11. Measured group delay at each tuned stage.

Fig. 12. Measured input power versus output power. (a) Without dc bias. (b) With 25 V of dc bias.

Fig. 14. (a) Setup used for tuning-speed measurements. The measured tuning speed when: (b) 40 V and (c) 25 V is applied to continuously tunable capacitor, respectively.

Fig. 13. Measured power spectrum when 25 V of dc bias is applied to the continuously tunable capacitor. (a) Output power spectrum with frequency offset of with frequency offset 20 kHz and input power of 4 dBm. (b) Extracted of 20 kHz. (c) Output power spectrum with frequency offset of 500 kHz and with frequency offset of 500 kHz. input power of 3 dBm. (d) Extracted

resonance located at the lower side of the passbands. This resonance is presumably due to the coupling between the inductors

and the ground plane on the backside of the wafer and can be reduced by increasing the thickness of the substrate (i.e., 500- m thick). Temperature stability of the filter is tested using a Microtech KV-230 cryogenic station and GGB RF probes. Short-open-load-thru (SOLT) calibration is done at each temperature. Fig. 10(a) shows the filter response from 50 C to 50 C when no dc bias is applied. The center frequency of the filter is shifted from 1035 to 1016 MHz, showing a variation of less than 2%. The frequency response of the filter when a dc bias is applied to one of the capacitors is shown in Fig. 10(b). Upon temperature change, the center frequency is shifted by 1.5% from 955 to 941 MHz, which is considered small for a MEMS device [24], [25]. The temperature stability of the filter is better than the temperature shift of the varactor itself. The capacitance variation of individual varactors is less than 7% over the same temperature range [12]. Since the varactor is placed in parallel with a more temperature stable fixed capacitor, the

2446

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

TABLE VII COMPARISON BETWEEN TUNABLE FRONT-END FILTERS IN THE UHF RANGE

temperature stability of the filter is improved at the initial state, as well as the tuned state of the varactors. B. Group Delay The group delay of the filter at each tuned state is extracted from the measured -parameters. As shown in Fig. 11, the group delay is less than 10 ns, meeting the design requirement. C. Linearity The P1 dB measurements are carried out using an N5214A Agilent PNA-X network analyzer in the high-power narrowband detection mode, which supports up to 20 dBm of input source power. Fig. 12(a) shows the measured input power versus output power with zero dc bias. As expected from the simulations shown in Fig. 7(a), the filter shows no significant degradation up to 20 dBm of input power. The measured P1 dB with 25 V of dc bias is around 13 dBm [see Fig. 12(b)], which is similar to the simulated value in Fig. 7(b). The measurements are carried out in the two-tone source power mode. As the of the PNA-X receiver itself is around 30 dBm, values higher than 30 dBm cannot be accurately measured using this system. Therefore, the of the filter without dc bias could not be measured; it was only verified that the value is above 30 dBm at both 20 and 500 kHz of frequency offset. Fig. 13(a)–(d) shows the linearity measurements when 25 V of dc bias is applied to the varactor. When dc bias is applied, degrades as the smaller capacitance gap becomes more sensitive to the RF signal power. As shown, the value is at the lowest at 20 kHz of frequency offset, i.e., the mechanical resonance frequency of the varactor membrane. The extracted at 20-kHz offset is about 20 dBm [see Fig. 13(b)], which is close to the simulated value of 22 dBm shown in Fig. 5(b). The with an applied voltage of 25 V at 500-kHz frequency offset is also above 30 dBm [see Fig. 13(d)]. D. Tuning Speed The tuning speed of the filter is measured using the setup shown in Fig. 14(a). 10 dBm of a single-tone RF signal at the corresponding center frequency for the dc tuning bias is applied using the network analyzer. The RF signal at the output port is converted into dc voltage using a KRYTAR 201A power detector. The RF signal before applying the bias is zero; after application of bias, the filter tunes to the frequency of the input RF signal and a nonzero power is detected using the power detector.

The tuning bias and power detector outputs are monitored with an Agilent MSO7104A oscilloscope to extract the tuning speed. Fig. 14(b) shows transition of detected power level when a pull-in bias of 40 V is applied to the tunable capacitors. The measured transition time with this bias condition is better than 50 s, which is the maximum tuning speed of the filter. As shown in Fig. 14(c), with 25 V of dc bias, the transition time is around 80 s. At this bias, the membrane does not completely touch down and the stabilization time is longer. E. Comparison There has been extensive work on tunable front-end filters in the UHF band, implemented with several different configurations and integration methods. For successful adoption in the RF front-end system, a filter should satisfy wide frequency band coverage, low insertion loss, and high power-handling capability, all in a small size and low cost. Filter implementations using integration of passives with varactor diodes or employing MEMS capacitors on a printed circuit board (PCB) can satisfy only a few of these requirements [5]–[7] (Table VII). Integration of separately packaged passives can not only result in additional insertion loss, but also derive increased fabrication cost and size. The form factor of a reported filter fabricated using a singlechip MEMS technology [10] is much smaller than that implemented using the PCB technology. However, its tuning performance was limited due to the low order of the filter, and limited tuning range of the tunable capacitors. In this work, a significantly better performance is achieved using 12 wide-tuning range MEMS capacitors and a higher order filter in a Chebyshev configuration. Compared to the reported work, the filter presented in this paper is the highest performance single-chip filter in the sub-gigahertz frequency band. VI. CONCLUSION Design and measurements of a continuously tunable MEMS bandpass was reported in this paper. Insertion loss of the filter at all tuned states (from 600 MHz to 1 GHz) is less than 4 dB, while the 3-dB bandwidth is maintained within 13%–14 %. The shape factor of the filter is above 4 (less than 5) and can be improved by optimizing the layout of the inductors and reducing the substrate coupling. The measured shift in center frequency of the filter is less than 1.5% across 100 C of temperature change and the tuning speed is better than 80 s. The worst case is around 20 dBm. However, considering the lower value of P1 dB, the practical range of power is limited to about 13 dBm.

SHIM et al.: HIGH-PERFORMANCE CONTINUOUSLY TUNABLE MEMS BANDPASS FILTER AT 1 GHz

Improvements in the design of tunable capacitors are required to achieve better power-handling capability. Future work will focus on such design optimizations, as well as characterization of other filter specifications such as phase noise and sensitivity to vibration. The presented filter technology could be extended to other applications in the UHF range such as TV tuners, which requires smaller channel selection bandwidth.

REFERENCES [1] R. North, N. Browne, and L. Schiavone, “Joint tactical radio systemconnecting the GIG to the tactical edge,” in IEEE Military Commun. Conf., Oct. 23–25, 2006, pp. 1–6. [2] M. S. Hasan, M. LaMacchia, L. Muzzelo, R. Gunsaulis, L. T. C. R. Housewright, and J. Miller, “Designing the joint tactical radio system (JTRS) handheld, manpack, and small form fit (HMS) radios for interoperable networking and waveform applications,” in IEEE Military Commun. Conf., Oct. 29–31, 2007, pp. 1–6. [3] H. Joshi, H. H. Sigmarsson, S. Moon, D. Peroulis, and W. J. Chappell, “High- fully reconfigurable tunable bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 12, pp. 3525–3533, Dec. 2009. [4] S. Park, I. Reines, C. Patel, and G. M. Rebeiz, “High- RF-MEMS 4–6-GHz tunable evanescent-mode cavity filter,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 2, pp. 381–389, Feb. 2010. [5] A. R. Brown and G. M. Rebeiz, “A varactor-tuned RF filter,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 7, pp. 1157–1160, Jul. 2000. [6] M. Sanchez-Renedo, R. Gomez-Garcia, J. I. Alonso, and C. Briso-Rodriguez, “Tunable combline filter with continuous control of center frequency and bandwidth,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 191–199, Jan. 2005. [7] X. Y. Zhang, Q. Xue, C. H. Chan, and B. Hu, “Low-loss frequency-agile bandpass filters with controllable bandwidth and suppressed second harmonic,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 6, pp. 1557–1564, Jun. 2010. [8] S. Bantas and Y. Koutsoyannopoulos, “CMOS active-LC bandpass filters with coupled-inductor -enhancement and center frequency tuning,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 51, no. 2, pp. 69–76, Feb. 2004. [9] R. R. Mansour, S. Fouladi, and M. Bakeri-Kassem, “Integrated RF MEMS/CMOS devices,” in Design, Test, Integration, Packag. MEMS/ MOEMS Symp., Apr. 9–11, 2008, pp. 374–375. [10] M. Rais-Zadeh, H. M. Lavasani, A. Kapoor, and F. Ayazi, “An integrated 800-MHz coupled-resonator tunable bandpass filter in silver with a constant bandwidth,” J. Microelectromech. Syst., vol. 18, no. 4, pp. 942–949, Aug. 2009. [11] Y. Shim, R. Tabrizian, F. Ayazi, and M. Rais-Zadeh, “Low-loss MEMS bandpass filters with improved out-of-band rejection by exploiting inductive parasitics,” in IEEE Int. Electron Devices Meeting, Dec. 7–9, 2009, pp. 1–4. [12] Y. Shim, Z. Wu, and M. Rais-Zadeh, “A high-performance, temperature-stable, continuously tuned MEMS capacitor,” in IEEE Int. MEMS Conf., Jan. 23–27, 2011, pp. 752–755. [13] Y. Shim, J. Ruan, Z. Wu, and M. Rais-Zadeh, “An integrated RF MEMS tunable filters,” in IEEE Int. MEMS Conf., Jan. 29–Feb. 2, 2012, pp. 15–18. [14] D. Peroulis and L. P. B. Katehi, “Electrostatically-tunable analog RF MEMS varactors with measured capacitance range of 300%,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 8–13, 2003, vol. 3, pp. 1793–1796. [15] M. Rais-Zadeh, “Wafer-level encapsulated high-performance MEMS tunable passives and bandpass filters,” Ph.D. dissertation, Dept. Elect. Eng., Georgia Inst. Technol., Atlanta, GA, 2008. [16] A. I. Zverev, Handbook of Filter Synthesis. New York: Wiley, 2005. [17] G. Matthaei, E. M. T. Jones, and L. Young, Microwave Filters, Impedance-Matching Networks, and Coupling Structures. New York: McGraw-Hill, 1964. [18] Y. Shim, Z. Wu, and M. Rais-Zadeh, “Three-metal surface-micromachining for high-yield tunable capacitors and high- inductors,” J. Microelectromech. Syst., accepted for publication. [19] HFSS. ver. 12, ANSYS Inc., Canonsburg, PA, 2009. [Online]. Available: http://www.ansoft.com/products/hf/hfss/

2447

[20] I. Shapir, “Suggestion for a new formula to calculate group-delay from frequency domain measurements,” in Eur. Microw. Conf., Sep. 2006, pp. 1233–1236. [21] ADS 2009. Agilent Technol., Santa Clara, CA, 2009. [Online]. Available: http://www.agilent.com/find/eesof-ads/ [22] L. Dussopt and G. M. Rebeiz, “Intermodulation distortion and power handling in RF MEMS switches, varactors, and tunable filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1247–1256, Apr. 2003. [23] ANSYS. ver. 12, ANSYS Inc., Canonsburg, PA, 2009. [Online]. Available: http://www.ansys.com/ [24] H. Nieminen, V. Ermolov, S. Silanto, K. Nybergh, and T. Ryhanen, “Design of a temperature-stable RF MEM capacitor,” J. Microelectromech. Syst., vol. 13, no. 5, pp. 705–714, Oct. 2004. [25] I. Reines, B. Pillans, and G. M. Rebeiz, “Thin-film aluminum RF MEMS switched capacitors with stress tolerance and temperature stability,” J. Microelectromech. Syst., vol. 20, no. 1, pp. 193–203, Feb. 2011. Yonghyun Shim (S’09) received the B.S. degree in electrical engineering from Seoul National University, Seoul, Korea, in 2007, the M.S.E. degree in electrical engineering and computer science from The University of Michigan at aAnn Arbor, in 2009, and is currently working toward the Ph.D. degree in electrical engineering and computer science at The University of Michigan at Ann Arbor. His research interests include micromachined RF front-end filters, RF MEMS passives, RF integrated circuits (ICs) and wireless front-ends, and CMOSMEMS integration.

Zhengzheng Wu (S’09) received the B.S. degree in microelectronics from Fudan University, Shanghai, China, in 2005, the M.S. degree in microelectronics from the Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai, China, in 2009, and is currently working toward the Ph.D. degree in electrical engineering and computer science at The University of Michigan at Ann Arbor. During Summer 2011, he was an Intern with Samsung Telecommunications America, Dallas, TX, where he was involved in the development of multiband RF power amplifiers for wireless handsets. His research interests include MEMS for wireless applications and timing references, tunable RF filters and passive circuits, circuits for wireless transceivers, and integrated microsystems. Mr. Wu was the recipient of the Rackham International Student Fellowship of The University of Michigan at Ann Arbor for 2010–2011. He was a Student Paper Competition finalist of the 2011 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS).

Mina Rais-Zadeh (S’03–M’08) received the B.S. degree in electrical engineering from the Sharif University of Technology, Tehran, Iran, in 2002, and the M.S. and Ph.D. degrees in electrical and computer engineering from the Georgia Institute of Technology, Atlanta, in 2005 and 2008, respectively. From August 2008 to 2009, she was a Postdoctoral Research Fellow with the Integrated MEMS Group, Georgia Institute of Technology. Since January 2009, she has been with The University of Michigan at Ann Arbor, where she is currently an Assistant Professor with the Department of Electrical Engineering and Computer Science. Her research interests include passive micromachined devices for communication applications, resonant micromechanical devices, gallium–nitride MEMS, and microfabrication/nanofabrication process development. Prof. Rais-Zadeh is a member of the Technical Program Committee of the IEEE IEDM, IEEE Sensors, and Hilton Head Workshop. She was the recipient of the National Science Foundation (NSF) CAREER Award (2011) and the IEEE Electron Device Society Early Career Award (2011). She was a finalist in the Student Paper Competition of the SiRF (2007) and IMS (2011) conferences.

2448

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

A Temperature-Compensation Technique for Substrate Integrated Waveguide Cavities and Filters Tarek Djerafi, Ke Wu, Fellow, IEEE, and Dominic Deslandes, Member, IEEE

Abstract—A new temperature compensation method is proposed and demonstrated in this paper for cavities and filters realized in substrate integrated waveguide (SIW). The SIW structures largely preserve the well-known advantages of conventional rectangular waveguide, namely, high and high power capacity, and have the advantages of microstrip lines, such as low profile, small volume, and light weight. In this paper, we demonstrate that by an adequate selection of substrate properties, SIW cavities can provide self-temperature drift compensation. The compensation is achieved by using an appropriate ratio between the coefficient of thermal expansion and the thermal coefficient of the permittivity. The theoretical prediction is confirmed by an experimental investigation using inductive post filters. Three commercially available substrates are used to design cavities at 10 GHz with the Roger TMM10 substrate providing a close fit to the required characteristics for temperature compensation. The results for the cavity show a stability of 2 ppm/ C in calculation and 8 ppm/ C in measurement. A SIW fourth-order Chebyshev filter, centered at 10 GHz with 1-GHz bandwidth, has also been designed. The measured frequency drift is 9.1 ppm/ C and the bandwidth variation is 0.13% over the temperature range of 40 C to 80 C. Index Terms—Cavity, coefficient of thermal expansion (CTE), equivalent linear frequency drift, filter, substrate integrated waveguide (SIW), temperature compensation.

I. INTRODUCTION

F

ILTERS WITH high-frequency selectivity, low insertion loss, and robust temperature stability are key building blocks for microwave and millimeter-wave systems [1]. Microstrip transmission lines and rectangular waveguides are two well-known structures that have been widely used to design microwave filters. Filters implemented in standard waveguide technology exhibit good performance, but they are generally bulky, nonplanar, heavy, and not suitable for low-cost applications. On the other hand, microstrip filters are cheap, fully integrated, and easy to design, but they do not provide high quality factors. In the last decade, the substrate integrated waveguide (SIW) has provided an alternative for the integration of high-performance and low-cost passive components. Several topologies

Manuscript received November 10, 2011; revised April 30, 2012; accepted May 02, 2012. Date of publication June 20, 2012; date of current version July 30, 2012. This work was supported in part by the Fonds Québécois de la Recherche sur la Nature et les Technologies (FQNRT) and the Natural Sciences and Engineering Research Council of Canada (NSERC). T. Djerafi and K. Wu are with the Poly-Grames Research Center, École Polytechnique de Montréal, Montréal, QC, Canada H3C 3A7 (e-mail: [email protected]). D. Deslandes is with the CoFaMic Research Center, Université du Québec à Montréal, Montréal, QC, Canada H2X 3Y7 (e-mail: dominic.deslandes@uqam. ca). Digital Object Identifier 10.1109/TMTT.2012.2201741

have been proposed to design SIW filters. The simplest form is the inductive post filters [2], but different cavity shapes can also be used [3]. Cross-coupled filters can be realized by introducing complementary split-ring resonators on the top metal plate [4], evanescent waveguide sections between resonators in the same layer [5], or aperture coupling between resonators in adjacent layers [6]. Furthermore, SIW components are easily realized in a printed circuit board (PCB) process, low-temperature co-fired ceramic (LTCC) [6], [7], or photo-imageable process [8], [9]. The temperature stability of filters is a very important criterion that has not been discussed in the literature for the SIWs. In fact, the frequency selectivity of SIW filters is highly dependent on its dimensions and substrate permittivity. As the permittivity and dimensions are modified in connection with temperature variations, the center frequency of filters will drift. To control this temperature drift, materials with a low coefficient of thermal expansion (CTE), such as Invar, are commonly used in conventional waveguide filters [10]. Another solution is to make use of temperature compensation. The basic idea that has been usually considered in practice is to use different materials to preserve the size of the cavity as intact as possible when the temperature varies [11]–[14]. Another compensation technique that was recently proposed is to use a shape memory alloy actuator to provide a temperature-dependent field perturbation in such a way as to compensate for temperature drift due to the volumetric expansion [15]. Several techniques are also available for microstrip components and circuits. In [16] and [17], a microstrip dielectric resonator filter has been designed using a low-loss temperature-compensated material [18]. Narrowband notch filters, using a planar dual-mode ring resonator, were also presented in [19]. The resonator operates at harmonic frequencies and is manufactured on a temperature-stable calcium magnesium titanate substrate, using a thin-film photo-lithographic process. A ring resonator with an electrical length of four wavelengths is used, which ensures the temperature compensation of the resonance frequency. These solutions, however, are difficult to adapt to SIWs; in fact, it is rather hard to incorporate any external element in SIWs without altering the shielding of the waveguide. In this paper, a novel temperature compensation technique is proposed and demonstrated. The technique is based on a self-compensation of the resonant frequency by using appropriate coefficients of thermal expansion for the size and the permittivity of the cavity. The theoretical analysis is presented in Section II. It is shown that a specific ratio of the coefficients of thermal expansion provides complete temperature compensation. An experimental validation is then presented in Section III. Three cavities fabricated with different materials are measured and compared over the temperature range from

0018-9480/$31.00 © 2012 IEEE

DJERAFI et al.: TEMPERATURE-COMPENSATION TECHNIQUE FOR SIW CAVITIES AND FILTERS

2449

The goal is to minimize this frequency drift. To do so, we must have (4) By substituting (1) and (2) into (4), we obtain

(5) Usually the coefficients of thermal expansion along the - and -axis are the same Fig. 1. Schematic of the SIW waveguide cavity.

(6) and (4) becomes

TABLE I MATERIAL PROPERTIES

(7) Expanding (7), we have (8)

40 C to 80 C. A fourth-order filter is also prototyped and compared with a similar microstrip counterpart (same order and same substrate). II. THEORETICAL ANALYSIS A SIW cavity is realized by using a rectangular box made of metallic vias, as shown in Fig. 1. The first resonant mode is the mode. The resonant frequency is evaluated using the rectangular waveguide model for the SIW cavities, as discussed in [20]. For the rectangular model, the resonant frequency at the temperature of reference is equal to

The CTE and the temperature coefficient of the permittivity are in the order of 10 . The second- and third-order terms are then negligible compared to the first-order terms . Neglecting the highorder terms, we finally obtain (9) This simple concluding equation suggests an interesting observation, i.e., a material with a CTE in and that is twice lower than the temperature coefficient of the permittivity and opposite in sign should be able to provide a temperature compensation for SIW cavities. III. EXPERIMENTAL VALIDATION A. Materials

(1) where and denote the width and length of the cavity, respectively. If the temperature is increased, the resonant frequency will change according to the CTE ( and ) and the temperature coefficient of the permittivity ( ). With a change in temperature of , the resonant frequency is given by

(2) The equivalent linear frequency drift over the entire temperature range is then defined by

ppm C

(3)

In order to validate the proposed compensation techniques, the performances of circuit designed with three different substrates are compared. The cavities are design using the techniques presented in [21]. Table I provides the required specifications for all three materials used in the following sections [22]. The CTE is generally not isotropic. In the printed board substrates, the values are identical in the plane of the board – , but different in the -plane. The values listed on the datasheet from commercial vendors are averaged over the selected temperature range (0 C–100 C) and are not necessarily linear. However, for RT/Duroid 6002 and TMM, the CTE from 0 C to 100 C is essentially linear [23]. The coefficients of thermal expansion for all three dielectrics are relatively low and near the CTE of copper (17 ppm/ C). Thus, the effect of copper was not taken into account. Another characteristic that presents temperature dependence is the dielectric constant, which is quantified by the temperature coefficient of permittivity . It is interesting to note

2450

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

TABLE II MATERIAL PROPERTIES

Fig. 2. SIW cavity with microstrip transition.

that this coefficient can be a negative number. This means that, as the material expands, its density decreases, which leads to a decrease of the permittivity [24]. This behavior is the opposite of epoxy-based materials in which molecular interactions in epoxy resins contribute to the rising of the dielectric constant with temperature. is not constant over the course of temperature variation. The values given in Table I are the averages at 10 GHz over the 55 C–100 C temperature range. In [25], the variation of from 50 C to 250 C was presented. It is shown that is almost constant between 40 and 40 C. In [26], was measured from 30 to 70 GHz over the temperature range from 20 C to 200 C. The measurement has been done using a microstrip ring resonator technique with two different microstrip impedances. Measurements show a of 17.6 ppm/ C across the entire bandwidth. shows a negative value in contrast to the positive one given by the manufacturer. We have to take into account, however, that the measurement uncertainty shown in these results supports also a positive . Also, the measured permittivity is the microstrip effective permittivity. Finally, we have to keep in mind that the loss tangent and conductor loss are temperature dependent [27]. The RT/Duroid 6002 is a polytetrafluoroethylene (PTFE) material with a low dielectric constant and a very low loss. This material offers a low temperature coefficient of permittivity, and a CTE matching with that of copper. The RT/Duroid 6010 substrate is a ceramic/PTFE composite designed for microwave circuit applications requiring a high dielectric constant. Thermoset microwave laminates (TMMs) is a Thermoset ceramic loaded with plastic particles. Its is three times larger than the 6002 substrate, which should give an advantage to the 6002. The TMM10 material of the Rogers Corporation has interesting characteristics with a temperature coefficient of the permittivity equal to 38 ppm and a CTE in – of 21 ppm, which meets the requirements described in (9). B. SIW Cavities Three cavities fabricated with the materials presented in Table I are designed at 10 GHz. All dimensions are tabulated in Table II. The cavities are designed to have the same center frequency and coupling values. The same number of via-holes is used to ensure the same conditions. The fabrication process used to manufacture all prototypes is also the same. The holes are drilled with a laser micro-machining process and they are then electroplated. To measure the cavities, a wideband microstrip-to-SIW transition is used. The optimization of the transition dimensions follows the procedure presented in [28]. Fig. 2 shows the photograph of one of the manufactured cavities. The thickness of each substrate is: 0.508 mm for the RT/Duroid 6002 and the TMM10 and 0.635 mm for the RT/Duroid 6010.

Fig. 3. Calculated equivalent linear frequency drift of SIW cavities versus temperature for different materials.

The calculated equivalent linear frequency drift for all cavities are evaluated using (3) and are presented in Fig. 3. The equivalent linear frequency drifts are equal to 22 ppm/ C, 188 ppm/ C, and 2 ppm/ C for the RT/Duroid 6002, RT/Duroid 6010, and TMM10, respectively. From this calculation, the TMM10 shows the same stability as Invar cavities (1.6 ppm/ C) or shape memory alloy cavity presented in [15] with 2.9 ppm/ C. The cavities are measured using a two-port RF network analyzer (37397C) in conjunction with a standard temperature chamber operating between 40 C–80 C. Each sample is measured under different temperature with sufficient stabilization time. The temperature in the temperature chamber (Test Equity 105 [29]) is measured with a thermocouple sensor positioned near the device-under-test. The device is left at least 30 min at the temperature before taking the measurement. Also, all samples are measured more than once, with positive and negative temperature variations. The rate of change in cool down is 6.18 C/min and 11.36 C/min in heat up. This step ensures that the temperature in the device is stabilized. Fig. 4 shows the measured reflection of all three cavities at various temperatures. As expected from the calculation, the RT/Duroid 6002 and RT/Duroid 6010 present opposite temperature variations. The TMM10 results show an excellent stability between extreme temperatures ranges. This is significantly better than the 6002, even though the latter has the lowest temperature coefficient and the lowest permittivity. The center frequency drifts are about 273 ppm/ C for RT/Duroid 6010, 54 ppm/ C for the RT/Duroid 6002, and 8 ppm/ C for the TMM10. These correspond to 2.73%, 0.54%, and 0.08% of

DJERAFI et al.: TEMPERATURE-COMPENSATION TECHNIQUE FOR SIW CAVITIES AND FILTERS

2451

TABLE III PERFORMANCE OF THE TMM CAVITY

in TMM10. The values are used to evaluate the resonant frequencies. The measured shifts are larger than the simulated ones, but still very small. In our analyses, we considered the and CTE constant over the temperature. These coefficients are not completely constant, which explains the slight difference between the predicted and measured results. It is also interesting to compare the quality factor of the cavities, as a function of the temperature. The loaded quality factor of a cavity is defined as (10) where is the resonant frequency and is the 3-dB bandwidth. The external quality factor is defined as a function of at resonant frequency and the loaded quality factor [30] (11) and , the unloaded Knowing following relation:

factor is found using the (12)

Fig. 5 shows the measured quality factor of all cavities. In each case, the unloaded factor of three cavities is higher than 150 at ambient temperature. As shown in Table III for the TMM10 cavity, and values are constant versus temperature and the loaded factor is also constant. However, the measured changes over temperature and this affects the unloaded factor because the losses increase with the temperature. The measured is 185 and the calculated one is 202.4. C. SIW Filters

Fig. 4. Measured reflection coefficients for all cavities at different temperatures. (a) RT/Duroid 6002-0.508 mm. (b) RT/Duroid 6010-0.635 mm. (c) TMM10-0.508 mm.

frequency shift over a 100° range. They are in good agreement with the predicated values from the theoretical calculation. Table III summarize the results of the cavity manufactured

The proposed compensation technique is then validated on SIW filters. This is one of the most important issues with lowcost planar filters. To evaluate the performance of the compensation technique, an inductive post filter is designed and compared to a similar coupled-line bandpass filter (BPF) using the same material. A Chebyshev filter was designed to have a ripple level of 0.1 dB for a center frequency of 10 GHz with four cavities. A top view of typical inductive post filters is shown in Fig. 6. Such filters are designed using the well-known equations for traditional metallic waveguides, as described in [31]. The design techniques involve impedance inverters to represent the filter coupling section. The metal iris thickness is much smaller than

2452

Fig. 5. Measured unloaded

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

factor change versus temperature for all cavities.

Fig. 8. Simulated and measured TMM10.

-parameters for the SIW filter built with

Fig. 6. Schematic of the SIW iris filter and the equivalent RW filter (dash line).

TABLE VI FILTER PARAMETERS

Fig. 9. Photograph of the coupled line filter built with TMM10.

Fig. 7. Photograph of the SIW manufactured with the TMM10.

the operating wavelength; the inductive metal planes are then modeled as parallel inductive shunts connected between transmission lines of various electrical lengths. The inductive irises are approximated using empirical results from Marcuvitz [32]. The bandpass filter is designed as a rectangular waveguide and then converted to an SIW using the method presented in [20]. The final optimization is done with a full-wave simulator and the dimensions are reported in Table VI.

The manufactured filter is shown in Fig. 7. The simulated and measured results are shown in Fig. 8 for a temperature of 21 C. The center frequency is 10 GHz with a bandwidth of 1.16 GHz. The reflection in the passband is better than 15 dB and the insertion loss is lower than 0.8 dB. The microstrip parallel coupled line is designed using the technique presented in [33]. The structure is shown in Fig. 9. Figs. 10 and 11 show the performance of the two filters when the temperature changes from 40 C to 80 C. We notice a minimal change in the -parameter for the SIW filter in Fig. 10. The variation is more significant with the parallel coupled line filter, as shown in Fig. 11. Fig. 12 shows the temperature variation of the lower and upper cutoff frequencies. For the SIW filter, the center frequency varies between 10.059–10.07 GHz, which gives a frequency, drift of 9.1 ppm/ C, which is the same equivalent linear frequency drift of the single cavity. Between 0 C–60 C, the frequency variation is smaller. In fact, the data sheets of the material are generally given for the positive temperature. In this range, the bandwidth varies from 1.111 to 1.114 GHz, which is about 0.13%. For the microstrip filter, the frequency variation, however, is about 4.65%.

DJERAFI et al.: TEMPERATURE-COMPENSATION TECHNIQUE FOR SIW CAVITIES AND FILTERS

Fig. 10. Measured -parameters of the SIW filter for different temperatures.

Fig. 11. Measured -parameters of the coupled line filter versus the temperature change.

Fig. 13 shows the measured insertion loss of both filters at the central frequency when the temperature is swept from 40 C to 80 C. The insertion loss goes from 0.3 to 0.7 dB for the SIW filter and from 2.2 to 0.8 dB for the microstrip filter. The temperature variation of the loss tangent is generally not provided by the manufacturer. The measured validates that the increases with frequency. This is also confirmed by the increase of the losses in the SIW filter. The result for the insertion loss of the coupled line filter, however, seems to suggest the opposite. Nevertheless, if we look at all the different losses, the behavior of the microstrip line can be explained. We know that the radiation loss decreases when the width of the line increases [34] and the conductor loss changes inversely with the substrate thickness [33]. These two losses decrease when the temperature increases. Simulations of the filter give losses of about 0.44 dB. If the width of the conductor decreases by 2%, the losses will increase by 0.1 dB. Also, the condensation and moisture absorption strongly affect the losses of the microstrip. The can change significantly, up to 200%, with moisture absorption as little as 0.25% of dielectric weight [35]. The moisture absorption of the TMM is about 0.2% [22]. This effect will have more

2453

Fig. 12. Band edge of the SIW iris filter and coupled line filters versus the temperature change.

Fig. 13. Insertion loss of the SIW iris filter and coupled line filters versus the temperature change.

impact on microstrip filters because the SIW is a closed structure, which is less subject to moisture absorption. IV. CONCLUSION In this paper, we have studied the temperature effect in SIW cavities. It has been shown that temperature stability can be achieved with a material having the right thermal coefficients. Different materials have been used to fabricate cavities, and the temperature variation of the center frequency has been presented both analytically and experimentally. It has been found that a commercially available material presents characteristics close to the ideal one: the TMM10 in our case. When this material is used to design SIW cavities, an equivalent linear frequency drift of 8 ppm/ C is measured, while a variation of 2 ppm/ C was estimated. Microstrip and SIW filters designed with this material are also compared and discussed. The SIW filter shows a very low temperature drift compared to the microstrip counterpart. The SIW cavity also shows better results in term of insertion loss because the SIW is shielded and is less affected by moisture. This characteristic makes the SIW a structure of choice to design microwave systems working in hostile environment with high humidity.

2454

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

REFERENCES [1] I. C. Hunter, L. Billonet, B. Jarry, and P. Guillon, “Microwave filters—Applications and technology,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 794–805, Mar. 2002. [2] D. Deslandes and K. Wu, “Single-substrate integration technique of planar circuits and waveguide filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 593–596, Apr. 2003. [3] Z. Hao, W. Hong, H. Li, Z. Zhang, and K. Wu, “A broadband substrate integrated waveguide (SIW) filter,” in IEEE Antennas Propag. Soc. Int. Symp., 2005, vol. 1B, pp. 598–601. [4] H. Tang, W. Hong, J. Chen, G. Q. Luo, and K. Wu, “Development of millimeter-wave planar diplexers based on complementary characters of dual-mode substrate integrated waveguide filters with circular and elliptic cavities,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 4, pp. 776–782, Apr. 2007. [5] X.-P. Chen, W. Hong, T.-J. Cui, J.-X. Chen, and K. Wu, “Substrate integrated waveguide (SIW) linear phase filter,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 11, pp. 787–789, Nov. 2005. [6] T. M. Shen, C. F. Chen, T. Y. Huang, and R. B. Wu, “Design of vertically stacked waveguide filters in LTCC,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 8, pp. 1771–1779, Aug. 2007. [7] T. Y. Huang, T. M. Shen, H.-Y. Chien, and R. B. Wu, “Design of miniaturized vertically stacked SIW filters in LTCC,” in Eur. Microw. Conf., Sep. 2009, pp. 413–416. [8] D. Stephens, P. Young, and I. Robertson, “Millimeter-wave substrate integrated waveguides and filters in photoimageable thick-film technology,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 12, pp. 3832–3838, Dec. 2005. [9] M. Daigle, T. Djerafi, and K. Wu, “Modified photoimageable thick-film process for millimeter-wave rectangular waveguide applications,” Progr. Electromagn. Res. C, vol. 22, pp. 137–150, 2011. -band tem[10] S. Lundquist, M. Yu, D. Smith, and W. Fitzpatrick, “ perature compensated high power multiplexers,” in 20th AIAA Int. Commun. Satellite Syst. Conf. & Exhibit, May 2002, pp. 12–15. [11] J. Jilong, “A novel configuration of temperature compensation in resonant cavities,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 139–143, Jan. 2004. [12] C. Wang and K. Zaki, “Temperature compensation of combline resonators and filters,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1999, vol. 3, pp. 1041–1044. [13] P. Piironen, J. Mallat, and A. V. Räisänen, “Cryogenic millimeter-wave ring filter for space application,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 9, pp. 1257–1262, Sep. 1998. [14] N. M. Alford, J. Breeze, S. J. Penn, and M. Poole, “Tunable, temperature-compensated high and high thermal conductivity dielectrics for and band communications,” in IEE Microw. Filters Multiplexers Colloq., Nov. 2000, pp. 1–4. [15] B. F. Keats, R. B. Gorbet, and R. R. Mansour, “Design and testing of SMA temperature compensated cavity resonators,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 12, pp. 2284–2289, Dec. 2003. [16] G. B. Morgan, “Low insertion-loss, temperature-compensated dielectric filters for microwave integrated circuits,” Electron. Lett., vol. 19, no. 14, pp. 545–546, 1983. [17] D. J. Massé and R. A. Pucel, “A temperature-stable band pass filter using dielectric resonators,” Proc. IEEE, vol. 60, no. 6, pp. 730–731, Jun. 1972. [18] G. B. Morgan, “Temperature compensated, high permittivity dielectric resonators for millimetre wave systems,” Int. J. Infrared Millim. Waves, vol. 5, no. 1, pp. 6.1–6.5, Jan. 1984. [19] M. Schallner, “Temperature compensated planar narrowband notch filter with fully automated laser-trimming,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2001, vol. 3, pp. 1919–1922. [20] D. Deslandes and K. Wu, “Accurate modeling, wave mechanisms, and design considerations of a substrate integrated waveguide,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 6, pp. 2516–2526, Jun. 2006. [21] D. Deslandes and K. Wu, “Design considerations and performance analysis of substrate integrated waveguide components,” in Eur. Microw. Conf., Sep. 2002, pp. 881–884. [22] “RT/Duroid® 6002, 6202, 6006, 6010 PTFE/ceramic laminates,” Rogers Corporation, Rogers, CT, 2012. [Online]. Available: http://www.rogerscorp.com/acm/products/12/RT-duroid-6002-62026006-6010-PTFE-Ceramic-Laminates.aspx, [23] “High Frequency Circuit Materials Properties Guide,” Rogers Corporation, Rogers, CT, YEAR, Pub. 92-602.

[24] R. R. Hornung and J. C. Frankosky, “Microwave laminate material considerations for multilayer applications,” in Eur. Microw. Integr. Circuit Conf., Oct. 2007, pp. 627–630. [25] “Reliability and Key Properties of RT/Duroid® 6002,” Rogers Corporation, Rogers, CT, 2002, Pub. 92-. [26] D. Morcillo, S. K. Bhattacharya, A. Horn, and J. Papapolymerou, “Thermal stability of the dielectric properties of the low-loss, organic material RT/Duroid 6002 from 30 GHz to 70 GHz,” in 60th Electron. Compon. Technol. Conf., 2010, pp. 1830–1833. [27] J. Krupka, K. Derzakowski, B. Riddle, and J. Baker-Jarvis, “A dielectric resonator for measurements of complex permittivity of low loss dielectric materials as a function of temperature,” Meas. Sci. Technol., vol. 9, pp. 1751–1756, 1998. [28] D. Deslandes, “Design equations for tapered microstrip-to-substrate integrated waveguide transitions,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2010, pp. 704–708. [29] “Half cube model 105 temperature chamber,” Test Equity, Moorpark, CA, 2012. [Online]. Available: http://www.testequity.com/static/14/ [30] J. Papapolymerou, J. Cheng, J. East, and L. Katehi, “A micromachined -band resonator,” IEEE Microw. Guided Wave Lett., vol. 7, highno. 6, pp. 168–170, Jun. 1997. [31] I. C. Hunter, Theory and Design of Microwave Filters. Stevenage, U.K.: IET, 2001, p. 353. [32] N. Marcuvitz, Waveguide Handbook. New York: McGraw-Hill, 1951. [33] D. M. Pozar, Microwave Engineering, 3rd ed. New York: Wiley, 2004. [34] P. S. Hall, “Coplanar corporate feed effects in microstrip patch array designm,” Proc. Inst. Elect. Eng.—Microw., Antennas, Propag., vol. 135, no. 3, pt. H, pp. 180–186, 1988. [35] “The benefits of selecting RT/Duroid® 6010LM for bandpass filter applications,” Rogers Corporation, Rogers, CT, Pub. 92-1, 2002.

Tarek Djerafi was born in Constantine, Algeria, in 1975. He received the Dipl.Ing. degree from the Institut d’Aeronautique de Blida (IAB), Blida, Algeria, in 1998, and the M.A.Sc. and Ph.D. degrees (with distinction) in electrical engineering from the École Polytechnique de Montréal, Montréal, QC, Canada, in 2005 and 2011, respectively. He has been with SCP Science Montréal–Canada, as an electromagnetic compatability (EMC) expert. He is currently a Post-Doctoral Fellow with Institut National de la Recherche Scientifique–Énergie, Matériaux et Télécommunications (INRS–EMT) Montréal, QC, Canada. He is a Technical Reviewer for Progress in Electromagnetic Research (PIER) and IET Microwaves. His interests cover telecommunication antennas, beam-forming networks, and RF components design. Dr. Djerafi is a technical reviewer for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. He is project evaluator for the Romanian Research Council. He was the recipient of the 2011 Natural Sciences and Engineering Research Council of Canada (NSERC) Postdoctoral Fellowship.

Ke Wu (M’87–SM’92–F’01) is currently a Professor of electrical engineering and the Tier-I Canada Research Chair in RF and millimeter-wave engineering with the École Polytechnique de Montréal, Montréal, QC, Canada. He holds the first Cheung Kong endowed chair professorship (visiting) with Southeast University, the first Sir Yue-Kong Pao chair professorship (visiting) with Ningbo University, and an honorary professorship with Nanjing University of Science and Technology, Nanjing University of Post Telecommunication, and City University of Hong Kong. He has been the Director of the Poly-Grames Research Center, and the founding Director of the Center for Radiofrequency Electronics Research of Quebec (Regroupement stratégique of FRQNT). He has also held guest and visiting professorship in many universities around the world. He has authored or coauthored over 820 referred papers and a number of books/book chapters. He holds or coholds numerous patents. He has served on the editorial/review boards of many technical journals, transactions and letters,

DJERAFI et al.: TEMPERATURE-COMPENSATION TECHNIQUE FOR SIW CAVITIES AND FILTERS

as well as scientific encyclopedia as an editor and guest editor. His current research interests involve substrate integrated circuits (SICs), antenna arrays, advanced computer-aided design (CAD) and modeling techniques, wireless power transmission, and development of low-cost RF and millimeter-wave transceivers and sensors for wireless systems and biomedical applications. He is also interested in the modeling and design of microwave photonic circuits and systems. Dr. Wu is a member of the Electromagnetics Academy, Sigma Xi, and the URSI. He is a Fellow of the Canadian Academy of Engineering (CAE) and the Royal Society of Canada (The Canadian Academy of the Sciences and Humanities). He was an IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Distinguished Microwave Lecturer (2009–2011). He has held key positions in and has served on various panels and international committees including the chair of Technical Program committees, international Steering committees, and international conferences/symposia. In particular, he is the general chair of the 2012 IEEE MTT-S International Microwave Symposium. He is currently the chair of the joint IEEE chapters of MTT-S/AP-S/LEOS, Montréal, QC, Canada. He is an elected IEEE MTT-S Administrative Committee (AdCom) member (2006–2015). He was chair of the IEEE MTT-S Transnational Committee and Member and Geographic Activities (MGA) Committee. He was the recipient of many awards and prizes including the first IEEE MTT-S Outstanding Young Engineer Award, the 2004 Fessenden Medal of the IEEE Canada, and the 2009 Thomas W. Eadie Medal of the Royal Society of Canada.

2455

Dominic Deslandes (SM’04–M’06) received the B.Sc. degree in electrical engineering from the University of Sherbrooke, Quebec, QC, Canada, in 1998, and the M.Sc. and Ph.D. degrees from the École Polytechnique de Montréal, Montréal, QC, Canada, in 2001 and 2005, all in electrical engineering. From 2006 to 2007, he was a Post-Doctoral Researcher with the University of Sherbrooke. In December 2007 he joined the Department of Computer Science, Université du Québec à Montréal, Montréal, QC, Canada, where he is currently an Assistant Professor. His research interests include the analysis, synthesis, and integration of passive and active components for microwave and millimeter-wave systems. Dr. Deslandes was the recipient of the Natural Sciences and Engineering Research Council Doctoral Prize (Best Engineering Thesis in Canada) in 2007.

2456

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Development of Optically Transparent Ultrathin Microwave Absorber for Ultrahigh-Frequency RF Identification System Yoshinobu Okano, Member, IEEE, Satoshi Ogino, and Koji Ishikawa

Abstract—The application of UHF radio-frequency identification (RFID) systems is rapidly increasing. However, the reliability of indoor telecommunication is adversely affected by multiple reflection interference. Consequently, to establish a highly reliable UHF-RFID system, it is essential to improve the multipath environment. This paper describes an ultrathin microwave absorber that is designed to improve the multipath environment of UHF-RFID systems. This absorber is optically transparent so that it does not create blind areas for surveillance cameras installed near an UHFRFID system (e.g., at the entrance of an office or a warehouse). The improved tag identification probability using this absorber is demonstrated in an actual warehouse. Index Terms—Finite difference time domain (FDTD), tag identification probability, transparent, UHF RF identification (UHFRFID) system, ultrathin microwave absorber.

I. INTRODUCTION

W

IRELESS telecommunication devices are increasingly being used with the global spread of mobile phones, wireless local-area networks (WLANs), and UHF RF identification (RFID). Consequently, serious problems are being encountered due to electromagnetic interference. The wireless telecommunication environment in indoor locations is adversely affected by multiplex reflection interference. Such interference needs to be controlled to improve wireless communication. In particular, it is essential to improve the multipath environment to realize reliable UHF-RFID systems. Multipath propagation has been extensively studied for mobile communications [1]–[3] and for some indoor wireless systems such as wireless local area networks (WLANs) [4]. Additionally, radio link budgets for UHF-RFID in multipath environments have been described in detail [5]. The effect of the surrounding environment can generally be predicted from the results of these studies. Incorrect reading of the RFID in which

Manuscript received September 29, 2011; revised April 26, 2012; accepted April 27, 2012. Date of publication June 15, 2012; date of current version July 30, 2012. This work was supported under Grant-in-Aid for Scientific Research (C) 21560417. Y. Okano is with the Musashi Institute of Technology, Setagaya-ku, Tokyo 158-8557, Japan (e-mail: [email protected]). S. Ogino is with Microwave Absorber Inc., Tokyo 111-0053, Japan (e-mail: [email protected]). K. Ishikawa is with the Toppan Forms Company, Tokyo 105-8311, Japan (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2202680

tags are identified without the management district or tags are identified multiple times can be reduced by statistically compensating for the effects of the surrounding environment in the control of UHF-RFID systems [6]. However, it is difficult to evaluate the effects of the surrounding environment since they may vary with time or position. Thus, there is an urgent need for a practical technique that can reduce incorrect reading of the RFID and that can be applied to specific cases in working environments such as warehouses and offices. Microwave absorbers have been used to improve the surrounding environment at actual working sites. Thin microwave absorbers for limited frequency bands are considered to be especially effective for narrow operating frequency bands, such as those of UHF-RFID systems (915–928 MHz). The thickness of microwave absorbers can be considerably reduced by employing ferrite materials [7], but it is difficult to reduce their weight. Since Salisbury screens are simple structural absorbers, their absorption can be controlled very easily [8]. In such absorbers, a resistive sheet is placed a quarter wavelength ( mm at 920 MHz) above the ground plane to reduce the incident field. Their structures are a little complex, although a technique for reducing the thickness of Salisbury screens has been reported [9]. In addition, surveillance cameras are often used in areas where UHF-RFID systems are commonly used (such as near the entrances of offices or warehouses). In such a case, the microwave absorber should not increase the surveillance blind area. However, it is not easy to make the above-mentioned microwave absorbers optically transparent. Artificial high-impedance surfaces on which metal strips or patches are arrayed on a metal-backed dielectric substrate have been intensively studied [10]–[15]. Microwave absorbers that use artificial high-impedance surfaces do not require resistive sheets or lossy substrates to reduce the incident field. The or less at thicknesses of such absorbers can be reduced to the operating frequency. Furthermore, transparent plastic (e.g., acrylic, polycarbonate, or polyvinyl chloride) boards can be used as dielectric substrates on which metal strips or patches are arrayed. Such absorbers are lighter than absorbers fabricated from a ferrite material. Moreover, ultrathin microwave absorbers with metal-backed substrates and strips or patches made from aluminum micromesh or indium–tin–oxide thin films are optically transparent. This study proposes using an ultrathin microwave absorber with artificial high-impedance surfaces to reduce incorrect reading of RFID. It also describes how the microwave absorber can be made optically transparent.

0018-9480/$31.00 © 2012 IEEE

OKANO et al.: DEVELOPMENT OF OPTICALLY TRANSPARENT ULTRATHIN MICROWAVE ABSORBER

2457

Fig. 1. Example of electromagnetic interference in a UHF-RFID system.

This paper is organized as follows. Section II presents parametric analysis results that are required for designing an ultrathin absorber that reduces incorrect reading of UHF-RFID systems. In addition, the flow of microwave energy in the absorber at the microwave incidence is shown and the absorption mechanism is analyzed. A prototype of a transparent ultrathin absorber was fabricated. Experimental results for its absorption performance are given in Section III. The reduction in incorrect reading of a RFID system when the transparent ultrathin absorber was employed was evaluated an actual warehouse and the results are given in Section IV. Section V presents the conclusions of the study. II. DESIGN OF ULTRATHIN ABSORBER FOR UHF-RFID SYSTEM When an UHF-RFID system is used for stock control or access control in a warehouse or an office, it is important to prevent incorrect reading of the RFID as much as possible. However, warehouses and offices are generally multipath environments (see Fig. 1). Thus, mistakes may occur when registering and delivering stock by identifying tags multiple times due to scattered waves. Moreover, incorrect identification of ID tags in an office may permit an outsider to gain access. Accordingly, an ultrathin microwave absorber is used as a screen to prevent incorrect reading of RFID. Fig. 2 shows the appearance of the ultrathin microwave absorber developed for a UHF-RFID system. The absorbing screen needs to be wide since the reader/writer antenna has a wide beam, but this results in high manufacturing costs for absorbing screens made from metamaterials. Vias required in mushroom-shaped highimpedance surfaces also increase manufacturing costs. In addition, vias will reduce the transparency of a transparent absorbing screen. Consequently, we adopted an absorbing screen with an array of patch elements [14], [15]. A conventional transparent plastic (e.g., acrylic, polycarbonate, or polyvinyl chloride) board was used for the metal-backed dielectric substrate. Such plastic boards have

Fig. 2. Structure of ultrathin microwave absorber for UHF-RFID system. (a) 3-D and (b) side views.

relative permittivities of approximately – . The dielectric substrate thickness was taken to be the minimum thickness mm of a commercial board that is able to independently maintain its shape. The key parameters that determine the performance of the absorber are the patch size and the distance between patches ; other parameters are determined based on practical considerations. Since the UHF-RFID system uses circularly polarized waves, the patch elements are square so that they have rotational symmetry. The finite-difference time-domain (FDTD) method is used to analyze the key parameters [16], [17]. Fig. 3 shows the model used for parameter analysis by the FDTD method. The analyzed unit block was enclosed by a periodic boundary consisting of a perfect electric conductor wall and a perfect magnetic conductor wall. The FDTD cell length was 1.0 mm and the time step was 0.001926 ns. Fig. 4 shows a contour plot that indicates the absorption dependence on the element width and the element interval . It shows the absorption at the center frequency band (920 MHz) of the UHF-RFID system. It also shows how the absorption varies with the relative permittivity ( ) of the dielectric substrate. The dielectric substrate was assumed to have a uniform conductivity of 0.0005 S/m. However, the

2458

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 3. FDTD analytical model of microwave absorber.

patch elements and the back metal plate are assumed to be perfect electric conductor sheets without thickness. The absorption is maximized when the patch element width corresponds to approximately half the wavelength of the incident field shortened by the dielectric substance. The values of and that maximize the absorption are given by (1) (2) These expressions are valid for the relative permittivity of a conventional plastic board. However, when the relative permittivity is very high, the validity of this expression is not guaranteed. To visualize the absorption mechanism, analysis results for the energy flow when the ultrathin absorber is irradiated by microwaves with the target frequency are given below. Based on the results shown in Fig. 4, the element width and the element interval are set to 84 and 92 mm, respectively, for a dielectric substrate with . This absorber is irradiated by microwaves with the configuration shown in Fig. 5. Fig. 6 shows the time-averaged Poynting vectors on the absorber cross section for this case. No remarkably large Poynting vectors are found on the absorber cross section when the incident wave frequency is greater than 20% of the

Fig. 4. Effect of element width and element interval on the absorption MHz for relative of the microwave absorber at the center frequency , (b) , and (c) . permittivities of the dielectric substrate of: (a)

center frequency [see Fig. 6(a)]. In this case, the dominant component of the Poynting vector at the front of the absorber is the component. This is similar to the Poynting vector of a wave reflected from a perfect electric conductor. On the other hand, large Poynting vectors are generated between patch elements and reflectors when the incident wave frequency is

OKANO et al.: DEVELOPMENT OF OPTICALLY TRANSPARENT ULTRATHIN MICROWAVE ABSORBER

2459

Fig. 5. Microwave direction and coordinate system for absorber.

Fig. 7. Photograph of prototype transparent microwave absorber for UHF-RFID system.

Fig. 8. Absorption property measurement setup for the prototype absorber.

Fig. 6. Time-averaged Poynting vector flow in microwave absorber cross secor and (b) . tion when incident wave frequency is: (a)

[see Fig. 6(b)]. The component of the Poynting vector is remarkably large in this case, while the component is generated only in the region between the patch element and the reflector. The component, which is the dominant component of the incident Poynting vector, is transformed into the component by mode conversion by an equivalent cavity resonator composed of a patch and a reflector. According to this phenomenon, the component of Poynting vector in Fig. 6(b) is about 10 000 times ( 40 dB) larger compared to that in Fig. 6(a).

Even if the dielectric loss of the substrate is small, such an energy concentration generates a large power consumption. No remarkable transition in the Poynting vector is observed in the – cross section. However, when the incident wave frequency exceeds , no remarkably large Poynting vectors are observed [see Fig. 6(a)]. Thus, the absorber will have a high absorption only when the incident frequency is close to the resonance frequency of the cavity. III. PERFORMANCE OF PROTOTYPE ABSORBER This section describes the fabrication of a transparent ultrathin absorber based on the absorption analysis in Section II. Fig. 7 shows a photograph of the fabricated transparent ultrathin absorber. Three absorber screens were prepared in consideration of beamwidth of the reader/writer antenna for the RFID system. Polycarbonate resin was used for the transparent dielectric substrate because it has a high shock tolerance and

2460

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 10. Model used to simulate the electric-field distribution near an entrance gate.

Fig. 9. Measured absorption of the prototype microwave absorber. (a) Comparison of numerically calculated and measured absorptions for normal incidence. Measurement results obtained for oblique incidence of: (b) TE and (c) TM waves.

an excellent transparency to visible light. The substrate thickness is 5 mm and polycarbonate has a relative permittivity of about 3. Consequently, the element width and the element interval were set to 84 and 92 mm, respectively. The transparent conductive sheet for the patch elements and reflectors consist of aluminum micromesh on a 0.1-mm-thick PET film. The aluminum micromesh is about 5- m thick [18]. This sheet has a transparency of 75% or more and a resistance of less than 0.2 m . The prototype absorber has an excellent visible-light transparency that even permits the facial expression of the worker behind absorbing screen to be observed. Thus, this absorbing screen will not interfere with camera surveillance. Fig. 8 shows the setup used to measure the absorption properties of the prototype absorber. The absorption properties

were measured in a radio anechoic chamber using two identical log-periodic dipole array antennas. The distance between the antenna and the absorber was set to 3 m. Time-domain measurements of the vector network analyzer were performed in the absorption measurement. The influence of the crosstalk between antennas was excluded by detecting only the reflection pulse in the time domain. Frequency-domain data are obtained by applying fast Fourier transform (FFT) to the detected reflected pulse. However, when , it was difficult to remove crosstalk between antennas using this method. Accordingly, we considered data measured for to be for approximately normal incidence. The aluminum plate was assumed to be a perfect reflector (i.e., absorption is 0 dB), and the absorption amplitude was estimated from the reflection difference between the testing absorber and the aluminum plate. Fig. 9 shows the absorption as a function of frequency of this prototype absorber. Fig. 9(a) shows the numerically calculated and measured absorptions of the absorber (which was optimized in Section II). For normal incidence, there is excellent agreement between the numerically calculated and experimentally measured absorption properties. This demonstrates that (1) and (2) are useful expressions for designing absorbers. Fig. 9(b) and (c) shows the measured absorption properties of the prototype for oblique incidence. For oblique incidence of TE-polarized radiation, the absorption for frequencies in the range 915–928 MHz was 10 dB or more when the incident angle is 60 or less. Fig. 9(b) demonstrates that the absorption has a low dependence on the incident angle. In contrast, comparison of Fig. 9(b) and (c) reveals that there is a clear difference between the results for the TM-polarization case. For TM polarization, the absorption is only 10 dB for frequencies in the range of 915–928 MHz when the incident angle is less than 50 . However, the dependence of absorption on the incident angle is larger than that for the TE-polarization case so that the absorption rapidly decreases with increasing incident angle. This trend was confirmed by simulation (although numerical oblique incidence data are not shown). Since the circularly polarized wave used with the UHF-RFID system consists of both TE- and

OKANO et al.: DEVELOPMENT OF OPTICALLY TRANSPARENT ULTRATHIN MICROWAVE ABSORBER

2461

Fig. 12. (a) Photograph of test site showing coordinate directions. (b) Coordinates used for measuring tag identification probability.

Fig. 11. Simulation results for electric-field distribution near entrance gate. (a) Basic configuration. (b) Blocking of reflected waves by shielding screen. (c) Reflection wave suppression situation with microwave absorbing screen.

TM-polarized fields, the total wave energy will decrease if one of these fields is attenuated.

Fig. 9 demonstrates that the proposed ultrathin absorber has a sufficiently high absorption. We now present simulation results for the ability of the absorbing screen to prevent incorrect reading of RFID. Fig. 10 shows the simulation model for an entrance gate with a reader/writer antenna for UHF-RFID. The entrance gate is 2-m wide so that a forklift can pass through it. The floor is a concrete plate reinforced with a metal plate, which is the same as the floor in an actual warehouse (see below). Fig. 11 shows the numerical analysis results for the electric field distribution in the reference plane that contains reader/writer antennas that are 1-m high. Fig. 11(a) shows the electric-field distribution for the basic configuration with only the left-hand polarized antennas supported by masts. Since the microwaves are scattered in all directions under such conditions, there is a high possibility of incorrectly reading the RFID. Fig. 11(b) shows the electric-field distribution when a conducting shield is used to prevent incorrect reading of the RFID. The shield drastically suppresses microwave scattering behind the shield. However, a grating lobe is strongly radiated around the edge of the shield so that the probability of incorrectly reading the RFID may be higher than for the basic configuration. In contrast, when absorbing walls are installed

2462

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

TABLE I SPECIFICATIONS OF MEASUREMENT EQUIPMENT USED EVALUATE TAG IDENTIFICATION PROBABILITY

TO

around the entrance gate, both microwave scattering behind the wall and scattering near the gate aperture are suppressed. These results indicate that it is essential to install absorbing walls on the screen to prevent incorrect reading of the RFID. IV. EVALUATION OF ACTUAL SITUATION This section considers the case when an entrance gate of a UHF-RFID system is operated in an actual warehouse. The ultrathin absorber is shown to reduce incorrect reading of the RFID. Fig. 12(a) shows a photograph of the warehouse used to evaluate the effectiveness of the ultrathin absorber. The floor and wall of the warehouse are made of ferroconcrete. The warehouse ceiling is made of iron plate and it is 10 m above the floor. The entrance gate consists of reader/writer antennas that are 1-m high and separated by 2 m and it is located at the center of the warehouse [see Fig. 12(b)]. Table I lists the specifications of the measurement equipment. In this measurement, an RFID tag is fixed at a height of 1 m with a honeycomb polyethylene board. The RFID tag is moved at intervals of 0.5 m and identification is performed 20 times by the reader/writer at each position. The identification probability for the RFID tag is taken to be the ratio of the number of successful identifications to the total number of identifications performed. The distribution of the identification probability for the RFID tag is obtained by repeating this procedure at each lattice point in Fig. 12(b). Figs. 13 and 14, respectively, show the identification probability distributions when the RFID tag (meander dipole antenna) is placed vertically and horizontally on the floor. These figures also show the identification probability differences around the

Fig. 13. Results of identification possibility measurement when tag is set vertically for: (a) basic configuration, (b) with shield walls, and (c) with absorbing walls.

entrance gate for the three configurations (i.e., basic configuration, with shield walls, and with absorbing walls).

OKANO et al.: DEVELOPMENT OF OPTICALLY TRANSPARENT ULTRATHIN MICROWAVE ABSORBER

2463

Fig. 15. Dependence of ultrathin microwave absorber performance on parameters of the dielectric substrate. (a) Absorption peak transition for three relative permittivities of the polycarbonate substrate. (b) Absorption bandwidth transition for four thicknesses of the polycarbonate substrate.

Fig. 14. Results of identification possibility measurements when tag is horizontal for: (a) basic configuration, (b) with shield walls, and (c) with absorbing walls.

In the basic configuration, there is an area of high identification probability of tags around the entrance gate, which agrees

with the numerical results shown in Fig. 11(a). Incorrect reading of RFID may occur frequently because the high identification probability area of the tag extends widely, especially when the tag antenna is horizontal. As shown in Figs. 13(b) and 14(b), when conducting shield walls are installed around the entrance gate, the tag identification probability is suppressed to almost 0% behind the walls. However, there is high identification probability near the gate aperture that extends further than for the basic configuration, although it is narrow. The possibility of unexpected incorrect reading of RFID increases when there is an area of high identification probability far from the entrance gate, even if it is narrow. The presence of furniture in an office or a warehouse may increase incorrect reading of RFID. On the other hand, when absorbing walls are installed around the entrance gate, the area of high tag identification probability greatly reduced. Figs. 13(c) and 14(c) show that there is some overflow area of high identification probability in the direction of gate aperture. However, when absorbing walls are installed, the high identification probability area orthogonal to walls (i.e., parallel with the -axis) is greatly suppressed. Since the effectiveness of the absorbing walls does not depend on furniture in offices or warehouses, this demonstrates that it is a reliable and practical means for suppressing incorrect reading of the RFID. Finally, the performance of the absorber is considered based on the substrate parameters. Fig. 15(a) shows the absorption peak transition for three different relative permittivities of the polycarbonate substrate. Such an absorption peak transition will inevitably occur when compound materials are used. Nevertheless, a sufficient absorption performance is demonstrated in the UHF-RFID band. Fig. 15(b) shows the absorption bandwidth transitions for various substrate thicknesses. To facilitate comparison of these absorption bandwidth transitions, the incident

2464

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

wave frequency is normalized by the absorption peak frequency in this figure. These results demonstrate that the absorption bandwidth doubles when the substrate thickness is increased to mm. V. CONCLUSIONS Since the surrounding environment of an UHF-RFID system varies with time and the situation, it is difficult to suppress incorrect reading of RFID using only numerical calculations. Consequently, we proposed an ultrathin microwave absorber with artificial high-impedance surfaces to suppress incorrect reading of the RFID in the UHF band. Additionally, since surveillance systems are often employed in areas where UHF-RFID systems are used, we used a transparent ultrathin microwave absorber. An absorber was fabricated by adding patch elements and a reflector consisting of aluminum micromesh on a 5-mm-thick polycarbonate resin board. This prototype absorber has absorption of 40 dB or more, even though it was only (where is the wavelength corresponding to 920 MHz in free space) thick. In addition, measurements revealed that this transparent absorber is highly effective for oblique incidence of TE- or TM-polarized fields. Finally, the effectiveness of this transparent absorber in preventing incorrect reading of the RFID was verified in an actual warehouse. In these tests, conducting shield walls were found to suppress incorrect reading of the RFID. However, incorrect reading of RFID increased around the gate aperture because the shield walls functioned like a waveguide. In contrast, when absorbing walls were installed around the entrance gate, the area of high tag misidentification probability was greatly reduced to being only near the entrance gate. This demonstrates that the developed transparent ultrathin absorber is very effective for preventing incorrect reading of the RFID. In the future, we intend to investigate the effectiveness of this absorber in an office. Moreover, suppression of incorrect reading of the RFID when this absorber is placed on the floor will be verified.

[10] O. Luukkonen, M. G. Silveirinha, A. B. Yakovlev, C. R. Simovski, I. S. Nefedov, and S. A. Tretyakov, “Effects of spatial dispersion on reflection from mushroom-type artificial impedance surfaces,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 11, pp. 2692–2714, Nov. 2009. [11] A. B. Yakovlev, Y. R. Padooru, G. W. Hanson, A. Mafi, and S. Karbasi, “Generalized additional boundary condition for mushroom-type and bed-of-nails type wire media,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 3, pp. 527–532, Mar. 2011. [12] O. Luukkonen, F. Costa, C. R. Simovski, A. Monorchio, and S. A. Tretyakov, “A thin electromagnetic absorber for wide incidence angles and both polarizations,” IEEE Trans. Antennas Propag., vol. 57, no. 10, pp. 3119–3125, Oct. 2009. [13] O. Luukkonen, C. R. Simovski, G. Grante, G. Goussetis, D. V. Lioubtchenko, A. V. Räisänen, and S. A. Tretyakov, “Simple and accurate analytical model of planar grids and high-impedance surfaces comprising metal strips or patches,” IEEE Trans. Antennas Propag., vol. 56, no. 6, pp. 1624–1632, Jun. 2008. [14] H. Mosallaei and K. Sarabandi, “A one-layer ultrathin meta-surface absorber,” in Proc. IEEE AP-S Int. Symp., 2005, vol. 1, pp. 615–618. [15] A. Kanzemzadeh and A. Karlsson, “On the absorption mechanism of ultra thin absorbers,” IEEE Trans. Antennas Propag., vol. 58, no. 10, pp. 3310–3315, Oct. 2010. [16] A. Taflove and M. E. Brodwin, “Numerical solution of steady state electromagnetic scattering problem using the time dependent Maxwell’s equation,” IEEE Trans. Microw. Theory Tech., vol. MTT-23, no. 8, pp. 623–630, Aug. 1975. [17] K. S. Kunz and R. J. Lubbers, Finite Difference Time Domain Method for Electromagnetics. Boca Raton, FL: CRC, 1993. [18] “Highly transparent metallic mesh films,” Sumitomo Osaka Cement Company Ltd., Tokyo, Japan, 2000. [Online]. Available: http://www. socnb.com/product/hproduct_e/ad_mesh_e.html Yoshinobu Okano (S’98–M’99) received the B.E., M.E., and Ph.D. degrees in electrical engineering from Chiba University, Chiba, Japan, in 1992, 1994 and 1999, respectively. From 1999 to 2001, he was with the Electrotechnical Laboratory, Agency of Industrial Science and Technology, Ministry of International Trade and Industry. He is currently with the Musashi Institute of Technology, Setagaya-ku, Japan, where he performs research on precise measurement technology of microwave power absorption in dielectric substances. His main interests have included small antenna systems and electromagnetic compatibility (EMC). Dr. Okano is a member of the Institute of Electrical, Information and Communication Engineers (IEICE), Japan.

REFERENCES [1] H. L. Bertoni, W. Honcharenko, L. Rcha, and H. Xia, “UHF propagation for wireless personal communications,” Proc. IEEE, vol. 82, no. 9, pp. 1333–1359, Sep. 1994. [2] W. C. Jakes, Microwave Mobile Communications. New York: Wiley, 1974. [3] C. Y. Lee, Mobile Communications Engineering. New York: McGraw-Hill, 1995. [4] A. A. Saleh and R. A. Valenzuela, “A statistical model for indoor multipath propagation,” IEEE J. Sel. Areas Commun., vol. SAC-5, no. 2, pp. 128–137, Feb. 1987. [5] A. Lazaro, D. Girbau, and D. Salias, “Radio link budgets for UHF RFID on multipath environments,” IEEE Trans. Antennas Propag., vol. 57, no. 4, pp. 1241–1251, Apr. 2009. [6] G. D. Vita and G. Iannaccone, “Design criteria for the RF section of UHF and microwave passive RFID transponders,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2978–2990, Sep. 2005. [7] M. Amano and Y. Kotsuka, “A method of effective use of ferrite for microwave absorber,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 238–244, Jan. 2003. [8] R. L. Fante and M. T. Mccormack, “Reflection properties of the Salisbury screen,” IEEE Trans. Antennas Propag., vol. 36, no. 10, pp. 1443–1454, Oct. 1988. [9] Y. Okano, “Development of thin electromagnetic wave absorption wall using patch elements,” in Proc. 20th Int. EMC Symp., Zurich, Switzerland, Sep. 2009, pp. 413–416.

Satoshi Ogino received the B.E. degree from the Kobe City University of Foreign Studies, Hyogo, Japan, in 1990. From 1996 to 2001, he was involved in the import and export of ETC system equipment at a trading company. In 2001, he established Microwave Absorber Inc., Tokyo, Japan, where he is currently the Chief Executive Officer (CEO), involved in the development of the RFID and ETC system markets and their applications.

Koji Ishikawa received the B.E. degree from the College of Science and Technology, Nihon University, Tokyo, Japan, in 1985. From 1985 to 1999, he was involved with the development of office equipment with the Central Research Institute, Toppan Forms Company. Since 1999, he has been involved in the development of HF- and UHF-RFID systems and their applications.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

2465

Compact Tunable Reflection Phase Shifters Using Short Section of Coupled Lines Amin M. Abbosh, Senior Member, IEEE

Abstract—In the design of reflection-type phase shifters, the coupler that represents the shifter’s backbone is usually assumed to be a quarter-wavelength 3-dB coupler. In this paper, a derived theoretical model shows that, for certain values for the odd- and even-mode impedances, a coupled structure with a length that is less than one tenth of a wavelength is sufficient to build a highperformance reflection phase shifter. The presented analysis indicates that reflection phase shifters can be designed with a more compact size and larger phase range compared with the conventional method of using a quarter-wavelength 3-dB coupler. However, the required odd-mode impedance in the proposed design is low 10 , whereas the required even-mode impedance is high 200 . To realize those impedances when using parallel-coupled lines, slotted ground and shunt chip capacitor are used. The proposed design is supported by full-wave electromagnetic simulations and measurements. The simulated results show that coupled structure achieves 255 phase range across 36% fractional bandwidth with less than 1-dB insertion loss and more than 10-dB return loss. In another design, a full-cycle phase range is obtained with less than 1.5-dB insertion loss across the same band by using two coupled sections. A manufactured prototype for a fullcycle phase range validates the simulation results and, thus, the proposed method. Index Terms—Analog phase shifter, reflective phase shifter, tunable phase shifter.

I. INTRODUCTION

T

UNABLE phase shifters are key devices in many microwave systems, such as phased arrays, satellite systems, microwave instrumentations, modulators, noise cancellation systems, frequency converters, and, recently, wireless local area networks (WLANs) employing multiple-input multiple-output (MIMO) technology [1]–[11]. The phase shifters are required to have compact size, low cost, and low insertion loss across the required bandwidth. The size of the phase shifters has become a crucial parameter in their design, especially since the recent adoption of the MIMO technology in the design of mobile handsets due to the limited available space. Moreover, the cost of the utilized phase shifters in the handsets and other portable devices should be as low as possible for obvious economical reasons. In addition, the level of the insertion loss caused by the utilized phase shifters is the key

Manuscript received January 31, 2012; accepted April 21, 2012. Date of publication June 08, 2012; date of current version July 30, 2012. This work was supported by the ARC Future Fellowship, Australia. The author is with the School of Information Technology and Electrical Engineering, The University of Queensland, QLD 4072 Australia (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2198232

factor that defines the overall performance of modern communication systems with large dynamic ranges. A significantly high insertion loss of phase shifters used in a transmitter causes a significant reduction in the level of transmitted power, whereas it causes a serious degradation in the signal-to-noise ratio (SNR) when the phase shifter is part of a receiver. Both of those effects reduce the dynamic range significantly of even the best designed systems. The most common type of analog phase shifters is the reflection-type [1]–[15]. It uses quarter-wavelength 3-dB quadrature couplers to split the input signal into two orthogonal signals that are reflected back and combined at the output. The variable phase shift is realized by changing the phase and amplitude of those orthogonal signals before their combination. Reviewing the literature shows that all of the papers that dealt with the reflection-type phase shifter assume by default that the coupler, which is the backbone of the phase shifter, is quarterwavelength 3-dB quadrature coupler. In the design of quadrature couplers as a standalone device, it is well understood that a quarter-wavelength coupled structure is needed. Moreover, the in the couplers are odd- and even-mode impedances , where is chosen according to the formula the input/output ports impedance. However, the final structure and required performance for the couplers and phase shifters as standalone devices are not exactly the same. The quadrature couplers are needed to have a certain coupling factor with 90 phase shift between its two output signals, whereas the phase shifter that has one output should achieve a specific phase range with a certain low insertion loss across a certain band. Thus, the reasonable questions concerning this matter are, do we really need a coupling length of quarter wavelength to achieve the required performance for the phase shifter? Are the quarter-wavelength and 3-dB coupling the optimum choices for the design of reflection-type phase shifters? Does the aforementioned relation between the mode impedances give the best performance for the phase shifter across a certain band? It could be possible that different criteria from those of the quadrature coupler are needed for the mode impedances and length of the coupled structure when designing a reflection phase shifters. In this paper, a complete theoretical analysis is presented to show that a coupled structure of length that is less than one tenth of a wavelength is sufficient to build a high-performance reflection-type phase shifter. Moreover, the short-length coupled structure is actually the optimum choice to realize the highest possible phase range for a certain varactor diode or reflection load in general. The derived model is supported by full-wave electromagnetic simulations of two designs. Also, a prototype with full-cycle phase range is built according to the proposed method and successfully tested.

0018-9480/$31.00 © 2012 IEEE

2466

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

(6) Scattering matrix with elements are calculated using the oddeven mode approach [17] (7) Fig. 1. Reflection phase shifter utilizing coupled structure of arbitrary length.

(8) (9) (10)

II. THEORY A diagram showing a reflection-type phase shifter utilizing a coupler of length is shown in Fig. 1. To change the phase, a variable load is connected between the two outputs of the coupled structure and the ground. Instead of using quarterwavelength coupler, assume that the coupled structure’s length is a variable to be found for a maximum phase range across a certain band. Also, the mode impedances of the coupled structure are assumed to be independent variables to be optimized for an acceptable performance (insertion loss and return loss) across the required band. In other words, the mode impedances are not assumed by default to be selected to achieve a 3-dB coupling and to be related with each other and with the input/output ports impedance via the relation . Using the signal flow diagrams of four-port devices [16], it is possible to show that, for arbitrary and , the outgoing signals can be calculated for the structure of Fig. 1 as follows: (1) where is the vector representing the signal out of each port; in the following analysis, refers to the output from port , is the identity matrix, is the vector of input signals from outside sources which, for the structure of Fig. 1, are (2) where is the input signal to port . is the diagonal matrix representing the reflection coefficients at the four ports. All of the elements of the matrix are zero except the diagonal elements, which are given for the structure of Fig. 1 by (3) where is the input impedance of the coupled structure looking from the load’s side. In (3), the input and output ports (#1 and 4) are assumed to be perfectly matched. For a general coupled structure that has a length , even-mode impedance , odd-mode impedance , medium with phase constant is given as [17]

(4) (5)

(11) (12) (13) (14) The important parameters that define the performance of the structure shown in Fig. 1 are the phase of the output signal , the reflection coefficient at the input (terminal A in Fig. 1) and output (terminal B) ports ( and ), and the transmission coefficient from the input to the output port . Those parameters can be calculated for the structure of Fig. 1 after calculating and from (1)–(14) as follows: (15) Assuming the loads connected at ports 2 and 3 of the coupled structure shown in Fig. 1 are varactor diodes with capacitor . is allowed to change between and using a suitable biasing voltage. The capacitor ratio depends on the utilized diode. Equations (1)–(15) are analyzed using a suitable MATLAB code to find the maximum achievable phase range as a function of the length of the coupled structure and the mode impedances. In order to limit the calculations to practical values, the mode impedances are allowed to take any value within the range 4 to 400 . Concerning the varactor diodes, is assumed to be 0.2 pF, whereas the maximum varactor’s capacitor ratio is assumed to be 10. In order to include only the useful results, it is assumed that the minimum acceptable return loss is 10 dB. The variation of the maximum achievable as a function of the length of the coupled structure is shown in Fig. 2 for three values of the fractional bandwidth. The fractional bandwidth is defined here as the band with more than 10-dB return loss. It is clear from the results that a coupled structure with a length of around one tenth of a wavelength ( is calculated at the center of the band) gives the maximum achievable . For low values of the fractional bandwidth, the required length is slightly lower than , whereas it is slightly larger than for large fractional bandwidths. The other important factor indicated by the results in Fig. 2 is that the phase range achieved using a short section of coupled structure is larger than the achievable value when using quarter wavelength coupler. It is possible by using the derived method for a traditional reflection-type phase shifter [18] that the phase range for a 10% fractional bandwidth is 109.8 .

ABBOSH: COMPACT TUNABLE REFLECTION PHASE SHIFTERS USING SHORT SECTION OF COUPLED LINES

Fig. 2. Variation of the maximum phase range with length of the coupled structure.

The same value is also obtained by using the presented model (1)–(15) when the mode impedances are limited according to the formula as needed in the quarter-wavelength 3-dB coupler. Thus, for 10% fractional bandwidth, the increase in the phase range by using with proper mode impedances is 30% compared with the phase range when following the traditional approach of using quarter-wavelength 3-dB coupler. For larger fractional bandwidths, Fig. 2 indicates that the increase in the phase range from using the proposed method becomes smaller, but the size of the needed structure is still more compact than the traditional design of reflection-type phase shifters by using quarter-wavelength 3-dB couplers. The required even-mode impedances to achieve the phase range for each of the cases depicted in Fig. 2 are shown in Fig. 3. It is clear that, if a short coupled structure is used in the design of a reflection phase shifter, large values for the even-mode impedance are needed. This result does not impose any threat to the success of the proposed technique as several techniques can be employed to realize the required mode impedances, as will be shown later. Concerning the required odd-mode impedances to achieve the results of Fig. 2, the values are depicted in Fig. 4. It is obvious that the maximum , which occurs around , requires reasonable and easily implemented values for . If is required to be larger than the values depicted in Fig. 2, larger values for the varactor’s capacitor ratio are needed. For example using enables achieving 180 phase range with . However, this type of varactor is, at the least, not available to the author. Since the analysis presented in this paper is limited to the practical and feasible values, this option is disregarded. Thus, other options can be used to extend the phase range while keeping the size compact. One of the possible methods is to connect an inductor of suitable value in series with the varactor diode [3]. Thus, the load impedance depicted in Fig. 1 is equal in this case to . This value for is used in the MATLAB code aimed at solving (1)–(15) to find the maximum achievable phase range. In the calculations, the practical limitations on the mode impedances (more than or equal to 4 and less than or

2467

Fig. 3. Required even-mode impedance to achieve the maximum phase range at each value of the length of the coupled structure.

Fig. 4. Required odd-mode impedance to achieve the maximum phase range at each value of the length of the coupled structure.

equal to 400 and varactor’s capacitor ratio are imposed. Also, the calculations are limited to the cases with more than 10-dB return loss. The results of the calculations are shown in Fig. 5 for a reasonable range of values for . From the presented results, it is clear that, as expected, using an inductor in series with the varactor diode increases the phase range significantly. With the practical imposed limits on , and , a maximum phase range is achieved at a certain value for . Above and below that value, the achievable phase range decreases. The achievable phase range from using the traditional approach for the design, i.e., using a quarter-wavelength 3-dB coupler, is also included in Fig. 5 for the same and range of values. The phase range in this case for a 10% fractional bandwidth is calculated using the presented general model (1)–(15) and [18, eq. 14.16] for a phase shifter that uses a quarter-wavelength 3-dB coupler and a series combination of varactor diode and inductor as a reflective load. It is clear from the results that the traditional design method has significantly lower phase range compared with the proposed compact design with optimized mode impedances. It is also clear that the traditional

2468

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 5. Variation of the maximum achievable phase range with value of the inductor. The achievable phase range across 10% fractional bandwidth when using a quarter-wavelength 3-dB coupler is included for comparison.

design method [18] is a special case of the proposed general method in this paper, as both of them give exactly the same estimation of the phase range. As a comparison with using the conventional a quarter-wavelength 3-dB coupler, Fig. 5 shows that it is possible, for example, to use a coupled structure with to achieve more than 300 phase range across a 10% fractional bandwidth. If a traditional quarter-wavelength 3-dB coupler is used with the same varactor, i.e., , and an optimum inductor, it can only achieve about 240 phase range. The important parameter that is related to the main target of this work is the optimum length of the coupled structure needed to achieve the maximum phase range of Fig. 5. The variation of the length needed to realize the maximum phase range for each value of the inductor is depicted in Fig. 6 after using the MATLAB code to solve (1)–(15). None of the investigated cases shows that a quarter-wavelength coupler is the optimum choice. To the contrary, the results of Fig. 6 indicate that a short-section coupler is the one that is able to achieve the largest phase range if the mode impedances of the coupler are chosen properly. It is obvious also from Fig. 5 that the required decreases with increasing the value of the inductor. The required even- and odd-mode impedances to achieve the phase range for each of the cases depicted in Fig. 5 are shown in Figs. 7 and 8. To achieve the maximum possible phase range across the required bandwidth, the odd-mode impedance of the short coupled structure needs to be around 10 , whereas the even-mode impedance needs to be around 200 . Thus, the optimized short-section design requires higher even-mode impedance and lower odd-mode impedance than the values needed in the traditional design method. III. DESIGN To prove the validity of the presented design approach, two phase shifters are designed to cover the frequency band from 1.8 to 2.6 GHz, i.e., 36% fractional bandwidth with 10-dB return loss as a reference. This band is chosen for the design as it

Fig. 6. Variation of the required length of the coupled structure to achieve the maximum phase range as a function of the inductor value.

Fig. 7. Required odd-mode impedance to achieve the maximum phase range at each value of the inductor.

Fig. 8. Required even-mode impedance to achieve the maximum phase range at each value of the inductor.

is increasingly used in the modern technique to build high-capacity wireless local area networks employing multiple-input

ABBOSH: COMPACT TUNABLE REFLECTION PHASE SHIFTERS USING SHORT SECTION OF COUPLED LINES

multiple-output (MIMO) front-ends [19]. The key component in that type of front-ends is the phased array that is controlled by tunable phase shifters. In the first example, a single-section device is designed to achieve the maximum possible phase range, whereas, in the second example, a phase shifter is designed to archive a full-cycle phase range, i.e., . For the single-section device, the derived design equations show that a phase range equal to 255 can be achieved with more than 10-dB return loss across a 36% fractional bandwidth by using a coupled structure of length . The required inductor, varactor, and mode impedances are: 6.5 nH, 0.2 pF, 10 10 , and 228 . Concerning the device that has a full cycle phase range, two sections are used. Thus, the phase range required from each section is 180 . Solving (1)–(15) for 36% fractional bandwidth and the required phase range shows that several options are possible. Since one of the main objectives of the current work is to achieve the required performance using a compact and easy-to-manufacture structure, a solution that needs the smallest possible value for , moderate values for and , and smallest length for the coupled structure is adopted. Moreover, the smallest value for the inductor and the largest value for are targeted in the solution. The reason behind targeting a small value for and large value for is to minimize the effect of the stray or parasitic elements in the varactor and the inductor. Concerning the varactor diode, the relative effect of the stray elements increases when using a very small value for . For the inductor, the series resistance increases with increasing as indicated by the technical data of microwave chip inductors. The optimum calculated values for the inductor, varactor and mode impedances using the MATLAB code for (1)–(15) are 1.8 nH, 0.5 pF, 8 11 , and 225 . The proposed phase shifter is implemented in this work using parallel-coupled microstrip lines. As concluded from the design values of the two examples, high values for the even-mode impedance and low values for the odd-mode impedance are needed. The high value for can be achieved by using a slotted ground plane, which results in a reduction in the even-mode capacitor and, thus, an increase in the even-mode impedance [20]. Concerning the requirement of a range of low odd-impedance values, it can be achieved by connecting a chip capacitor between the middle points of the coupled lines. This capacitor has no effect on the even-mode circuit. However, it increases the equivalent odd-mode capacitor of the coupled structure and thus decreases the odd-mode impedance [21]. The final structure of a single-section tunable phase shifter is shown in Fig. 9. The top layer includes the coupled lines and the biasing circuit for the varactor diodes. The varactor diodes are connected from one side with the inductor and from the other side with the ground plane of the device located at the bottom layer. There circuit has radio frequency chokes (RFC) to isolate the microwave signal from the biasing line and dc block chip capacitors to block the biasing voltage from the input and output ports. In order to find the initial dimensions of the coupled structure, a quasi-transverse electromagnetic propagation is assumed for the structure of Fig. 9. Thus, the even- and odd-mode imped-

2469

Fig. 9. Implementation of the proposed method using a short section of parallel-coupled lines.

ances of the coupled lines are determined from the effective capacitances per unit length of the lines and the phase velocity in the utilized medium [17]. The complete analysis for parallel-coupled lines with or without slotted ground plane with the help of the conformal mapping technique is presented in [20]. That analysis is modified here to include the effect of the capacitor connected between the two coupled lines as follows: (16)

(17) (18) (19) (20) where is the width of any of the coupled lines, is the width of the slot in the ground plane, and is the width of the gap between the coupled lines (the parameters , and are shown in Fig. 7), first kind elliptical integral and its complementary, respectively, of the parameters , and and are the dielectric constant and thickness of the substrate, respectively. Using the analysis in [20] and (16)–(20), the initial dimensions ( , and ) for the designed devices assuming Rogers RT6010 ( 10.2, 0.635 mm) as the substrate are calculated. The optimized values for those parameters and other design parameters calculated previously using (1)–(15) are then found using the software CST Microwave Studio. The final values for the single-section 255 phase shifter are 0.58 mm, 0.2 mm, 4.9 mm, 7.5 mm, 1.4 pF, 5 nH, 0.28 pF, and 2.8 pF. For the full-cycle, two-section phase shifter, the values are: 0.3 mm, 0.22 mm, 4.4 mm, 7.5 mm, 1.5 pF, 1.7 nH, 0.6 pF, and 4.8 pF. It is worth noting that the optimized lengths of the coupled structures as a function of the wavelength at the center frequency (2.2 GHz) are and for the singleand two-section devices, respectively. This result confirms the

2470

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 10. Simulated variation of the phase range phase shifter with frequency.

of the single-section

Fig. 12. Simulated variation of the phase range phase shifter with frequency.

of the two-section 360

Fig. 11. Simulated amplitude of -parameters of single-section phase shifter for different values of the varactor’s capacitor.

Fig. 13. Simulated amplitude of the -parameters of the two-section 360 phase shifter for different values of the varactor’s capacitor.

values predicted by the theoretical model and validates the compactness of the phase shifters designed following the proposed method.

than 1 dB across the investigated band for all of the varactor’s capacitor values from to . Concerning the insertion and return losses indicated in Fig. 11, it is worth mentioning that the largest return loss and thus the smallest insertion loss are obtained at the high end of the band when the varactor’s capacitor is equal or close to . The opposite thing occurs at the low end of the band or when the varactor’s capacitor is equal or close to . Concerning the two-section 360 phase shifter, the phase and amplitude performances of the device are shown in Figs. 12 and 13. The device achieves the required full-cycle phase range despite using coupled structures that have a total length of only . Across the band from 1.7 to 2.7 GHz, is equal to as revealed in Fig. 10. The return loss is more than 10 dB, whereas the insertion loss is less than 1.5 dB across the band from 1.78 to 2.55 GHz, as shown in Fig. 13.

IV. RESULTS AND DISCUSSIONS The full-wave electromagnetic simulator CST Microwave Studio is used to calculate the performance of the designed devices. For the single-section 255 phase shifter, the achieved phase range and variation of the amplitude of the -parameters across the frequency range from 1.7 to 2.7 GHz are shown in Figs. 10 and 11. The target of 255 phase range is obviously accomplished using a coupled structure of length 0.085 . Across the targeted band from 1.8 to 2.6 GHz, is equal to . Moreover, the return loss is more than 10 dB across the whole band, whereas the insertion loss is less

ABBOSH: COMPACT TUNABLE REFLECTION PHASE SHIFTERS USING SHORT SECTION OF COUPLED LINES

2471

Fig. 14. Top and bottom views of the developed 360 phase shifter.

As a final step to prove the validity of the designed phase shifter, the full-cycle device was manufactured (Fig. 14) and tested. To get the required range for , a GaAs hyperabrupt varactor diode with a biasing voltage range from 2 to 20 V is used. In order to minimize the effect of parastics coming from using short-circuit vias to connect the varactor diodes to the ground, those diodes were inserted in the via hole and connected directly to the ground. The biasing circuit of the diodes includes three radio frequency chokes (RFC) of 10 H and two 1-nF dc block chip capacitors. The measured phase and amplitude performances of the device are depicted in Figs. 15 and 16 for a biasing voltage changing from 2 to 20 V. The results indicate that the required full-cycle phase range is realized across 40% fractional bandwidth extending from 1.8 to 2.7 GHz. The return loss is more than 10 dB and the insertion loss is less than 3.2 dB across the band from 1.8 to 2.6 GHz. The general variations in the simulated and measured phase range (Figs. 12 and 15) and amplitude of -parameters (Figs. 13 and 16) with frequency agree well with each other. However, the measured results indicate higher insertion losses. The additional losses are believed to be due to the parasitic elements (resistor, inductor and packaging capacitor) of the varactor diodes and the effective resistor of the utilized inductors. A parametric study is performed to confirm the reasons behind the additional losses. It is found that if the parasitic elements of the diodes are assumed to have the values ( 0.5 nH, , and 0.1 pF), the simulated insertion loss becomes equal to the measured loss. Thus, it is expected that the parasitic elements of the utilized diodes have the above predicted values. Table I shows a comparison between the main features of the proposed phase shifter and other reflection-type phase shifters fabricated using the printed circuit board (PCB) technology. It is clear that the presented device has the most compact size. It also has the highest fractional bandwidth with the lowest insertion loss as compared with the full-cycle PCB phase shifters. Concerning the reflection-type phase shifters designed using the

Fig. 15. (a) Measured phase performance of the developed device at different varactor biasing voltages from 2 to 20 V (nonlinear variation). (b) Achieved . phase range

Fig. 16. The measured amplitude of the S-parameters for different biasing voltages of the varactor diode.

2472

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

TABLE I CHARACTERISTICS OF THE DESIGNED FULL-CYCLE PHASE SHIFTER COMPARED WITH SOME PUBLISHED REFLECTION-TYPE PHASE SHIFTERS

thin-film technology, the insertion loss of those devices is very high, as indicated in the table of characteristics in [11]. In that technology, amplifiers are usually used to compensate for the high insertion losses [15].

V. CONCLUSION It has been shown that the reflection-type phase shifter can be designed using less than one tenth of a wavelength coupled structure if the mode impedances of that structure are chosen properly. The proposed method has been validated by designing two phase shifters. The first one achieves 260 phase range with more than 10-dB return loss and less than 1-dB insertion loss across 36% fractional bandwidth by using a coupled structure of length. The second device achieves a full-cycle phase range across the same band with more than 10-dB return loss and less than 1.5-dB insertion loss according to the simulations by using two coupled sections each has a length of . The measured results of a manufactured full-cycle phase shifter support the simulation results and the design approach.

REFERENCES [1] C. Chen, W. Courtney, L. Mahoney, M. Manfra, A. Chu, and H. Atwater, “A low-loss Ku-band monolithic analog phase shifter,” IEEE Trans. Microw. Theory Tech., vol. 35, no. 3, pp. 315–320, 1987. [2] K. Miyaguchi et al., “An ultra-broad-band reflection-type phase-shifter MMIC with series and parallel LC circuits,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2446–2452, 2001. [3] S. Shin, R. Snyder, and E. Niver, “360-degree linear analog phase shifter design using tunable short-circuit terminated combline filters,” in IEEE MTT-S Int. Microw. Symp. Dig., 2001, vol. 1, pp. 303–306. [4] F. Ellinger, R. Vogt, and W. Bächtold, “Ultra compact reflective-type phase shifter MMIC at band with 360 phase-control range for smart antenna combining,” IEEE J. Solid-State Circuits, vol. 37, no. 4, pp. 481–486, Apr. 2002.

[5] D. Kim, Y. Choi, M. Allen, J. Kenney, and D. Kiesling, “A wideband reflection-type phase shifter at S-band using BST coated substrate,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2903–2909, Dec. 2002. [6] O. Vendik, “Insertion loss in reflection-type microwave phase shifter based on ferroelectric tunable capacitor,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 2, pp. 425–429, Feb. 2007. [7] H. Zarei, C. Charles, and D. Allstot, “Reflective-type phase shifters for multiple-antenna transceivers,” IEEE Trans. Circuits Syst., vol. 54, no. 8, pp. 1647–1656, Aug. 2007. [8] C. Lin, S. Chang, C. Chang, and Y. Shu, “Design of a reflection-type phase shifter with wide relative phase shift and constant insertion loss,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 9, pp. 1862–1868, Sep. 2007. [9] C. Lin, S. Chang, and W. Hsiao, “A full-360 reflection-type phase shifter with constant insertion loss,” IEEE Microw. Wireless Compon. Lett, vol. 18, no. 2, pp. 106–108, Feb. 2008. [10] Y. Zheng and C. Saavedra, “An ultra-compact CMOS variable phase shifter for 2.4-GHz ISM applications,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 6, pp. 1349–1354, Jun. 2008. [11] J. Wu, T. Chin, S. Chang, and C. Chang, “2.45-GHz CMOS reflectiontype phase-shifter MMICs with minimal loss variation over quadrants of phase-shift range,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 10, pp. 2180–2189, Oct. 2008. [12] B. Biglarbegian, M. Nezhad-Ahmadi, M. Fakharzadeh, and S. SafaviNaeini, “Millimeter-wave reflective-type phase shifter in CMOS technology,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 9, pp. 560–562, Sep. 2009. [13] M. Xiao, S. Cheung, and T. Yuk, “Design for a linear voltage controlled 360 analog phase shifter,” Microw Opt. Technol. Lett., vol. 52, no. 8, pp. 1821–1825, 2010. [14] T. Lambard, O. Lafond, M. Himdi, H. Jeuland, and S. Bolioli, “A novel analog 360 phase shifter design in Ku and Ka bands,” Microw Opt. Technol. Lett., vol. 52, no. 8, pp. 1733–1736, 2010. [15] C. Wang, H. Wu, and C. Tzuang, “CMOS passive phase shifter with group-delay deviation of 6.3 ps at K-band,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 7, pp. 1778–1786, Jul. 2011. [16] J. Dobrowolski, Microwave Network Design Using the Scattering Matrix. Norwell, MA: Artech House, 2010. [17] R. Mongia, I. Bahl, and P. Bhartia, RF and Microwave Coupled-Line Circuits. Norwell, MA: Artech House, 1999. [18] F. Ellinger, Frequency Integrated Circuits and Technologies, 2nd ed. Berlin, Germany: Springer, 2008, ch. 14. [19] The Working Group for IEEE 802.11 Wireless-Local-Area-Networks Standards, , 2011 [Online]. Available: http://grouper.ieee.org/groups/ 802/11/ [20] A. Abbosh, “Analytical closed-form solutions for different configurations of parallel-coupled microstrip lines,” IET Microw. Antennas Prop., vol. 3, pp. 137–147, 2009. [21] A. Abbosh, “Closed-form design method for tight parallel-coupled microstrip coupler with ultra-wideband performance and practical dimensions,” Electron. Lett., vol. 47, no. 9, pp. 547–549, 2011. Amin M. Abbosh (SM’08) received the M.Sc. degree in communication systems and Ph.D. degree in microwave engineering from Mosul University, Mosul, Iraq, in 1991 and 1996, respectively, and the Grad. Cert. in Higher Education from the University of Queensland, Queensland, Australia, in 2008. He now holds the prestigious ARC Future Fellowship with the School of Information Technology and Electrical Engineering, University of Queensland, Queensland, Australia. He has authored or coauthored approximately 200 papers on wideband passive microwave devices, planar antennas, and microwave-based imaging systems.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

2473

Design and Analysis of Down-Conversion Gate/Base-Pumped Harmonic Mixers Using Novel Reduced-Size 180 Hybrid With Different Input Frequencies Jhe-Jia Kuo, Student Member, IEEE, Chun-Hsien Lien, Member, IEEE, Zuo-Min Tsai, Member, IEEE, Kun-You Lin, Member, IEEE, Klaus Schmalz, Member, IEEE, J. Christoph Scheytt, Member, IEEE, and Huei Wang, Fellow, IEEE Abstract—In this paper, a novel 180 hybrid with different input frequencies is proposed to combine RF and local oscillator (LO) signals with different frequencies in a gate/base-pumped harmonic mixer. The detailed analysis and design procedures are presented in this paper. To further reduce the chip size, the multilayer metallization above the lossy silicon substrate is employed to implement the hybrid. A -band down-converted 2 harmonic mixer in 90-nm CMOS process and a -band down-converted 4 harmonic mixer in the 130-nm SiGe process are designed, fabricated, and measured to verify the concept. The 2 harmonic mixer possesses 0-dB conversion gain at 60 GHz with 0-dBm LO power with merely 2.4-mW dc power. The 4 harmonic mixer achieves 0.5-dB conversion gain at 120 GHz with 2-dBm LO power and 27.3-mW dc power. With the proposed reduced-size 180 hybrid, gate/basepumped harmonic mixers are very attractive in transceivers demanding low LO frequency and power. Index Terms—Baluns, monolithic microwave integrated circuit (MMIC) mixers.

I. INTRODUCTION

I

N RECENT years, the demand for wireless high-speed data transfer has grown rapidly. To acquire more bandwidth to accommodate the high data rate, using high-frequency carriers Manuscript received March 26, 2012; revised April 26, 2012; accepted May 04, 2012. Date of publication June 08, 2012; date of current version July 30, 2012. This work was supported in part by under Grant NSC-98-2221-E-002059-MY3 and Grant NSC-100-2219-E-002-005, and under the Excellent Research Project of National Taiwan University (98-R0529-1 and 10R70616-1). J.-J. Kuo was with the Department of Electrical Engineering and the Graduate Institute of Communication Engineering, National Taiwan University, Taipei 10617, Taiwan. He is now with MediaTek Inc., Hsin-Chu 300, Taiwan. C.-H. Lien was with the Department of Electrical Engineering and the Graduate Institute of Communication Engineering, National Taiwan University, Taipei 10617, Taiwan. He is now with the AWR Corporation, Hsin-Chu 302, Taiwan. Z.-M. Tsai was with the Department of Electrical Engineering and the Graduate Institute of Communication Engineering, National Taiwan University, Taipei 10617, Taiwan. He is now with the Department of Electrical Engineering, National Chung Cheng University, Chia-Yi 62102, Taiwan. K.-Y. Lin and H. Wang are with the Department of Electrical Engineering and the Graduate Institute of Communication Engineering, National Taiwan University, Taipei 10617, Taiwan (e-mail: [email protected]; [email protected]. tw). K. Schmalz is with IHP, 15236 Frankfurt, Germany. J. C. Scheytt was with IHP Frankfurt 15236, Germany. He is now with the Heinz-Nixdorf Institute, University of Paderborn, 33098 Paderborn, Germany. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2202039

in the transceiver architecture is desired. Harmonic mixers can reduce the burden of high-frequency local oscillator (LO) signal with acceptable phase noise and output power. Although LO frequency is reduced in harmonic mixers, they suffer from lower conversion gain and larger LO driving power compared to fundamental mixers [1], [2]. There are many topologies to implement harmonic mixers. The antiparallel diode pair is widely used because of its simple structure, but the high conversion loss limits the applications [3], [4]. The nonlinearity of the transistor can be used to produce harmonic mixing with the drawback of average port-to-port isolation coming from device characteristic and matching networks [5]. Balanced mixers such as the double-balanced Gilbert-cell mixer are employed to acquire better port-to-port isolation [6]. However, when the Gilbert-cell mixer is used as the harmonic mixer, larger LO power is required due to the increasing number of transistors within the switching core [7], [8]. Moreover, if higher harmonics of the LO signal is used in signal mixing, the number of transistors in the switching core grows exponentially, which brings difficulties in device layout. Among the aforementioned mixer types, the gate/base-pumped mixer offers good tradeoffs between conversion gain, isolation, and dc power [9]–[11], and it is very attractive to implement harmonic mixers. The critical issue in gate/base-pumped mixers is the power-combining structure of RF and LO signals. The modified rat-race hybrid can be used to combine the RF and LO signals [12], [13]. The balun used in the modified rat-race hybrid is the Marchand balun and the systematic design procedure is well discussed in [14]. However, when the gate/base-pumped mixers are used as the harmonic mixers, the hybrid needs to combine different RF and LO frequencies. The design procedure of the hybrid with different frequencies is yet to be investigated. In this paper, a novel 180 hybrid structure based on the reduced-size rat-race hybrid for the application in harmonic gate/ base-pumped mixers is presented. The challenge of combining two different frequencies in the reduced-size 180 hybrid is discussed in detail. The thorough analysis and design procedures of the hybrid are then described. A 3-D structure Marchand balun using multicoupling between metal layers is adopted in the hybrid to reduce the hybrid size further. Two harmonic mixers (one is a CMOS 2 harmonic mixer and the other is a 4 harmonic mixer using SiGe HBT) are implemented to verify the proposed concept. The measurement results show the gate/base

0018-9480/$31.00 © 2012 IEEE

2474

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 2. Terminated balun and the equivalent -network.

Fig. 1. Conceptual block diagram of the 180 hybrid. The hybrid is composed of an in-phase power divider and a balun.

harmonic mixers with proposed hybrids are very attractive in modern high-speed transceiver design.

and coupling coefficients , the -parameters of the Marchand balun with all ports terminated with can be expressed as [15] (3) and

II. CONCEPT OF THE 180 HYBRID WITH DIFFERENT INPUT FREQUENCIES A. Analysis of 180 Hybrid The 180 hybrid combines two-port signals with the same frequency and offers 180 phase difference in the outputs. The traditional 180 hybrid can be synthesized with an in-phase power divider and a balun [12], [13]. To synthesize such a hybrid, the -parameters of the in-phase power divider and the balun should be

(1)

(2) The analytic results shown above are only valid when the signals at -port and -port are at the same frequency. For the application in gate/base pumped harmonic mixers, the 180 hybrid needs to combine input LO and RF signals with very different frequencies. There is no theoretical analysis or guidance for the synthesis of the special hybrid. Based on the similar concept used in [12] and [13], the block diagram of the 180 hybrid shown in Fig. 1 is investigated to realize the hybrid with different frequencies. Here the Marchand balun is adopted in the dual-band 180 hybrid since it has wider bandwidth compared to traditional transformer balun [12]–[14]. To synthesize the 180 hybrid with different input frequencies, the design procedure can be started from the Marchand balun. Assume the two coupling sections in the Marchand balun have the same even-mode impedance , odd-mode impedance ,

where and . The basic idea here is to obtain proper coupling coefficient and electrical length of the balun for the use in the 180 hybrid with different frequencies. Fig. 2 shows the Marchand balun with the input port terminated. It is convenient to find the equivalent -network of the input terminated balun for the following analysis. To obtain the values of the components in the equivalent -network, the -parameters of the input terminated balun is firstly solved as (4)

The corresponding

-parameters can be derived as

(5) and

(6)

KUO et al.: DESIGN AND ANALYSIS OF DOWN-CONVERSION GATE/BASE-PUMPED HARMONIC MIXERS

2475

Fig. 4. Insertion loss from the .

Critical values of admittance is

-port to

versus the electrical length

exist in (9). It is observed that the shunt if

Fig. 3. Proposed hybrid with: (a) equivalent–equivalent network of the balun, and (b) equivalent network of the in-phase power divider.

Consider the simplified networks of the hybrid driven at the -port and -port, as shown in Fig. 3. When the -port is excited, the balun can be represented by the equivalent -network. Since the simplified network shown in Fig. 3(a) is fully symmetric, the admittance can be neglected due to the virtual open. On the other hand, the admittance , which is equal to in (5) and (6), is in parallel with the output load impedance . To ensure to obtain maximum -port power, the admittance need to be minimized. The admittance can be further expressed in the coupling factor and electrical length of the coupled line sections in the Marchand balun as

(7) where is the electrical length of the coupling sections of the balun at the -port frequency. Assume the -port frequency is times of the -port frequency, the electrical length of the coupling sections of the balun at the -port frequency is (8) Equation (7) after normalized to system admittance rewritten as

is then

(9)

if

(10)

where is an arbitrary integer. When the admittance approaches infinity, all the power from -port through the in-phase power divider will be reflected. On the other hand, when the admittance is zero, the output loads are shunt with an open circuit, the signal power from the -port can be transmitted to better. Equation (10) gives a guideline to the decision of , and the result is verified by the circuit simulation. Fig. 4 presents the simulated insertion loss from the -port to versus . The coupling coefficient is assumed to be . The different local minimums of the insertion loss from the -port to with various harmonic number are observed and correspond to the derived result. For example, when the -port signal is the second harmonic frequency of the -port signal, the electrical length should be smaller than 90 . When the -port signal is the fourth harmonic frequency of the -port signal, should be selected in intervals from 35 to 55 or 65 to 85 for good insertion loss. When the -port signal is at higher harmonics of the -port signal, more nulls appear in the insertion loss curve, and the available is more restrictive. From (10), it can also be observed that the coupling coefficient has no effect on the optimal corresponding to zero admittance . If is chosen as , the hybrid is simplified as Fig. 5. For the -port impedance and equal to system impedance , the characteristic impedance and the electrical length at the -port frequency should be chosen as and 90 , respectively. If shorter electrical length is desired to save the chip area, additional matching components need to be added at the -port to maintain acceptable return loss. The effect of the coupling factor of the balun can be investigated using the insertion loss from the -port to . When the -port is excited, the signal at the two output terminals of

2476

Fig. 5. Simplified network of the hybrid if

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

is equal to

.

Fig. 6. Insertion loss from the -port to versus with different coupling coefficients . The electrical length and characteristic of the in-phase power divider is set to 90 and , respectively.

the balun are out-of phase in the ideal case. The in-phase power divider can then be simplified under differential excitation, as shown in Fig. 3(b). The output loading impedance of the Marchand balun is now in parallel with a short stub. If the electrical length and the characteristic impedance of the in-phase power divider are set to 90 and , respectively, at the -port frequency, the balun sees in parallel with a 45 short stub. Fig. 6 shows the insertion loss from the -port to versus with different in this case, with the assumption that the -port frequency is twice the -port frequency. It is observed that with different , the minimal insertion loss occurs at different . For a larger , the electrical length corresponding to minimal insertion loss is shorter, which is desired for miniature hybrid design. The effect of the coupling factor of the balun can also be observed by using the return loss seen from at the -port and -port frequencies, as shown in Fig. 7. Here, the frequency ratio between the -port and -port is 2 to give a quick example. As suggested in Fig. 7, a higher coupling coefficient leads to shorter electrical length for good return loss. This figure can be considered with Figs. 4 and 6 together to obtain all the information about the design parameters in the 180 hybrid with different frequencies. B. Design Procedures and Examples In summary, the design procedures of the proposed 180 hybrid with different input frequencies can be concluded as Fig. 8. Two 180 hybrid with different input frequencies are designed to exemplify the design procedures. To obtain a higher coupling coefficient , the 3-D Marchand balun using multicoupling between vertical metal layers can be used [16], [17].

Fig. 7. Return loss versus (a) -port frequency and (b)

with different -port frequency.

seen from

at:

As shown in Fig. 9, the multilayer metallization in the Si-based process can be used to acquire high coupling coefficients. The primary coil and the two secondary coils are wound to couple the energy more efficiently. Since the metal lines are wound along the -direction, the required electrical length for the -port signal can be achieved with a much smaller area. In the design of the 180 hybrid with different input frequencies, the -port frequency is a fraction of the -port frequency, the physical length of the -port coupled lines in the balun are longer and occupy a large part of the hybrid area. With the 3-D balun structures, the large balun size can be reduced. Fig. 10 shows the implemented 180 hybrids with different input frequencies. Fig. 10(a) is for the use in a 2 down-conversion harmonic mixer and Fig. 10(b) is for a 4 down-conversion harmonic mixer. Both -port frequencies are 30 GHz. The -port frequencies for the two hybrids are 60 and 120 GHz, respectively. The physical sizes of the hybrid are also depicted in the plots. The hybrid in Fig. 10(a) is implemented with TSMC 90-nm LP CMOS process featuring nine metal layers, while the hybrid in Fig. 10(b) is implemented with an IHP SG13S process

KUO et al.: DESIGN AND ANALYSIS OF DOWN-CONVERSION GATE/BASE-PUMPED HARMONIC MIXERS

2477

Fig. 8. Design flow of the proposed hybrid.

featuring seven metal layers. Since there are fewer metal layers available in IHP SG13S process compared to TSMC 90-nm LP CMOS process, the balun in Fig. 10(b) is slightly larger than the balun in Fig. 10(a), but both of them are small compared with traditional baluns using edge- or broadside-coupled lines. The line length and impedance of the in-phase power dividers in the two hybrid are different due to the quite different output impedance of the -port balun at 60 and 120 GHz. Although the difference in balun output impedances can be compensated by the adjustment of balun electrical length, slight tuning of line impedance and length of the in-phase power divider using electromagnetic (EM) simulation is still necessary. The full-wave EM simulation is carried out by SONNET [18]. For the hybrid of the 2 harmonic mixer, the insertion loss from the -port to is 5 dB at 60 GHz. The insertion loss from the -port to is 6.1 dB at 30 GHz. For the hybrid of the 4 harmonic mixer, the insertion loss from the -port to is 5.4 dB at 120 GHz. The insertion loss from the -port to is 8.6 dB at 30 GHz. Since the hybrids are to be used in the gate/base-pumped harmonic mixers, the amplitude/phase imbalance are more important than the port-to-load insertion loss. Fig. 11 shows the simulation results of amplitude/phase imbalance of the two hybrid examples. It can be observed that both of the synthesized hybrids exhibits good amplitude/balance at -port and -port frequencies. In Fig. 11(a), the amplitude imbalance from the -port to is smaller than 1.5 dB from 20 to 40 GHz. The amplitude imbalance from the -port to are smaller than 0.5 dB from 50 to 70 GHz. The phase imbalance from the -port to is from 170 to 180 from

Fig. 9. Structure of the proposed 3-D balun. The balun utilizes multilayer metallization in advanced Si-based process to reduce the balan size. The black blocks with the same alphabet representing the two vias are in connection.

20 to 40 GHz. The phase imbalance from the -port to is from 0 to 6 from 50 to 70 GHz. In Fig. 11(b), the amplitude imbalance from the -port to is smaller than 1 dB from 20 to 40 GHz. The amplitude imbalance from the -port to is smaller than 0.3 dB from 110 to 130 GHz. The phase imbalance from the -port to is from 168 to 180 from 20 to 40 GHz. The phase imbalance from the -port to is almost 0 from 110 to 130 GHz. III. CIRCUIT DESIGN The circuit design of two gate/base pumped harmonic mixers with the proposed hybrid are described in this section. A. 2

Harmonic CMOS Gate-Pumped Mixer

The 2 harmonic gate-pumped mixer is designed in a commercial TSMC 90-nm LP process. The RF frequency is around 60 GHz and the LO frequency is 30 GHz. The process features one poly and nine metal layers and high-speed CMOS transistor with and equal to 120 and 180 GHz, respectively. The ultra-thick top metal of 3.4 m and metal–insulator–metal (MIM) capacitors are also provided in this process. Fig. 12 shows the schematic of the gate-pumped 2 harmonic mixer. The transistors and are the main devices for the mixing. The drain terminals of and are combined together. When the gate terminals of the two transistors

2478

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 11. Simulated amplitude/phase imbalance of the 180 hybrid design examples. (a) -port frequency is 60 GHz and -port frequency is 30 GHz. (b) -port frequency is 120 GHz and -port frequency is 30 GHz.

Fig. 10. Design examples of the 180 hybrid with different input frequencies. (a) -port frequency is 60 GHz and -port frequency is 30 GHz (total size: 150 152 m ). (b) -port frequency is 120 GHz and -port frequency is 30 GHz (total size: 232 228 m ).

are driven by the differential LO signals and in-phase RF signals, the 2 harmonic mixing occurs. The down-converted IF signal is then amplified by the IF buffer amplifier, which is implemented by the transistor and and the feedback resistor of 600 . The gate voltage of the mixing pairs and is set to 0.3 V for effective gate mixing [19]. The device size of the mixing pairs and are selected properly to facilitate the hybrid design. To decide the device size of the mixing pairs, the gate impedance of RF and LO frequencies is inspected. With the target of low LO drive, the LO power is set to 5 dBm. The RF frequency is 60.1 GHz and the LO frequency is 30 GHz. As shown in Fig. 13, the transistor size of 24-finger 48 m is selected. With this size, the gate impedance at RF and LO frequencies are close to the unity-admittance circle simultaneously, which facilitates the hybrid design. The hybrid is implemented with the in-phase divider realized by the top thick metal and the 3-D Marchand balun realized by the metal layers from metal 2

to metal 8. The capacitors and are shunt at the LO and RF ports, respectively, to further improve the impedance matching. The 2 harmonic gate-pumped mixer achieves more than 0-dB conversion gain from 55 to 65 GHz, with an LO power of only 0 dBm in the simulation. The simulated input 1-dB compression point of the mixer is about 13 dBm, while the third-order input intercept point is about 0 dBm. Fig. 14 shows the chip photograph of the proposed 2 harmonic mixer. The chip size without testing pads is 0.6 0.6 mm . B. 4

Harmonic SiGe HBT Base-Pumped Mixer

The 4 harmonic base-pumped mixer is designed using the commercial 0.13- m SiGe HBT process provided by IHP GmbH, Frankfurt, Germany. The process features the high-performance npn transistor with 250-GHz and 370-GHz . The top thick metal of 3- m thickness and seven metal layers are also available in this process. The RF frequency is around 120 GHz and the LO frequency is 30 GHz. Fig. 15 presents the schematic of the 4 base-pumped harmonic mixer. The circuit topology of the 4 harmonic base-pumped mixer is similar to that of the 2 harmonic gate-pumped mixer. The effective emitter area of

KUO et al.: DESIGN AND ANALYSIS OF DOWN-CONVERSION GATE/BASE-PUMPED HARMONIC MIXERS

Fig. 12. Schematic of the proposed 2 with different input frequencies.

2479

harmonic mixer with the 180 hybrid

Fig. 14. Chip photograph of the proposed 2 harmonic gate mixer. The chip size is 0.7 0.7 mm , while the core area is about 0.3 mm .

Fig. 15. Schematic of the proposed 4 with different input frequencies. Fig. 13. Gate impedance against different device sizes at RF and LO frequencies.

and is 0.12 0.48 m . The biasing resistor is 250 . The effective emitter area of and in the IF buffer amplifier is 0.12 3.84 m . The inductors is 1.2 nH. The resistors and are 600, 100, 2.5 K, and 100 , respectively. The RF and LO signals are combined by the 180 hybrid. The base voltage and the biasing resistors bias and at the nearly turn-on region. Once the LO signal is pumped to and , the transconductance experiences large nonlinearity for the signal mixing. The collector terminals of transistor and are shunt together. When the LO signal is fed differentially and the RF signal is fed in phase to base terminals of and , the harmonic mixing between LO and RF signals occurs. To simplify the hybrid design, the transistor size of and should be selected properly. The inductor and capacitor are added to improve the return loss of RF and LO ports, respectively. To maintain the conversion gain and to isolate the mixer core from the IF circuits, the output buffer amplifier composed of and is added. The feedback resistors and inductors are also added to improve the bandwidth of the buffer

harmonic mixer with the 180 hybrid

amplifier. The conversion gain is higher than 0 dB from 108 to 130 GHz under merely 3-dBm LO power in the simulation. The simulated input 1-dB compression point of the mixer is about 17.5 dBm, while the third-order input intercept point is about 5 dBm. Fig. 16 shows a chip photograph of the proposed 4 harmonic mixer. The chip size without testing pads is only 0.45 0.45 mm . IV. MEASUREMENT RESULTS A. 2

Harmonic Mixer in 90-nm CMOS Technology

The measurement setup for the 2 harmonic gate mixer is shown in Fig. 17. The Agilent E8257D signal generator is used as the RF source and the Agilent E8247C signal generator is used as the LO source. The Agilent E8565C spectrum analyzer is used to monitor the down-converted IF signal. Multiple samples are tested and the measurement results are all similar. Fig. 18 shows the conversion gain versus the LO power of the proposed 2 harmonic mixer. The RF frequency is 60.1 GHz, and the LO frequency is 30 GHz. The IF frequency is 100 MHz. The gate voltage is set to 0.3 V. The supply voltage is 1.2 V, and the total dc current is 2 mA. It can be observed that

2480

Fig. 16. Chip photograph of the proposed 4 is 0.55 0.55 mm .

Fig. 17. Measurement setup of the proposed 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

harmonic mixer. The chip size

harmonic mixer.

Fig. 18. Conversion gain versus LO power. The gate voltage is 0.3 V.

the conversion gain reaches 0 dB at about 1-dBm LO power, and 2.5 dB at 3.5-dBm LO power. The measurement results agree reasonably with the simulation results. Compared with other types of mixers, the measurement result shows lower LO power of the gate-pumped mixer. The significant drop in the conversion gain for higher LO power is due to the bias network adopted in this work. When the LO power is higher, the drain voltage of the mixing transistors and is pulled lower and further drive the IF buffer into low gain operation. The simple bias network of the resistor from

Fig. 19. Simulated conversion gain and gate voltage of the buffer amplifier in the proposed 2 harmonic mixer.

leads to the voltage drop under large LO power. Fig. 19 shows the simulated conversion gain and the gate voltage of and versus the LO power. It can be observed that as the LO power increases the gate voltage of and decreases, and the conversion gain decreases as well because the buffer amplifier will be turned off if the gate voltage is too low. To alleviate this issue, other more complex bias networks such as using pMOS current sources can be used as the bias network. In the design of this mixer, the bias resistor is used for simplicity. Moreover, in many cases, the LO power will not be driven to the power level for the best conversion gain, as long as the conversion gain is high enough for the system application. Fig. 20 shows the conversion gain versus the gate voltage. While the gate bias voltage is lower, the maximum conversion gain is higher and the required LO power in increased. Nevertheless, even when the gate voltage is set to 0.35 V, the conversion gain still can be around 0 dB with about 0-dBm LO power. Fig. 21 shows the conversion gain versus the RF frequency. The LO power is 2 dBm and the IF frequency is set to 100 MHz. The measured bandwidth is wider than the simulation result. It can also be observed that the conversion gain is better than 0 dB from 45 to 62.5 GHz. Since the bandwidth of the gate-pumped mixer is mainly decided from the frequency response of the hybrid, the wideband characteristic shown in Fig. 21 are also due to the proposed broadband 180 hybrid. Fig. 22 shows the conversion gain versus RF frequency with the optimum LO power at different gate voltages. The frequency responses at different gate voltages are similar with few decibel deviation in conversion gain level. Fig. 23 shows the simulated and measured conversion gain versus the IF bandwidth. In this figure, the LO frequency and power is 30 GHz and 2 dBm, respectively. The RF frequency is from 60.1 to 65 GHz, and thus, the IF frequency is from 0.1 to 5 GHz. The 3-dB conversion gain bandwidth of the proposed mixer is about 1.5 GHz. If wider frequency response in IF frequency is required, an output buffer with wider frequency response can be adopted. Figs. 24 and 25 show the measured LO-to-RF isolation and the RF-to-LO isolation, respectively. The LO-to-RF isolation is better than 25 dB from LO frequency of 20 to 40 GHz, and the RF-to-LO isolation is

KUO et al.: DESIGN AND ANALYSIS OF DOWN-CONVERSION GATE/BASE-PUMPED HARMONIC MIXERS

Fig. 20. Measured conversion gain versus LO power at different gate voltage.

2481

Fig. 22. Conversion gain versus RF frequency at different gate voltage.

Fig. 23. Conversion gain versus IF frequency at 0.35-V gate voltage. Fig. 21. Conversion gain versus RF frequency at 0.3-V gate voltage.

better than 20 dB from RF frequency of 50 to 64 GHz. The measured input 1-dB compression point of the 2 harmonic mixer is about 10 dBm with 60.1-GHz RF and 0-dBm LO power at 30-GHz LO frequency. B. 4

Harmonic Mixer in 0.13- m SiGe HBT Process

The measurement setup for the 4 harmonic mixer is shown in Fig. 26. The setup is similar to that for the 2 harmonic mixer. The Agilent E8257D signal generator is used to generate a low-frequency signal from 9.2 to 12 GHz, which is then multiplied 12 times through an Olleson Microwave Laboratories’ millemeter-wave source module to produce the -band RF signal. Another Agilent E8247C signal generator is used to generate the LO signal around 30 GHz. The Agilent E8565C spectrum analyzer is used to monitor the down-converted IF signal. The 4 harmonic base pumped mixer is measured by on-wafer probing. Again, multiple samples are tested and the measurement results are all similar. Fig. 27 shows the conversion gain versus the LO power of the 4 harmonic mixer at 30-GHz LO frequency. It can be observed that the maximum conversion gain

Fig. 24. LO to RF isolation of the 2

harmonic mixer.

is 0.5 dB at about 2-dBm LO power, and the measurement results agree well with the simulation. The required LO power corresponding to maximum conversion gain can be adjusted by

2482

Fig. 25. RF to LO isolation of the 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

harmonic mixer.

Fig. 28. Conversion gain versus RF frequency. The IF frequency is fixed at 100 MHz. The LO power is 2 dBm.

Fig. 26. Measurement setup of the proposed quarter harmonic mixer.

Fig. 29. Conversion gain versus IF frequency. The LO frequency and power is 30 GHz and 2 dBm, respectively.

Fig. 27. Conversion gain versus LO power at 30-GHz LO frequency. The RF frequency is 120.1 GHz. The IF frequency is 100 MHz.

varying the base bias, similar to the case of the proposed CMOS 2 harmonic mixer. Here, the base voltage is 1 V. The collector voltage is 2.1 V, and the total current is 13 mA. Although the RF frequency is as high as the fourth LO harmonics, the mixer still has conversion gain larger than 0 dB. The low LO drive of the proposed mixer makes the fourth harmonic mixing practical in real transceiver application. Fig. 28 shows the conversion gain versus the RF frequency. The LO power is set to

Fig. 30. Measured LO to RF isolation of the proposed 4

harmonic mixer.

2 dBm, and the IF frequency is fixed at 0.1 GHz. The conversion gain is higher than 3 dB from 110 to 135 GHz. Fig. 29 shows the conversion gain versus the IF frequency. The LO frequency and power is fixed at 30.125 GHz and 2 dBm, respectively. The measured conversion gain is larger than 2 dB up to 1-GHz IF

KUO et al.: DESIGN AND ANALYSIS OF DOWN-CONVERSION GATE/BASE-PUMPED HARMONIC MIXERS

2483

TABLE I COMPARISON OF PREVIOUSLY PUBLISHED HARMONIC MIXERS AND THIS STUDY

Fig. 31. Measured LO to IF isolation of the proposed 4

harmonic mixer.

Fig. 32. Measured fourth LO harmonic to RF isolation of the proposed 4 harmonic mixer.

frequency. If wider IF bandwidth is desired, the IF buffer can be designed to have wider bandwidth with a larger chip area and higher dc power. Figs. 30 and 31 show the isolations from the LO port to RF and IF ports. The measured LO to RF isolation is better than 30 dB from the LO frequency of 20 to 40 GHz, while the LO to IF isolation is better than 25 dB. Fig. 32 shows the isolations of fourth LO to RF ports, which is better than 29 dB

Fig. 33. Measured RF to LO isolation of the proposed 4

Fig. 34. Measured RF to IF isolation of the proposed 4

harmonic mixer.

harmonic mixer.

at the interested LO bandwidth. Figs. 33 and 34 show the measured isolations between RF to LO and IF ports. The RF to LO isolation is better than 30 dB, and the RF to IF isolation is better than 18 dB. Table I shows the comparison between previously reported harmonic mixers and this study. Reference [27] proposes a wideband 2 harmonic mixer with comparable dc power consumption with our proposed 2 harmonic mixer. The 2

2484

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

harmonic mixer is based on the switching transistors topology. The harmonic mixing requires quadrature LO signals, which are produced by the on-chip four-phase power divider. Thus, the chip size is large and the required LO power is high. Reference [25] presents a 2 harmonic mixer as a component in the receiver with similar RF frequency compared to the proposed 4 harmonic mixer. The stack LO topology is used to generate harmonic mixing with the price of high supply voltage. The quadrature LO signals are also needed in this circuit. Although the mixer core size is small, the required LO power and dc power are much higher than those of our proposed 4 harmonic mixer. In conclusion, the two harmonic mixers designed with our newly proposed 180 hybrid have good conversion loss performance while consuming low dc power with small chip sizes. V. CONCLUSION A novel reduced-size 180 hybrid with different input frequencies has been proposed for the application in gate/base-pumped harmonic mixers. The detailed analysis and design procedures have been given for the design of the hybrid. Using the proposed hybrid, the -band 2 harmonic mixer has been designed in 90-nm CMOS technology and the D-band 4 harmonic mixer has been designed in 130-nm SiGe HBT technology. Compared to other circuit structures for harmonic mixers, the measurement results shows that the gate/base-pumped harmonic mixers with the proposed hybrid are an extremely efficient topology to save the LO resource and provide good performance in conversion efficiency, chip size, and circuit complexity. ACKNOWLEDGMENT The authors would like to thank Dr. R. Scholz, IHP, Frankfurt, Germany, for his help with the foundry service of the SiGe chip. The CMOS chip was fabricated by the Taiwan Semiconductor Manufacturing Company (TSMC), Hsin-Chu, Taiwan, through the Chip Implementation Center (CIC), Hsin-Chu, Taiwan. The SiGe chip fabrication was supported by IHP GmbH Microelectronics, Frankfurt, Germany. REFERENCES [1] S. Maas, Microwave Mixers, 2nd ed. Norwood, MA: Artech House, 1993. [2] S. Maas, Nonlinear Microwave and RF Circuits, 2nd ed. Norwood, MA: Artech House, 2003. [3] M. Chapman and S. Raman, “A 60-GHz uniplanar MMIC 4 subharmonic mixer,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 11, pp. 2580–2588, Nov. 2002. [4] C.-H. Lin, Y.-A. Lai, J.-C. Chiu, and Y.-H. Wang, “A 23–37 GHz miniature MMIC subharmonic mixer,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 9, pp. 679–681, Sep. 2007. [5] S. Emami, C. H. Doan, A. M. Niknejad, and R. W. Brodersen, “A 60-GHz down-converting CMOS single-gate mixer,” in IEEE RFIC Symp., Jun. 2005, pp. 163–166. [6] C.-S. Lin, P.-S. Wu, H.-Y. Chang, and H. Wang, “A 9–50 GHz Gilbertcell down-conversion mixer in 0.13- m CMOS technology,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 5, pp. 293–295, May 2006.

[7] T.-H. Wu, S.-C. Tseng, C.-C. Meng, and G.-W. Huang, “GaInP/GaAs HBT sub-harmonic Gilbert mixers using stacked-LO and leveled-LO topologies,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 5, pp. 880–889, May 2007. [8] J.-H. Tsai and T.-W. Huang, “35–65-GHz CMOS broadband modulator and demodulator with sub-harmonic pumping for MMW wireless gigabit applications,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 10, pp. 2075–2085, Oct. 2007. [9] C.-H. Lien, P.-S. Wu, K.-Y. Lin, and H. Wang, “A 60-GHz singlebalance gate-pumped down-conversion mixer with reduced-size ratrace hybrid on 130-nm CMOS process,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2008, pp. 1481–1484. [10] C.-H. Lien, P.-C. Huang, K.-Y. Kao, K.-Y. Lin, and H. Wang, “60 GHz double-balanced gate-pumped down-conversion mixers with a combined hybrid on 130-nm CMOS processes,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 3, pp. 160–162, Mar. 2010. [11] J. Deguchi, D. Miyashita, and M. Hamada, “A 0.6 V 380- W-14 dBm LO-input 2.4 GHz double-balanced current-reusing single-gate CMOS mixer with cyclic passive combiner,” in Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2009, pp. 224–226. [12] K. Ang and Y. Leong, “Analysis and design of impedance-transforming planar Marchand baluns,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 2, pp. 402–406, Feb. 2001. [13] K. Ang and Y. Leong, “Converting baluns into broadband impedancetransforming 180 hybrids,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 8, pp. 1990–1995, Aug. 2002. [14] C.-H. Lien, C.-H. Wang, C.-S. Lin, P.-S. Wu, K.-Y. Lin, and H. Wang, “Analysis and design of reduced-size Marchand rat-race hybrid for millimeter-wave compact balanced mixers in 130-nm CMOS processs,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 8, pp. 1966–1977, Aug. 2009. [15] C.-S. Lin, P.-S. Wu, M.-C. Yeh, J.-S. Fu, H.-Y. Chang, K.-Y. Lin, and H. Wang, “Analysis of multiconductor coupled-line Marchand baluns for miniature MMIC design,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 6, pp. 1190–1199, Jun. 2007. [16] B. Lee, D. Park, S. Park, and M. Park, “Design of new three-line balun and its implementation using multilayer configuration,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 4, pp. 1405–1414, Apr. 2006. [17] W.-Z. Chen, W.-H. Chen, and K.-C. Hsu, “Three-dimensional fully symmetric inductors, transformer, and balun in CMOS technology,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 54, no. 7, pp. 1413–1423, Jul. 2007. [18] SONNET. ver. 12.52, Sonnet Softw. Inc., North Syracuse, NY, 2009. [19] F. Ellinger, L. Rodoni, G. Sialm, C. Kronmer, G. Büren, M. Schmatz, C. Menolfi, T. Toifl, T. Morf, M. Kossel, and H. Jäckel, “30–40-GHz drain-pumped passive-mixer MMIC fabricated on VLSI SOI CMOS technology,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 5, pp. 1382–1391, May 2005. [20] J.-J. Hung, T. Hancock, and G. Rebeiz, “A 77 GHz SiGe sub-harmonic balanced mixer,” IEEE J. Solid-State Circuits, vol. 40, no. 11, pp. 2167–2173, Nov. 2005. [21] S. Sarkar, P. Sen, S. Pinel, C.-H. Lee, and J. Laskar, “Si-based 60 GHz 2 subharmonic mixer for multi-gigabit wireless personal area network application,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2006, pp. 1830–1833. [22] S. Gunnarsson, “Analysis and design of a novel 4 subharmonically pumped resistive HEMT mixer,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 4, pp. 809–816, Apr. 2008. [23] T.-Y. Chin, J.-C. Wu, S.-F. Chang, and C.-C. Chang, “Compact -/ -band CMOS quadrature hybrids with high phase balance based on multilayer transformer over-coupling technique,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 3, pp. 708–715, Mar. 2009. [24] T.-Y. Yang and H.-K. Chiou, “A 16–46 GHz mixer using broadband multilayer balun in 0.18- m CMOS technology,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 7, pp. 534–536, Jul. 2007. [25] K. Schmalz, W. Winkler, J. Borngraber, W. Debski, B. Heinemann, and C. Scheytt, “A subharmonic receiver in SiGe technology for 122 GHz sensor applications,” IEEE J. Solid-State Circuits, vol. 45, no. 9, pp. 1644–1656, Sep. 2010. [26] Y.-L. Kok, H. Wang, M. Barsky, R. Lai, M. Sholley, and B. Allen, “A 180-GHz monolithic sub-harmonic InP-based HEMT diode mixer,” IEEE Microw. Guided Wave Lett., vol. 9, no. 12, pp. 529–531, Dec. 1999. [27] F. Zhang, B. Yang, and E. Skafidas, “A low-power 5–75-GHz common-gate subharmonic mixer in 65-nm CMOS,” in IEEE Silicon Monolithic Integr. Circuits in RF Syst. Top. Meeting, Jan. 2011, pp. 133–136.

KUO et al.: DESIGN AND ANALYSIS OF DOWN-CONVERSION GATE/BASE-PUMPED HARMONIC MIXERS

Jhe-Jia Kuo (S’06) was born in Yunlin, Taiwan, in 1983. He received the B.S. degree in electronic engineering and Ph.D. degree in communication engineering from National Taiwan University, Taipei, Taiwan, in 2005 and 2011, respectively. He is currently a Senior Engineer with MediaTek Inc., Hsin-Chu, Taiwan. His research interests include the design and analysis of monolithic microwave and millimeter-wave integrated-circuit designs, amplifier and mixer linearization, and microwave systems.

Chun-Hsien Lien (S’99–M’06) was born in Taipei, Taiwan, in 1976. He received the B.S. degree in communication engineering from National Chiao Tung University, Hsin-Chu, Taiwan, in 1998, and the Ph.D. degree in communication engineering from National Taiwan University, Taipei, Taiwan, in 2009. From January 2009 to July 2009, he was a Post-Doctoral Research Fellow with the Graduate Institute of Communication Engineering, National Taiwan University. From July 2009 to October 2010, he was a Senior Engineer with the Universal Scientific Industrial Company, Nan-Tou, Taiwan. From October 2010 to March 2012, he was a Technical Applications Manager with the Advanced Communication Engineering Solution Company Ltd., Hsinchu, Taiwan. He is currently a Regional Technical Manager with the AWR Corporation (a National Instruments company), Hsin-Chu, Taiwan. His research interests include the design and analysis of RF, microwave and millimeter-wave (MMW) circuits, signal integrity, electromagnetic interference (EMI), and computational electromagnetics.

Zuo-Min Tsai (S’03–M’07) was born in Maioli, Taiwan, in 1979. He receives the B.S. degree in electronic engineering and Ph.D. degree in communication engineering from National Taiwan University, Taipei, Tiawan, in 2001 and 2006, respectively. From 2006 to 2011, he was been a Post Doctorate Research Fellow with the Graduate Institute of Communication Engineering, National Taiwan University. Since July 2011, he has been with the Department of Electrical Engineering, National Chung Cheng University, Chia-Yi, Tiawan, where he is currently an Assistant Professor. His research interests include the design of microwave integrated circuits and microwave systems.

Kun-You Lin (S’00–M’04) was born in Taipei, Taiwan, in 1975. He received the B.S. degree in communication engineering from National Chiao Tung University, Hsinchu, Taiwan, in 1998, and the Ph.D. degree in communication engineering from National Taiwan University, Taipei, Taiwan, in 2003. From August 2003 to March 2005, he was a Postdoctoral Research Fellow with the Graduate Institute of Communication Engineering, National Taiwan University. From May 2005 to July 2006, he was an Advanced Engineer with the Sunplus Technology Company Ltd., Hsin-Chu, Taiwan. Since July 2006, he has been a member of the faculty with the Department of Electrical Engineering and the Graduate Institute of Communication Engineering, National Taiwan University, where he is currently an Associate Professor. His research interests include the design and analysis of microwave/RF circuits. Dr. Lin is a member of Phi Tau Phi.

2485

Klaus Schmalz (M’99) recieved the Ph.D. degree in physics in 1978. He then worked in the field of silicon semiconductor technologies and research for some time, building an expertise in the area of thermally induced defects and characterization of Si/SiGe structures. After training in RF circuit design at the University of California at Los Angeles (UCLA), from 1998 to 1999, he changed his scientific focus to RF analog circuit design. He is currently with IHP, Frankfurt (Oder), Germany. His research interest is the design of SiGe BiCMOS analog circuits for wireless communication with an emphasis on RF front-ends for different standards.

J. Christoph Scheytt (S’96–M’01) received the Diploma degree (M.Sc.) and Ph.D. degree (with highest honors) from Ruhr-University Bochum, Germany, in 1996 and 2000, respectively. In 2000, he cofounded advICo Microelectronics GmbH, a German integrated circuit (IC) design house for RF integrated circuit (RFIC) and fiber-optic IC design. For six years, he was CEO of advICo Microelectronics GmbH. From 2006 to 2012, he was with IHP, as Head of the Circuit Design Department. In 2012, he became a Full Professor of circuit design with the University of Paderborn, Paderborn, Germany, and Research Group Leader with the Heinz-Nixdorf Institute. He has authored or coauthored over 100 refereed papers. He holds 12 patents. His research interests are focused on high-frequency and broadband integrated circuit (IC) design for communications and sensing, phase-locked loop (PLL) techniques, and design with SiGe BiCMOS technologies.

Huei Wang (S’83–M’87–SM’95–F’06) was born in Tainan, Taiwan, in 1958. He received the B.S. degree in electrical engineering from National Taiwan University, Taipei, Taiwan, in 1980, and the M.S. and Ph.D. degrees in electrical engineering from Michigan State University, East Lansing, in 1984 and 1987, respectively. During his graduate study, he was engaged in research on theoretical and numerical analysis of EM radiation and scattering problems. He was also involved in the development of microwave remote detecting/sensing systems. In 1987, he joined the Electronic Systems and Technology Division, TRW Inc. He has been an MTS and Staff Engineer responsible for MMIC modeling of computer-aided design (CAD) tools, MMIC testing evaluation and design, and became the Senior Section Manager of the Millimeter-Wave (MMW) Sensor Product Section, RF Product Center. In 1993, he visited the Institute of Electronics, National Chiao-Tung University, Hsin-Chu, Taiwan, to teach MMIC-related topics. In 1994, he returned to TRW. In February 1998, he joined the faculty of the Department of Electrical Engineering, National Taiwan University, as a Professor. From 2005 to 2007, he was the Richard M. Hong Endowed Chair Professor of National Taiwan University. From August 2006 to July 2009, he was the Director of Graduate Institute of Communication Engineering, National Taiwan University. He is currently a National Chair Professor of the Ministry of Education, Taiwan (February 2011–January 2014 term). Dr. Wang is a member of Phi Kappa Phi and Tau Beta Pi. He was an IEEE Distinguished Microwave Lecturer (2007–2009). He was the recipient of the Distinguished Research Award of the National Science Council, Taiwan (2003), the Academic Achievement Award of the Ministry of Education, Taiwan (2007), and the Distinguished Research Award of the Pan Wen-Yuan Foundation (2008).

2486

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

A Low-Voltage, Low-Power, and Low-Noise UWB Mixer Using Bulk-Injection and Switched Biasing Techniques Myoung-Gyun Kim, Hee-Woo An, Yun-Mo Kang, Ji-Young Lee, and Tae-Yeoul Yun, Member, IEEE

Abstract—This paper presents a low-voltage, low-power, lownoise, and ultra-wideband (UWB) mixer using bulk-injection and switched biasing techniques. The bulk-injection technique is implemented for a low supply voltage, thus resulting in low power consumption. This technique also allows for a flat conversion gain over a wide range of frequencies covering the full UWB band; this is a result of the integration of the RF transconductance stage and the local oscillator switching stage into a single transistor that is able to eliminate parasitic effects. Moreover, since the bulk-injection transistors of the mixer are designed to operate in the subthreshold region, current dissipation is reduced. A switched biasing technique for the tail current source, in place of static biasing, is adopted to reduce noise. The effects of modulated input signals, such as AM and FM, are simulated and measured to demonstrate the robustness of the switched biasing technique. The proposed mixer offers a measured conversion gain from 7.6 to 9.9 dB, a noise figure from 11.7 to 13.9 dB, and input third-order intercept point from 10 to 15.5 dBm, over 2.4 to 11.9 GHz, while consuming only 0.88 mW from a 0.8-V supply voltage. The chip size including the test pads is 0.62 0.58 mm using a 0.18- m RF CMOS process. Index Terms—AM, bulk injection, CMOS, FM, mixer, sub-threshold, switched biasing, ultra-wideband (UWB).

I. INTRODUCTION

S

INCE THE release of ultra-wideband (UWB) by the Federal Communications Commission (FCC), UWB systems, whose frequency bands are from 3.1 to 10.6 GHz, have been actively researched in industrial and academic fields. These UWB systems require an integrated, low-cost, and low-power RF front-end over the multigigahertz bandwidth. Despite numerous research efforts, mixers have continued to face challenges with low supply voltage, low power consumption, and high and flat conversion gain. In particular, low noise performance is needed in the circuit following the low-noise amplifier (LNA) because the high noise of the mixer increases the noise figure (NF) for the overall system [1]. Numerous advanced technology mixers, based on the CMOS process, have been reported [2]–[5]. One of the most popular is the Gilbert-type mixer, which has high even-order linearity and Manuscript received March 28, 2012; accepted April 03, 2012. Date of publication June 05, 2012; date of current version July 30, 2012. This work was supported by the Basic Science Research Program through the National Research Foundation of Korea (NRF) funded by the Ministry of Education, Science and Technology (No. 2012-2006441). The authors are with the Department of Electrical and Computer Engineering, Hanyang University, Seoul 133-791, Korea (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2198238

a good port-to-port isolation to release the dc offset problem [2]. However, it does have drawbacks, such as a relatively high supply voltage and power consumption due to a number of stacked transistors operating in the saturation region. The folded structure mixer operates at a low supply voltage [3] due to the small number of stacked transistors; however, as the folded structure requires a higher dc current, it has similar power consumption compared to the Gilbert-type mixer. The current bleeding technique was designed to improve the mixer noise since less current flows at the LO switching stage [4]. However, the parasitic capacitance increases between the LO switching stage, the RF transconductance stage, and the current bleeding circuit. To eliminate this parasitic capacitance, resonating inductors could be used, though the chip size would increase while the bandwidth would decrease [5]. In this paper, a mixer using bulk-injection and switched biasing techniques is presented for UWB applications. The bulk injection technique combines the RF transconductance stage with the LO switching stage in order to make the core circuits a single MOSFET stage. This technique lowers the supply voltage and has lower power consumption. The bulk-injection transistors of the mixer are designed to operate in the subthreshold region. Therefore, the total power consumption is also reduced due to less current [6]. However, the bulk-injection mixer has a relatively high NF. In this paper, the switched biasing technique, a symmetrical switching operation of tail current transistors, is adopted to reduce not only the flicker noise, but also the white noise [7]. As a result of this technique, the NF for the proposed mixer improves by approximately 2–3 dB compared to a mixer with a fixed current source. This paper is organized as follows. Section II describes how the bulk injection and switched biasing techniques improve mixer performance, as well as advantages and disadvantages of both. Section III discusses switched biasing behaviors according to the amplitude modulation (AM) and frequency modulation (FM) of the input signals. Section IV describes the proposed circuit design. Section V presents the measurement results. Section VI concludes our research. II. BULK INJECTION MIXER WITH THE SWITCHED BIASING TECHNIQUE A. Bulk-Injection Mixer Fig. 1 shows the proposed mixer utilizing bulk-injection and switched biasing techniques. The mixer consists of three parts – as a current including the switched biasing stage

0018-9480/$31.00 © 2012 IEEE

KIM et al.: LOW-VOLTAGE, LOW-POWER, AND LOW-NOISE UWB MIXER

2487

Fig. 2. (a) Single balanced Gilbert-type mixer. (b) Bulk-injection mixer.

Nevertheless, the bulk-injection mixer has a critical drawback in that it is noisier than the Gilbert-type mixer because the LO signal input is inserted directly through the body terminal and contributes to a noisier drain current of the MOS transistors [9]. The additive noisy drain current of the transistors – can be expressed as follows:

Fig. 1. Proposed mixer schematic.

source, the bulk-injection core stage – , and the load stage – . In contrast to the Gilbert-type mixer, the bulk-injection core stage merges the RF transconductance stage with the LO switching stage. Typically, the gate terminal is connected to the RF input, and the bulk terminal is connected to the source terminal in order to eliminate the body effect. In the proposed mixer, the gate terminal and the bulk terminal are used as inputs for the RF and LO, respectively. Since it has one less stacked stage than the Gilbert-type mixer, the proposed mixer is able to operate with a lower supply voltage. In addition, the gate–source voltage of the core transistors – is lower biased than the threshold voltage in order to operate in the subthreshold region. The proposed mixer consumes only approximately 1 mA (250 A for each of the four core transistors) of the current. Fig. 2(a) shows a single balanced version of the Gilbert-type mixer. The Gilbert-type mixer has the drawback of parasitic capacitance between the RF and LO stages giving rise to a lower conversion gain at a high frequency; this results from the RF current leaking to the shunt parasitic capacitance. However, the bulk injection technique used in this study has merit in that the integration of the RF and LO stages into a single transistor eliminates any interconnecting parasitic capacitance, as shown in Fig. 2(b). The voltage gains of the Gilbert-type mixer and the bulk-injection mixer can be expressed as, respectively, (1) (2) and are the transconductance of the RF and LO where stage, respectively, and is the shunt parasitic capacitance and denotes the load impedance. Based on (1) and (2), the bulk-injection mixer has a smaller conversion-gain fluctuation than the Gilbert-type mixer. Therefore, this technique makes it possible to achieve a widely flat conversion gain across the entire UWB bandwidth [8].

(3) where and are the Boltzmann’s constant, absolute temperature in degrees Kelvin, substrate resistance, bulk transconductance, and noise bandwidth in hertz, respectively [10]. Equation (3) indicates how the substrate resistance and the bulk transconductance affect the drain current noise. Since the bulk-injection technique degrades the NF, the switched biasing technique, discussed in Section II-B, is adopted in order to improve the noise performance. B. Switched Biasing Technique The system NF is influenced by the NF of the mixer following the LNA. In particular, when the LNA has a low power gain, a low noise mixer is required. The drain current noise of the tail current transistor in the Gilbert-type mixer is generally regarded as a critical noise source. The switched biasing technique splits the tail current source into two half-size transistors and then alternately switches them using the IF output signals. The result is a lower flicker noise while maintaining the same dc current supply as with the fixed-biasing current source. The switched biasing technique has been used primarily for improving the phase noise in a voltage-controlled oscillator (VCO) design [11]. This technique was originally introduced to reduce the flicker noise of a transistor by operating it between a strong inversion and accumulation region. Additionally, the switched biasing technique reduces both the flicker noise and the white noise [7]. Therefore, a reduction in the noise generated by a MOS transistor in part of the current source should result in a lower NF as compared to a static or fixed biasing current source. In addition, by using self-biasing with the IF output signal to drive the tail current transistor, the mixer does not require a supplementary bias or current mirror circuit; these would cause additional power consumption and current source variation generated by an unsettled supply voltage. A low NF is most critical for low input power levels. Nevertheless, the NF enhancement effect by the switched biasing

2488

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 3. Simulated differential voltages of AM (depth of 100%) IF outputs and currents of switched biasing transistors with an RF power of 30 dBm, an LO power of 5 dBm at 6.6 GHz, and an IF of 264 MHz.

circuit will be weak under low signal power. Therefore, an additional limiting or automatic gain controlled (AGC) amplifier between the gate input of the switched biasing transistor and the IF output will be needed to fully operate the switched biasing transistor on and off over all input power levels, as shown in [11, Fig. 3].

Fig. 4. Simulated NFs versus LO frequency for a single tone and different depths of AM signals with an RF power of 30 dBm and an LO power of 5 dBm at an IF of 264 MHz.

III. MODULATION EFFECT We have discussed improving the noise performance of the proposed mixer when the ideal sinusoidal signal is the gate input of the switched biasing circuit; however, the RF input of mixers is generally modulated, not sinusoidal. Therefore, the feedback signal at the gate input of the switched biasing circuit is also modulated. If a modulated RF signal such as an AM or FM signal is applied to the proposed mixer, it is questionable whether the switched biasing technique is able to reduce noise as effectively as a single-tone RF signal. In this section, we will discuss the effects of switched biasing as it pertains to modulation. Among the many modulation schemes, AM and FM are selected as representatives for this analysis because these are the most fundamental modulation methods in many communication systems. As shown in Fig. 3, if the amplitude of the modulated IF signal is altered for AM modulation, differential switched biasing transistors will have time durations of all on-states. During all on-states, the switched biasing circuit operates as well as the fixed biasing circuit does. Noise degradation is therefore expected. Amplitude variation depends on depth, which is set to 100% in Fig. 3 for simulation of a worst case. Fig. 4 shows simulated NFs according to the AM modulation depth, such as 0% (single tone), 50%, and 100%. The NF for a depth of 100% is approximately 0.5 dB worse than that of the single tone. In the event that the amplitude of the RF or IF signal is insufficient to turn off the transistor or , all of the switched biasing transistors will be on-states, and the NF will be degraded. Thus, as RF power continues to increase, it will operate the switched biasing circuit, and then improve NF. The deviation can be adjusted for the FM signal as well as for the depth of the AM signal. In Fig. 5, the FM signal with

Fig. 5. Simulated differential voltages of FM (deviation 50 MHz) IF outputs and currents of the switched biasing transistors with an RF power of 30 dBm, an LO power of 5 dBm at 6.6 GHz.

a deviation of 50 MHz has no amplitude variation, and thus, the differential switched biasing transistors do not have a period of simultaneous on-states; the currents through the switched biasing transistors consistently flow for the FM signal. In Fig. 6, the NFs of the proposed mixer are compared for deviations of 0 (or single tone), 5, and 10 MHz, all of which present very similar results. The proposed mixer is presented for use in a multiband orthogonal frequency-division multiplexing (MB-OFDM) UWB communication system; this system typically employs various modulation schemes, such as binary phase shift keying (BPSK), quadrature phase shift keying (QPSK), and 64 quadrature amplitude modulation (QAM) [12]. There is no amplitude variation in the time domain for BPSK and QPSK signals, similar to the FM signal. The 64 QAM signal, however, has amplitude variation in the time domain, similar to the AM signal. We analyzed the modulation effect on the NF of the switched biasing circuit using representative AM and FM modulations.

KIM et al.: LOW-VOLTAGE, LOW-POWER, AND LOW-NOISE UWB MIXER

2489

Fig. 6. Simulated NFs versus LO frequency for a single tone and different deviations of FM signals with an RF power of 30 dBm and an LO power of 5 dBm at an IF of 264 MHz.

According to this analysis, the FM signal had no NF degradation; however, the AM signal showed a maximum 0.5-dB increase in the NF compared to a single-tone signal. Additionally, there was an effect from the RF power level on the NF (maximum of 0.4-dB increase), which applies for any modulations; however, this effect can be reduced if a moderate RF power level is chosen as high as possible. In digital modulations for UWB applications since the BPSK and QPSK signals are not associated with amplitude variation in the time domain, they have little effect on the NF. However, the 64 QAM modulation is related to amplitude variation and will thus have an NF degradation of approximately 0.9 dB in the worst case, including the maximum depth and the lowest RF power level. IV. CIRCUIT DESIGN As shown in Fig. 1, the proposed mixer does not include an inductor in order to achieve an UWB frequency response. Furthermore, the size of the transistors and the layout of the circuit are optimized for small parasitic capacitance, flat conversion gain, and reasonable NF while consuming minimal power. The conversion gain of the mixer is proportional to the transconductance of the core transistors – . The relationship between the transconductance and the gate width of the core transistors is

Fig. 7. Simulated: (a) conversion gain and (b) NF versus core transistor gate width with respective LO frequencies at 3, 6, and 10 GHz.

curves at a larger gate width and a higher frequency; the squareroot relationship between and , and the parasitic capacitance between the core and load stage transistors. Equation (5) provides a further understanding of the NF graph shown in Fig. 7(b)

(4) where and are the electron mobility, gate–oxide capacitance per unit area, gate width of the core transistors – , gate length of the core transistors – , and drain current, respectively. The drain current is fixed by the tail current source ( ). To achieve a sufficient transconductance, and thus a high conversion gain, a large gate width of core transistors is required. Fig. 7(a) and (b) shows, respectively, the simulation data of the conversion gain and NF as a function of the core transistor gate width with LO frequencies at 3, 6, and 10 GHz. There are two causes for decrease in the slope of the conversion gain

(5) where , and are the input noise voltage, total output noise voltage, and circuit-added output noise voltage, respectively. From (5), the NF is inversely proportional to the conversion gain [see Fig. 7(b)]. Based on the simulation results presented in Fig. 7, we are able to determine the width of the core transistor. To achieve sufficient conversion gain, a gate width between 40–100 m is reasonable. Considering the NF performance, a gate width from 40 to 80 m is an appropriate range. A gate-width size of

2490

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 8. Simulated conversion gain and NFs versus IF frequency for an LO power of 5 dBm.

Fig. 9. Measured conversion gain versus LO power at 6.6 GHz with an RF power of 30 dBm and an IF of 264 MHz.

80 m is thus determined to be most appropriate based on these analyses. The size, , of the switched biasing transistors, – , was chosen to be 40 m/0.18 m to supply 1.1 mA. The load stage consists of pMOS transistors, (32 m/0.18 m), in place of resistors. Although the active load has a disadvantage in noise performance, it enables a lower supply voltage. The biasing voltages of each transistor are determined to appropriately operate in low supply voltage. In the core transistor, the biasing voltages of and are 516 and 511 mV, respectively. and of the active load transistors are biased by 194 and 800 mV, respectively. As a result, we are able to achieve enough conversion gain and proper NF performances over the UWB RF frequency. In addition, UWB systems require a wideband performance for IF frequency; Fig. 8 shows very flat conversion gain and NF results over the wide IF frequency. Finally, the buffer stage consists of a common source (CS) amplifier to achieve output impedance matching for measurement. The CS buffer is used instead of a source follower be-

Fig. 10. Measured and simulated conversion gains versus RF frequency with an RF power of 30 dBm and an LO power of 5 dBm at an IF of 264 MHz.

Fig. 11. Measured port-to-port isolations versus RF frequency with an RF power of 30 dBm and an LO of 5 dBm.

cause the dc level (0.56 V) at the load stage is too low to create a source–follower transistor in the saturation region. We designed two mixers. The first is the proposed bulk-injection mixer with the “switched” biasing tail-current source, the second is a bulk-injection mixer with a conventional “fixed” biasing. The latter allowed us to investigate the NF improvement by using the switched biasing technique. The second mixer is an exact replica of the proposed mixer with the exception of the fixed biasing circuit. Both mixers have the same device sizes and operating conditions (i.e., supply voltage, current level, and RF and LO power levels). V. MEASUREMENT RESULT The proposed mixer was fabricated using 0.18- m RF CMOS technology. All chip performances were measured using on-wafer probing at the RF and LO ports with ground–signal–signal–ground (G–S–S–G) dual probes. The probes were connected to external passive baluns for single-to-differential conversion. Differential IF output ports

KIM et al.: LOW-VOLTAGE, LOW-POWER, AND LOW-NOISE UWB MIXER

Fig. 12. Measured IF output power versus RF power with an RF frequency of 10.296 GHz and an LO power of 5 dBm at an IF of 264 MHz.

2491

Fig. 15. Measured and simulated NFs versus LO frequency for FM input signals with an RF power of 30 dBm and an LO power of 5 dBm according to deviation at an IF of 264 MHz.

Fig. 13. Measured and simulated NFs versus LO frequency for the switched and fixed biasing circuits with an RF power of 30 dBm and an LO power of 5 dBm at an IF of 264 MHz.

Fig. 16. Microphotograph of the proposed mixer.

Fig. 14. Measured and simulated NFs versus LO frequency for AM input signals with an RF power of 30 dBm and an LO power of 5 dBm according to depth at an IF of 264 MHz.

were connected to a spectrum analyzer through the passive baluns and dc-blocking capacitors to measure the voltage conversion gain. The losses of the cables, baluns, and dc-blocking

capacitors were taken into account when calculating the conversion gain and NF. The gain method was adopted for the switched biasing mixer, using the signal generator with AM/FM functions (Rohde & Schwarz SMF100A) at the RF input and the spectrum analyzer (Agilent HP-E4407B) at the IF output. The NF meter method was adopted for the fixed biasing mixer, using the NF analyzer (Agilent N8975A) [13]. Since the bandwidth of each sub-band in the MB-OFDM UWB system was 528 or 264 MHz of the quadratures, the conversion gain and NF were measured at an IF frequency of 264 MHz. The RF power was selected to be 30 dBm, and the LO power was chosen to be 5 dBm in order to achieve the maximum conversion gain of 9.9 dB, as shown in Fig. 9. The

2492

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

TABLE I PERFORMANCE SUMMARY AND COMPARISON TO UWB CMOS MIXERS

high LO power required is a disadvantage of the bulk-injection technique. Fig. 10 shows the measured and simulated conversion gain over a wide range of frequencies. The measured conversion gain has ranged between 7.6–9.9 dB for 2.4–11.9 GHz; this frequency range was limited by the balun used. The fact that the simulated and measured results had very similar gains and flat characteristics indicates that the proposed mixer will have sufficient 3-dB bandwidth from 0.2 to 13 GHz in order to cover the entire UWB frequency bands. Fig. 11 shows the measured port-to-port isolations (LO-RF, LO-IF, and RF-IF) for which the values are higher than 25 dB. Thus, the isolation characteristic of the bulk-injection technique performed somewhat worse than a typical Gilbert-type mixer, the isolation of which generally is better than 30 dB; this result is a drawback of the bulk-injection technique. Fig. 12 illustrates the measured results of the two-tone test, which was performed with a 5-MHz difference and 10.296 GHz of RF frequency where the highest center frequency of the 14 band in the MB-OFDM UWB was 10.296 GHz. The input third-order intercept point (IIP3) of 10 dBm and the input-referred 1-dB compression points (P1dB) of 19 dBm were achieved. The total power dissipation of the propose mixer core, except for an output buffer, was 0.88 mW from a supply voltage of 0.8 V. Fig. 13 shows the measured results of double-sideband noise figure (DSB NF) at an IF frequency of 264 MHz. The performance of the proposed mixer was compared to a duplicate mixer, which had identical properties (device size and operating conditions such as a supply voltage, a current level, and an LO power), except the fixed biasing tail-current source. The NF is improved from 2 to 4 dB when the switched biasing technique is applied. Fig. 14 shows the measured NF results when each of the single tone and AM inputs were applied. The AM signal was set to both 100% and 50% of the depth. The NF of the AM signal input was approximately 0.6 dB higher than the NF of the single-tone input. When the depth of the AM signal was set to 100%, the NF was slightly higher than a depth of 50%. The reason for this is that the time durations of all on states at a depth of 100% are longer than at a depth of 50%. Meanwhile, the NF of the FM signal inputs (5 MHz, 10 MHz of the deviation) was

almost the same as that of the single tone input (Fig. 15); this is a result of the constant amplitude of the FM signals. Fig. 16 shows a microphotograph of the proposed mixer. The chip size including the pads was 0.62 0.58 mm . In Table I, the performance of the proposed mixer is summarized and compared with state-of-the-art CMOS UWB mixers [14]–[17]. The chosen LO power of 5 dBm in the proposed mixer is not large, compared to the other bulk-injection mixers. The proposed mixer using bulk-injection and switched biasing techniques has a wide bandwidth, high gain, low NF, low voltage, and low power. VI. CONCLUSION In this paper, we presented an UWB mixer with low-power, low-voltage, and low-noise characteristics that was fabricated using a 0.18- m CMOS process. The bulk-injection technique enables the proposed mixer to achieve low voltage and low power consumption and a superior gain flatness characteristic resulting from the reduction of parasitic capacitances. Additionally, low noise performance was accomplished with the aid of the switched biasing technique. Measurement results showed that the proposed mixer obtained extensive bandwidth from 0.2 to 13 GHz with a maximum conversion gain of 9.9 dB and a minimum NF of 11.7 dB. When AM and FM signals were applied to the proposed mixer, the NF of the FM signals was similar to the single tone no modulation signal, while the NF of the AM signals was degraded less than 1.3 dB in the worst case. Therefore, the proposed mixer should be useful for an RF front-end receiver for UWB systems. REFERENCES [1] L. Noor and A. Anpalagan, “Direct conversion receiver for radio communication system,” IEEE Potentials, vol. 24, no. 5, pp. 32–35, Dec. 2005. [2] B. Gilbert, “The MICROMIXER: A highly linear variant of the Gilbert mixer using a bisymmetric class-AB input stage,” IEEE J. Solid-State Circuits, vol. 32, no. 9, pp. 1412–1423, Sep. 1997. [3] F.-C. Chang, P.-C. Huang, S.-F. Chao, and H. Wang, “A low power folded mixer for UWB systems applications in 0.18- m CMOS technology,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 5, pp. 367–369, May 2007. [4] L. A. McEachern and T. Manku, “A charge-injection method for Gilbert cell,” in Proc. IEEE Can. Elect. Comput. Eng. Conf., May 1998, vol. 1, pp. 365–368.

KIM et al.: LOW-VOLTAGE, LOW-POWER, AND LOW-NOISE UWB MIXER

[5] J. Park, C.-H. Lee, B.-S. Kim, and J. Laskar, “Design and analysis of low flicker noise CMOS mixer for direct-conversion receivers,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 12, pp. 4372–4380, Dec. 2006. [6] L. K. Meng, N. C. Yong, Y. K. Seng, and D. M. Anh, “A 2.4 GHz ultra low power subthreshold CMOS low-noise amplifier,” Microw. Opt. Technol. Lett., vol. 49, no. 4, pp. 743–744, Apr. 2007. [7] J.-H. Kim, H.-W. An, and T.-Y. Yun, “A low-noise WLAN mixer using switched biasing technique,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 10, pp. 650–652, Oct. 2009. [8] G. Kathiresan and C. Toumazou, “A low voltage bulk driven downconversion mixer core,” in Proc. IEEE Int. Circuits Syst. Symp., May 1999, vol. 2, pp. 598–601. [9] C. Kienmayer, M. Tiebout, W. Simbürger, and A. L. Scholtz, “A lowpower low voltage nMOS bulk-mixer with 20 GHz bandwidth in 90 nm CMOS,” in Proc. IEEE Int. Circuits Syst. Symp., Jun. 2004, pp. 385–388. [10] T. H. Lee, The Design of CMOS Radio-Frequency Integrated Citcuits. New York: Cambridge Univ. Press, 2004. [11] E. A. M. Klumperink, S. L. J. Gierkink, A. P. van der Wel, and B. noise and power consumption by Nauta, “Reducing MOSFET switched biasing,” IEEE J. Solid-State Circuits, vol. 35, no. 7, pp. 994–1001, Jul. 2000. [12] A. Batra, J. Balakrishnan, G. R. Aiello, J. R. Foerster, and A. Dabak, “Design of a multiband OFDM system for realistic UWB channel environments,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 9, pp. 2123–2138, Sep. 2004. [13] “Three methods of noise figure measurement,” Maxim Integr. Products, Sunnyvale, CA, Appl. Note 2875, Nov. 2003. [Online]. Available: http://www.maxim-ic.com [14] J.-B. Seo, J.-H. kim, H. Sun, and T.-Y. Yun, “A low-power and highgain mixer for UWB systems,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 12, pp. 803–805, Dec. 2008. [15] O. Schmitz, S. K. Hampel, C. Orlob, M. Tiebout, and I. Rolfes, “Lowvoltage bulk-driven mixers in 45 nm CMOS for ultra-wideband TX and RX,” in Proc. NORCHIP, Nov. 2008, pp. 119–122. [16] K.-H. Liang, H.-Y. Chang, and Y.-J. Chan, “A 0.5–7.5 GHz ultra low-voltage low-power mixer using bulk-injection method by 0.18- m CMOS technology,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 7, pp. 531–533, Jul. 2007. [17] C.-L. Kuo, B.-J. Huang, C.-C. Kuo, K.-Y. Lin, and H. Wang, “A 10–35 GHz low power bulk-driven mixer using 0.13 m CMOS process,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 7, pp. 455–457, Jul. 2008.

Myoung-Gyun Kim received the B.S. and M.S. degrees in electronics computer engineering from Hanyang University, Seoul, Korea, in 2007 and 2009, respectively, and is currently working toward the Ph.D. degree at Hanyang University. His research interests include CMOS RF integrated circuit (RFIC) design and passive devices modeling.

2493

Hee-Woo Ahn received the B.S. degree in information and communication engineering from Kyungnam University, Seoul, Korea, in 2007, and the M.S. degree in electronics computer engineering from Hanyang University, Seoul, Korea, in 2010. He is currently with Samsung Electronics, Suwon, Korea. His research interests include RF integrated circuit design.

Yun-Mo Kang received the B.S. degree in electrical engineering from Hanyang University, Ansan, Korea, in 2009, and the M.S. degree in electronics computer engineering from Hanyang University, Seoul, Korea, in 2011. He is currently with LG Electronics, Seoul, Korea. His research interests include RF integrated circuit design.

Ji-Young Lee received the B.S. degree in electronic and electrical engineering from Hongik University, Seoul, Korea, in 2010, and is currently working toward the M.S. and Ph.D. degree (unified course) in electronics computer engineering at Hanyang University, Seoul, Korea. His current research is RF front-end design and limiting amplifiers in optical transceiver design.

Tae-Yeoul Yun (S’87–M’01) received the B.S.E.E. degree from Kyungpook National University, Daegu, Korea, in 1987, the M.S.E.E. degree from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea in 1989, and the Ph.D. degree in electrical engineering from Texas A&M University, College Station, in 2001. From 1989 to 1996, he was with the Optical Telecommunication System Group, Electronics and Telecommunications Research Institute (ETRI), Daejeon, Korea, where he developed 2.5- and 10-Gb/s systems. From 2001 to 2003, he was a Monolithic Microwave Integrated Circuit (MMIC) Designer with Triquint Semiconductor, Dallas, TX. Since March 2003, he has been a Professor with Hanyang University, Seoul, Korea. He has authored or coauthored over 100 technical papers. His research interests are RFICs, MMICs, antennas, and wireless communication systems.

2494

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Analysis of the Locking Range of Rationally Synchronized Oscillators With High Reference Signal Power Miguel Fernández García, Member, IEEE, Samuel Ver Hoeye, Member, IEEE, Carlos Vázquez, George Roberto Hotopan, René Camblor, and Fernando Las Heras, Senior Member, IEEE

Abstract—In this paper an in-depth study of the behavior of rationally synchronized oscillators (RSO) is presented. The circuit is optimized in order to achieve a broad synchronization bandwidth with low reference signal power through the selection of the adequate harmonic content. The nonlinear dynamics of the RSO is analyzed, focusing on the different bifurcation points which delimit the locking range when high reference signal power is considered. An RSO prototype with rational synchronization ratio , autonomous frequency 3 GHz and reference signal frequency 5 GHz has been manufactured and experimentally characterized, demonstrating a good agreement with simulation results. Index Terms—Bifurcation detection, microwave oscillators, nonlinear optimization, rational synchronization.

I. INTRODUCTION

I

N modern microwave communication systems, carrier signals with good frequency stability and phase noise properties are required. These signals are commonly generated by systems based on the use of synthesized oscillators. However, when several carrier signals with different frequencies are needed, the complexity and the cost of the system rapidly increase with the number of required synthesized oscillators. A different approach in which each carrier signal is generated by a rationally synchronized oscillator (RSO) [1] can be applied, in order to simplify the system and reduce its cost. The RSO circuit described in this work is based on a single transistor and a reduced number of components and can be easily implemented in microstrip technology. Therefore, it is a compact and lowcost circuit with a reduced power consumption, which can be integrated together with radiating elements in order to design low-cost active antennas. Manuscript received March 09, 2012; accepted April 10, 2012. Date of publication June 11, 2012; date of current version July 30, 2012. This work was supported in part by the ”Ministerio de Ciencia e Innovación” of Spain and ”FEDER”, under Project IPT-2011-0951-390000 (TECNIGRAF), Project TEC2011-24492 (ISCAT), Project TEC2008-01638 (INVEMTA), Project ”CONSOLIDER-INGENIO CSD2008-00068” (TERASENSE), and Frant AP2009-0438, by the ”Plan de Ciencia y Tecnología” (PCTI/FEDER-FSE) of the ”Gobierno del Principado de Asturias”, under Project EQUIP08-06, Project FC09-COF09-12, Project EQUIP10-31, Project PC10-06, and Grant BP10-031, and by the ”Catedra Telefonica-Universidad de Oviedo.” The authors are with the Signal Theory and Communications Area, Department of Electrical Engineering, University of Oviedo, Gijon E-33204, Spain (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2198236

With this alternative, one synthesized oscillator provides the reference signal to all of the RSO circuits in the system. Since all of the RSO circuits share the synchronization signal, the rational relation between the free-running frequency and the reference signal frequency , expressed as , is different for each RSO, leading to rationally related carriers. With this configuration, all of the RSO output signals have a known fixed phase relation with the reference signal, reached through the synchronization between the th harmonic component of the self-oscillation signal and the th harmonic component of the reference signal, generated by the nonlinear characteristic of the active device. Rational synchronization has been described in technical literature as a method for the improvement of the oscillator phase noise and for the implementation of low-cost rational frequency dividers [2]–[5] and multicarrier Tx/Rx systems [6]. However, there are few works in which the complex dynamics of RSOs is analyzed. The main drawback of the RSO is the high reference signal power that is needed in order to achieve a practical value of the synchronization bandwidth. This problem increases , and must be for high synchronization orders, with , taken into account when several RSO circuits share the same reference signal. In this case, unless high reference signal power is considered, the synchronization phenomenon is only observable through the detection of noisy precursors [7], and it has no practical interest. To overcome this limitation, some techniques for the enlargement of the locking range of RSOs and analog frequency dividers have been developed. In [2] and [4], the locking range is enlarged through the addition of low-frequency feedback loops. Thus, the number of components and the complexity of the circuit are increased. In addition, the required reference signal power is still relatively high. On the other hand, the work in [1] describes the optimization of the RSO synchronization bandwidth by means of the control of the harmonic content of the circuit, i.e., the amplitudes of the synchronizing harmonics. In this case, a practically usable locking range has been achieved, with , 10 dBm reference signal power, and without , additional circuitry. Finally, in [8], it is shown that the required reference signal power can be considerably reduced through the adequate selection of the circuit working regime, which is forced to be close to a Hopf bifurcation point. A strong amplification effect is generated around the frequency of the input reference signal to enlarge the amplitude of its harmonic components. Hence, the reference signal power required to achieve a particular synchronization bandwidth is considerably reduced.

0018-9480/$31.00 © 2012 IEEE

FERNÁNDEZ GARCÍA et al.: ANALYSIS OF LOCKING RANGE OF RATIONALLY SYNCHRONIZED OSCILLATORS

In order to analyze the complex nonlinear dynamics of autonomous circuits and systems under synchronized operation regime, several techniques have been proposed, both in time [9], [10] and frequency domains. In this work, efficient harmonic balance-based techniques are applied to the analysis of RSO circuits. The circuit synchronization bandwidth is optimized through the control of the harmonic content of the circuit [1]. The locking range is analyzed versus the amplitude of the th harmonic component of the autonomous signal and the th harmonic component of the reference signal , calculating the synchronization loci by means of harmonic balance simulations based on the use of an auxiliary generator [11], [12]. The stability of the synchronized solutions is efficiently analyzed through the envelope transient technique combined with the use of an auxiliary generator, which is used to initialize the system state variables [13]–[18]. After optimizing the circuit, the nonlinear dynamics of the RSO is exhaustively analyzed. The influence of the reference signal power on the behavior of the synchronized solutions is carefully studied, paying special attention to the bifurcations that limit the stable operation ranges of the RSO. In addition, the different unsynchronized solutions of the circuit are characterized as a function of the reference signal parameters. The data provided by the performed analysis are used to obtain a detailed bifurcation map that describes the overall RSO behavior versus the frequency and the power of the reference signal. To our knowlege, this is the first time that such in-depth study of the nonlinear dynamics of an RSO is reported. This paper is organized as follows. In Section II, the topology of the RSO is presented, and the design and optimization process is described. Section III is devoted to the optimization of the circuit locking range through the control of its harmonic content. The nonlinear dynamics exhibited by the RSO when high reference signal power values are considered is analyzed in detail in Section IV. Finally, in Section V, some key aspects regarding the manufacturing of the circuit are described and the experimental data, including phase noise measurements, are presented. II. RSO DESIGN AND OPTIMIZATION A. Topology The RSO topology is depicted in Fig. 1. It is based on a single ultralow-noise PHEMT Avago ATF-36073 transistor. The feedback network placed at the source port provides the oscillation conditions and includes a varactor diode to tune the frequency of the self-oscillation signal. The reference signal, with frequency , power , and arbitrary phase is provided through a conventional coupled-line bandpass filter connected to the transistor gate port. Another bandpass filter with central frequency is placed at the drain port to select the output signal of the circuit. The frequency response of both filters, obtained through an electromagnetic simulation based on the Method of Moments (MoM), is represented in Fig. 2, together with the experimental data. Note that each filter provides a rejection value greater than 20 dB at the center frequency of the other one.

2495

Fig. 1. Topology of the RSO with inclusion of an auxiliary generator for optimization purposes.

Fig. 2. Simulated and measured frequency response of the filters. (a) Input filter. (b) Output filter.

Moreover, due to the characteristics of the considered application, the bandwidth of the filters is not a limiting factor. A multiharmonic load [12], [19], based on an arbitrarily modulated width microstrip transmission line [8], [20], is also connected at the gate port of the transistor, for optimization purposes. The same type of structure is used for the implementation of the dc-bias networks [20], which must present high input impedance around the frequencies of all of the considered harmonic components of the self-oscillation and the reference signal, , and , , respectively. The MoM simulation data and the measured frequency response of the dc-bias network are shown in Fig. 3. Since the input impedance of the dc-feed network is not matched to 50 , the network has been connected to the center of a 30-mm-length microstrip transmission line, with characteristic impedance 50 (see inset picture in Fig. 3). The frequency response of the dc-bias network is then measured by evaluating the transmission coefficient between the two terminals of the 50- transmission line [20].

2496

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

TABLE I HARMONIC CONTENT OF THE FOUR DIFFERENT RSOS

Fig. 3. Simulated and measured frequency response of the dc-bias network based on an arbitrarily width modulated microstrip transmission line.

B. Design and Optimization The frequency and the amplitude of the first harmonic component of the autonomous signal are imposed through an optimization process in which several parameters of the circuit are modified in order to satisfy the nonperturbation condition of the auxiliary generator [11]–[13]. As shown in Fig. 1, the auxiliary generator is connected at the gate port of the transistor and operates at the frequency , with amplitude and phase . The rational synchronization regime combines features of the harmonic [2], [4], [21]–[23] and subharmonic [3], [24], [25] synchronization regimes. Therefore, for a constant value of the reference signal power , the locking range depends on the amplitudes of the first and th harmonic components of the autonomous signal, and respectively, the amplitude of the th harmonic component of the reference signal and the oscillator quality factor . In order to analyze the locking range versus the harmonic content of the circuit, the values of and are fixed, with a reference signal power value 10 dBm. The quality factor can be expressed as [26]

(1) where is the load conductance and represents the imaginary part of the admittance evaluated at the gate port of the transistor, where the auxiliary generator is connected. In a first step, the value of is minimized in a multitone harmonic balance-based optimization process in which two copies of the circuit are involved. Each RSO copy has its own auxiliary generator, with identical amplitude and phase, but different frequency, and . Because the value of is constant, the oscillator quality factor can be easily optimized by minimizing the difference . Note that the phase noise increases as the oscillator quality factor reduces. Therefore, the value of must be carefully selected. After the optimization, it is kept constant along the rest of the

design process by adding a perturbation current source with frequency and amplitude , sufficiently low to not disturb the steady-state autonomous solution of the circuit. This current source is connected at the gate port of the transistor, in parallel with the auxiliary generator, allowing the control of the admittance existing at this point, at frequency . Since the nonperturbation condition of the auxiliary generator imposes that , the quality factor of the oscillator is kept constant by fixing the admittance seen by the perturbation generator. The computational cost of solving the harmonic balance equations system is higher in the case of using two circuits with two auxiliary generators because of the high value of their amplitudes. When using two copies of the circuit, two auxiliary generators with frequencies and are considered. In this case, the harmonic balance frequency basis is composed by two tones, at frequencies and , and their first eight harmonic components. Therefore, the frequency basis contains 16 time-varying and two dc components. On the other hand, with the proposed approach based on only one copy of the circuit and a small amplitude current generator, working at frequency , the harmonic balance frequency basis is also composed by two tones, with frequencies and . However, due to the small amplitude of the current generator, no harmonic components of the tone at frequency have to be considered. Since in this case the frequency basis contains only 9 time varying and one dc components, and the number of considered nodes is smaller, the computational cost is considerably smaller and the method based on the perturbation generator is preferred. The amplitude of the th harmonic component of the self-oscillation signal is easily set by means of the auxiliary generator. In order to be able to evaluate the amplitude of the th harmonic component of the reference signal separately from the th harmonic component of the autonomous signal, the frequency of the input signal is slightly modified to 4.99 GHz, sufficiently close to 5 GHz to ensure that the impedances seen at the frequencies 14.97 GHz and 15 GHz are nearly the same. III. ANALYSIS OF THE RSO LOCKING RANGE The parameters of the multiharmonic load have been modified in order to design four different RSO circuits , , , and , with the harmonic content indicated in Table I. The synchronization bandwidth of the RSO has been analyzed versus the harmonic content of the circuit by tracing the corresponding synchronization loci. The setup of the analysis is based on a single-tone harmonic balance implementation with fundamental frequency 1 GHz, taking into account harmonics [8]. In this frequency basis,

FERNÁNDEZ GARCÍA et al.: ANALYSIS OF LOCKING RANGE OF RATIONALLY SYNCHRONIZED OSCILLATORS

2497

Fig. 5. Arnold tongues of the four RSO circuits with different harmonic content.

Fig. 4. Synchronized solutions of the four RSOs with different harmonic content in terms of the first harmonic component of the autonomous signal. (a) Am. plitude. (b) Phase. Note that the maximum phase variation is 10 dBm in all cases. The power of the reference signal is

the frequencies of the autonomous and reference signals are expressed as 3 GHz and 5 GHz, respectively, and the synchronizing harmonics are located at 15 GHz. The synchronization loci represent all of the solutions in which the frequency of the th harmonic component of the autonomous signal and the th harmonic component of the reference signal are equal and, thus, the phase difference between them is constant in time. These synchronized solutions can be found by sweeping the value of the variable between 0 and 360 , calculating for each point of the sweep the values of and for which the non-perturbation condition of the auxiliary generator is satisfied [11]–[13]. Since the value of can be assumed constant, the sweep can be realized by varying between 0 and 360 , or between 0 and . The result of this analysis is shown in Fig. 4, in which the amplitude (a) and the phase (b) have been represented versus the frequency of the output (autonomous) signal. Note that, under rational synchronization, . For ease of comparison, the four synchronization loci have been normalized with respect to their center frequency . As can be deduced from the information represented in Fig. 4 and Table I, the locking range increases in the same proportion as the amplitude of the th harmonic component of the reference signal, remaining almost constant ( with respect to ). Otherwise, if remains almost constant, the synchronization

bandwidth increases in the same proportion as the amplitude of the th harmonic component of the autonomous signal decreases ( and with respect to ). The maximun phase change experienced by the fundamental harmonic of the autonomous signal is , which corresponds to a phase change of 360 in its th harmonic component. Note that the tilt angle of all of the synchronization loci is very similar, which means that the quality factor of the four oscillator circuits is nearly the same. The influence of the reference signal power on the synchronization bandwidth is analyzed by tracing the Arnold tongue of the circuits. This representation is achieved by plotting the limits of the locking range versus the power of the reference signal. The Arnold tongues of the four RSO circuits with different harmonic content have been represented in Fig. 5. As can be observed, unlike fundamentally synchronized oscillators, there is a power value for which the locking range of the four RSO circuits presents a maximum, as has been described in the case of harmonically synchronized oscillators ( , ) [23]. Beyond this point, the locking range of the four RSO circuits tends to be equal. Note that the quality factor and the harmonic content of the RSO circuits have been imposed when the reference signal power is 10 dBm. For other power values, these parameters may suffer slight variations that affect the expected behavior. As a consequence, for input power values greater than 10 dBm, the locking range ratio of the four RSO circuits is not exactly the same as obtained with 10 dBm. IV. BEHAVIOR

RSO WITH HIGH REFERENCE SIGNAL POWER

OF THE

When the reference signal power is lower than the value for which the maximum locking range is reached, the synchronization bandwith is delimited by two saddle-node bifurcations. Here, it will be shown that for higher values of the reference signal power, additional saddle-node and inverse Hopf bifurcation points determine the nonlinear dynamics and the behavior of the RSO circuit. Fig. 6 represents the synchronized solutions of the circuit in terms of the amplitude and phase of the fundamental harmonic component of the autonomous signal. Since the synchronization is realized through the th harmonic component of the autonomous signal, the representation of with

2498

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 6. Synchronized solutions in terms of and , with high reference signal power. Continuous grey line: Solutions for which all phase values conare possible. Continuous black tained within the interval line: solutions for which not all the phase values are possible. Dashed gray line: synchronized solutions associated with the boundary reference power 0.5 dBm between the two regions. The period of all of the traces is 72 .

respect to is periodic, with period . As the reference signal power increases, the mean and the minimum values of decrease. As can be observed, the minimum value of is always reached around the same range of values of . If the input signal power is greater than 0.5 dBm, a set of values of within the range for which no synchronized solutions exist. Note that these points are located around the value of for which the minimum of is reached for lower reference signal power. In addition, the width of the subinterval of for which no synchronized solutions exist increases with the input signal power. This is due to the fact that the mean value of is reduced when the input power is increased, and there is a minimum value below which the existence of synchronized solutions is not possible. As a consequence, the periodic traces of versus are no longer continuous and twist on themselves to form closed loops when the power of the input signal is greater than 0.5 dBm. From the data displayed in Fig. 6, it is derived that, for high values of , not all the points of the interval are associated with synchronized solutions. Therefore, the phase difference between the RSO autonomous signal and the reference signal cannot take all of the theoretical values. Fig. 7 shows the phase of the RSO autonomous signal, referred to the reference signal. For 0.5 dBm the traces are continuous and all of the values of phase are possible. Otherwise, if 0.5 dBm, the curves form closed loops, since it is not

Fig. 7. Synchronized solutions in terms of and , with high reference signal power. Continuous gray line: solutions for which all phase values conare possible. Continuous black line: tained within the interval solutions for which not all of the phase values are possible. Dashed gray line: synchronized solutions associated with the boundary reference power 0.5 dBm between the two regions. The period of all of the traces is 72 .

possible to reach synchronized solutions for all of the phase values. Notice that, as the reference signal power increases, the center frequency of the synchronization loci is considerably modified. This is due to the fact that the power of the reference signal takes values sufficiently high to affect the frequency (and the amplitude ) of the autonomous signal. However, unlike the case of fundamentally synchronized oscillators, the autonomous signal is not extinguished through an inverse Hopf bifurcation because its frequency is not close to that of the reference signal. The synchronized solutions associated with the continuous traces represented in Figs. 6 and 7, with 0.5 dBm, are found by sweeping the phase of the auxiliary generator and calculating the values of and for which the nonperturbation condition is fulfilled. However, this method is not suitable to calculate the synchronized solutions with 0.5 dBm. On the one hand, since the traces of versus form closed loops, there are two possible values of and for which the nonperturbation condition of the auxiliary generator is satisfied, and the optimization methods provided by the used commercial sofware package are unable to simultaneously find them. On the other hand, the range of the inverval which is not associated to synchronized solutions is unknown a priori. Thus, when trying to perform the sweep between 0 and , the optimization method fails to converge at the points in which no synchronized solution

FERNÁNDEZ GARCÍA et al.: ANALYSIS OF LOCKING RANGE OF RATIONALLY SYNCHRONIZED OSCILLATORS

2499

exists. In order to obtain these particular synchronized solutions, a triple sweep is performed over the phase , the amplitude and the frequency of the auxiliary generator. Since a synchronized operation regime is assumed, the frequency of the reference signal is expressed as . For each point of the sweep, the admittance seen by the auxiliary generator is calculated, and then, the sets for which zero admittance is obtained are found. Since the admittance seen by the auxiliary generator at these points is zero , the nonperturbation condition of the auxiliary generator is fulfilled, and the set represents a synchronized solution. A. Stability of the Synchronized Solutions The stability of the synchronized solutions represented in Figs. 6 and 7 has been determined through the envelope transient technique. With the data provided by this analysis, the Poincare maps of the amplitude and phase of the autonomous signal have been depicted [13]. To determine the stability of the synchronized solutions, a previous step is performed to ensure the existence and the stability of the autonomous signal. Without connecting the auxiliary generator to the circuit, the trivial dc solution is perturbed with a time domain defined low amplitude current pulse. After switching off the perturbation, the time evolution of the system state variables is determined through the Envelope Transient technique. As long as the system evolves towards the desired autonomous solution and the simulation parameters have been conveniently chosen, the stability of the harmonic balance predicted autonomous solution can be ensured [14]. After that, a sweep is performed on the frequency of the reference signal . At each point of the sweep, the auxiliary generator, with frequency and amplitude , is connected to the circuit during a short time to initialize the state variables of the oscillator [15], [18]. After disconnecting the auxiliary generator, the state variables of the system evolve in time towards a stable steady state solution. Note that the envelope transient technique is suitable to efficiently obtain unsynchronized quasi-periodic solutions. In addition, inverse Hopf bifurcation points can be identified, since the envelope transient technique is also able to detect the evolution of the autonomous signal to zero amplitude [13], [17]. When the RSO works under a rational synchronization regime, the relation , with , , is satisficed. Therefore, the frequency of the synchronizing harmonics is identical and no low-frequency mixing signal between them is generated. Thus, the amplitude of all of the harmonic components of the autonomous signal is constant in time. In addition, since the phase difference between the synchronizing harmonics is fixed, the phase difference between the circuit output signal and the reference signal is also constant and known. Because the amplitude and phase of a synchronized solution are constant in time, they appear as a point in the Poincare map. Otherwise, if the values of and do not ensure the fulfillment of , the circuit shows a quasiperiodic steady-state solution. Since the relation is not satisfied, a low-frequency mixing

Fig. 8. Synchronized solutions with 1 dBm and 0.6 dBm, in and (b) . Gray line: harmonic balance data. Black points: terms of (a) envelope transient data. White circles: turning points which delimit the stable locking range. Square markers: other turning points. The envelope transient data corresponding to unsynchronized solutions are not represented.

signal that modulates the amplitude of all of the harmonic components of the autonomous signal is generated. In this way, an unsynchronized solution is represented in the Poincare map as a vertical line, because of the time variation of the state variables. The power of the reference signal has been divided in two regions around the limit value 0.5 dBm associated with the change from continuous traces to closed loops. Fig. 8 shows the synchronization loci obtained for 1 dBm and 0.6 dBm in terms of (a) and (b) . The represented data has been calculated with the harmonic balance and envelope transient techniques. In the case of 1 dBm, which can be considered far enough from the limit value 0.5 dBm, the locking range is delimited by two saddle-node bifurcations, since the Jacobian matrix associated with the system becomes singular at those points. However, when the reference signal power is 0.6 dBm, the synchronization locus presents some additional turning points, and, thus, its shape is not elliptical. Although all of the points within the interval are associated with

2500

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

solutions. When the reference signal power is 0 dBm, the stable locking range is delimited by two different bifurcations. If the frequency of the reference signal is on the left side of the synchronization locus, the Poincare map shows a typical quasiperiodic regime in which the condition of rational synis not fulfilled. Therefore, chronization is not constant in time and is continuously increasing (or decreasing), meaning that the locking range is delimited by a saddle-node bifurcation on its left side. However, if the frequency of the reference signal moves on the right side of the synchronization locus, the Poincare map shows a solution in , which the autonomous signal has zero amplitude which means that this signal has been extinguished via an inverse Hopf bifurcation. Note that the inverse Hopf bifurcation is reached before the locking range corner predicted by the harmonic balance technique. For higher values of , the stable locking range is delimited by two inverse Hopf bifurcations. From this analysis, it follows that, in an RSO circuit with high input power, the extinction of the autonomous signal through an inverse Hopf bifurcation is conditioned by the power and the frequency of the reference signal, and by the phase difference between the reference and the autonomous signal. Beyond this point, since the only signal present in the circuit is the reference, the steady-state solution becomes nonautonomous and periodic. Note that the center frequency of the synchronization loci represented in Figs. 8 and 9 depends on the reference signal power. 5 GHz of the reference signal is Although the frequency 3 GHz, its power is not close to the autonomous signal high enough in order to have a great influence on the parameters of the autonomous signal. B. Global Behavior of the RSO

Fig. 9. Synchronized solutions with 0 dBm, 0.4 dBm and 0.8 dBm, in terms of (a) and (b) . Gray line: harmonic balance data. Black points: envelope transient data. White circle: turning point which delimits the stable locking range. Triangle markers: inverse Hopf bifurcation points.

both stable and unstable synchronized solutions, the shape of the representation of versus is also conditioned by the presence of more than two turning points. The associated Poincare map has been superimposed on the data provided by the harmonic balance simulations. As can be observed, despite the shape of the synchronization locus, the stable locking range is delimited by two saddle-node bifurcations, as is usual in synchronized oscillators. Note that the stable part of the locking range decreases as the reference signal power increases, which agrees with the harmonic synchronization theory [23]. For the sake of clarity, the Poincare maps of the unsynchronized solutions have not been depicted in the figure. On the other hand, the synchronization loci obtained with input power values 0, 0.4, and 0.8 dBm are represented in Fig. 9. In this case, the power of the reference signal is greater 0.5 dBm and the common feature of all synchrothan nized solutions is the fact that not all of the points contained on are associated with synchronized the interval

Fig. 10 combines the data represented in Figs. 6–9 and shows the evolution of the synchronized solutions of the RSO circuit in terms of the three involved variables, , , . The stable part of the synchronization loci is inand dicated, together with the different bifurcation points which determine the nonlinear dynamics of the circuit when high values of reference signal are considered. The stable part of the syn2 dBm and chronization loci corresponding with 1 dBm is delimited by two saddle-node bifurcations (turning points). As was represented in Fig. 8, all the theoretical phase change values are possible and the synchronization loci are el0.6 dBm, all phase liptically shaped. In the case of change values are still possible, but the synchronization locus presents more than two turning points, which determine its particular profile. Note that the stable part of the synchronization locus is enclosed by only two turning points. For higher values 0.5 dBm, not all of the of the reference signal power, points contained in the range are associated with synchronized solutions. The stable part of the solution cor0 dBm is bounded on its right hand side by a responding to turning point and by an inverse Hopf bifurcation on its left hand 0 dBm, only inverse Hopf bifurcation points side. When are present. Due to the fact that the synchronized solutions are represented in terms of the fundamental harmonic component of the autonomous signal, all the curves exhibit a periodicity of .

FERNÁNDEZ GARCÍA et al.: ANALYSIS OF LOCKING RANGE OF RATIONALLY SYNCHRONIZED OSCILLATORS

2501

Fig. 10. Evolution of the synchronized solutions of the RSO versus the reference signal power . The solutions are represented in terms of the three involved state variables , and . Continuous line: stable solutions. Dashed line: unstable solutions. Filled circle marker: turning points which delimit the stable region. Unfilled circle marker: other turning points. Triangle marker: inverse Hopf Bifurcation points.

V. EXPERIMENTAL RESULTS A. Implementation

Fig. 11. Scheme of the whole behavior of the RSO, as a function of the freand the power of the reference signal. Note that the frequency of quency . the autonomous signal is expressed as

The whole behavior of the RSO locking range, as a function of the frequency and the power of the reference signal, is schematized in Fig. 11. 4 dBm is the power value for which the maximum synchronization bandwidth is reached. If the power of the reference signal is less than this value, the operation regime is similar to the fundamental synchronization, in which the stable locking range is delimited by two saddle-node bifurcation points. When the power of the reference signal is between and 0 dBm, the stable synchronization bandwidth reduces as the reference power increases. In this region, the stable locking range is still delimited by two saddle-node bifurcations, but the synchronization loci present some additional turning points which determine their shape, as was represented in Fig. 8. For input power values greater than , the locking range is delimited by two inverse Hopf bifurcations and the points contained in an subinterval of the range , which increases with the reference signal power, are not associated with synchronized solutions.

The RSO circuit corresponding to trace in Fig. 4 has been manufactured in microstrip technology. The selected substrate is ARLON 25N, with relative dielectric constant 3.38- and 0.75-mm thickness. This commercially available substate is well modeled at the frequencies of interest, from 3 to 15 GHz. However, the values of its dielectric permittivity and conductivity at the desired frequencies have been verified in the facilities of TSC-UNIOVI Laboratory, through a 85072A series Agilent Split Cylinder Resonator and a 85070E series Agilent Dielectric Probe Kit. The circuit has been manufactured by using a high precision Protolaser S series LPKF structuring machine. Therefore, the errors in the microstrip lines dimensions are minimized. Since all of the passive subnetworks, i.e., filters, multiharmonic load, dc-bias, and feedback networks, are implemented using distributed elements, the required number of components is very reduced. Multiharmonic load parameters are used as optimization variables along the entire design process. Its input impedance determines the amplitude and the frequency of the autonomous signal, as well as the quality factor and the harmonic content of the circuit. In order to be able to accurately control the input impedance at frequencies and , and their harmonic components, a structure based on an arbitrarily width modulated microstrip line is used [20]. The proposed structure allows the control of its impedance around different non harmonically related frequencies. In addition, this control can be applied over a fairly large bandwidth around each considered frequency point. These particular features make the structure also suitable for the implementation of the DC-bias networks, with high input impedance at and GHz, with and .

2502

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

At the end of the design process, the frequency response of all of the passive networks has been calculated in an electromagnetic simulation based on the Method of Moments (MoM). The information provided by this analysis has been used to slightly correct such networks, in order to avoid undesired deviations which could affect the performance of the circuit. Moreover, the inclusion of a varactor diode as a part of the feedback network allows the correction of small deviations on the performance of the manufactured circuit which could be still observed at the experimental stage due to a great variety of effects that cannot been taken into account along the design process [10]. B. Measurements The RSO has been characterized by means of an Agilent PNA-X series vector network analyzer. For a configurable power value of the reference signal, which is generated by the PNA-X, the frequency can be automatically swept between the desired limits. At each point of the sweep, the power and the phase of the output signal, with frequency (under rational synchronization operation regime), are measured and related to the corresponding parameters of the reference signal. In this way, the synchronization loci, measured at the RSO output port, are automatically traced. The measured synchronized solutions, in terms of the output signal, are represented in Fig. 12, together with the data provided by harmonic balance-based simulations. Fig. 12(a) shows the variation of the output signal power versus the autonomous signal frequency. The measured phase change between the output and input signals is depicted in Fig. 12(b). For ease of comparison, the synchronization loci have been normalized with respect to their center frequency and the phase change traces have been modified to achieve zero variation at the center frequency of each locus. The maximun measured phase change is about 30 , which is near to the theoretical limit value . This maximum value cannot be reached because of nonlinear effects associated with noise amplification that are observed in synchronized oscillators working near the locking range corners [7]. The measured behavior of the RSO locking range versus the reference signal power is depicted in Fig. 13. The good agreement between the simulation provided data and measurements validates the synchronization bandwidth optimization technique and the existence of a maximum stable locking range value versus the reference signal power. Since one goal of the rational synchronization process is the low cost generation of carriers with good phase noise properties, this feature has also been measured. The PNA-X has been configured to generate a reference signal with power 10 dBm. The frequency of this signal is selected in order to ensure that the working point of the RSO is about the center of the corresponding synchronization locus . The phase of the RSO output signal is measured and stored during a long time interval. The phase noise is then directly calculated as the power spectral density of the time dependent RSO output signal phase. The same procedure has been applied to characterize the phase noise of the reference signal. In the case of the free running oscillator, the main contribution to the phase noise near to the carrier is the frequency variation of the autonomous signal [27]. Therefore, the described method does not

Fig. 12. Measured synchronized solutions in terms of the output signal. (a) Power. (b) Phase change. Continuous gray line: stable simulated solutions. Dashed gray line: unstable simulated solutions. Black line: measurements. The 3 dBm has not been represented phase change trace corresponding to 5 dBm. Note that the because it is very similar to that obtained with . frequency of the reference signal is given by

Fig. 13. Experimental Arnold tongue of the implemented circuit. Gray line: simulation data. Black line: measurements.

provide accurate results because constant frequency operation cannot be assumed. To overcome that problem, the phase noise of the free running oscillator has been characterized through the estimation of the amplitude noise to phase noise conversion, which is performed through the FS-K4 commercial soft-

FERNÁNDEZ GARCÍA et al.: ANALYSIS OF LOCKING RANGE OF RATIONALLY SYNCHRONIZED OSCILLATORS

Fig. 14. Measured phase noise. Continuous black line: free-running oscillator. Dashed black line: Reference signal source (datasheet). Dashed gray line: ref10 dBm. Continuous gray line: erence signal power (measured), with 10 dBm. The dotted line corresponding to synchronized RSO, with the free running oscillator represents the wrong data provided by the discrete Fourier transform (DFT) method.

2503

synchronization bandwidth of the circuit has been optimized through a technique based on the control of its harmonic content. The obtained data show that the locking range is proportional to the amplitude of the th harmonic component of the reference signal, and inversely proportional to the th harmonic component of the autonomous signal. In addition, it exhibits a maximum versus the reference signal power. The locking range of the RSO has been analyzed in detail, versus the reference signal power, through harmonic balance and envelope transient techniques. For high reference signal power values, the stable locking range reduces as the input power increases and the circuit dynamics is conditioned by the presence of several saddlenode bifurcation points. If the input power continues to increase, the stable locking range is delimited by inverse Hopf bifurcation points and not all the phase change values are possible. A prototype has been implemented and characterized, demonstrating a good agreement with simulation data. REFERENCES

Fig. 15. Picture of the manufactured prototype. All of the basic subnetworks are indicated, including the multiharmonic load and dc-bias networks, based on arbitrarily width modulated microstrip lines.

ware, implemented over an FSP-series Rhode-Schwarz spectrum analyzer. The obtained results are represented in Fig. 14, together with the typical phase noise behavior of the synchronization source, provided by the manufacturer. As can be observed, under rational synchronization regime, the phase noise of the oscillator is considerably improved, since it is similar to that of the reference source. Note that, when measuring the free-running oscillator phase noise, the two proposed methods provide similar results when the measuring frequency point is far away from the carrier, approximately 10 Hz. In addition, since the phase noise measurement of the reference signal source agrees with the data provided by the manufacturer, the proposed method is validated. Finally, Fig. 15 shows a picture of the manufactured prototype, in which the layout of the multiharmonic load and dc-bias networks can be observed. VI. CONCLUSION An in-depth analysis of the behavior of a rationally synchronized oscillator with has been presented. The

[1] S. Ver Hoeye, M. Gonzalez, M. Fernandez, C. Vazquez, L. F. Herran, and F. Las Heras, “Harmonic optimization of rationally synchronized oscillators,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 5, pp. 317–319, May 2009. [2] H. C. Chang, A. Borgioli, A. P. Yeh, and R. A. York, “Analysis of oscillators with external feedback loop for improved locking range and noise reduction,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 8, pp. 1535–1543, Aug. 1999. [3] S. Ver Hoeye, L. Gutierrez, S. Sancho, A. Suarez, and P. Gonzalez, “Sub-harmonic and rational synchronization for phase-noise improvement,” in Proc. 31st Eur. Microw. Conf., London, U.K, Sep. 2001, vol. 1, pp. 237–240. [4] F. Ramirez, M. E. de Cos, and A. Suarez, “Nonlinear analysis tools for the optimized design of harmonic-injection dividers,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 6, pp. 1752–1762, Jun. 2003. [5] M. E. de Cos, F. Ramirez, and A. Suarez, “Multi-harmonic generator based on the synchronization of a nonlinear bipolar transistor,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, Jun. 2003, vol. 1, pp. 2177–2180. [6] M. Fernandez, S. Ver Hoeye, C. Vazquez, G. R. Hotopan, R. Camblor, and F. Las Heras, “Design and analysis of a multi-carrier Tx-Rx system based on rationally synchronized oscillators for localization applications,” Progr. Electromagn. Res., vol. 120, pp. 1–16, 2011. [7] S. Ver Hoeye, A. Suarez, and S. Sancho, “Analysis of noise effects on the nonlinear dynamics of synchronized oscillators,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 9, pp. 376–378, Sep. 2001. [8] M. Fernandez, S. Ver Hoeye, C. Vazquez, G. R. Hotopan, R. Camblor, and F. Las Heras, “Optimization of the synchronization bandwidth of rationally synchronized oscillators based on bifurcation control,” Progr. Electromagn. Res., vol. 119, pp. 299–313, 2011. [9] H. Jiang and R. Penno, “Effects of amplitude dynamics on beam steering and shaping in coupled oscillator array,” IEEE Antennas Wireless Propag. Lett., vol. 9, no. 5, pp. 474–477, May 2010. [10] H. Jiang, R. Ordonez, and R. Penno, “Analysis of synchronized coupled oscillators with application to radar beam scanning,” Control Eng. Practice, vol. 18, pp. 1379–1385, Aug. 2010. [11] S. Ver Hoeye, L. Zurdo, and A. Suarez, “New nonlinear design tools for self-oscillating mixers,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 8, pp. 337–339, Aug. 2001. [12] S. Ver Hoeye, F. Ramirez, and A. Suarez, “Nonlinear optimization tools for the design of high-efficiency microwave oscillators,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 5, pp. 189–191, May 2004. [13] S. Ver Hoeye, L. F. Herran, M. Fernandez, and F. Las Heras, “Design and analysis of a microwave large-range variable phase-shifter based on an injection-locked harmonic self-oscillating mixer,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 6, pp. 342–344, Jun. 2006. [14] E. Ngoya and R. Larcheveque, “Envelop transient analysis: A new method for the transient and steady-state analysis of microwave communication circuits and systems,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1996, vol. 3, pp. 1365–1368.

2504

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

[15] E. de Cos, A. Suarez, and S. Sancho, “Envelope transient analysis of self-oscillating mixers,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1090–1100, Apr. 2004. [16] A. Collado, F. Ramirez, A. Suarez, and J. P. Pascual, “Harmonic-Balance analysis and synthesis of coupled-oscillator arrays,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 5, pp. 192–194, May 2004. [17] A. Collado and A. Suarez, “Application of bifurcation control to practical circuit design,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2777–2788, Sep. 2005. [18] A. Georgiadis, A. Collado, and A. Suarez, “New techniques for the analysis and design of coupled-oscillator systems,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 11, pp. 3864–3877, Nov. 2006. [19] L. F. Herran, S. Ver Hoeye, and F. Las Heras, “Nonlinear optimization tools for the design of microwave high-conversion gain harmonic selfoscillating mixers,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 1, pp. 16–18, Jan. 2006. [20] S. Ver Hoeye, C. Vazquez, M. Gonzalez, M. Fernandez, L. F. Herran, and F. Las Heras, “Multi-harmonic DC-bias network based on arbitrarily width modulated microstrip line,” PIER Lett., vol. 11, pp. 119–128, 2009. [21] F. Ramirez, M. Ponton, S. Sancho, and A. Suarez, “Phase-noise analysis of injection-locked oscillators and analog frequency dividers,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 2, pp. 393–407, Feb. 2008. [22] I. Schmideg, “Harmonic synchronization of nonlinear oscillators,” Proc. IEEE, vol. 59, no. 8, pp. 1250–1251, Aug. 1971. [23] R. Knoechel and K. Schuenemann, “Noise and transfer properties of harmonically synchronized oscillators,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1978, vol. 78, pp. 390–392. [24] X. Zhang, X. Zhou, and A. S. Daryoush, “A theoretical and experimental study of the noise behavior of subharmonically injection locked local oscillator,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 5, pp. 895–902, May 1992. [25] S. Kudszus, T. Berceli, A. Tessmann, M. Neumann, and W. H. Haydl, “ -band HEMT-oscillator MMICs using subharmonic injection locking,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 12, pp. 2526–2532, Dec. 2000. [26] P. F. Combes, J. Graffeuil, and J. F. Sautereau, Microwave Components, Devices and Active Circuits. New York: Wiley, 1987. [27] A. Suarez, S. Sancho, S. Ver Hoeye, and J. Portilla, “Analytical comparison between time- and frequency-domain techniques for phasenoise analysis,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 10, pp. 2353–2361, Oct. 2002. Miguel Fernández García (M’11) received the M.Sc. degree in telecommunication engineering and Ph.D. degree from the University of Oviedo, Gijon, Spain, in 2006 and 2010, respectively. From 2005 to 2008, he was a Research Assistant with the Signal Theory and Communications Area, University of Oviedo, Gijon, Spain, where he is currently an Assistant Professor. His main research effort is focused on nonlinear analysis and optimization of microwave oscillator-based circuits and active and phased antenna arrays.

Samuel Ver Hoeye (M’05) received the M.Sc. degree in electronics engineering from the University of Gent, Gent, Belgium, in 1999, and the Ph.D. degree from the University of Cantabria, Santander, Spain, in 2002. He is currently an Associate Professor with the Department of Electrical and Electronic Engineering, University of Oviedo, Gijon, Spain. His main research is focused on nonlinear analysis and optimization of microwave circuits and their application to active antennas.

Carlos Vázquez received the M.Sc. degree in telecommunication engineering from the University of Oviedo, Gijon, Spain, in 2007, where he is currently working toward the Ph.D. degree. Since 2007, he has been a Research Assistant with the Signal Theory and Communications Area, University of Oviedo, Gijon, Spain. His research effort mainly focus on nonlinear analysis and the optimization of microwave circuits to be used in active antennas.

George Roberto Hotopan received the M.Sc. degree in telecommunication engineering from University of Oviedo, Gijon, Spain, in 2010, where he is currently working toward the Ph.D. degree. Since 2010, he has been a Research Assistant with the Signal Theory and Communications Area, University of Oviedo, Gijon, Spain. His research effort mainly focuses on the design, optimization, and analysis of multifunctional circuits based on oscillators, operating in the millimeter- and submillimeter-wave bands.

René Camblor received the M.Sc. degree in telecommunications engineering from the University of Oviedo, Gijon, Spain, in 2006, where he is currently working toward the Ph.D. degree. Since 2009, he has been a Research Engineer with the Signal Theory and Communications Area, University of Oviedo, Gijon, Spain. His current research interests are submillimeter-wave antennas and terahertz systems.

Fernando Las Heras (M’86–SM’08) received the M.S. and Ph.D. degrees from the Universidad Politecnica de Madrid, Madrid, Spain, in 1987 and 1990, respectively, both in telecommunication engineering. From 1988 to 1990, he was a National Graduate Research Fellow. From 1991 to 2000, he was an Associate Professor with the Department of Signals, Systems and Radiocommunications, Universidad Politecnica de Madrid, Madrid, Spain. From 2001 to 2003, he was an Associate Professor with the Department of Electrical Engineering, Unversity of Oviedo, Gijon, Spain, pioneering the Signal Theory and Communications Area. Since December 2003, he has been a Full Professor with the University of Oviedo. His main research interests include the analysis and design of antennas, electromagnetic interference, and the inverse electromagnetics problem with application to diagnostic, measurement, and synthesis of antennas.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

2505

Orthogonal -Wall and -Wall Tuning of Distributed Resonators: Using Concurrency for Continuous Ultra-Wideband Frequency Generation Alborz Jooyaie, Member, IEEE, and Mau-Chung Frank Chang, Fellow, IEEE

Abstract—A technique to achieve ultra-wideband continuous frequency generation is introduced. It is based on orthog-wall tuning of distributed resonators, in onal -wall and standing-wave-mode configurations. The tuning scheme in fact serves dual purposes for generating concurrent tones, as well as wideband tuning operation. It is scalable and could be applied to any frequency band, but since it is designed around distributed resonators, it is more desirable for higher frequencies. In comparison with alternative methods, the technique requires less silicon space, lower power consumption, better phase noise, as well as a wider tuning range. A -band voltage-controlled oscillator, with a continuous tuning range from 58 to 76.2 GHz, designed and validated in 65-nm CMOS technology, in accordance with this technique is illustrated, and a new figure of merit is reported. Index Terms—Concurrent, continuous tuning, -wall, -wall, millimeter wave, multiband, -band, voltage-controlled oscillator (VCO), wideband.

I. INTRODUCTION

T

HE GOAL of achieving a multiband, multistandard, and globally connected, as well as a self-healing or reconfigurable smart radio that can optimize its performance in different circumstances and situations in order to maintain a high-performance yield and reliability, has imposed many requirements on the frequency synthesizer unit of the transceiver system; hence, the oscillator. One significant requirement is the frequency tuning, which is being pursued by various schemes in the lower gigahertz regime (below the -band). However, there is a growing interest in transceiver design applications in the higher gigahertz bands using similar ideas. For example, there is the unlicensed 57–64-GHz band and the three licensed bands of 71–76, 81–86, and 92–95 GHz applicable for wideband shortrange wireless communication and point-to-point fixed wireless communication, such as last-mile access, respectively. Wireless chip-to-chip communication is another field where multiband and concurrent frequency generation is of interest. In the lower frequency range, switched capacitor banks [1] along with varactors, and often with switched inductors [2], Manuscript received February 10, 2012; revised May 04, 2012; accepted May 08, 2012. Date of publication June 25, 2012; date of current version July 30, 2012. The authors are with the Department of Electrical Engineering, University of California at Los Angeles (UCLA), Los Angeles, CA 90024 USA (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2201747

are commonly incorporated. In the case of the switched capacitor banks, banks of capacitors of varying sizes (usually binary weighted) are switched in to control the resonance frequency, along with the varactors that control the frequency in the analog sense. In the case of the switched inductors, the effective value of the inductance is changed by either switching in inductors of varying sizes, in analogy with the capacitor banks, or varying the mutual inductance amongst the inductors, thus providing a wider tuning range. Parasitic elements could desensitize the tuning elements, thus reducing the tuning range. Consequently, core transistor elements (e.g., cross-coupled pairs) sustaining the oscillation are also switched in where not necessary to further enhance the frequency range [3]. In all these techniques, switches are used to enable the selectivity of the capacitor, inductor, or active cores. The transistor switches are in the resonating signal path and as the frequency increases, their loss and parasitic reactance deteriorates the performance by degrading the loaded of the resonator, as well as reducing the frequency and tuning range. Consequently, other techniques have been envisioned in the millimeter-wave frequency range to circumvent the switch issue as much as possible. Another technique is to include multiple voltage-controlled oscillator (VCO) units in the synthesizer and multiplex them, corresponding to each frequency range/band [4]. However, additional area is required for each VCO, and the multiplexer add loss. In order to minimize the deficiencies of the switch in the signal path, techniques have been introduced to minimize its operation in the oscillation cycle [5], [6]. In the resonator structure of [5], switches are still in the signal path, but are timed to control the dynamics of the bistable system, made of a network of capacitors and inductors, and they are only used to steer the oscillation from one state to the other. Once an oscillation is sustained, the switches are disabled, minimizing their defects on the oscillation. The timing for the switches has to be precise, otherwise either a wrong frequency will be generated or the oscillation ceases to exist. Furthermore, the asymmetry of the oscillation could cause flicker noise up-conversion, and thus poor phase-noise performance. In [6], differential-mode versus common-mode capacitance is utilized in a network of capacitors and inductors to achieve two discrete tones. However, in order to produce more frequencies, a much larger network of many inductors and capacitors is needed, increasing the complexity and area requirement. The distributed nature of a transmission line has the capability of absorbing parasitic reactance, and thus could be incorporated in oscillators. To achieve higher frequencies, as well as wider

0018-9480/$31.00 © 2012 IEEE

2506

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

bandwidths, a distributed resonator structure based on standingwave coplanar stripline (CPS) is introduced in [7] where the length of the CPS is varied using transistor switches located along the line that short the two sides of the CPS. Varactors are also included as fine tuning elements. In [7], in order to cope with the aforementioned problems of the switch, particularly the ON-channel resistance, the switches are very big. The parasitic capacitance and poor ratio degrades the frequency tuning, as well as phase noise and power requirements. The terminal parasitic capacitance and finite impedance of the cross-coupled pair could be a reason for both degrading the loaded quality factor ( ) of the tank, as well as limiting the negative trans-conductance introduced by the cross coupled pair at high frequencies. Consequently, the design in [8] introduces additional inductors at the gate of each of the cross coupled transistors, thus giving it a quasi-distributed nature. While effective, the work does not exploit the full potential of a distributed design and introduces more lumped elements in the topology, which adds complexity and layout issues. In this paper, a technique is introduced that takes advantage of introducing orthogonal electrical walls and magnetic walls in a transmission line, thus controlling the tuning. As demonstrated, the technique is applicable to both concurrent frequency tones generating, as well as achieving, a continuous and very widebandwidth frequency sweep. The switching transistors that degrade the performance are avoided, and thus a good phase noise and low power consumption are achieved, as well as a small silicon space, compared to the aforementioned alternatives. The VCO results, designed and measured in 65-nm CMOS [9], using this technique, are presented. The VCO achieves greater than 18 GHz of continuous tuning range, and an improved figure of merit (FoM) when compared with that of prior techniques. II. CONCEPT OF -WALL AND -WALL CONTROL, AND ITS APPLICATION A.

-Wall and

-Wall Concept

Transmission lines, and wave propagation along them, have been investigated extensively in the literature [19]. Moreover, transmission lines of different lengths, corresponding to different electrical lengths, have been incorporated as tuning or resonating elements in various configurations. The unique distributed nature of transmission lines have been exploited in standing-wave and traveling-wave oscillators. Quarter-wavelength transmission lines are of significance, where the resonance behavior is a function of the termination/loading of the line, in particular, open termination versus short termination. The idea of -wall and -wall control is to control the loading of a transmission-line ring resonator, through inducing certain boundary conditions via the excitation, so that a short or open termination is invoked. Assuming capacitors are terminating a port of the resonator, if the transmission line is excited so that the port is a short circuit, the capacitors are ineffective in determining the resonance frequency. On the other hand, if the resonator is excited so that the port is invoked as open circuit, the terminating capacitors are effective in determining the resonance frequency.

Fig. 1. Ring-shaped transmission line incorporating tation.

-wall and

-wall exci-

Fig. 2. Four-port excitations for the ring-shaped transmission line and the two scenarios. (a) Port 2 and port 3 (port 1 and port 4) are 180° out-of-phase. (b) Port 2 and port 3 (port 1 and port 4) are in-phase.

Fig. 1 introduces the -wall and -wall control in a ring resonator. The four ports are the excitation sites, pair-wise, and the capacitor banks and , identical along each axis of symmetry, are effective in determining the resonance frequency in each mode of operation, as discussed below. In Fig. 1, port 1 and port 3 are always driven to be 180° out-ofphase. Similarly, port 2 and port 4 are always driven to be 180° out-of-phase. On the other hand, port 2 and port 3 (and similarly port 1 and port 4) could either be in-phase, or 180° out-of-phase. Fig. 2 demonstrates the two scenarios. Each of the two scenarios presented in Fig. 2 produce different resonance conditions; either a pure -wall condition or -wall condition is enforced, or a combination of the two, as discussed below. When ports 1 and 2 (ports 3 and 4) are excited in-phase, as in Fig. 2(a), Arm2 is an -boundary and Arm1 is an -boundary, thus creating an open circuit on Arm2 and a virtual ground on Arm1, as illustrated in Fig. 3. In the other scenario, Fig. 2(b), when ports 1 and 2 (ports 3 and 4) are out-of-phase by 180°, Arm2 is an -boundary and Arm1 is an -boundary, making Arm2 the virtual ground, and Arm1 the open, as illustrated in Fig. 4. Recognizing the symmetry of the structure, in the derivation that follows, only a pair of ports is considered. The two cases are analyzed to determine the resonance conditions. Fig. 3 (where ports 1 and 2 create an -boundary, and ports 1 and 4 create an -boundary) is expanded in Fig. 5. In Fig. 5, is the actual length of the transmission line (in accordance with Fig. 1), is the characteristic impedance, and is the electrical length. Solving for the equivalent impedance at the ports indicated in Fig. 5, and solving for resonance condition when the imaginary part is zero, (1) is derived. As can be seen, the Arm1 capacitance bank is ineffective in determining the resonance condition (1)

JOOYAIE AND CHANG: ORTHOGONAL

-WALL AND

-WALL TUNING OF DISTRIBUTED RESONATORS

Fig. 3. Transmission-line resonator structure when ports 1 and 2 are in-phase and ports 1 and 4 are 180° out-of-phase.

2507

Fig. 7. Real part of the impedance at the ports, imaginary part is zero at the peaks, demonstrating the possibility of coexisting resonance.

Fig. 4. Transmission-line resonator structure when ports 1 and 2 are excited 180° out-of-phase and ports 1 and 4 are in-phase. Fig. 8. Coexisting resonance peaks, tuning the Arm1 capacitance. Notice how the higher peak corresponding to Arm2 is fixed.

Fig. 5. Ring-shaped transmission-line resonator analysis corresponding to Fig. 3, when ports 1 and 4 invoke an -boundary and ports 1 and 2 an -boundary.

As can be seen in (1) and (2), the resonance conditions are complements of each other, and could be tuned independently by selecting and . The other designable parameters, common to both (1) and (2), are the characteristic impedance of the line and the electrical length. B. Concurrent Resonance Tones

Fig. 6. Ring-shaped transmission-line resonator analysis when ports 1 and 4 develop an -boundary and ports 1 and 2 develop an -boundary, corresponding to Fig. 4.

Fig. 4, where ports 1 and 2 invoke an -boundary and ports 1 and 4 invoke an -boundary, is expanded in Fig. 6. Similar to Fig. 5, denotes the actual transmission-line length (in accordance with Fig. 1), the characteristic impedance of the transmission line, and the electrical length. Solving for the resonance condition at the ports indicated in Fig. 6, (2) is derived. In this case, only the Arm1 capacitance bank is effective in determining the resonance condition, and Arm2 is ineffective (2)

The orthogonal -wall and -wall control creates two coexisting resonance conditions, each one independently controlled, which could give rise to concurrent oscillation conditions; in fact, this is another way of looking at the orthogonal -wall and -wall control. Fig. 7 illustrates the impedance seen at the ports; the peaks happen when the imaginary part is zero. The two resonance of Fig. 7 are independently tunable, as predicted. This effect is illustrated in Figs. 8 and 9. In Fig. 8, is changing while is fixed. Notice how the lower resonance peak changes while the higher one (corresponding to Arm2) is fixed. In Fig. 9, is changing while is fixed, and this time, the lower resonance peak corresponding to Arm1 is fixed, whereas the higher resonance peak corresponding to Arm2 varies. The tuning independence of the -wall and -wall are clearly observable in these two figures. The concurrency principle just mentioned could also be exploited in designing ultra-wide-bandwidth frequency-generation circuits, such as VCOs and frequency dividers/multipliers. For example, the resonator could be excited under the -wall to generate the lower frequency bands, and independently excited under the -wall to cover the higher frequency bands.

2508

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 9. Coexisting resonance peaks, tuning Arm2 capacitance. Notice how the lower peak corresponding to Arm1 is fixed.

Fig. 10. Location of the four switches used for phase selection. forces ports 2 and 3 (ports 1 and 4) to be in-phase [corresponding to Fig. 2(b)], while ports forces the alternate configuration 1 and 2 (ports 3 and 4) are out-of-phase. [corresponding to Fig. 2(a)].

Fig. 11. Switching and mode selection, ports 1 and 2 (ports 4 and 3) are in-phase, whereas ports 1 and 4 (ports 3 and 2) are 180° out-of-phase, corresponding to Fig. 2(a).

Fig. 12. Switching and mode selection, ports 1 and 4 (ports 3 and 2) are in-phase, whereas ports 1 and 2 (ports 3 and 4) are 180° out-of-phase, corresponding to Fig. 2(b).

C. Mode Selection In order to function as a wide-bandwidth resonator, instead of a concurrent tone one, it is important to dampen one of the tones so that only one tone is excitable, focusing the excitation energy. The idea is to selectively add sufficient loss to the undesired tone to dampen its response, selecting either the -wall or -wall boundary conditions. Since loss is only added to the undesired tone, the other desired one should be unaffected. This is performed by switching the phases of ports 1 and 2 (port 3 and 4). Since there are only two different phase orientations possible, diminishing one will enforce the other naturally. As illustrated in Fig. 10, four transistor switches are inserted between the ports, one between each of ports 1 and 2, ports 1 and 4, ports 3 and 2, and ports 3 and 4. If the switch between ports 1 and 2 (ports 4 and 3) is closed, then ports 1 and 2 (ports 4 and 3) are in-phase, making ports 1 and 4 (ports 3 and 2) 180° out-of-phase. This condition is depicted in Fig. 2(a). In this case, the switch between ports 1 and 4 (ports 3 and 2) is left open. The result of such switching is presented in Fig. 11. Comparing the switchless response in Fig. 11, with the switched response, the higher resonance peak is untouched, whereas the lower peak is dampened a lot. The switch does not deteriorate the desired response; it only diminishes the undesired. On the other hand, if the switch between ports 1 and 4 (ports 3 and 2) is closed, then ports 1 and 4 (ports 3 and 2) are in-phase, making ports 1 and 2 (ports 3 and 4) 180° out-of-phase. This condition is depicted in Fig. 2(b). In this case, the switch between ports 1 and 2 (ports 3 and 4) is left open. The switching response is illustrated in Fig. 12. Similar to the previous case,

comparing the switched versus switchless case, the desired resonance tone is untouched, while the other is heavily dampened. As observed, in the case of -wall and -wall control, the mode-selection switches do not degrade the desired resonance response and only affect the undesired tone. This is due to the fact that there are two degrees of freedom and by diminishing one, the other is untouched. As a result, the proposed technique does not suffer from the downfalls of the others involving the switches, in particular, the limitations and parasitic capacitance and resistance. In Section III, the -band VCO designed using this technique, achieving a record FoM, is presented. III.

-BAND VCO

The four parameters of , , , and are optimized in the design of the VCO [9] to achieve the continuous frequency tuning from 58 to 76.2 GHz. A representative optimization curve for the higher -band coverage is presented in Fig. 13. In this figure, the selection of the Arm1 capacitance bank and the characteristic impedance of the transmission line are considered. The VCO is implemented in the 65-nm CMOS technology. The ring resonator is optimized using full-wave simulators (Ansoft HFSS) to optimize the in accordance with the designed characteristic impedance from the frequency selection optimization stage just described. The transistor switches are implemented using a single NMOS. As discussed, the switches, when enabled, only affect the undesired mode ( -wall/ -wall, damping the resonance). They have no influence on the desired mode ( -wall/ -wall), and there is no restriction on their

JOOYAIE AND CHANG: ORTHOGONAL

-WALL AND

-WALL TUNING OF DISTRIBUTED RESONATORS

2509

Fig. 13. Optimization of Arm1 capacitance bank and the transmission-line characteristic impedance for the higher -band frequency coverage.

Fig. 16. Location of the two varactors implemented along with the cross-coupled pairs across ports 1 and 3 (ports 2 and 4). The four switches (Fig. 10) are not shown to improve clarity.

Fig. 14. Two cross-coupled pairs exciting the resonator at the ports.

Fig. 17. Other possible varactor locations for further tuning control. Varactors are controlled pair wise. When ports are in-phase, the varactors are ineffective, whereas when ports are out-of-phase varactors’ tunability is doubled.

Fig. 15. VCO silicon die showing: (a) the two axis of symmetry and (b) the location of the ports/cross-coupled pairs connection, in accordance to Fig. 1.

parameters. So that the dampening ratio is sufficient, they are chosen small m m . Amongst the oscillator core topologies possible (Colpitts, Hartley, to name a few), the four ports are excited with complementary cross-coupled pairs (with nMOS and PMOS), as illustrated in Fig. 14. Due to the symmetry, the required 180° phase shifts between the mutual ports 1 and 3 (ports 2 and 4) are generated inherently. Although a differential Colpitts core is also possible, similar to [10], additional lumped elements are required, as well as a higher dc current for startup, increasing the power and design complexity, not to mention the sensitivity to parasitic capacitance, which could drift the phase. Moreover, the cross-coupled pair has the additional benefit that taking advantage of the negative trans-conductance from both the pMOS and nMOS drawing the same current, it is possible to lower the power consumption, as well as to improve the flicker-noise performance in the close-in phase noise spectrum due to the symmetrical waveforms of the structure. The VCO silicon die photograph is presented in Fig. 15; it occupies 177 m 177 m. The axis of symmetry (in accordance with Fig. 1), as well as the location of the ports and cross-coupled pairs, are also highlighted.

The ring transmission-line resonator is bent in order to create contact points for the ports and the cross-coupled pairs, minimizing the routing and loss. The output is extracted singleended from port 1 through an open drain buffer, probed as GS. The buffering transistor has the same size as the cross-coupled pair NMOS. In order to balance the loading, ports 2–4 are also loaded by a similarly sized buffering transistor. A varactor is connected across ports 1 and 3 and ports 2 and 4, and fixed metal-over-metal (MoM) capacitors are used as capacitor banks and , connecting at Arm2 and Arm1, respectively, as illustrated in Fig. 16. To further introduce tuning control and a finer resolution, varactors could also be added with the MoM capacitors at Arm1 and Arm2, as well as between ports 2 and 3 (ports 1 and 4), and ports 1 and 2 (ports 3 and 4), where each set is controlled with the same tuning control, as illustrated in Fig. 17. An additional advantage of the structure is the effective doubling of the varactor tuning range, due to phase reversal. Referring to Fig. 17, when ports 2 and 3 (ports 1 and 4) are in-phase, the varactor controlled by are ineffective; whereas when the ports 2 and 3 (ports 1 and 4) are out-of-phase, the same varactor is effectively doubled in tuning. The same holds for varactor controlled by . Varactors controlled by and are effective, when Arm1 and Arm2 are magnetic walls (as illustrated in Fig. 2), respectively, and are ineffective in case Arm1 and Arm2 are electrical walls, respectively. The VCO is measured using a harmonic mixer on the spectrum analyzer. Two sample tones are presented in Fig. 18. In the

2510

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 20. Tuning frequency and phase noise for mode 1 ( -wall) and mode 2 ( -wall).

TABLE I STATE-OF-THE-ART FoM COMPARISON TABLE FOR CONTINUOUSLY TUNED VCOs

Fig. 18. Output spectrums from VCO, measured using a harmonic mixer. The left tone is the image signal.

Fig. 19. Sample phase noise plot, measured at 1-MHz offset from the carrier frequency at 63.49 GHz, 91.67 dBc/Hz.

spectrums captured, the right tone is the signal and the left is the image. The loss in the harmonic mixer is de-embedded, but the loss in the cable is not and is about 15 dB.

A sample phase-noise plot is illustrated in Fig. 19. The VCO is free running, and the phase noise is averaged out over ten measurements to improve accuracy. As mentioned, for proof of concept, and to demonstrate the continuous wideband tuning capability of the technique, only one pair of varactors is used. Fig. 20 captures the tuning and phase-noise performance corresponding to -wall and -wall tuning modes. More varactors could be included at the points of symmetry (as mentioned before) in order to produce a finer control. The VCO consumes 5.8 mW from a 1.0-V supply, excluding the output buffer. A comparison with previously published continuous tuning VCO in literature is conducted in Table I. The FoM is evaluated according to (3) as follows:

mW

(3)

JOOYAIE AND CHANG: ORTHOGONAL

-WALL AND

-WALL TUNING OF DISTRIBUTED RESONATORS

IV. CONCLUSION A new technique based on orthogonal -wall and -wall control of distributed resonators has been discussed. The technique produces coexisting resonance conditions, which are independently controllable. This property could be utilized in two ways: continuously tuned concurrent frequency generation or continuously tuned ultra-wideband frequency generation. In order to operate as a wideband design, one of the concurrent resonance conditions (corresponding to one of the two modes) should be damped so that the excitation energy is only focused on one tone. The mode control is achieved using switches, either forcing the -wall or the -wall boundary conditions. The switch only adds loss to the undesired mode, and as a result, it is ineffective on the desired one; consequently, the technique does not possess the downfall of the others where the limiting or the parasitic of the switch is a bottleneck. A -band VCO is designed using the technique in CMOS 65-nm technology, achieving greater than 18 GHz of continuous tuning range, measuring 177 m 177 m, and establishing a new FoM record of 187 dBc/Hz. REFERENCES [1] A. D. Berny, A. M. Niknejad, and R. G. Meyer, “A 1.8-GHz LC VCO with 1.3 GHz tuning range and digital amplitude calibration,” IEEE J. Solid-State Circuits, vol. 40, no. 4, pp. 909–917, Apr. 2005. [2] M. Demirkan, S. P. Bruss, and R. R. Spencer, “Design of wide tuning range CMOS VCOs using switched coupled inductors,” IEEE J. SolidState Circuits, vol. 43, no. 5, pp. 1156–1163, May 2008. [3] D. Hauspie, E.-C. Park, and J. Craninckx, “Wideband VCO with simultaneous switching of frequency band, active core, and varactor size,” IEEE J. Solid-State Circuits, vol. 42, no. 7, pp. 1472–1480, Jul. 2007. [4] V. Jain, B. Javid, and P. Heydari, “A BiCMOS dual band millimeter wave frequency synthesizer for automotive radars,” IEEE J. Solid-State Circuits, vol. 44, no. 8, pp. 2100–2113, Aug. 2009. [5] A. Goel and H. Hashemi, “Frequency switching in dual resonance oscillators,” IEEE J. Solid-State Circuits, vol. 42, no. 3, pp. 571–582, Mar. 2007. [6] A. H.-T. Yu, S.-W. Tam, D. Murphy, T. Itoh, and M. C. F. Chang, band oscillator based on even-odd mode “A mm-wave arbitrary technique,” in IEEE RFIC Dig. Tech. Papers, May 2010, pp. 141–144. [7] J.-C. Chien and L.-H. Lu, “Design of wide tuning range millimeter wave CMOS VCO with standing wave architecture,” IEEE J. SolidState Circuits, vol. 42, no. 9, pp. 1942–1952, Sep. 2007. [8] L. Li, P. Reynaert, and M. S. J. Steyaert, “A 60-GHz CMOS VCO using capacitance-splitting and gate-drain impedance-balancing techniques,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 2, pp. 406–413, Feb. 2011. [9] A. Jooyaie and M. C. Frank Chang, “A -band voltage controlled oscillator with greater than 18 GHz continuous tuning range based on orthogonal -mode and -mode control,” in IEEE RFIC Tech. Dig., May 2011, pp. 235–239. [10] R. Aparicio and A. Hajimiri, “A noise shifting differential colpitts VCO,” IEEE J. Solid-State Circuits, vol. 27, no. 12, pp. 1728–1736, Dec. 2002. [11] L. Li, P. Reynaert, and M. Steyaert, “Design and analysis of a 90 nm mm-wave oscillator using inductive division LC tank,” IEEE J. SolidState Circuits, vol. 44, no. 7, pp. 1950–1958, Jul. 2009. [12] K. Scheir, S. Bronckers, J. Borremans, P. Wambacq, and Y. Rolain, “A 52 GHz phase-array receiver front-end in 90 nm digital CMOS,” IEEE J. Solid-State Circuits, vol. 43, no. 12, pp. 2651–2659, Dec. 2008.

2511

[13] B. Catli and M. Hella, “A 60 GHz CMOS combined mm-wave VCO/ divider with 10 GHz tuning range,” in IEEE CICC Tech. Dig., Sep. 2009, pp. 665–668. [14] M. Nariman, R. Rofougaran, and F. De Flaviis, “A switched-capacitor mm-wave VCO in 65 nm digital CMOS,” in IEEE RFIC Tech. Dig., May 2010, pp. 157–160. [15] F. Ellinger, T. Morf, and G. Buren, “60 GHz VCO with wideband tuning range fabricated in VLSI SOI CMOS technology,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2004, pp. 1329–1332. [16] D. D. Kim, H. Wohlmuth, and W. Simburger, “A 70 GHz manufacturable complementary LC-VCO with 6.14 GHz tuning range in 65 nm SOI CMOS,” in IEEE Int. Solid-State Circuts Tech. Dig., Feb. 2007, pp. 540–541. [17] C. Cao and K. K. O. , “Millimeter-wave voltage controlled oscillator in 0.13 m CMOS technology,” IEEE J. Solid-State Circuits, vol. 41, no. 6, pp. 1297–1304, Jun. 2006. [18] H. Wang, “A 50 GHz VCO in 0.25 m CMOS,” in IEEE Int. SolidState Circuits Tech. Dig., Feb. 2001, pp. 372–373. [19] D. M. Pozar, Microwave Engineering, 3rd ed. New York: Wiley, Feb. 2004. Alborz Jooyaie (S’09–M’11) received the B.S. degree in electrical engineering from the University of Toronto, Toronto, ON, Canada, the M.S. degree in electrical engineering from The University of Michigan at Ann Arbor, and is currently working toward the Ph.D. degree at the University of California at Los Angeles (UCLA). His research interests are RF integrated circuit (RFIC) and millimeter-wave integrated circuit design, particularly novel frequency synthesizers.

Mau-Chung Frank Chang (F’96) is currently the Wintek Endowed Chair and Distinguished Professor of Electrical Engineering and the Chairman of the Electrical Engineering Department, University of California at Los Angeles (UCLA). Prior to joining UCLA, he was the Assistant Director and Department Manager of the High Speed Electronics Laboratory, Rockwell Science Center (1983–1997), Thousand Oaks, CA. During his tenure, he developed and transferred the AlGaAs/GaAs heterojunction bipolar transistor (HBT) and BiFET (planar HBT/MESFET) integrated circuit technologies from the research laboratory to the production line (now Conexant Systems and Skyworks Solutions). The HBT/BiFET productions have grown into multibillion-dollar businesses and dominated the cell phone power amplifiers and front-end module markets (currently exceeding one billion units/year). Throughout his career, his research has primarily focused on the development of high-speed semiconductor devices and integrated circuits for RF and mixed-signal communication and imaging system applications. He was the Principal Investigator at Rockwell, leading the Defense Advanced Research Project (DARPA)’s ultrahigh-speed ADC/DAC development for direct conversion transceiver (DCT) and digital radar receiver (DRR) systems. He invented the multiband reconfigurable RF interconnects based on FDMA and CDMA multiple access algorithms, for chip multi-processor (CMP) inter-core communications and inter-chip CPU-to-memory communications. He also pioneered the development of the world’s first multigigabit/multiseccond ADC, DAC, and DDS in both GaAs HBT and Si CMOS technologies, the first 60-GHz radio transceiver front-end based on transformer-folded-cascode (Origami) high-linearity circuit dBc/Hz topology, and the low phase-noise CMOS VCO F.O.M. with digitally controlled on-chip artificial dielectric (DiCAD). Dr. Chang was elected to the U.S. National Academy of Engineering in 2008 for the development and commercialization of GaAs power amplifiers and integrated circuits. He was the recipient of the 2006 IEEE David Sarnoff Award for the development and commercialization of HBT power amplifiers for modern wireless communication systems.

2512

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Parasitic-Insensitive Linearization Methods for 60-GHz 90-nm CMOS LNAs Wei-Tsung Li, Student Member, IEEE, Jeng-Han Tsai, Member, IEEE, Hong-Yuan Yang, Student Member, IEEE, Wei-Hung Chou, Shyh-Buu Gea, Hsin-Chia Lu, Member, IEEE, and Tian-Wei Huang, Senior Member, IEEE

Abstract—Two -band low-noise amplifiers (LNAs) with excellent linearity and noise figure (NF) using 90-nm CMOS technology are demonstrated in this paper, employing parasitic-insensitive linearization topologies, i.e., cascode and common source, for comparative purposes. To improve the linearity without deteriorating the NF, the 54–69-GHz cascode LNA is linearized by the body-biased post-distortion, and the 58–65-GHz common-source LNA is linearized by the distributed derivative superposition. Using these parasitic-insensitive linearization methods at millimeter-wave frequency, the cascode LNA can achieve an of 11 dBm and an NF of 3.78 dB at 68.5 GHz with a gain of 13.2 dB and 14.4-mW dc power. The common-source LNA has an of 0 dBm and an NF of 4.1 dB at 64.5 GHz with a gain of 11.3 dB and 10.8-mW dc power. To the best of our knowledge, the proposed cascode LNA has up to 11-dBm performance and the highest figure-of-merit of 156.2, among all reported -band LNAs. Index Terms—CMOS, high linearity, low-noise amplifier (LNA), monolithic microwave integrated circuit (MMIC), 60 GHz.

However, for MMW bands, it is a major design challenge for broadband linearization to eliminate the nonlinear terms without degradation due to additional high-frequency parasitic effects. For the modern receiver front-end, a low-noise amplifier (LNA) is a key component. For the LNA design, both noise figure (NF) and linearity are the important specifications. We need to boost the desired signal power without adding additional noise and distortion in the front-end of the receiver. However, in conventional LNA circuit implementations, low NF and high linearity cannot be obtained simultaneously. For example, linearity can be improved by choosing large device size with additional dc power consumption, but it creates a large parasitic capacitance , which may degrade the minimum NF [2] (1)

I. INTRODUCTION

R

ECENTLY, there are increasing demands for broadband high-speed wireless communication systems in millimeter-wave (MMW) frequency bands. Among these demands, the unlicensed frequency band around 60 GHz is highly recommended as the next-generation gigabit Wi-Fi standard [1]. Also, in order to accommodate such high-speed data rate for the purpose of improving bandwidth efficiency, a 64 quadrature amplitude modulation (64-QAM) modulation is drafted in the 802.11ad 60-GHz standard [1], which results in a stringent linearity requirement over a wide frequency range. Manuscript received September 26, 2011; revised April 13, 2012; accepted April 17, 2012. Date of publication June 04, 2012; date of current version July 30, 2012. This work was supported in part by the National Science Council (NSC) under Contract NSC100-2219-E-002-001, Contract NSC100-2219-E002-007, Contract NSC 100-2221-E-003-027, and Contract 10R80919-3. W.-T. Li, H.-Y. Yang, and T.-W. Huang are with the Department of the Electrical Engineering and Graduate Institute of Communication Engineering, National Taiwan University, Taipei 106, Taiwan (e-mail: [email protected]. tw). J.-H. Tsai is with the Department of Applied Electronics Technology, National Taiwan Normal University, Taipei 106, Taiwan (e-mail: [email protected]. tw). W.-H. Chou is with the High Tech Computer Corporation (HTC), New Taipei City 231, Taiwan. S.-B. Gea is with the Intellectual Property Office, Ministry of Economic Affairs, New Taipei City 231, Taiwan. H.-C. Lu is with the Department of Electrical Engineering, Graduate Institute of Electronics Engineering, and Graduate Institute of Communication Engineering, National Taiwan University, Taipei 106, Taiwan (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2198226

where , and are the gate–source capacitance, gate resistor source resistor, transconductance of the corresponding transistors, and a constant value, respectively. Therefore, to improve LNA linearity without degrading NF, the linearization of a small-device LNA is an alternative to avoid . large device size and large Several linearization methods using standard silicon technology have been demonstrated to improve the linearity of the LNA [3]–[8]. Firstly, optimal bias technique [3] biases the -free region (i.e., ), but the MOS transistor in the gain is low under such bias condition. Also, this technique leads to a fairly narrow gate bias tuning range for linearity optimization, which is sensitive to temperature and process variation [3]. Secondly, the active post-distortion (PD) method biases all transistors in strong inversion region to achieve insensitive matching circuit design and less temperature variation [4]–[6]. Thirdly, the derivative superposition (DS) technique [7], [8] nulls the nonlinearity of the main transistor by precise bias control of a parallel transistor. However, all above methods are mainly effective for LNAs under 10 GHz [3]–[8]. In this paper, we extend the LNA linearization techniques up to MMW frequency without suffering from deterioration of NF. The proposed parasitic-insensitive linearization methods include a body-biased PD method and a distributed DS technique [9]. The first body-biased PD method utilizes the gate-bulk-bias-tuning technique to precisely adjust the amplitude and phase of nonlinear terms under the influence perof high-frequency parasitics. A beyond 10-dBm formance is attained using the body-biased PD method. The

0018-9480/$31.00 © 2012 IEEE

LI et al.: PARASITIC-INSENSITIVE LINEARIZATION METHODS FOR 60-GHz 90-nm CMOS LNAs

Fig. 1. Schematic of three-stage common-source LNA with built-in linearizer.

2513

Fig. 2. Schematic of two-stage cascode LNA with built-in linearizer.

second distributed DS technique has been demonstrated at 24 GHz [9], but this paper extends it up to MMW bands, which is employing the source-sensed DS technique together with the distributed circuit elements to diminish impacts of the MMW parasitic capacitors. This paper is organized as follows. Section II describes the realization of the common-source and cascode LNA, including design considerations and comparison between these two design topologies. Section III analyzes common-source and cascode LNA linearity improvement with the different linearization techniques and makes a comparison with them. The circuit implementation and experimental results are illustrated in Section IV, followed by a conclusion in Section V. II. 60-GHz COMMON-SOURCE VERSUS CASCODE LNA A. Analysis of Common-Source and Cascode Devices The critical benchmarks for characterizing the performance of a LNA are NF, gain, and linearity. In this paper, we choose two most common LNA topologies in 60 GHz. The 58–65-GHz three-stage common-source LNA proposed here adopts a distributed DS technique, as shown in Fig. 1. In this configuration, the input noise matching network results in low NF, but poor input return loss for wideband operation. The conjugate matching networks are adopted in the following stages to achieve the maximum power delivery. In contrast to common-source LNA, a typical cascode structure becomes popular to implement a MMW LNA because of its high gain and compact chip size. However, the common-source–common-gate two-stage cascode amplifier is difficult to achieve a low NF requirement due to additional noise contribution from the common-gate stage [10]. To achieve lower NF, the 54–69-GHz two-stage cascode LNA is proposed here in Fig. 2. It uses an inductor to achieve good input match and NF match simultaneously and an additional inductor is added between and to cancel the effect of parasitic capacitances at the frequency of interest. As a result, the noise current generated by the cascode transistor adds negligible noise current to the output. Next, we will further explain how to reduce NF of the cascode LNA. In Fig. 3, we consider the cascode LNA with parasitic capacitances and , and mean-square input-voltage-noise

Fig. 3. Schematic of the small-signal model of the cascode LNA with parasitic and , and equivalent noise source and . capacitances

spectral density in series with each gate of each device [11]. If drain-to-source resistances of the transistor and are large enough, an can be eliminated at the desired operating frequency by and resonating with [12]–[15]. The impedance looking into node A can be written as

(2) (3) The noise contributed by expressed as

at the output node can then be

(4) and are equivalent noise current, drain-towhere source resistance, and transconductance of the transistor, respectively.

2514

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

TABLE I COMPARISON BETWEEN COMMON-SOURCE AND CASCODE LNA

creases up to MMW bands, parasitic effects will degrade nonlinearity rejection of a linearizer. Therefore, parasitic insensitivity becomes a critical benchmark of MMW linearization methods. In this paper, we propose two linearization methods, which are: 1) distributed DS and 2) body-biased PD. They are insensitive to the process variation or high-frequency parasitic variation. Fig. 4. Published noise-figure performance of CMOS LNAs around 60 GHz.

It can be observed that if the denominator of (3) is approximately equal to zero, will be a very high impedance so that the output noise in (4) can be omitted. According to (6), with careful selection of the inductor, , the noise current (5) (6) adds negligible noise generated by the cascode transistor current to the output in the interested band. Therefore, the effective noise sources would be the noise of the transistor only, as shown in Fig. 3. Obviously, the noise-reduction technique on the cascode LNA has achieved low noise and high gain simultaneously, which is significant for an MMW receiver system. B. Comparison Between Common-Source and Cascode LNA Common source and cascode are two popular topologies in MMW LNA designs. Fig. 4 shows the noise-figure performance of published CMOS 60-GHz LNAs. According to [10] and [16], the common-source topology has the NF advantage over the cascode topology. Table I summarizes the advantages and disadvantages of common-source and cascode LNA. To solve the noise-figure problem, a cascode LNA with a noise reduction inductor is applied to achieve high gain and low NF simultaneously. As a result, the NF of a cascode LNA with a noise reduction inductor is superior to the traditional cascode LNA. On the other hand, small-signal gain for a cascode LNA is superior to a common-source LNA [17]. In addition, the cascode amplifier can be treated as a two-stage amplifier with a common-source–common-gate (CS-CG) topology [18]. Since the common-gate stage has a better linearity than the common source [19], the cascode amplifier has a better linearity than two-stage common-source amplifier (CS-CS). III. PARASITIC-INSENSITIVE LINEARIZATION Linearization can improve the linearity, data rate, and spectral efficient in a receiver. However, as the operation frequency in-

A. Distributed DS Technique for Common-Source LNA To promote the LNA nonlinearity rejection capability without degrading gain, NF, and adding extra power consumption, the DS linearization is a good candidate [7], [8]. However, as the frequency goes higher, a common problem of the existing DS method [7] is that the high-frequency parasitic capacitances of the main and parallel transistors degrade the nonlinearity cancellation capability. Thus, the proposed linearization method is implemented by employing the source-sensed DS technique together with the distributed circuit elements to diminish impacts of the MMW parasitic capacitors, which has been successfully demonstrated in 24 GHz [9]. For the distributed DS technique, in Fig. 5, transistor is placed parallel to and biased at weak inversion region to cancel out the nonlinearity of the main transistor ( at the strong inversion region). To compare with the traditional DS method, Fig. 6 illustrates the distributed circuit elements around the parallel transistor can tune out the parasitic capacitors. At the weak inversion region, the device has positive , and at the strong inversion region, the device has a negative characteristic, as shown in Fig. 7. Source degeneration lines and are used to tune the magnitude and phase of the third-order nonlinear terms. can be computed by the following equations as derived in [7]: (7)

(8) (9) (10) where and are equivalent parasitic capacitances of and transistors, respectively, as shown in Fig. 5. is seriously impacted by , as indicated (7). To achieve high linearity, must be as small as possible. Hence, the size of linearizer

LI et al.: PARASITIC-INSENSITIVE LINEARIZATION METHODS FOR 60-GHz 90-nm CMOS LNAs

2515

Fig. 5. Schematic of proposed three-stage common-source LNA with distributed DS technique.

Fig. 6. Conventional DS technique versus distributed DS technique. Fig. 8. Simulated and line length of

Fig. ( (

7. Third-order transconductance m, Finger , and m, Finger , and

output power versus the device size of linearizer .

coefficients of amplifier m), and linearizer m) in Fig. 5.

should be properly chosen. However, there is a tradeoff that reducing the size of linearizer will increase the length of the source degeneration line , as shown in Fig. 8, which is not appropriate to exceed quarter-wavelength. On the other hand, the large size of linearizer will shorten the line length of , which is sensitive to process variation. Finally, to achieve high linearity without aforementioned problems, based on Figs. 8 and 9, the size of linearizer is 1.5 m 30 and the lengths of the source degeneration lines and are 60 and 420 m, which are shorter than the quarter-wavelength at 60 GHz. Lines around the linearizer create additional phase delay of nonlinear terms, especially and on the signal path. Lengths of and

Fig. 9. Simulated 1.5 m 30).

output power versus the line lengths of

and

(

:

are selected as 360 and 140 m to optimize the performance. Microstrip lines have replaced passive components to achieve a compact chip area, and to minimize the influence caused by process variation. B. Body-Biased PD Technique for Cascode LNA The second 54–69-GHz two-stage cascode LNA is proposed in Fig. 10. The inductor is added between and to cancel the effect of parasitic capacitances over the desired band.

2516

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 10. Schematic of proposed two-stage cascode LNA with body-biased PD technique.

As a result, nonlinearity from can be omitted [18], leaving as the dominant source of nonlinearity. In Fig. 10, the small-signal drain current of the commonsource transistor around the quiescent bias point can be expressed by a Taylor-series expansion as (11) where represents the th-order transconductance coefficients, which can be simplified as follows [7]: (12) in (11) is the main contribution The third-order term of harmful for RF systems. In our scheme, the current generated by the common-source transistor is absorbed by the auxiliary negative-channel metal–oxide semiconductor (NMOS) transistor. In the following, a simple derivative is given to demonstrate how the auxiliary nMOS acts as an current sinker in Fig. 10:

(13) (14) In order to simplify the analysis of the linearity improvement, we assume is a function of as follows: (15)

Fig. 11. (a) Simulated of main amplifier, and the of linearizer, versus the gate bias of amplifier in Fig. 10. (b) Simulated total cancellation of versus the gate bias of amplifier in Fig. 10 under sizes. different linearizer

where the accurate values of ’s can be determined by solving the equation . We then replace in (14) with (15) to simplify the above-mentioned discussion [20]. Furthermore, the first-order approximation is enough to confirm the cancellation of , which can be seen in the following: (16) (17)

where and are the same polarity when both the main and auxiliary transistors operate in the strong inversion

LI et al.: PARASITIC-INSENSITIVE LINEARIZATION METHODS FOR 60-GHz 90-nm CMOS LNAs

2517

Fig. 14. Simulated total in Fig. 10.

cancellation of

versus the gate bias of amplifier

Fig. 15. Simulated total in Fig. 5.

cancellation versus the gate bias of amplifier

Fig. 12. Simulated third-order nonlinearity improvement by and tuning of a body-biased post distortion linearized LNA in Fig. 10 (unit: decibels).

Fig. 13. Conventional PD technique versus body-biased PD technique.

TABLE II COMPARISON OF DISTRIBUTED DS LINEARIZATION BODY-BIASED PD LINEARIZATION

AND

region. In addition, it is clear that in (16) has a negative value from the simulation [21]. Hence, we have to choose the transistor to minimize the third-order nonlinear term in (17). In order to choose an appropriate size of transistor, and of the transistor are biased at , which are approximately 0.58 and 0 V, respectively, as shown in Fig. 10. In Fig. 11(a), of the main amplifier and the of the linearizer are plotted together with the summation of both near zero magnitude to demonstrate the cancellation capabilities. It can be observed in Fig. 11(b), when is between 0.8–1 V, the device size of ten fingers and a width of 2 m has the most bias-insensitive cancellation, which is consistent with the previous gate-bias selection at the strong inversion region.

Fig. 16. Die microphotograph of proposed common-source LNA with 0.27 mm .

Moreover, it is obvious in (17) that the elimination of a third-order nonlinear term is strongly related to the transconductances. However, for an operation frequency up to 60-GHz bands, the high-frequency parasitic will degrade the nonlinear cancellation capabilities in a broadband linearization scheme. Therefore, to reduce the parasitic sensitivity, we will bias of Fig. 10 in the strong inversion region [5]. Based on simulation analysis in Fig. 12, without body bias, V,

2518

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 20. Instrument setup for the two-tone measurement.

Fig. 17. Measured -parameters of the common-source LNA.

Fig. 21. Measured

of the common-source LNA.

Fig. 18. Measured NF of the common-source LNA.

Fig. 22. Die microphotograph of proposed cascode LNA with 0.3 mm . Fig. 19. Measured RF output power and gain versus input power at 60 GHz of the proposed common-source LNA.

of should be biased between 0.5–0.6 V, in the weak inversion region, for optimization. To have be biased at the strong inversion region for parasitic insensitivity and to optimize simultaneously, a body forward bias technique [22] is utilized in to further reduce , as shown in Fig. 13. The threshold voltage of is well known as (18)

where is the threshold voltage when V, is the body effect coefficient, is the bulk fermi potential, and is the voltage between the body and source. Thus, tuning can modify , to ensure works in the nonweak inversion region in Fig. 13. Fig. 12 shows different third-order nonlinear term improvement regions through and tuning. To tune from 0.5 to 0.6 V and from 0.1 to 0.2 V, the optimization and the parasitic insensitive at the nonweak inversion region can be achieved simultaneously. As a result, the body-biased PD technique is able to attain parasitic-insensitive

LI et al.: PARASITIC-INSENSITIVE LINEARIZATION METHODS FOR 60-GHz 90-nm CMOS LNAs

2519

Fig. 23. Measured -parameters of the cascode LNA.

Fig. 25. Measured RF output power and gain versus input power at 60 GHz of the proposed cascode LNA.

Fig. 24. Measured NF of the cascode LNA.

Fig. 26. Measured

linearization, which is important for 60-GHz high-speed data transmission.

and 15, distributed DS linearization can only achieve a limited bandwidth of cancellation. Therefore, the body-biased post distortion linearization can be applied to a wider bandwidth than the distributed DS linearization.

C. Distributed DS Technique Versus Body-Biased PD Technique Table II summarizes the comparison between distributed DS and body-biased PD techniques. To achieve parasitic insensitivity, the distributed DS adds additional distributed components to mitigate the influent of parasitic capacitances. On the other hand, the body-biased PD adds an additional body bias point to the conventional PD method in Fig. 13 to provide flexible fine-tuning capabilities to cover circuit variations due to parasitic effects. Due to the nonweak inversion region operation and the tuning flexibility in the body-biased PD, rejection capability of the body-biased post distortion technique is superior to the distributed DS technique. In addition, Fig. 14 presents the total cancellation of the body-biased post distortion linearization, which demonstrates frequency-insensitive cancellation from 57 to 64 GHz, when of is biased at 0.8–1 V. To compare Figs. 14

of the cascode LNA.

IV. IMPLEMENTATION AND MEASUREMENTS The proposed -band wideband LNAs with excellent linearity property and NF are designed and fabricated using the TSMC 90-nm CMOS low-power (LP) process. Moreover, on-wafer probing is performed to measure the characteristics of the LNA circuits. A. Common-Source

-Band LNA

For the common-source -band LNA, the schematic of LNA is shown in Fig. 5 with a 9-mA dc core current from a 1.2-V supply voltage. The die area of common-source LNA is shown in Fig. 16 with a die area of 0.27 mm including testing pads. The -parameters of the designed LNAs were measured using the Agilent E8361A network analyzer. The simulated and measured gain and return loss are depicted in Fig. 17. The mea-

2520

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 27. Output spectrum of the cascode LNA: (a) before and (b) after linearization with the same input power level (the total loss from the probe is about 8 dB).

Fig. 28.

versus frequency of the body-biased PD linearization (fixed bias V and V in Fig. 10).

sured peak gain is 11.3 dB with a 3-dB bandwidth of 7 GHz from 58 to 65 GHz. The NF was then measured using the Agilent N8975A NF analyzer and Agilent NC5115 noise source. The simulated and measured NF at the same bias condition is depicted in Fig. 18. It shows that the minimum value of the measured NF is equal to 4.1 dB at 64.5 GHz and below an NF of 4.8 dB from 61 to 65 GHz. Moreover, the output-referred 1-dB compression point of the proposed LNAs tested with an Agilent E8257D signal generator and Agilent E4448A spectrum analyzer is depicted in Fig. 19. The measured output 1-dB compression point of the amplifier is from 9.5 dBm to 8.5 dBm as the linearization scheme turned on at 60 GHz. The measured gain degradation of the amplifier with and without linearization was less than 0.4 dB. Finally, Fig. 20 shows the two-tone instrument setup for measuring the LNA linearity. However, due to the high noise floor of -band test instruments, it is difficult to measure many ultra-LP points. Thus, we will use the magnitude difference between the

Fig. 29.

-band cable and

versus frequency of the distributed DS linearization (fixed bias V in Fig. 5).

fundamentals and the products in Fig. 21, and assume a slope 3:1 of asymptote in (19) [23] to verify the input third intercept point extrapolated from ultra-LP points near the noise floor dBm

dBm

Gain dB Gain dB

(19)

The following two-tone measurement is then done at 60 GHz with 0.1-MHz spacing. Fig. 21 shows 0-dBm that has a 5-dB improvement compared to the results of the linearizer off. B. Cascode

-Band LNA

The chip photograph of the cascode -band LNA is shown in Fig. 22 with a die area of 0.3 mm including testing pads. The schematic of the cascode LNA is in Fig. 10 with a total dc power of 14.4 mW at a 2.4-V power supply. The simulated

LI et al.: PARASITIC-INSENSITIVE LINEARIZATION METHODS FOR 60-GHz 90-nm CMOS LNAs

2521

TABLE III -BAND LNA PERFORMANCE SUMMARY BEFORE AND AFTER LINEARIZATION

TABLE IV -BAND LNA PERFORMANCE SUMMARY

and measured gain and return loss are depicted in Fig. 23. The measured peak gain is 13.2 dB with a 3-dB bandwidth of 15 GHz from 54 to 69 GHz. The simulated and measured NFs at the same bias condition are depicted in Fig. 24. It is seen that the measured minimum NF is 3.78 dB at 68.5 GHz and below an NF of 4.4 dB from 62 to 69 GHz. The measured output 1-dB compression point of the amplifier is from 0.7 to 2.1 dBm as the linearization scheme turned on at 60 GHz, and the measured gain of the amplifier with and without linearization was less than 0.3 dB, as shown in Fig. 25. To measure the third-order term of the cascode LNA as low as possible, resolution bandwidth (RBW) and video bandwidth (VBW) are set to be 1 Hz, and frequency span is 8 kHz of the spectrum analyzer Agilent E4448A. Fig. 26 is clear that an of 11 dBm when the input two-tone signal is composed of 59.995 and 60.005 GHz. Fig. 27 shows the output spec-

trum of the cascode LNA with linearization on and off. Fig. 28 shows a greater than 5-dB wideband improvement from 56 to 66 GHz with body-bias PD technique, which outperforms the linearity improvement of a common-source LNA in Fig. 29. The of Fig. 28 are measured with a fixed bias voltage and of 0.52 and 0.15 V from 56 to 66 GHz in Fig. 10. The of Fig. 29 are measured with a fixed bias voltage of 0.3 V from 58 to 64 GHz in Fig. 5. Table III presents a summary of the measured performance of two LNA topologies with and without linearization. Two linearization methods have no impact on the noise-figure performance, and have less than 0.4-dB gain degradation on two 60-GHz LNAs. Nevertheless, the of both LNAs has been significantly improved 5–8 dB after linearization. The proposed two LNAs on the 90-nm CMOS LP process are compared with recently published CMOS LNAs, as summarized in Table IV.

2522

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

For the comparison of linearity and NF, the figure-of-merit (FOM) is utilized [25], [30] Gain

mW dB mW dB

GHz mW

GHz mW

(20)

From Table IV, it is observed that the proposed -band LNAs present excellent performance in low NF and high linearity . Therefore, the proposed cascode LNA exhibits highest FOM among all published papers reported to date. V. CONCLUSION In this paper, to effectively achieve high linearity and low NF at the same time, we proposed two -band LNA-configurations with different linearization schemes using the TSMC 90-nm CMOS process. The distributed DS scheme is designed within the common-source -band LNA due to the parasitic insensitive at high frequency, we can extend the LNA linearization techniques up to the MMW frequency. Furthermore, using a body-biased PD method in the cascode LNA can achieve up to 11-dBm performance and the highest FOM of 1562 among all reported -band CMOS LNAs. ACKNOWLEDGMENT The noise figure test setup for this amplifier was provided and supported by the Chip Implementation Center (CIC), Hsinchu, Taiwan. The chips were fabricated by the Taiwan Semiconductor Manufacturing Company (TSMC) Semiconductors Corporation, Hsinchu, Taiwan, through the CIC. REFERENCES [1] Draft Standard for Information Technology—Telecommunications and Information Exchange Between Systems—Local and Metropolitan Area Networks—Specific Requirements, Part 11: Wireless LAN Medium Access Control 5 (MAC) and Physical Layer (PHY) Specifications. Amendment 6: Enhancements for Very High Throughput in the 60 GHz Band, IEEE Standard P802.11ad™/D0.1, Sep. 2010. [2] H. Shimomura, A. Matsuzawa, H. Kimura, G. Hayashi, T. Hirai, and A. Kanda, “A mesh-arrayed MOSFET (MA-MOS) for high-frequency analog applications,” in VLSI Tech. Symp. Dig., Jun. 1997, pp. 73–74. [3] V. Aparin, G. Brown, and L. E. Larson, “Linearization of CMOS LNA’s via optimum gate biasing,” in IEEE Int. Circuits Syst. Symp., May 2004, vol. 4, pp. 748–751. [4] K. Namsoo, V. Aparin, K. Barnett, and C. Persico, “A cellular-band CDMA 0.25- m CMOS LNA linearized using active post-distortion,” IEEE J. Solid-State Circuits, vol. 41, no. 7, pp. 1530–1534, Jul. 2006. [5] H. Zhang, X. Fan, and E. S. Sinencio, “A low-power, linearized, ultrawideband LNA design technique,” IEEE J. Solid-State Circuits, vol. 44, no. 2, pp. 320–330, Feb. 2009. [6] T.-S. Kim and B.-S. Kim, “Linearization of differential CMOS low noise amplifier using cross-coupled post distortion canceller,” in IEEE Proc. RFIC Symp., Jun. 2008, pp. 83–86. [7] V. Aparin and L. E. Larson, “Modified derivative superposition method for linearizing FET low-noise amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 2, pp. 571–581, Feb. 2005. [8] S. Ganesan, E. S. Sinencio, and J. S. Martinez, “A highly linear lownoise amplifier,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 12, pp. 4079–4085, Dec. 2006. [9] Y.-H. Kuo, J.-H. Tsai, W.-H. Chou, and T.-W. Huang, “A 24-GHz 3.8-dB NF low-noise amplifier with built-in linearizer,” in Asia–Pacific Microw. Conf., Dec. 2010, pp. 1505–1508. [10] N. Li, K. Okada, T. Suzuki, T. Hirose, and A. Matsuzawa, “A threestage 60 GHz CMOS LNA using dual noise-matching technique for 5 dB NF,” in Asia–Pacific Microw. Conf., Dec. 2008, pp. 1–4.

[11] A. Holberg, CMOS Analog Circuit Design, 2nd ed. Oxford, U.K.: Oxford Univ. Press, 2002. [12] T. H. Lee, H. Samavati, and H. R. Rategh, “5-GHz CMOS wireless LANs,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 1, pp. 268–280, Jan. 2002. [13] H. Samavati, H. R. Rategh, and T. H. Lee, “A 5-GHz CMOS wireless LAN receiver front-end,” IEEE J. Solid-State Circuits, vol. 35, no. 5, pp. 765–772, May 2000. [14] M. Zargari, M. Terrovitis, S. H.-M. Jen, B. J. Kaczynski, M. L. Lee, M. P. Mack, S. S. Mehta, S. Mendis, K. Onodera, H. Samavati, W. W. Si, K. Singh, A. Tabatabaei, D. Weber, D. K. Su, and B. A. Wooley, “A single-chip dual-band tri-mode CMOS transceiver for IEEE 802. 11a/b/g wireless LAN,” IEEE J. Solid-State Circuits, vol. 39, no. 12, pp. 2239–2249, Dec. 2004. [15] B.-J. Huang, K.-Y. Lin, and H. Wang, “Millimeter-wave low power and miniature CMOS multi-cascode low-noise amplifiers with noise reduction topology,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 12, pp. 3049–3059, Dec. 2009. [16] K.-J. Sun, Z.-M. Tsai, K.-Y. Lin, and H. Wang, “A 10.8-GHz CMOS low-noise amplifier using parallel-resonant inductor,” in IEEE MTT-S Int. Microw. Symp. Dig., 2007, pp. 1795–1798. [17] H.-K. Chiou, K.-Z. Lee, and S.-J. Wu, “A high performance -band low noise amplifier using thin-film microstrip (TFMS) lines in 0.13 m CMOS technology,” in Asia–Pacific Microw. Conf., Dec. 2010, pp. 1513–1516. [18] X. Fan, H. Zhang, and E. S. Sinencio, “A noise reduction and linearity improvement technique for a differential cascode LNA,” IEEE J. SolidState Circuits, vol. 43, no. 3, pp. 588–599, Mar. 2008. [19] J.-F. Chang and Y.-S. Lin, “0.99 mW 3–10 GHz common-gate CMOS UWB LNA using T-match input network and self-body-bias technique,” Electron. Lett., vol. 47, no. 11, pp. 658–659, May 2011. [20] G. Huang, T.-S. Kim, B.-S. Kim, M. Yu, and Y. Ye, “Post linearization of CMOS LNA using double cascode FETs,” in IEEE Int. Circuits Syst. Symp., Sep. 2006, pp. 4499–4502. [21] T.-S. Kim and B.-S. Kim, “Post-linearization of cascode CMOS low noise amplifier using folded pMOS IMD sinker,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 4, pp. 182–184, Apr. 2006. [22] D. Wu, R. Huang, W. Wong, and Y. Wang, “A 0.4-V low noise amplifier using forward body bias technology for 5 GHz application,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 7, pp. 543–545, Jul. 2007. [23] S. C. Cripps, “The intercept point deception,” Microw. Bytes, vol. 8, pp. 44–50, 2007. [24] I. Haroun, J. Wight, C. Plett, and A. Fathy, “Multi-band 700 MHz/2.4 GHz/60 GHz RF front-end for radio-over-fiber base stations,” in Radio Wireless Symp., Jan. 2010, pp. 629–632. [25] T. Yao, M. Q. Gordon, K. K. W. Tang, K. H. K. Yau, M.-T. Yang, P. Schvan, and S. P. Voinigescu, “Algorithmic design of CMOS LNAs and PAs for 60-GHz radio,” IEEE J. Solid-State Circuits, vol. 42, no. 5, pp. 1044–1057, May 2007. [26] H.-Y. Yang, Y.-S. Lin, C.-C. Chen, and S. S. Wong, “A low-power -band CMOS low-noise amplifier using current-sharing technique,” in IEEE Int. Circuits Syst. Symp., May 2008, pp. 964–967. [27] I. Haroun, J. Wight, C. Plett, A. Fathy, and Y.-C. Hsu, “A -band 90-nm CMOS low-noise amplifier with modified CPW transmission lines for UWB systems,” in Radio Wireless Symp., Jan. 2010, pp. 368–371. [28] E. Cohen, S. Ravid, and D. Ritter, “An ultra low power LNA with 15 dB gain and 4.4 dB NF in 90 nm CMOS process for 60 GHz phase array radio,” in IEEE Proc. RFIC Symp., Jun. 2008, pp. 61–64. [29] C.-C. Huang, H.-C. Kuo, T.-H. Huang, and H.-R. Chuang, “Low-power, high-gain -band CMOS low noise amplifier for microwave radiometer applications,” IEEE Microw. Wireless Compon. Lett., vol. 21, no. 2, pp. 104–106, Feb. 2011. [30] A. Amer, E. Hegazi, and H. Ragai, “A low-power wideband CMOS LNA for WiMax,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 54, no. 1, pp. 4–8, Jan. 2007. [31] L. F. Tiemeijer, R. M. T. Pijper, and E. van der Heijden, “Complete on-wafer noise-figure characterization of 60-GHz differential amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 6, pp. 1599–1608, Jun. 2010. [32] H.-C. Yeh, Z.-Y. Liao, and H. Wang, “Analysis and design of millimeter-wave low-power CMOS LNA with transformer-multicascode topology,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 12, pp. 3441–3454, Dec. 2011. [33] P. Sakian, E. Janssen, A. H. M. van Roermund, and R. Mahmoudi, “Analysis and design of a 60 GHz wideband voltage-voltage transformer feedback LNA,” IEEE Trans. Microw. Theory Tech., vol. 60, no. 3, pp. 702–713, Mar. 2012.

LI et al.: PARASITIC-INSENSITIVE LINEARIZATION METHODS FOR 60-GHz 90-nm CMOS LNAs

Wei-Tsung Li (S’11) was born in Taipei, Taiwan, on October 22, 1983. He received the B.S. degree in electric engineering from National Central University, Taoyuan, Taiwan, in 2006, the M.S. degree in communication engineering from National Chiao Tung University, Hsinchu, Taiwan, in 2008, and is currently working toward the Ph.D. degree at the Graduate Institute of Communication Engineering, National Taiwan University, Taipei, Taiwan. His research interests include the design and analysis of RF/microwave integrated circuits and wireless communications. Jeng-Han Tsai (S’04–M’08) was born in Tainan, Taiwan, in 1980. He received the B.S. degree in electrical engineering from National Central University, Taoyuan, Taiwan, in 2002, and the Ph.D. degree from the Graduate Institute of Communication Engineering, National Taiwan University, Taipei, Taiwan, in January 2007. From February 2007 to January 2008, he was a Post-Doctoral Research Fellow with the Graduate Institute of Communication Engineering, National Taiwan University, where his research concerned advanced MMW integrated circuits. From February 2008 to July 2009, he was with the Department of Communication Engineering, Yuan Ze University, Taoyuan, Taiwan, as an Assistant Professor. In August 2009, he joined the faculty of the Department of Applied Electronics Technology, National Taiwan Normal University, Taipei, Taiwan, where he is currently an Assistant Professor. His research interests include the design and analysis of RF/microwave integrated circuits and wireless communications. Hong-Yuan Yang (S’10) was born in Taipei, Taiwan, in 1977. He received the B.S. degree in electrical engineering from Da-Yeh University, Changhua, Taiwan, in 1999, the M.S. degree from the Graduate Institute of Electrical Engineering, National Taiwan University, Taipei, Taiwan, in 2007, and is currently working toward the Ph.D. degree at the Graduate Institute of Communication Engineering, National Taiwan University. From June 2001 to August 2003, he was with the WIN Semiconductor Company Ltd., Taoyuan, Taiwan, as an RF Engineer. From October 2003 to February 2005, he was a Senior RF Engineer with the Globalsun Technology Company Ltd., Taipei, Taiwan. In September 2007, he joined the Quanta Computer Company Ltd., Taoyuan, Taiwan, where he pioneered portable devices and tablet PC development. Since November 2009, he has been with the Graduate Institute of Communication Engineering, National Taiwan University. His research interests include RF testing, microwave device characterization, and monolithic microwave/MMW circuit design.

2523

Wei-Hung Chou was born in Hsinchu, Taiwan, on March 21, 1986. He received the B.S. degree from National Tsing Hua University, Hsinchu City, Taiwan, in 2008, and the M.S. degree from National Taiwan University, Taipei, Taiwan, in 2010. He is currently with the High Tech Computer Corporation (HTC), New Taipei City, Taiwan, where he is engaged in the development of RF design. His research interests include -band front-ends and design of phase-locked loops (PLLs). Shyh-Buu Gea was born in Taipei, Taiwan, on November 9, 1986. He received the B.S. and M.S. degrees from National Taiwan University, Taipei, Taiwan, in 2009 and 2011, respectively. He is currently with the Intellectual Property Office, Ministry of Economic Affairs, New Taipei City, Taiwan. His research is focused on RF integrated circuit design.

Hsin-Chia Lu (S’93–M’99) received the Ph.D. degree in electrical engineering from National Taiwan University, Taipei, Taiwan, in 1999. From 1999 to 2004, he was a Postdoctoral Research Fellow with the Graduate Institute of Communication Engineering, National Taiwan University, Taipei, Taiwan. Since 2004, he has been with the Graduate Institute of Electronics Engineering, National Taiwan University. His research interests include RF/MMW system-in-package design, low-temperature co-fired ceramic (LTCC) and integrated passive device (IPD) circuit design and synthesis, microwave measurement techniques, and LTCC embedded antennas/arrays. Tian-Wei Huang (S’91–M’98–SM’02) received the B.S. degree in electrical engineering from National Cheng Kung University, Tainan, Taiwan, in 1987, and the M.S. and Ph.D. degrees in electrical engineering from the University of California at Los Angeles (UCLA), in 1990 and 1993, respectively. In 1993, he joined the TRW RF Product Center, Redondo Beach, CA, where he designed RF integrated circuits (RFICs) up to 190 GHz. From 1998 to 2002, he was with Lucent Technologies and Cisco Systems, where he developed high-speed wireless systems. In August 2002, he joined the faculty of the Department of Electrical Engineering, National Taiwan University, Taipei, Taiwan. His research interests include MMW RF CMOS design and gigabit wireless systems.

2524

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

A 5-Gb/s 2.1–2.2-GHz Bandpass Modulator for Switch-Mode Power Amplifier Philip Ostrovskyy, Hans Gustat, Maurits Ortmanns, Senior Member, IEEE, and J. Christoph Scheytt, Member, IEEE

Abstract—A tunable fourth-order bandpass modulator (BDSM) designed and fabricated in 0.25- m SiGe BiCMOS technology is presented. The designed modulator relaxes switching conditions for the amplification stage of the switch-mode power amplifier in comparison to the BDSM architecture. The BDSM is clocked at 5 GHz, while the notch frequency can be tuned in a range of 2.1–2.2 GHz. The modulator achieves 46.6-dB signal-to-noise and distortion ratio in 10-MHz bandwidth for a sine-wave input at 2.2 GHz consuming 330 mW from a 3-V supply. The BDSM was also tested with a single-channel WCDMA signal. It has demonstrated 42.2-dBc adjacent channel leakage ratio at 5-MHz offset and less than 2.1% error vector magnitude over the tuning range. Index Terms—Analog-to-digital converters, RF and mixedsignal ingrated-circuit (IC) design, SiGe BiCMOS, switching power amplifiers (PAs), WCDMA.

I. INTRODUCTION

B

ANDPASS modulators (BDSM) are becoming very attractive for analog-to-digital conversion because of their high resolution in a limited band of interest. In wireless communications, early investigations were focused on the use of BDSM for processing of the IF signals in heterodyne receivers [1]. Recently, with the rapid development of the semiconductor technologies, it has become possible to implement modulators even at gigahertz center frequencies. This fact pushes a BDSM as a component of the receiver, closer to the antenna. Some investigations reveal the capabilities of the BDSM, as the first stage in the receiver at 900-MHz [2], at 2-GHz [3], and at 2.4-GHz [4] input frequencies. Realizations of the BDSM at those carrier frequencies is a significant step toward software-defined radios in third-generation cellular networks. Another application of delta–sigma modulation can be found in highly efficient switch-mode audio power amplifiers (PAs) [5] (also known as

Manuscript received November 29, 2011; revised April 16, 2012; accepted April 17, 2012. Date of publication June 28, 2012; date of current version July 30, 2012. This work was supported by the German Federal Ministry of Education and Research (BMBF) under the High Frequency Modulator for Class-S Amplifier (HMoS) Project. P. Ostrovskyy is with IHP Microelectronics, 15236 Frankfurt (Oder), Germany (e-mail: [email protected]). H. Gustat, retired, was with IHP Microelectronics, 15236 Frankfurt (Oder), Germany. M. Ortmanns is with the Institute of Microelectronics, University of Ulm, 89081 Ulm, Germany. J. C. Scheytt was with IHP Microelectronics, 15236 Frankfurt (Oder), Germany. He is now with the Heinz Nixdorf Institute, University of Paderborn, 33102 Paderborn, Germany. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2203143

Fig. 1. SMPA architecture based on BDSM.

class-D). At audio frequencies, a switched-mode PA can process signals that have usual peak-to-average ratio (PAR) values up to 20 dB while having a very high efficiency (more than 80%) and excellent linearity. This is exactly what is highly demanded for base-station transmitters operating at 900 MHz, and at 2.1–2.2 GHz. In the modern telecommunication system, the PAR of the transmitted signals is often more than 10 dB [6]. This aspect means that a PA has to operate with significant backoff from the compression point to prevent the signal peaks from experiencing distortion due to the PA nonlinearity. Thus, an implementation of switch-mode transmitters, which can linearly and efficiently process signals with nonconstant envelopes at gigahertz carrier frequencies, is a very challenging task, and it is of much interest for researchers [7]–[9]. Fig. 1 shows such a switch-mode power amplifier (SMPA) architecture, which uses an RF BDSM at the input. The BDSM converts the input RF signal into a pulse train that drives the input of a PA. At the PA output, a reconstruction bandpass filter suppresses the broadband quantization noise of the digital bit stream and delivers an amplified version of the original narrowband input RF signal to the antenna. This paper describes the system and circuit design, implementation and measurements of a 5-GS/s 2.1–2.2-GHz continuous time (CT) BDSM for switch-mode transmitter application. The proposed CT BDSM architecture implements a variable center frequency operation by controlling the center frequency of resonators and feedback coefficients. This paper is organized as follows. Section II illustrates the impact of BDSM parameters on the performance of an SMPA. Section III outlines the system and circuit design of the BDSM, which allows a severe reduction of the sampling frequency. Section IV presents measurement results. Section V concludes this paper. II. IMPACT OF BDSM PARAMETERS ON SMPA PERFORMANCE Besides the power transistor and reconstruction filter properties, the overall SMPA performance is also dependent on the BDSM coding efficiency, minimum pulsewidth in the output pulse train, average output frequency, and modulator power dissipation.

0018-9480/$31.00 © 2012 IEEE

OSTROVSKYY et al.: 5-Gb/s 2.1–2.2-GHz BDSM FOR SMPA

2525

The output power of the SMPA amplifier is proportional to the coding efficiency of the BDSM, where is defined as the ratio of the mean RF signal power in the pulse train to the total pulse-train power [10] and is described by (1) is the signal power and is the total where power in the output pulse train. The modulator output in (1) is assumed to be zero mean. For two-level signals, the maximum coding efficiency value is equal to and is achieved by a rectangular waveform with a 50% duty cycle. In the BDSM case, the output pulse train approaches this condition, if the modulator’s input is overdriven. Most realized BDSMs with gigahertz input [2], [11]–[14] are CT and have the sampling frequency four times higher than the input (so-called architecture). For the 2.2-GHz SMPA, an BDSM requires a sampling frequency of 8.8 GHz, which results in a minimum pulsewidth of 113.6 ps at the input of the power transistors. If in such a configuration state-of-the-art GaN power transistors with 65/55-ps rise/fall times [15] are used, then an SMPA will not be able to switch completely in on/off states during all occurrences of the minimum pulsewidth. This can significantly increase the dc power dissipation. Thus, the power transistor sets the upper limit of the BDSM sampling frequency. In our case, the transistor from [15] can switch to on/off states if the pulses are wider than 200 ps, which corresponds to a maximum BDSM sampling frequency of 5 GHz. The switching losses in the SMPA are proportional to an average frequency of the modulator output. One way to decrease the output average frequency in the switch-mode transmitter was proposed in [16]. It is based on taking the output of the modulator at an image of the carrier. The advantage of images was also successfully used in a CT BDSM designed for a receiver and realized in CMOS [17]. Unfortunately this approach cannot be used in the switch-mode transmitter because the coding efficiency at the image frequency is too low, which will lead to a reduction of the output power. Therefore, a BDSM has to be designed as a direct RF sampling converter. In this case, the lower limit of the BDSM sampling frequency must be two times higher than the maximum input frequency, i.e., higher than 4.4 GHz. Thus, for the intended CT BDSM design, whose notch frequency has to be adjustable in the range of 2.1–2.2 GHz in order to cover WCDMA downlink frequencies (2.11–2.17 GHz), the maximally available sampling frequency due to the power transistor of 5 GHz was chosen. For such a modulator, the coding efficiency is around 10% lower than the coding efficiency achieved by a BDSM with the notch placed in vicinity of . The analysis of the coding efficiency dependence on sampling frequency is discussed in [18]. The impact of the BDSM power consumption on the overall system efficiency has to be considered since a direct RF sampling modulator can consume a lot of power at gigahertz input frequencies. The use of the BDSM as a modulator in the SMPA is only reasonable if the output transmitted power is much higher than the power consumption of the BDSM. For example, if an SMPA driven by the BDSM delivers 20 W

of output power and the BDSM power consumption is lower than 0.5 W, then the overall efficiency will be degraded by an acceptable amount of a few percent. Finally, the reduction of the BDSM sampling frequency brings several advantages to the SMPA system: relaxing of the switching conditions for the power transistor, processing of all pulses in the modulator pulse train, decreasing the average frequency of the modulator output, and decreasing the influence of the excess loop delay (ELD) on the modulator performance. The disadvantage of the reduced BDSM sampling frequency is a decrease of the signal-to-noise and distortion ratio (SNDR) and the coding efficiency comparing to the BDSM architecture. III. DESIGN AND NONIDEALITIES ESTIMATION A. System Design One way to cover the needed frequency range could be a design of a modulator based on the zero spreading in the noise transfer function (NTF). However, to cover 100-MHz bandwidth with an acceptable SNDR in 10 MHz, a BDSM of sixth order or higher has to be implemented. Another approach is to control noise shaping depending on the input frequency. In this case, a modulator with the variable center frequency is required. A variable-frequency discrete-time (DT) modulator (DSM) with one control parameter was proposed in [19]. This approach is used in this work to find a variable center-frequency DT prototype. The NTF has been synthesized with help of Schreier’s MATLAB toolbox [20] having an out-of-band gain of 1.6 (2) This NTF has to be realized by a CT BDSM with the variable center frequency while having a fixed sampling frequency. For the input frequency range, where the modulator is stable, the notch has to be adjusted to this input frequency. Varying the notch frequency causes varying of the loop filter transfer function, and consequently, the NTF. By controlling the feedback coefficients, it is possible to keep the NTF (2) the same while changing the notch frequency. The loop filter transfer function of the DT prototype with the notch at the normalized frequency, which corresponds to 2.14 GHz, is described by (3) The loop filter transfer function (3) accounts for a full sample delay in the feedback path due to an implementation of the modulator on the circuit level. This ELD results in an increase of the numerator order of the DT loop filter transfer function (3) by one. Therefore, four feedback coefficients in the CT modulator are not enough to get an equivalent DT loop transfer function. To compensate this additional one sample delay, another feedback path has to be added [21]. This fifth feedback path has been realized by a nonreturn to zero (NRZ) DAC, which is connected from the delayed output of the comparator directly to the comparator input. The parameters of the CT architecture have

2526

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 2. CT BDSM block diagram.

been found based on the DT prototype loop filter transfer function by means of the pulse invariant transformation [22]. The final CT architecture is shown in Fig. 2, which allows a variable center frequency of the BDSM. For this purpose, a resonator that covers the targeted tuning range is required, and for each center frequency the set of the feedback coefficients has to be recalculated. The NRZ, return-to-zero (RZ), and hold-return-to-zero (HRZ) feedback DACs were used in order to explicitly map the DT prototype to the CT architecture. A fully differential circuit configuration was used to provide high common-mode noise rejection. All current sources were designed in CMOS. This allows an operation at lower supply voltage without saturation of the transistors compared to the case when current sources are based on bipolar transistors. B. Resonator Finite Quality Factor The first stage in the modulator filter consists of a resonator, and thus the dynamic range of this resonator determines the dynamic range of the complete modulator. The minimum detectable input voltage is determined by the input-referred noise of the resonator, and the maximum input signal is limited by its linearity. The complete resonator structure consists of two -cells and one LC tank, including varactors for tunability [see Fig. 3(a)]. To achieve better linearity, the main -cell of the resonator was designed as a multitanh structure [see Fig. 3(b)] [23]. The linearity of the -cell can be controlled to some extent by unbalancing the differential pairs and the emitter degeneration. Since the supply voltage was fixed to 3 V, it is possible to use effectively only one diode in the emitter. Consequently, only the tail current and emitter area ratio between the left and the right side transistors were optimized. The simulated input-referred noise of the resonator yields 18 nV/ Hz, while the input amplitude corresponding to the 1-dB compression point was 120 mV. The calculation of the maximum achievable dynamic range leads to 66.6 dB in 10-MHz bandwidth.

Fig. 3. (a) Resonator structure. (b) Schematic of the

-cell

.

The LC tank includes a symmetrical, octagonal inductor and varactors to cover for the WCDMA base-station transmitter frequency range (2.11–2.17 GHz). The octagonal inductor has an inductance of 4.7 nH and consists of two thick metal layers connected in parallel. Occupying an area of 220 220 m , the optimized coil has a quality factor of only 12 at 2.1 GHz. Since a low quality factor of the tank highly degrades the signal-to-noise ratio (SNR) and could cause idle tones, it is necessary to compensate for the losses in the inductor. For this reason, an additional -cell is connected in positive feedback configuration as quality factor enhancement [see Fig. 3(a)] [11]. It is also based on the multitanh structure, but uses a 4-to-1 capacitive divider at the input and four times bigger transistors in order to not degrade linearity of the complete resonator. Both -cells have controlled current sources to externally tune the gain and quality factor of the resonator. By using the -enhancement circuit, a maximum quality factor of 50 is simulated. C. Comparator and DACs A comparator was designed as a standard emitter-coupled logic (ECL) master–slave latched circuit with a preamplifier. The minimum size transistors have been chosen for the circuit. The comparator output swing has to be higher than 320 mV in order to completely switch the DACs. Since the transistors

OSTROVSKYY et al.: 5-Gb/s 2.1–2.2-GHz BDSM FOR SMPA

Fig. 4. Schematics of the: (a) NRZ latch and (b) RZ latch.

Fig. 5. Simulated SNDR dependence on the different clock-jitter values.

were biased for peak , a load resistor value resulted in 100 . In simulation, the comparator has shown a sensitivity value of 1 mVpp at 2-GHz input frequency with a clock frequency at 15 GHz. In order to obtain HRZ pulses, the RZ latch has to be clocked in antiphase. The difference between the NRZ and RZ latches is found in the cross-coupled latch transistors, which are replaced with diode-connected transistors in the NRZ circuit [see Fig. 4(b)] [11]. The current steering DAC is based on a simple differential pair with adjustable tail current in the range of 0.2–4 mA. D. Jitter In contrast to DT modulators, CT modulators are very sensitive to clock jitter [24]. To estimate the jitter influence on the SNDR, a transistor-level model of the modulator was used. For each jitter value, a corresponding data file was prepared to be used in the clock source in simulation. For the measurements, a clock generator with 0.72-ps rms jitter at 5 GHz was available, this value was included in the simulation as well. Fig. 5 plots the simulation results. The SNDR values were calculated for 16 384 samples at dBm in 10-MHz bandwidth. If the jitter-free source is used, the modulator achieves 64.8-dB SNDR. If the jittered source introduces the same amount of jitter as the real clock generator (0.72 ps), the BDSM shows an SNDR of 48.8 dB E. ELD ELD is another parameter that degrades the performance of the CT BDSM. In addition to the decision time of the quantizer, it is determined by the propagation delay between the quantizer output and the output of the DAC. As was described

2527

Fig. 6. Simulated SNDR dependence on the different loop delay values.

in Section III, the one sample delay was compensated by introducing an additional feedback path. The remaining part of the ELD is caused by the propagation time through the latches, which will be referred to ELD for simplicity. Since the modulator is realized in a technology with GHz and the sampling frequency is 5 GHz, the ratio of the propagation delay to the sampling period is not expected to be too high. Indeed, the simulated circuit introduces an ELD of only 13 ps, which corresponds to 6.5% of the sampling period . The corresponding simulation shows that this value causes an SNDR degradation of only 1.6 dB. Since the degradation by the ELD is low compared to that caused by clock jitter, it was not compensated in this proposed BDSM implementation. The impact of the ELD on the modulator performance is estimated by simulation of a transistor-level model. At first, the ELD was completely compensated by delaying the comparator clock relative to the clock signal of the feedback latches. The delay element was then inserted before all DACs. By changing the delay value and measuring the corresponding SNDR value in 10-MHz bandwidth, the plot in Fig. 6 was obtained. IV. EXPERIMENTAL RESULTS The modulator was fabricated in a 0.25- m SiGe BiCMOS process ( GHz) with three thin and two thick metal layers [25]. The BDSM dissipates 330 mW from a 3-V supply voltage. The chip was mounted on a Rogers RO4003 printed circuit board (PCB) with a thickness of 0.51 mm. The microphotograph of the BDSM is shown in Fig. 7. The chip area is 1.32 mm . The output stage of the BDSM delivers more than 0.8-Vpp differential voltage swing into a 50- load at each output. Such voltage swing is required for the driver circuit of a GaN-based switch-mode amplifier. Measurements of the BDSM were done under different conditions as described in Sections IV-A and IV-B. A. Sine-Wave Input For a sine-wave input, the modulator performance was estimated by a two-tone test and SNDR measurements in a 10-MHz bandwidth. The modulator notch frequency was tuned to the input frequency. A spurious-free dynamic range (SFDR) of 46.2 dB is determined by applying two tones spaced by 2 MHz (Fig. 8). The output signal has been captured by a real-time oscilloscope controlled by MATLAB. For the SNDR estimation, 40 s

2528

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 9. Simulated and measured SNDR for 2.2-GHz sine-wave input signal in 10-MHz bandwidth.

Fig. 7. Chip photograph of the designed BDSM.

Fig. 10. Measured output power and coding efficiency for 2.2-GHz sine-wave input signal. Fig. 8. Two-tone test at 2.22 GHz.

of the output signal can be saved and used to perform a Fourier transform. For measuring of BDSM’s dynamic performance, the input amplitude was changed and the corresponding SNDR values were measured. Fig. 9 compares the measured SNDR curve with the simulated one at 2.2-GHz input frequency. The simulation with the 0.72-ps jittered clock source has been performed. At the power level applied in simulation, the measured SNDR is equal to 43.1 dB. Accounting for the 0.72-ps jittered clock source used during the measurements, the expected SNDR value would drop to 48.8 dB in the 10-MHz bandwidth, as shown in Fig. 5. A simulation of the parasitic extracted layout with jittered clock source revealed an SNDR of 45.3 dB, which is very close to the measured result. The remaining difference between the simulation and measurements of 2.2 dB is attributed to PCB imperfections and technology mismatches during the fabrication process.

The coding efficiency curve and corresponding BDSM output power for the different input power levels are shown in Fig. 10. The total power in the output pulse train in (1) was calculated from the spectrum from 0 to . Fig. 11 shows the measured average output frequency , which is normalized to the input frequency . For small input signals, is equal to 0.75, but in the BDSM operation range, it lays within 0.8–0.9. This is 10%–20% better when compared to an architecture, for which the ratio is approximately 1 for all input levels [18]. B. WCDMA Input Signal To test how the BDSM can process real signals, a WCDMA signal has been applied and the error vector magnitude (EVM) and adjacent channel leakage ratio (ACLR) values were measured. The signal was generated according to Test Model 5 [26].

OSTROVSKYY et al.: 5-Gb/s 2.1–2.2-GHz BDSM FOR SMPA

2529

Fig. 11. Measured average frequency of the modulator output.

Fig. 13. Measured BDSM output spectrum of the WCDMA signal modulated dBm; meaby 16QAM and corresponding constellation diagram; %. sured

TABLE I BDSM PERFORMANCE SUMMARY

Fig. 12. Measured BDSM output spectrum when WCDMA signal is applied to the input.

This model is used to perform EVM measurements for base-station transmitters. We have used a model configuration that consists of eight high-speed physical channels and 30 dedicated physical channels. Data in the high-speed channels are modulated by 16 quadrature amplitude modulation (16QAM). This configuration allows measuring the BDSM under the most stringent conditions since the PAR is maximal for such a signal and is equal to 11.5 dB. The WCDMA signal was generated by software and uploaded to a signal generator. Fig. 12 shows BDSM output spectrum including first image at 2.8 GHz. The BDSM notch is adjusted to 2.2-GHz frequency. For EVM measurements, the BDSM output signal was captured and analyzed using the vector signal analyzer. Fig. 13 shows the BDSM measured output spectrum in 18-MHz bandwidth and corresponding constellation diagram, when the WCDMA test signal is applied to the input. The communication standard [26] requires to keep the EVM no higher than 12.5% when the base station is transmitting a composite signal that includes 16QAM modulation. The measured EVM of less than 2.1% over the tuning range provides a solid margin for a complete SMPA. The BDSM shows ACLR values of 42.4 dBc at 5-MHz offset and 44.4 dBc at 10-MHz

TABLE II 2-GHz IF BDSM PERFORMANCE COMPARISON

offset, whereas the standard [26] requires 45 dBc at 5-MHz offset and 50 dBc at 10-MHz offset. The ACLR can be improved by means of integrating a low-jitter frequency synthesizer and/or by improving linearity of the resonator in expense of additional power. Table I summarizes the measured characteristics of the developed BDSM.

2530

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Table II introduces a comparison of this work with the existing BDSM realizations at center frequency more than 2 GHz. The figure of merit (FoM) is calculated as

(4) where denotes the effective number of bits. The best FoM results are demonstrated by modulators from [3] and [4]. In [3], six interleaved quantizers sampled at 6.1 GHz are used to relax speed requirements. However, after multiplexing, the output is sampled at 36.6 GS/s. In [4], the output is sampled at 40 Gb/s. In both cases, the minimum pulsewidth at the modulator output is very short, which requires power transistors with higher transit frequencies to keep power efficiency competitive [15]. V. CONCLUSION The design procedure and corresponding measurement results of a tuned LC CT BDSM for an SMPA have been presented. The developed modulator has a tuned notch in a 2.1–2.2-GHz frequency range while clocked at 5 GHz. Compared to the conventional BDSM architecture, the modulator architecture works with a reduced clock frequency. This relaxes the switching requirements onto an SMPA. Nonidealities like jitter, finite quality factor of coils, and ELD were taken into account during the modeling phase. The BDSM performance was estimated both in the common way by measuring the SNDR and as a part of a transmitter by measuring the ACLR and EVM values for a single-channel WCDMA input signal. It has demonstrated 42.2-dBc ACLR at 5-MHz offset and less than 2.1% EVM over the tuning range. ACKNOWLEDGMENT The authors would like to thank the technology team, IHP Microelectronics, Frankfurt (Oder), Germany, for chip fabrication. REFERENCES [1] A. Cosand, J. Jensen, H. Choe, and C. Fields, “IF-sampling fourthorder bandpass delta; sigma; modulator for digital receiver applications,” IEEE J. Solid-State Circuits, vol. 39, no. 10, pp. 1633–1639, Oct. 2004. [2] U. Karthaus et al., “A 900 MHz, 3.6 Gb/s bandpass DSM receiver with 55.7 dB two-tone SFDR in 1 MHz bandwidth,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2009, pp. 1133–1136. [3] T. Chalvatzis, E. Gagnon, M. Repeta, and S. Voinigescu, “A low-noise 40-GS/s continuous-time bandpass ADC centered at 2 GHz for direct sampling receivers,” IEEE J. Solid-State Circuits, vol. 42, no. 5, pp. 1065–1075, May 2007. [4] J. Ryckaert et al., “A 6.1 GS/s 52.8 mW 43 dB DR 80 MHz bandwidth 2.4 GHz RF bandpass delta–sigma ADC in 40 nm CMOS,” in Proc. IEEE Radio Freq. Integr. Circuits Symp., May 2010, pp. 443–446. [5] E. Gaalaas et al., “Integrated stereo delta sigma class D amplifier,” IEEE J. Solid-State Circuits, vol. 40, no. 12, pp. 2388–2397, Dec. 2005. [6] E. McCune, “Modern cellular wireless signals,” in Proc. Microw. Meas. Conf., May 2010, pp. 1–7.

[7] M. Nielsen and T. Larsen, “A transmitter architecture based on delta–sigma modulation and switch-mode power amplification,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 54, no. 8, pp. 735–739, Aug. 2007. [8] M. Helaoui, S. Hatami, R. Negra, and F. Ghannouchi, “A novel architecture of delta–sigma modulator enabling all-digital multiband multistandard RF transmitters design,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 55, no. 11, pp. 1129–1133, Nov. 2008. [9] A. Wentzel, C. Meliani, and W. Heinrich, “RF class-S power amplifiers: State-of-the-art results and potential,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2010, pp. 812–815. [10] T. Johnson and S. Stapleton, “Available load power in a RF class D amplifier with a sigma-delta modulator driver,” in Proc. IEEE Radio Wireless Conf., Sep. 2004, pp. 439–442. [11] W. Gao, J. Cherry, and W. Snelgrove, “A 4 GHz fourth-order SiGe modulator,” in Proc. Symp. VLSI Circuits, Jun. HBT band pass 1998, pp. 174–175. [12] B. K. Thandri and J. Silva-Martinez, “A 63 dB SNR, 75-mW bandADC at 950 MHz using 3.8-GHz clock in 0.25- m SiGe pass RF BiCMOS technology,” IEEE J. Solid-State Circuits, vol. 42, no. 2, pp. 269–279, Feb. 2007. [13] P. Ostrovskyy, H. Gustat, C. Scheytt, and Y. Manoli, “A 9 GS/s 2.1 2.2 GHz bandpass delta–sigma modulator for class-S power amplifier,” in IEEE MTT-S Int. Microw. Symp. Dig., 2009, pp. 1129–1132. [14] M. Schmidt, M. Grozing, S. Heck, and I. Dettmann, “A 1.55 GHz to 2.45 GHz center frequency continuous-time bandpass delta–sigma modulator for frequency agile transmitters,” in Proc. IEEE Radio Freq. Integr. Circuits Symp., Jun. 2009, pp. 153–156. [15] S. Maroldt et al., “High efficiency digital GaN MMIC power amplifiers for future switch-mode based mobile communication systems,” in Proc. IEEE Compound Semicond. Integr. Circuits Symp., Oct. 2009, pp. 1–4. [16] J. Ketola, J. Sommarek, J. Vankka, and K. Halonen, “Transmitter utilising bandpass delta–sigma modulator and switching mode power amplifier,” in Proc. Int. Circuits Syst. Symp., May 2004, vol. 1, pp. I-633–I-636. [17] J. Ryckaert et al., “A 2.4 GHz low-power sixth-order RF bandpass converter in CMOS,” IEEE J. Solid-State Circuits, vol. 44, no. 11, pp. 2873–2880, Nov. 2009. [18] T. Johnson and S. Stapleton, “Comparison of bandpass modulator coding efficiency with a periodic signal model,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 55, no. 11, pp. 3763–3775, Dec. 2008. [19] Y. Zhu, S. Al-Sarawi, C. Lim, and M. Liebelt, “Fourth-order discretetime variable centre frequency bandpass sigma-delta modulator,” in Proc. IEEE Asia–Pacific Circuits Syst. Conf., Dec. 2006, pp. 820–823. [20] R. Schreier, The Delta–Sigma Toolbox for MATLAB. The MathWorks, Natick, MA, 2011. [Online]. Available: http://www.mathworks.com/ matlabcentral/fileexchange/19-delta-sigma-toolbox [21] J. A. Cherry and W. M. Snelgrove, Continuous-Time Delta–Sigma Modulators for High-Speed A/D Conversion: Theory, Practice, and Fundamental Performance Limits. Norwell, MA: Kluwer, 1999. [22] O. Shoaei and W. Snelgrove, “Optimal (bandpass) continuous-time Sigma–Delta modulator,” in Proc. IEEE Int. Circuits Syst. Symp., May 30–2 June, 1994, vol. 5, pp. 489–492. [23] B. Gilbert, “The multi-tanh principle: A tutorial overview,” IEEE J. Solid-State Circuits, vol. 33, no. 1, pp. 2–17, Jan. 1998. [24] H. Tao, L. Toth, and J. Khoury, “Analysis of timing jitter in bandpass sigma-delta modulators,” IEEE Trans. Circuits Syst. II, Analog Digit. Signal Process., vol. 46, no. 8, pp. 991–1001, Aug. 1999. [25] B. Heinemann et al., “Novel collector design for high-speed SiGe:C HBTs,” in Proc. Int. Electron Devices Meeting Dig., 2002, pp. 775–778. [26] “Base station (BS) conformance testing (FDD),” 3GPP, Valbonne, France, 3GPP TS25.141, 2012. [Online]. Available: http://www.3gpp.org/ftp/Specs/html-info/25-series.htm Philip Ostrovskyy was born in Kiev, Ukraine, in 1979. He received the Diploma in electrical and computer engineering from the National Technical University of Ukraine, Kiev, Ukraine, in 2002. From 2002 to 2004, he was with Brandenburg Technical University, Germany, where he was involved with analog transceiver front-ends. He is currently with IHP Microelectronics, Frankfurt (Oder), Germany, where he is involved in the development of RF and mixed-signal integrated circuits.

OSTROVSKYY et al.: 5-Gb/s 2.1–2.2-GHz BDSM FOR SMPA

Hans Gustat was born in Thuringia, Germany, in 1962. He received the Diploma and Ph.D. degree in electronics engineering from Humboldt University Berlin, Berlin, Germany, in 1988 and 1992, respectively. He had been involved with mobile measurement devices for extreme environmental conditions and for space applications with Humboldt University, ESYS Germany, and as a Freelance Engineer. He is currently with IHP Microelectronics, Frankfurt (Oder), Germany, where he has built up and led the Broadband/Mixed Signal Group, including involvement with RF data converter and high-speed logic projects. He holds various patents in these fields. His current research interest is focused on cerebellar reactivation. Dr. Gustat was the recipient of the Ramsauer Award of the Allgemeine Elektricitäts-Gesellschaft (AEG) in 1993.

Maurits Ortmanns (M’04–SM’11) received the Dipl.-Ing. degree in electrical engineering (with highest honors) from Saarland University, Saarbruecken, Germany, in 1999, and the Dr.-Ing. degree (with highest honors) from Albert-Ludwigs-University, Freiburg, Germany, in 2004. From 2004 to 2005, he was with sci-worx GmbH, Hannover, Germany, where he was involved in the field of mixed-signal and analog circuits for biomedical implants, low-voltage, low-power applications, and high-speed circuits. In 2006, he became a Junior Professor of integrated interface circuits with the Institute for Microsystems Engineering, Freiburg, Germany. Since May 2008, he has been a Full Professor with the Faculty of Electrical Engineering and Computer Science, University

2531

of Ulm, Ulm, Germany, where he heads the Institute of Microelectronics. His main research interests include mixed-signal circuit design, self-correcting and reconfigurable analog circuits, and electronics for biomedical applications. Prof. Ortmanns has been a member of the German National Academic Foundation since 1994 and the VDE since 2006. He was a Program Committee member for ESSCirC, DATE, and ECCTD. Since 2011, he has been a Technical Program Committee (TPC) member of the International Solid-State Circuits Conference (ISSCC). He has been an associate editor for the IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—PART I: REGULAR PAPERS since 2010. He was the recipient of the VDI and VDE Award for the Best Masters Degree in electrical engineering from the University of Saarland in 1999.

J. Christoph Scheytt (M’01) received the Diploma (M.Sc.) degree and Ph.D. degree (with highest honors) from Ruhr-University Bochum, Bochum, Germany, in 1996 and 2000, respectively. In 2000, he co-founded advICo Microelectronics GmbH, a German integrated circuit (IC) design house for RF integrated circuit (RFIC) and fiber-optic IC design, where for six years, he was the Chief Executive Officer (CEO). From 2006 to 2012, he was with IHP Microelectronics, as Head of the Circuit Design Department. In 2012, he became a Full Professor of circuit design with the University of Paderborn, Paderborn, Germany, and Research Group Leader with the Heinz-Nixdorf Institute, Paderborn, Germany. He has authored or coauthored over 100 refereed papers. He holds 12 patents. His research interests focuses on high-frequency and broadband IC design for communications and sensing, phase-locked loop (PLL) techniques, and design with SiGe BiCMOS technologies.

2532

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

A Multiband Reconfigurable Power Amplifier for UMTS Handset Applications Unha Kim, Student Member, IEEE, Sungyoon Kang, Jungrin Woo, Student Member, IEEE, Youngwoo Kwon, Senior Member, IEEE, and Junghyun Kim, Member, IEEE

Abstract—A new practical reconfigurable output network for a multiband reconfigurable power amplifier (PA) is proposed for universal mobile telecommunications system (UMTS) handset applications. The proposed reconfigurable network can reconfigure the output power and the output path, as well as the frequency. It consists of a power-reconfigurable network, a frequency-reconfigurable network, and a path-selection network. In this paper, its reconfiguration principle is described to extract key design parameters for the reconfigurable PA implementation. To demonstrate the performance of the proposed structure, a 5 mm 6 mm multiband reconfigurable PA module is developed for UMTS high- and low-frequency band application. The fabricated PA module can cover any three bands out of five popular high- and low-frequency UMTS bands. To enhance the efficiency during low output power operation, the authors’ stage-bypass technique is also employed. The fabricated PA module showed adjacent channel leakage ratios better than 39 dBc up to the rated linear output power and power-added efficiencies of higher than 39% at dBm over all the UMTS frequency bands. Efficiency degradation was limited to less than 2% compared to the single-band PA. Measured RF performance of the reconfigurable PA validates the usefulness of the proposed reconfigurable structure for multiband UMTS applications. Index Terms—Band switching, concurrent, matching network, multiband, power amplifier (PA), reconfigurable, switch, wideband code division multiple access (W-CDMA).

I. INTRODUCTION

S

INCE A larger number of frequency bands is allocated for third-generation universal mobile telecommunications system (UMTS) communication standards, wideband code division multiple access (W-CDMA) handsets are required to support the ever-increasing number of frequency bands. The conventional multiband power amplifier (PA) design approach, where a dedicated single-band PA is added for each additional Manuscript received January 16, 2012; revised April 26, 2012; accepted May 04, 2012. Date of publication June 22, 2012; date of current version July 30, 2012. This work was supported by the research fund of Hanyang University (HY-2009-N), the Acceleration Research Program of the Ministry of Education, Science and Technology of Korea, and the Korea Science and Engineering Foundation. U. Kim, J. Woo, and Y. Kwon are with the School of Electrical Engineering and Computer Science, Seoul National University, Seoul 151-742, Korea (e-mail: [email protected]). S. Kang and J. Kim are with the School of Electrical Engineering and Computer Science, Hanyang University, Ansan, Gyeong-gi 426-791, Korea (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2201744

frequency band, would result in excessive cost and board space for mobile phones [1]. To solve this issue, researchers have recently started to develop reconfigurable PAs that cover several frequency bands using a single PA core [2]–[15]. Programmable matching networks using varactors, as well as semiconductor and microelectromechanical systems (MEMS) switches have been employed to reduce the number of PAs for multiband operation. However, attempts to cover too wide a frequency range using a single PA core results in significant efficiency degradation and increased module size due to complicated matching networks. For example, the net additional loss of the reconfigurable matching network using MEMS switches in [4] can be as large as 0.96 dB at 1.6 GHz, which translates to approximately 6.5% power-added efficiency (PAE) degradation. Excessive PAE degradation cannot be easily accepted for data-centric mobile terminals due to the thermal concerns and talk-time metric. In addition, the large circuit size and high actuation voltage requirement using MEMS components makes their application to commercial handsets practically difficult. Switches for the reconfigurable matching circuit can be avoided if multisection matching networks are used to make the PA broadband [12]–[14]. However, the efficiency of the PA is degraded due to the suboptimal impedance trajectory across the operating frequency band in addition to the increased loss of the matching network. Moreover, the approach of using a single RF input and output port for broadband amplifier design will lead to further PAE degradation due to the requirement of post-PA distribution switches, whose loss further degrades the overall efficiency [2], [3], [5]–[13]. A new approach for multiband UMTS reconfigurable PA for practical handset applications was introduced in our previous work [15], showing a small PAE degradation of 2%–3% compared with the single-band designs. The UMTS transmit (Tx) frequency bands were grouped into low band (0.7–0.9 GHz) and high band (1.4–2.5 GHz). The complication in covering too wide a frequency range is mitigated in this approach by limiting the band reconfigurability within either the low- or high-band group. The additional losses due to post-PA switches are also avoided by expanding the number of output ports in the PA design. Moreover, the proposed network does not only re-configure frequency band, but also linear output power according to the selected band. This allows the PA to operate at optimum power and efficiency in the system, by avoiding different power requirements due to different post-PA duplexer losses. In this study, the reconfigurable PA performance has been further optimized to limit PAE degradation to 2%. Included in this paper are also the details of the systematic design

0018-9480/$31.00 © 2012 IEEE

KIM et al.: MULTIBAND RECONFIGURABLE PA FOR UMTS HANDSET APPLICATIONS

2533

Fig. 2. Schematic of the FOMN.

Fig. 1. Block diagram of the proposed reconfigurable PA.

methodology for power and frequency reconfigurable network together with closed-form design equations. II. OPERATING PRINCIPLE OF THE RECONFIGURABLE OUTPUT MATCHING NETWORK The block diagram of the proposed reconfigurable PA is shown in Fig. 1. The PA has multiple outputs and each output has its “natural” frequency with a corresponding target linear output power. The load impedance at natural frequency and output power is generated by the fixed output matching network (FOMN). The FOMN is followed by power/frequency reconfigurable network (PFRN), which can reconfigure operating frequency and/or target output power by the frequency reconfigurable network (FRN) and/or power reconfigurable network (PRN), respectively. This allows each path to support up to two different frequency bands with two different linear powers. Since only one output path should be active at one time, the unused output paths are deactivated by the path-selection network (PSN). The PFRN, PSN, and FOMN are co-designed with each other to share the circuit components, which helps to reduce the overall circuit size and loss. The FOMN of each path in Fig. 1 is designed to realize optimum load impedance at natural frequency band of its path, using a simple L-section matching network. It consists of a series transmission line (T/L) with an electrical length, , and a shunt capacitor, , as shown in Fig. 2. If the optimum load impedance of the FOMN is assumed to be purely real for simplification, which is a valid assumption for lowfrequency handset PAs, the closed-form formula for and can easily be derived as

(1) (2) where is the characteristic impedance of the T/L and the output port impedance. The detailed design method of the PRN, FRN, and PSN is described in subsequent sections. A. PRN Depending on the post-PA loss, the target linear output power of some bands is lower than the others. To maximize

Fig. 3. Schematic of the PRN.

Fig. 4. Load impedance

trajectory of the PRN.

the efficiency at the backed-off power level, the optimum load impedance should be increased [16]–[19]. This function is provided by the PRN. Fig. 3 shows a schematic of the proposed PRN along with FOMN. The power reconfiguration mechanism is carried out by placing a shunt arm of a switch and an inductor in the middle of a T/L of the FOMN at an electrical length, . When the output network is not reconfigured with a shunt switch off (“as is” state), the FOMN provides the optimum load impedance at the natural frequency band. If the output network is reconfigured (“switch on” state), the load impedance is increased according to the backed-off power. If a power back-off of -dB is required, the load impedance should be changed to , where . This is achieved by adding a compensation trajectory in the Smith chart, as shown in Fig. 4. As the compensation trajectory requires a constant g-circle and then a constant -circle, it can be realized by a shunt inductor, , and a T/L with an electrical

2534

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 5. Required electrical line length and inductive reactance for power (normalized to ). (b) . back-off operation. (a)

length of parameters,

Fig. 6. Reconfiguration loss of the PRN as a function of power back-off for various series-resistances of the switch at on-state.

. Using T/L circuit theory, the two design and , can be derived as (3) (4)

and (5) and (6), shown at the bottom of this page. Fig. 5 shows and inductive reactance the calculated electrical line length as a function of backed-off power level for various optimum load impedances of the FOMN. The effect of series-resistance of the switch at on-state is not considered in this calculation. When the switch is not completely lossless , the effect of on the PA performance can be represented as the reconfiguration loss. Fig. 6 shows the calculated reconfiguration loss of the PRN as a function of for various values. It is worthwhile to note from Fig. 6 that the reconfiguration loss is a strong function of , especially when the power is backed-off. However, the effect can be neglected when its value is far smaller than . On the other hand, the effect of parasitic capacitance of the switch in the off-state was ignored in this study due to the lowfrequency operation ( 2 GHz) and the small off-state capacitance ( 400 fF). B. FRN If two frequency bands with the same target linear output power are required to share the output path, it can be realized by the FRN. This network consists of an additional T/L and a shunt arm consisting of a series combination of a switch and a capacitor . The FRN is placed at the end of the FOMN, as shown in Fig, 7. When the output network is not reconfigured (“as is” state), the impedance generated by the FOMN targets

Fig. 7. Schematic of the FRN.

an optimum load impedance at a higher frequency . When reconfigured (“switch on” state), the FRN presents at a lower frequency in conjunction with the FOMN. Fig. 8 shows the load-impedance trajectory describing how and move load impedance toward at a lower frequency. Once the frequency scaling factor, , is determined, the two design parameters, and , can be derived as (7) (8) where (9) (10) Fig. 9 shows the calculated electrical line length of the supplementary T/L ( at ) and capacitive reactance as

(5) (6)

KIM et al.: MULTIBAND RECONFIGURABLE PA FOR UMTS HANDSET APPLICATIONS

2535

Fig. 10. Reconfiguration loss of the FRN as a function of frequency scaling for various series resistances of the switch at on-state . factor

Fig. 8. Load impedance

trajectory of the FRN.

Fig. 11. Schematic of the PSN with two outputs. Fig. 9. Required electrical line length and capacitive reactance for frequency at (normalized to at ). (b) . back-off operation. (a)

a function of frequency scaling factor for various optimum impedances . For this calculation, the switch is also assumed to be lossless . As in the case of the PRN, the on-state switch resistance can affect the reconfiguration loss of the FRN. Fig. 10 shows the calculated reconfiguration loss of the FRN as a function of for various . It is clear from Fig. 10 that the reconfiguration loss becomes larger as the frequency difference is increased. When is much smaller than , its effect can be neglected. As in the case of the PRN, the effect of parasitic capacitance of the switch in the off-state was ignored. C. PSN Since only one output path should be active at a time, the unused output paths should be deactivated. This is achieved by the PSN. The analysis of this network starts with the simple case of two output paths and is extended to multiple output paths later. Fig. 11 shows the schematic of the two-path output network, each consisting of a PSN and an FOMN with a unique frequency. The PSN consists of a T/L and a shunt switch. Compared to the series-type switch, the shunt-type switch can be codesigned with an FRN and a PRN, resulting in lower loss while meeting isolation (ISO) requirements. The electrical length of the T/L is designed so that the unused path can

represent a large impedance at the junction with the active path when the switch in the unused path is closed. By closing the shunt switch in path-1 (sw1) in Fig. 11, port-1 is disabled, and thus port-2 becomes the active output path. To account for a general two-path case where both frequency and impedance can be different at each path, it is assumed that FOMN #1 presents at , and FOMN #2 presents at . Also assumed is zero series resistance of the switch at on-state for the initial design. Since the goal of the design is to minimize the impedance change in the active path by presenting a large reactive impedance from the unused path at the junction, we have imposed the following condition: (11) is the impedance ratio where condition, the electrical length of the T/L

. Under this is calculated as

(12) where . To simplify (12), if the two output paths are assumed to have the same operating frequency and load impedance ( and ), is derived as (13)

2536

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 12. Required electrical line length and .

of the PSN for the case of

Fig. 14. Power flow of the reconfigurable output network with -outputs.

Fig. 15. PL and port-port ISO of the PSN as a function of series resistance of the (solid lines: calculation, dotted lines: approximation). switch at on-state

be illustrated as shown in Fig. 14. The power loss (PL) and the port-port ISO are calculated as Fig. 13. Off-impedance

trajectory of the PSN.

Fig. 12 shows the calculated under various as a function of required impedance ratio . Not to affect the impedance of the active path , should be chosen to provide of at least 10 15. Also, the requirement should be larger as the number of output paths is increased. It is worthwhile to note that the capacitor-loaded lines help to reduce the physical line lengths well below the quarter-wavelength, as shown in (1) and (12). When the switch is not completely lossless , there is finite signal leakage into the unused path, which degrades the overall PAE and degrades the ISO between the paths, which may also affect the receive (Rx) band sensitivity in some cases [20]. We have repeated the analysis considering the effect of the finite series resistance of the switches . in (11) is then calculated to be (14)

(15)

(16) is assumed to be much greater than unity in the approximated results in (15) and (16). The PL and ISO are plotted in Fig. 15. It can easily be seen from Fig. 15 that of the switch is a key factor in determining the PL and ISO. D. Experimental Validation of PRN and FRN

where . Fig. 13 shows trajectories in the Smith chart under various . As the value of is increased, becomes lossy, resulting in power leakage into the unused path. If the number of outputs is and the conditions of and are assumed, the output power at each path can

To validate the power- and frequency-reconfiguration capabilities of the proposed networks under various power back-off ratios and frequency scaling factors, a one-stage PA with PRN and FRN, shown in Figs. 3 and 7, was fabricated and characterized. The fabricated InGaP/GaAs heterojunction bipolar

KIM et al.: MULTIBAND RECONFIGURABLE PA FOR UMTS HANDSET APPLICATIONS

Fig. 16. Measured performance of the power reconfigured PA. (a) Gain and ACLR. (b) Collector efficiency.

transistor (HBT) PA has an emitter area of 4800 m and was implemented on a 400- m-thick FR4 ( 4.6, ) substrate. For this concept-proof experiment, the switch was assumed to be lossless and wire-bonding connection was used to represent a “switch on” state. The target output power and operating frequency of the PA for natural band are chosen to be 28.2 dBm and 1950 MHz, respectively. 3GPP uplink W-CDMA (Rel’99) signal and the supply voltage of 3.5 V with the quiescent current of 50 mA were used for measurement. Fig. 16 shows the measured gain, adjacent channel leakage ratio (ACLR), and collector efficiency of the power reconfigured PA at seven power back-off points from 0 to 6 dB (rated linear 22.2 dBm). The quiescent current of the power reconfigured PA was adjusted to further enhance the efficiency at the backed-off power levels. As expected, the PRN allowed collector efficiencies to be maintained at backed-off power levels. Collector efficiency was maintained to be 43% with an ACLR of 40 dBc at the 6-dB backed-off point, whereas the collector efficiency dropped to 25% without a PRN. Fig. 17 shows the measured results of the FRN at six scaled frequency points ( 1.0 or 1460 1950 MHz), which corresponds to a wide fractional frequency bandwidth of 29%. The frequency reconfigured PA maintained ACLR at 39 dBc and the efficiency at 46% while the PA without reconfiguration showed large efficiency and linearity degradation (collector efficiency of 40% and ACLR of 26 dBc at ). This experiment validates the concept and analysis of the proposed FRNs and PRNs. The verification of the PSN is included in Section III, where the measured results of a fully integrated multiband reconfigurable PA module are presented. III. MULTIBAND UMTS RECONFIGURABLE PA MODULE A. Design To verify the performance of the proposed reconfigurable network for practical UMTS PA application, a fully integrated 6 mm multiband reconfigurable PA module was de5 mm signed and fabricated. Fig. 18 shows the schematic of the reconfigurable PA module. The PA has two inputs and three reconfigurable outputs for most popular tri-band UMTS applications. The complete PA module contains two reconfigurable output matching networks (OMNs) and two integrated three-stage PA

2537

Fig. 17. Measured performance of the frequency reconfigured PA. (a) Gain and ACLR. (b) Collector efficiency.

monolithic microwave integrated circuits (MMICs) one each for high and low UMTS bands. The prototype PA can cover five popular UMTS frequency bands and works with two frequency-band combinations as follows: • Combination 1: band-1, band-2, and band-5; • Combination 2: band-1, band-4, and band-8. Combination 1 is the North America centric band combination with band-1 for roaming and Combination 2 is the Europe centric band combo with band-4 for roaming. Both FRN and PRN can be demonstrated together with PSN in this band combination. Details of the frequency and the targeted maximum linear output power are summarized in Table I. The high-band output path-1 has a natural band (band-1) and has no reconfigurable band. In the case of high-band output path-2, band-2 is the natural band and band-4 is the reconfigured band. In the case of band-2 and band-4, besides the frequency difference (11%), the difference in the linear output power should be accounted for in the PA design. Band-2 requires at least 1 dB higher output power than band-4 due to the higher post-PA loss; band-2 has smaller Tx–Rx separation, which makes Tx insertion loss of the subsequent duplexer higher than that for band-4. Thus, the PRN has been added in path-2 to reconfigure the output power for band-4. Finally, in the case of low-band output, the FRN is inserted to support both band-5 and band-8; band-8 is the natural band and band-5 is the frequency reconfigured band. The reconfigurable OMNs are implemented using the lumped elements and T/Ls on a 370- m-thick seven-layer substrate ( 4.7, ). In Fig. 18, , , and are lumped-element capacitors of FOMNs optimized for the natural bands, whereas and are the lumped elements for power- and frequency-reconfigurable networks, respectively, as explained in Section II. Six capacitors, , were used for dc blocking and as the bypass capacitor. Two bias lines, and , are implemented on inner layers of the substrate. Switches are realized with p-i-n diodes, which have a series resistance of 1.0 with forward on-current of 1.2 mA and a junction capacitance of 400 fF in the “off” state. They are controlled by control voltages, , according to the required UMTS band combinations. The mapping between control voltages and the selected frequency bands are summarized in Table II, where the actual dc-bias condition is 0 V for the “on” state and floating for the “off” state. Even if the p-i-n

2538

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 18. Schematic of the multiband reconfigurable PA module: (a) High-band PA. (b) Low-band PA.

TABLE I UMTS FREQUENCIES AND TARGET LINEAR OUTPUT POWERS

TABLE II LOGIC TABLE AND OPERATION DESCRIPTION OF p-i-n DIODES

diodes at the output ( , , and ) experience high RF voltage swing under high output power, they still remain “off” under the off-state bias condition. The large RF voltage swing does not cause any issues to p-i-n diodes since they have a very large reverse breakdown voltage of 50 V.

Fig. 19. MMIC die photographs. (a) High-band PA MMIC. (b) Low-band PA MMIC.

The PA MMICs were designed and fabricated using a 2- m InGaP/GaAs HBT process. They are based on a three-stage amplifier design, and the emitter area of the pre-stage (Q1 and Q4), driver stage (Q2 and Q5), main stage for high-band (Q3), and main stage for low-band (Q6) were designed to be 240, 1440, 5760, and 6768 m , respectively. The low-pass-type input matching networks and high-pass-type interstage matching networks were integrated in the MMICs. Two shunt capacitors, and , were added at the collectors of Q3 and Q6, respectively, for harmonic termination. The bias circuit was designed following our previous work, which is insensitive to temperature and bias voltage variations [21], [22]. To enhance the efficiency in the low output power region where UMTS

KIM et al.: MULTIBAND RECONFIGURABLE PA FOR UMTS HANDSET APPLICATIONS

2539

Fig. 22. Measured output power as a function of input power.

Fig. 20. Photograph of the fabricated 5 mm

6 mm PA module.

Fig. 23. Measured results for combination 1. (a) Gain and PAE. (b) ACLR and EVM.

Fig. 21. Measured -parameters. (a)

and

. (b)

.

PAs are operated most of the time, the PAs were designed to bypass main stages below 16 dBm using the patented CoolPAM topology [23]. The die size of each PA MMIC is 1.1 mm 1.08 mm and the photographs of the fabricated MMICs are shown in Fig. 19. B. Measurement The fabricated 5 mm 6 mm PA module is shown in Fig. 20. The PA module works with 3.5-V supply voltage, and the 3GPP uplink W-CDMA signal (Rel’99) was used for the

measurement. The high- and low-band PA have the same bias conditions in which the quiescent currents are 21 and 100 mA for low- and high-power modes, respectively. Fig. 21 shows the measured small-signal -parameters of the fabricated PA module. The fabricated PA shows the input return loss higher than 10 dB for all the operating Tx frequency bands. Fig. 22 shows the measured output power versus input power, showing the power linearity. The measurement results of power gain, PAE, ACLR, and error vector magnitude (EVM) are shown in Fig. 23 and 24 for band combination 1 and 2, respectively. In the case of combination 1 (band-1, band-2, and band-5) shown in Fig. 23, the PA showed linear power gains of higher than 26.6 dB and ACLRs of better than 39 dBc up to the rated maximum output powers (28.0 dBm at band-1, 28.5 dBm at

2540

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

TABLE III SUMMARIZED MEASUREMENT RESULTS OF FABRICATED RECONFIGURABLE PA

THE

PAE of the single-band reference PA TABLE IV PERFORMANCE COMPARISON OF RECENTLY REPORTED MULTIBAND RECONFIGURABLE PAs

Fig. 24. Measured results for combination 2. (a) Gain and PAE. (b) ACLR and EVM.

band-2, and 28.2 dBm at band-5). PAE at maximum linear power meeting 39-dBc ACLR was higher than 39% for all the three bands (39% at 28 dBm for band-1, 40.7% at 28.5 dBm for band-2, and 43% at 28.2 dBm for band-5). It should be noted in Fig. 23 that the band-5 results with frequency reconfiguration ( is on) show better PAE (2.6% improvement) than that without reconfiguration ( is off), while maintaining the similar level of linearity. For combination 2 (band-1, band-4, and band-8) shown in Fig. 24, the PA also showed linear power gains of higher than 27.8 dB and ACLRs of better than 39.2 dBc up to the rated linear output powers (28 dBm at band-1, 27.5 dBm at band-4, and 28.2 dBm at band-8). PAE at maximum linear power meeting 39 dBc ACLR was higher than 38.5% for all the three bands (39% at 28.0 dBm for band-1, 38.5% at 27.5 dBm for band-4, and 43.2% at 28.2 dBm for band-8). It should be noted from Fig. 24 that the band-4 results with power reconfiguration ( is on) show better PAE (3.3% improvement) than that without reconfiguration ( is off), while maintaining the similar ACLRs. Band-4 showed the lowest PAE since the inductance used in PRN was only 2.0 nH and could not satisfy the condition of to avoid the impact of switch loss. The measured EVMs were less than 2.3% up to the rated maximum output powers of all the frequency bands. The measured port-port ISO was also better than 29 dB for high bands. This is attributed to the p-i-n diodes with a small of 1.0 . The idle current, which has significant impact on the overall talk time, was reduced to 21 mA using a stage-bypass approach.

Number of reconfigurable outputs Estimated reconfiguration loss Post-PA switch loss of 0.35 dB [25] was used to estimate the reconfiguration loss.

Also, high PAE in the low-power region ( 16 dBm) helps reduce the average current consumption of the handset PA under the actual phone operating conditions [24]. PAE of higher than 14.5% was measured at dBm. In order to compare the performance of the multiband reconfigurable PA with a single-band PA, a reference PA was also fabricated using the same PA die with an FOMN. The reference PA for each band showed PAE of 40.5%–43.6% at the rated linear output power with an ACLR of 39 dBc. Thus, the multiband reconfigurable PA showed PAE degradation of less than 2%. PAE degradation is attributed due to losses from the switches, as well as the additional bias current of p-i-n diodes. The measured results are summarized in Table III. The total estimated losses of the reconfigurable OMN are less than 0.6 dB, out of which 0.2 dB arises from the reconfiguration, while the loss of the FOMN and bias circuit accounts for 0.4 dB. The performance of recently reported multiband reconfigurable PAs is summarized in Table IV for comparison. The reconfiguration loss (0.2 dB) of this work compares favorably with the estimated losses of other reconfigurable PAs reported to date (higher than 0.45 dB) [2]–[8], [25]. PAE degradation can further be reduced by using lower

KIM et al.: MULTIBAND RECONFIGURABLE PA FOR UMTS HANDSET APPLICATIONS

loss switches such as pseudomorphic HEMTs (pHEMTs) and MEMS switches. To the best of our knowledge, this is the first demonstration of UMTS reconfigurable PAs meeting the system linearity requirements with minimal PAE degradation. IV. CONCLUSION A design methodology to realize reconfigurable OMN for a multiband UMTS PA has been presented together with details of closed-form design equations. The analysis shows how a PRN and an FRN can be co-designed with a fixed OMN to reduce the overall size and loss of the reconfigurable OMN. Proof-of-concept experiment using ideal switches demonstrated that the proposed PRN and FRN allows the PA efficiencies to be maintained at the reconfigured power levels and frequencies. To prove the practicality of the proposed approach, we have designed and fabricated a tri-band UMTS reconfigurable PA module in a small form factor of 5 mm 6 mm using InGaP heterostructure bipolar transistors and p-i-n diodes. This PA can switch between two band combinations, UMTS bands 1/2/5 and 1/4/8. The PA features stage-bypass topology to enhance the low-power efficiency below 16 dBm and meets all the UMTS linearity requirements with margin ( 39 dBc versus system spec of 33 dBc) at the rated linear output power level. The measured PAE from the module was better than 38.5% for all the UMTS bands while meeting 39-dBc ACLR. Compared with a single-band PA with an FOMN, the maximum power efficiency was degraded by less than 2%. The efficiency degradation can further be reduced by using low-loss switch elements such as pHEMTs and MEMS switches. With the strong demand for multiband coverage for global roaming, the proposed reconfigurable PA can be a practical solution for UMTS multiband Tx applications. Moreover, the proposed PA architecture can be extended to include second-generation (2G) (GSM) and fourth-generation (4G) (LTE) bands in a single reconfigurable PA module. ACKNOWLEDGMENT The authors would like to thank S. Jung and T. Song, both with Avago Technologies Korea, Seoul, Korea, for their valuable discussions and help. REFERENCES [1] S. Zhang, J. Madić, P. Bretchko, J. Mokoro, R. Shumovich, and R. McMorrow, “A novel power-amplifier module for quad-band wireless handset applications,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 11, pp. 2203–2210, Nov. 2003. [2] A. Fukuda, H. Okazaki, T. Hirota, and Y. Yamao, “Novel band-reconfigurable high efficiency power amplifier employing RF-MEMS switches,” IEICE Trans. Electron., vol. E88-C, no. 11, pp. 2141–2149, Nov. 2005. [3] A. Fukuda, T. Furuta, H. Okazaki, and S. Narahashi, “A 0.9-5-GHz wide-range 1 W-class reconfigurable power amplifier employing RF-MEMS switches,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2006, pp. 1859–1862. [4] C. Zhang and A. Fathy, “A novel reconfigurable power amplifier structure for multi-band and multi-mode portable wireless applications using a reconfigurable die and a switchable output matching network,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2009, pp. 913–916.

2541

[5] A. Fukuda, K. Kawai, T. Furuta, H. Okazaki, S. Oka, S. Narahashi, and A. Murase, “A high power and highly efficient multi-band power amplifier for mobile terminals,” in IEEE Radio Wireless Symp. Dig., Jan. 2010, pp. 45–48. [6] H. Zhang, H. Gao, and G. Li, “Broad-band power amplifier with a novel tunable output matching network,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 11, pp. 3606–3614, Nov. 2005. [7] A. Tombak, “A ferroelectric-capacitor-based tunable matching network for quad-band cellular power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 2, pp. 370–375, Feb. 2007. [8] L.-Y. Chen, R. Forse, D. Chase, and R. A. York, “Analog tunable matching network using integrated thin-film BST capacitors,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2004, pp. 261–264. [9] K. Kim, W. Kim, H. Son, I. Oh, and C. Park, “A reconfigurable quadband CMOS class E power amplifier for mobile and wireless applications,” IEEE Microw. Wireless Compon. Lett., vol. 21, no. 7, pp. 380–382, Jul. 2011. [10] W. C. E. Neo, Y. Lin, X. Liu, L. C. N. de Vreede, L. E. Larson, M. Spirito, M. J. Pelk, K. Buisman, A. Akhnoukh, A. de Graauw, and L. K. Nanver, “Adaptive multi-band multi-mode power amplifier using integrated varactor-based tunable matching networks,” J. Solid-State Circuits, vol. 41, no. 9, pp. 2166–2176, Sep. 2006. [11] K. Buisman, L. C. N. de Vreede, L. E. Larson, M. Spirito, A. Akhnoukh, Y. Lin, X. Liu, and L. K. Nanver, “Low-distortion, low-loss varactor-based adaptive matching networks, implemented in a silicon-on-glass technology,” in IEEE RFIC Symp. Dig., Jun. 2005, pp. 389–392. [12] H. Jäger, A. Grebennikov, E. Heaney, and R. Weigel, “Broadband high-efficiency monolithic InGaP/GaAs HBT power amplifiers for 3G handset applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2002, vol. 2, pp. 1035–1038. [13] A. Fukuda, H. Okazaki, S. Narahashi, and T. Nojima, “Concurrent multi-band power amplifier employing multi-section impedance transformer,” in IEEE PAWR Conf. Top. Dig., Jan. 2011, pp. 37–40. [14] K. Kim, J. Kim, and C. Park, “A single-input single-chain dual-band power amplifier for CDMA mobile application,” Microw. Opt. Technol. Lett., vol. 48, no. 5, pp. 981–983, May 2006. [15] U. Kim, K. Kim, J. Kim, and Y. Kwon, “A multi-band reconfigurable power amplifier for UMTS handset applications,” in IEEE RFIC Symp. Dig., May 2010, pp. 175–178. [16] S. Kim, J. Lee, J. Shin, and B. Kim, “CDMA handset power amplifier with a switched output matching circuit for low/high power mode operations,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2004, pp. 1523–1526. [17] F. Carrara, C. D. Presti, F. Pappalardo, and G. Palmisano, “A 2.4-GHz 24-dBm SOI CMOS power amplifier with fully integrated reconfigurable output matching network,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 9, pp. 2122–2130, Sep. 2009. [18] H. Hedayati, M. Mobarak, G. Varin, P. Meunier, P. Gamand, E. Sánchez-Sinencio, and K. Entesari, “A fully integrated highly linear efficient power amplifier in 0.25 m BiCMOS technology for wireless applications,” in IEEE Custom Integr. Circuits Conf., Sep. 2011, pp. 1–4. [19] S. C. Cripps, RF Power Amplifiers for Wireless Communications, 2nd ed. Norwood, MA: Artech House, 2006. [20] A. Tombak, C. Iversen, J.-B. Pierres, D. Kerr, M. Carroll, P. Mason, E. Spears, and T. Gillenwater, “Cellular antenna switches for multimode applications based on a silicon-on-insulator technology,” in IEEE RFIC Symp. Dig., May 2010, pp. 271–274. [21] J. Jeon, J. Kim, and Y. Kwon, “Temperature compensating bias circuit for GaAs HBT RF power amplifiers with a stage bypass architecture,” Electron. Lett., vol. 44, no. 19, pp. 1141–1143, Sep. 2008. [22] S. Jung, J. Kim, M. Jeon, and W. Hong, “Voltage supply insensitive bias circuits,” U.S. Patent 7 642 841, Jan. 5, 2010. [23] J. Kim, D. Lee, S. Jung, and Y. Kwon, “High efficiency power amplifier with multiple power modes,” U.S. Patent 6 900 692, May 31, 2005. [24] T. Fowler, K. Burger, N.-S. Cheng, A. Samelis, E. Enobakhare, and S. Rohlfing, “Efficiency improvement techniques at low power levels for linear CDMA and WCDMA power amplifiers,” in IEEE RFIC Symp. Dig., Jun. 2002, pp. 41–44. [25] “MASWSS0204 GaAs SPDT 2.7 V high power switch,” M/A-COM Technol. Solution Inc., Lowell, MA, 2010.

2542

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Unha Kim (S’10) was born in Ulsan, Korea, in 1981. He received the B.S. degree in electrical engineering from Sungkyunkwan University, Suwon, Korea, in 2004, and is working toward the Ph.D. degree in electrical engineering at Seoul National University. His research interests include reconfigurable PA structures and load-insensitive PA techniques using GaAs and Si devices for mobile handset applications.

Sungyoon Kang was born in Seoul, Korea, in 1983. He received the B.S. degree in electrical engineering from Hanyang University, Ansan, Korea, in 2010, and is currently working toward the Ph.D. degree in electrical engineering at Hanyang University. His research is focused on MMIC PA design.

Jungrin Woo (S’11) was born in Incheon, Korea, in 1987. He received the B.S. and M.S. degrees in electrical engineering from Seoul National University, Seoul, Korea, in 2010 and 2012, respectively, and is working toward the Ph.D. degree in electrical engineering at Seoul National University. His research activities include the design of highefficiency RF PAs using GaAs and Si devices.

Youngwoo Kwon (S’90–M’94–SM’04) was born in Seoul, Korea, in 1965. He received the B.S. degree in electronics engineering from Seoul National University, Seoul, Korea, in 1988, and the M.S. and Ph.D. degrees in electrical engineering from The University of Michigan at Ann Arbor, in 1990 and 1994, respectively. From 1994 to 1996, he was with the Rockwell Science Center, as a Member of Technical Staff, where he was involved in the development of millimeterwave monolithic ICs. In 1996, he joined the faculty of School of Electrical Engineering, Seoul National University, where he is currently a Professor. He is a coinventor of the switchless stage-bypass PA architecture called CoolPAM. He co-founded Wavics, a PA design company, which is now fully owned by Avago Technologies. He has authored or coauthored over 150 technical papers appearing in internationally renowned journals and conferences. He holds over 20 patents on RF MEMS and PA technology. Dr. Kwon has been an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He has also served as a Technical Program Committee member of various microwave and semiconductor conferences including the IEEE Microwave Theory and Techniques Society (IEEE MTT-S), RF Integrated Circuit (RFIC) Symposium, and the International Electron Devices Meeting (IEDM). Over the past years, he has directed a number of RF research projects funded by the Korean Government and U.S. companies. In 1999, he was awarded a Creative Research Initiative Program of the Korean Ministry of Science and Technology with a nine-year term to develop new technologies in the interdisciplinary area of millimeter-wave electronics, MEMS, and biotechnology. He was the recipient of a Presidential Young Investigator Award of the Korean Government in 2006.

Junghyun Kim (S’99–M’05) was born in Busan, Korea. He received the Ph.D. degree in electrical engineering from Seoul National University, Seoul, Korea, in 2005. From 2000 to 2007, he was with Wavics (now fully owned by Avago Technologies), as an IC Designer and a Group Manager of IC Design Group, where he invented the switchless stage-bypass PA architecture called “CoolPAM.” In 2007, he joined the faculty of the Department of Electronic System Engineering, Hanyang University, Ansan, Korea, where he is currently an Assistant Professor. He holds over 30 patents on PA technology and RFICs. His current research activities include the design of MMICs for mobile communication and millimeter-wave systems, intermodulation analysis, and nonlinear noise analysis of the MMICs.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

2543

3–3.6-GHz Wideband GaN Doherty Power Amplifier Exploiting Output Compensation Stages Jorge Moreno Rubio, Jie Fang, Vittorio Camarchia, Member, IEEE, Roberto Quaglia, Marco Pirola, Member, IEEE, and Giovanni Ghione, Fellow, IEEE

Abstract—We discuss the design, realization and experimental characterization of a GaN-based hybrid Doherty power amplifier for wideband operation in the 3–3.6-GHz frequency range. The design adopts a novel, simple approach based on wideband compensator networks. Second-harmonic tuning is exploited for the main amplifier at the upper limit of the frequency band, thus improving gain equalization over the amplifier bandwidth. The realized amplifier is based on a packaged GaN HEMT and shows, at 6 dB of output power back-off, a drain efficiency higher than 38% in the 3–3.6-GHz band, gain around 10 dB, and maximum power between 43 and 44 dBm, with saturated efficiency between 55% and 66%. With respect to the state of the art, we obtain, at a higher frequency, a wideband amplifier with similar performances in terms of bandwidth, output power, and efficiency, through a simpler approach. Moreover, the measured constant maximum output power of 20 W suggests that the power utilization factor of the 10-W (Class A) GaN HEMT is excellent over the amplifier band. Index Terms—Broadband matching networks, Doherty power amplifiers (PAs), GaN-based field-effect transistors (FETs), wideband microwave amplifiers, WiMAX.

I. INTRODUCTION

T

HE success of the Doherty power amplifier (PA) [1] for the implementation of wireless base-stations is mainly related to its high efficiency in the presence of modulated signals with high ratio between peak and average power, i.e., nonconstant envelope [2]. In fact, because of its high efficiency over a wide range of power levels, Doherty amplifiers can effectively handle nonconstant envelope signals without additional external controls [3], [4] that negatively impact on the overall system complexity, size, and efficiency. Limitations in linearity and bandwidth are recognized to be the most important Doherty amplifier issues; concerning the first point, several linearization strategies, able to comply with the stringent communication Manuscript received February 09, 2012; revised May 03, 2012; accepted May 07, 2012. Date of publication June 29, 2012; date of current version July 30, 2012. This work was supported by the Regione Piemonte NAMATECH project. J. M. Rubio was with the Department of Electronics and Telecommunications, Politecnico di Torino, 10129 Torino, Italy. He is currently with the Electronics Department, Universidad Pedagógica y Tecnológica de Colombia, Sogamoso, Colombia (e-mail: [email protected]). J. Fang, R. Quaglia, M. Pirola, and G. Ghione are with the Department of Electronics and Telecommunications, Politecnico di Torino, 10129 Torino, Italy. V. Camarchia is with the Department of Electronics and Telecommunications, Politecnico di Torino, 10129 Torino, Italy and also with the Center for Space Human Robotics, Istituto Italiano di Tecnologia, 10129 Torino, Italy ([email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2201745

system requirements, have been successfully reported [5]–[7]. Regarding instead bandwidth limitations, the rather low fractional bandwidth value (typically less than 10%) of the conventional Doherty PA prevents its exploitation in multiband, multistandard base-stations. Techniques for wideband design have been discussed in the literature for frequencies up to 2.6 GHz: for example, in [8], a 20% fractional bandwidth extension is obtained through a modified Doherty topology requiring a driver module able to properly and separately feed the main and peak stages. A 35% fractional bandwidth is reported in [9], exploiting wideband filters; in this case, a standard topology is adopted, but the Doherty behavior is not clearly demonstrated, and the power utilization factor [4] is not constant in the declared band. Frequency reconfigurable matching networks, enabling for a fractional bandwidth of 20%, but requiring additional external controls, are proposed in [10]. In [11], the focus is on the use of nonconventional output combining stages, while the work in [12] presents a method applying to broadband matching the simplified real frequency technique. Finally, the work in [13] focuses on input direct coupling of main and peak branches and wideband output matching. This paper proposes a wideband Doherty PA design approach for the 3–3.6-GHz frequency range (18% bandwidth), adopting a simple technique based on wideband compensators inserted at the output of the peak and main cells. Second-harmonic tuning of the main amplifier [3], [14] has been implemented at the upper bandwidth limit to help gain equalization versus frequency. The active device exploited in the microstrip hybrid circuit implementation is a packaged GaN HEMT, with typical output power of 10 W in the selected band. The amplifier CW characterization shows, at 6 dB of output power back-off, a drain efficiency between 38% and 56% in the 3–3.6-GHz band. In the same range, the amplifier exhibits a maximum output power between 43 and 44 dBm, together with gain around 10 dB. Fig. 1 compares state-of-the-art results for wideband Doherty PAs: the present work shows high-power utilization factor [4], gain flatness, and efficiency in a bandwidth similar to the other sources, but, for the first time, to the best of the authors’ knowledge, it exhibits a frequency higher than 3 GHz. This paper is organized as follows. Section II presents the implemented Doherty design approach and highlights the specific solutions implemented to enlarge the amplifier bandwidth, while Section III illustrates the fabrication and presents the CW characterization results. Some conclusions are drawn in Section IV.

0018-9480/$31.00 © 2012 IEEE

2544

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 1. Efficiency versus frequency of state-of-the-art wideband Doherty PAs.

II. WIDEBAND DOHERTY DESIGN STRATEGY The Doherty PA standard design strategy [3], [4] is well suited to a narrowband amplifier. In fact, the Doherty theory assumes that the main and peak amplifier devices are ideal current generators and exploits an ideal impedance transformer and input power splitter. However, when increasing the amplifier bandwidth, compensation of the main and peak amplifier device output reactance becomes less straightforward; moreover, the impedance transformer, input divider, and input-matching sections should, in principle, be made wideband. Additionally, the decreasing intrinsic gain of the device requires to equalize the amplifier gain. In this work, we propose a simple method to achieve the proper Doherty modulation on the whole bandwidth while simultaneously implementing second-harmonic tuning [3], [4]. The amount of load modulation and second-harmonic tuning are applied as a function of frequency in such a way as to allow for gain equalization on the whole amplifier bandwidth. The selected bandwidth (3.0–3.6 GHz) covers the WiMAX 3.5-GHz band as well as part of the -band that could be adopted in the future for multiband systems. The employed active device is a commercial packaged GaN HEMT on SiC (CGH40010 from Cree inc.), with typical output power of 10 W in the –band, at 28-V [15] drain bias.

Fig. 2. Block scheme of the proposed wideband Doherty PA.

Fig. 3. Cree active device output equivalent circuit model (parasitic + package).

In fact, (1) implies that the load seen from the output current generator is equal to (see Fig. 2), independent of the reference normalization impedance of , since

A. Wideband Load Modulation Approach Direct compensation of the HEMT output reactance becomes less effective when increasing the amplifier bandwidth (Fano’s limit [16]). As a consequence, the ideal Doherty behavior is compromised, with negative impact on the expected performances and actually transforming the Doherty PA into a generic combined module. In the present approach, we introduce a wideband reactive compensation network cascaded at the output of the main and peak stages, as shown in Fig. 2. The design strategy that is compatible with a simple and compact circuit implementation can be outlined as follows. Ideally, the total scattering matrix (see Fig. 2) of the two-port connecting the intrinsic drain (where the output current generator of the active device is located) to the load should be, on the whole band, (1)

(2) Assuming negligible losses, the input and output matching condition implies . Condition can be achieved through a wideband matching filter, while a proper delay can be cascaded to adjust the phase of to obtain (Fig. 2). In practice, the phase of was initially imposed at centerband (offset lines lengths of main and peak stages) and then optimized to allow for the proper load modulation of the full Doherty amplifier. Needless to say, conditions and are only approximately achieved on the whole bandwidth. The cascade of the wideband matching filter and of the delay line was implemented as follows. We start from the equivalent circuit of the output port of the present active device, including intrinsic and package parasitics, shown in Fig. 3. Denoting as

RUBIO et al.: 3–3.6-GHZ WIDEBAND GAN DOHERTY PA EXPLOITING OUTPUT COMPENSATION STAGES

Fig. 4. Optimum and synthesized

2545

Fig. 6. Load modulation seen from the intrinsic drain of the main device at different frequencies inside the band. Notice that second-harmonic tuning is implemented in the upper part of the amplifier bandwidth (optimum load 42 ), and tuned load in the lower part (optimum load 30 ).

.

Fig. 5. Simulated behavior of the , and of the total scattering matrix connecting intrinsic drain and load of the main stage.

the scattering matrix of the reactive network to be compensated (Fig. 3) and with as its determinant, the required input mismatch of the wideband two-port compensator can be found to be

Fig. 7. Main stage: simulated drain voltage waveform (red solid line) centered at 0 V (the constant 28-V dc component was removed) together with its fundamental (blue dotted line) and second harmonic (green dashed line) at 3.5 GHz and 6-dB OBO.

(3) was obtained from (3) exploiting the equivalent circuit parameters of Fig. 3. Due to the small reactive part of the resulting , the real-to-complex transformation from the 50- load is achieved in two steps (see Fig. 4): real-to-real with a wideband two-section transformer and real-to-complex with an additional transmission line having short electrical length. The two-section transformer is designed according to the method described in [17] and then optimized to obtain the needed bandwidth and ripple performances. An additional delay line (see Fig. 4) is exploited to adjust the total phase according to the condition . Fig. 4 shows the behavior of the ideal obtained from (3) in the whole bandwidth for the main stage (red continuous line), together with the results from the synthesized compensator (blue squares). The simulated (main stage) is reported in Fig. 5; the desired behavior and is satisfactorily approximated on the whole amplifier band. Similar results have been achieved for the peak stage compensator.

Fig. 8. Main stage: simulated drain voltage waveform (red solid line) centered at 0 V (the constant 28-V dc component was removed) together with its fundamental (blue dotted line) and second harmonic (green dashed line) at 3.5 GHz and full power.

Concerning the impedance inverter, this is designed as a simple microstrip transmission line. In fact, considering a return loss of 18 dB in the 3–3.6-GHz band (18%) (see Fig. 5) and an impedance transformation ratio of 4, the fractional bandwidth of the inverter turns out to be 21.5% [18], which is hence larger than the one achieved by the output compensators (18%); resorting to more complex design solutions is therefore not necessary.

2546

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 9. Complete scheme of the Doherty amplifier. Equivalent ideal lines are represented: electrical lengths refer to center frequency

B. Second-Harmonic Tuning and Gain Equalization Due to the high breakdown voltage of GaN devices [19], second-harmonic tuning [3] can be introduced to increase the efficiency of the main unit with respect to a class-B tuned-load stage. Moreover, it can be exploited as an additional tool to equalize the amplifier power gain on the design bandwidth; to this aim, the tuning frequency is set around the upper limit of the band (i.e., above 3.4 GHz, corresponding to lowest gain) by optimizing the lengths of the output offset lines of the main and peak amplifiers, respectively. As a result, the main amplifier behaves as a tuned-load stage in the lower portion of the band and then gradually becomes a second-harmonic tuned stage as the frequency reaches the upper limit of the band. The proper terminations for the second harmonic are obtained through the gate and drain bias tee networks. They are realized as a quarter-wave open-circuit stubs at fundamental, which become short circuits at the second harmonic; the stubs can be positioned at an optimized distance from the device terminals with negligible effect on the matching at the fundamental. According to the theory [3], [14], the load impedance corresponding to maximum efficiency is, in the present case, around 30 and 42 for tuned-load condition and second-harmonic tuning, respectively. In Fig. 6, the simulated intrinsic load of the main amplifier is plotted for three frequencies, corresponding to the minimum, maximum, and center of the band, as a function of the output power. As is well known from the Doherty theory, the load impedance at low power should become in power saturation. Such a load modulation is clearly visible in Fig. 6 for the whole amplifier bandwidth. In particular, the load impedance is larger at high frequency, consistent with the second-harmonic tuning strategy (optimum high-power load of 42 ), while, in the lower part of the amplifier, bandwidth

3.3 GHz.

tuned-load operation is found (optimum high-power load of 30 ). Notice also, at the lowest end of the amplifier bandwidth, that the load modulation is incomplete, thus playing an additional role in output power equalization. Figs. 7 and 8 show the simulated Doherty drain voltage harmonic components for a 3.5-GHz excitation, at 6-dB output power back-off and at maximum power, respectively. In both cases, second-harmonic tuning increases the maximum swing of the drain voltage without changing the bias condition [14]. Fig. 9 shows the complete schematic of the realized amplifier, also including the wideband source input matching networks at the fundamental, that was designed to minimize the input mismatch under large-signal conditions. The input splitter was implemented as a branch line featuring a small imbalance between the main and peak ports; as for the transformer, the bandwidth achieved with this simple solution was adequate. III. REALIZATION AND EXPERIMENTAL CHARACTERIZATION The amplifier is fabricated on a Taconic substrate with copper metallization (RF35 with , substrate height 0.76 mm, and metal thickness 0.035 mm), and mounted on a brass carrier (see Fig. 10). The Doherty amplifier is characterized in dc, small-signal from 2.5 to 4 GHz, and CW single-tone excitation from 3 to 3.6 GHz, with 50-MHz steps [20], [21]. Fig. 11 shows the comparison between simulated and measured and in the band from 2.5 to 4 GHz, at 28 V and 2.7 V ( 200 mA) for the main, and 28 V and 8.4 V for the peak. The amplifier exhibits a small-signal gain higher than 12 dB in the designed frequency band, with return loss better than 10 dB. The good agreement between simulations and measurements, denoted in Fig. 11, has been reached also due to electromagnetic

RUBIO et al.: 3–3.6-GHZ WIDEBAND GAN DOHERTY PA EXPLOITING OUTPUT COMPENSATION STAGES

2547

Fig. 13. PA CW characterization varying excitation frequency. Efficiency at 6-dB OBO and in saturation and saturation output power versus input power.

Fig. 10. Picture of the realized wideband Doherty PA.

Fig. 11. Comparison between simulated (lines) and measured (symbols) (blue), and (red) of the Doherty amplifier in the band from 2.5 to 4 GHz, at 28 V and 2.7 V ( 200 mA) for the main, and 28 V and 8.4 V for the peak.

Fig. 14. PA CW characterization varying excitation frequency. Power gain at 6-dB OBO and in saturation versus input power.

versus the excitation frequency. The maximum output power is higher than 43 dBm over the whole band, corresponding to the maximum power utilization factor of the devices, and the gain at 6-dB back-off results well equalized around 10 dB. Regarding the efficiency, it is found to be between 55%–66% at saturation while, at 6-dB back-off, it is between 38%–56%. IV. CONCLUSION

Fig. 12. CW single-tone PA characterization at 3.1, 3.3, and 3.5 GHz.

simulations exploited for the most critical microstrip structures, e.g., junctions between strips with very different widths. The measured drain efficiency and gain of the Doherty amplifier as a function of the output power are shown in Fig. 12, for 3.1-, 3.3-, and 3.5-GHz CW excitation. The typical Doherty high-efficiency region can be observed: it spans from a maximum output power exceeding 43 dBm to 6-dB back-off at all of the measurement frequencies. Figs. 13 and 14 plot the maximum output power together with efficiency and gain, respectively, both at maximum output power, and at 6-dB back-off,

A GaN-based wideband Doherty power amplifier has been designed, realized, and characterized in the 3–3.6-GHz frequency band. The design is based on a simple approach that follows the Doherty basic scheme. To obtain wideband behavior, the outputmatching networks of the main and peak amplifiers are implemented using wideband compensators. Second-harmonic tuning is adopted for the main stage to increase efficiency and to achieve gain equalization over frequency. An output power exceeding 20 W, together with saturated efficiency over 55%, and over 38% at 6-dB back-off are measured; such results favorably compare with the performances of state-of-the-art wideband Dohertyamplifiers reported in literature. ACKNOWLEDGMENT The authors would like to thank Prof. B. Kim for his helpful suggestions.

2548

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

REFERENCES [1] W. Doherty, “A new high efficiency power amplifier for modulated waves,” Proc. IRE, vol. 24, no. 9, pp. 1163–1182, Sep. 1936. [2] B. Kim, J. Kim, I. Kim, and J. Cha, “The Doherty power amplifier,” IEEE Microw. Mag., vol. 7, no. 5, pp. 42–50, Oct. 2006. [3] P. Colantonio, F. Giannini, and E. Limiti, High Efficiency RF and Microwave Solid State Power Amplifiers. New York: Wiley, 2009, Microwave and Optical Engineering. [4] S. Cripps, RF Power Amplifiers for Wireless Communications. Norwood, MA: Artech House, 2006. [5] K.-J. Cho, J.-H. Kim, and S. Stapleton, “A highly efficient Doherty feedforward linear power amplifier for W-CDMA base-station applications,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 292–300, Jan. 2005. [6] Y. Yang, J. Cha, B. Shin, and B. Kim, “A fully matched N-way Doherty amplifier with optimized linearity,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 986–993, Mar. 2003. [7] J. Fang, R. Quaglia, J. Rubio, V. Camarchia, M. Pirola, S. Guerrieri, and G. Ghione, “Design and baseband predistortion of a 43.5 dBm GaN Doherty amplifier for 3.5 GHz WiMAX applications,” in Proc. Eur. Microw. Integr. Circuits Conf., Oct. 2011, pp. 256–259. [8] J. Qureshi, N. Li, W. Neo, F. van Rijs, I. Blednov, and L. de Vreede, “A wideband 20 W LMOS Doherty power amplifier,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2010, pp. 1504–1507. [9] K. Bathich, A. Markos, and G. Boeck, “A wideband GaN Doherty amplifier with 35% fractional bandwidth,” in Proc. Eur. Microw. Conf., Sep. 2010, pp. 1006–1009. [10] M. Sarkeshi, O. B. Leong, and A. van Roermund, “A novel Doherty amplifier for enhanced load modulation and higher bandwidth,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2008, pp. 763–766. [11] K. Bathich, A. Markos, and G. Boeck, “Frequency response analysis and bandwidth extension of the Doherty amplifier,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 4, pp. 934–944, Apr. 2011. [12] G. Sun and R. Jansen, “Broadband Doherty power amplifier via real frequency technique,” IEEE Trans. Microw. Theory Tech., vol. 60, no. 1, pp. 99–111, Jan. 2012. [13] D. Kang, J. Choi, D. Kim, D. Yu, K. Min, and B. Kim, “30.3% PAE HBT Doherty power amplifier for 2.5–2.7 GHz mobile WiMAX,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2010, pp. 796–799. [14] J. Rubio, J. Fang, R. Quaglia, V. Camarchia, M. Pirola, S. Guerrieri, and G. Ghione, “A 22 W 65% efficiency GaN Doherty Power Amplifier at 3.5 GHz for WiMAX applications,” in Proc. Workshop Integr. Nonlinear Microw. Millimetre-Wave Circuits, Apr. 2011, pp. 1–4. [15] CGH40010 Rev 3.1, Data Sheet, , 2011 [Online]. Available: www. cree.com [16] R. Fano, “Theoretical limitations on the broadband matching of arbitrary impedances,” J. Franklin Inst., vol. 249, no. 1, pp. 57–83, 1950. [17] C. Monzon, “A small dual-frequency transformer in two sections,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1157–1161, Apr. 2003. [18] D. Pozar, Microwave Engineering, 3rd ed. New Delhi, India: Wiley India, 2009. [19] V. Camarchia, S. D. Guerrieri, M. Pirola, V. Teppati, A. Ferrero, G. Ghione, M. Peroni, P. Romanini, C. Lanzieri, S. Lavanga, A. Serino, E. Limiti, and L. Mariucci, “Fabrication and nonlinear characterization of GaN HEMTs on SiC and sapphire for high-power applications,” Int. J. RF Microw. Comput.-Aided Eng., vol. 16, no. 1, pp. 70–80, Jan. 2006. [20] M. Pirola, V. Teppati, and V. Camarchia, “Microwave measurements Part I: Linear Measurements,” IEEE Instrum. Meas. Mag., vol. 10, no. 2, pp. 14–19, Apr. 2007. [21] V. Camarchia, V. Teppati, S. Corbellini, and M. Pirola, “Microwave measurements: Part II—Nonlinear measurements,” IEEE Instrum. Meas. Mag., vol. 10, no. 3, pp. 34–39, Jun. 2007.

Jorge Moreno Rubio was born in Villavicencio, Colombia, in 1978. He received the degree in electronic engineering from Universidad Pedagógica y Tecnológica de Colombia, Sogamoso, Colombia, in 2001, the M.Sc. degree in electronic engineering from the Pontificia Universidad Javeriana, Bogota, Colombia, in 2006, and the Ph.D. degree in electronic devices from the Politecnico di Torino, Turin, Italy, in 2012. He is currently a Researcher with the Electronics Department, Universidad Pedagógica y Tecnológica de Colombia, Sogamoso, Colombia. His present research interests concern the design of high-efficiency power amplifiers, both hybrid and monolithic microwave integrated circuits.

Jie Fang was born in Anging, China, in 1979. He received the degree in electronic engineering from Politecnico di Torino, Turin, Italy in 2009, where he is currently working toward the Ph.D. degree in electronic and communications engineering. His doctoral work focuses on design and experimental characterization of high-efficiency power amplifiers, both hybrid and monolithic microwave integrated circuits.

Vittorio Camarchia (S’01–M’04) received the Laurea degree in electronic engineering and Ph.D. degree in electronic and communications engineering from the Politecnico di Torino, Turin, Italy, in 2000 and 2003, respectively. In 2001, 2002, and 2003, he was a Visiting Researcher with the Electrical and Computer Engineering Department, Boston University, Boston, MA. He is currently an Assistant Professor with the Electronics and Telecommunication Department, Politecnico di Torino, Turin, Italy. His research is focused on RF device modeling, simulation, and characterization, both linear and nonlinear. Dr. Camarchia was the recipient of the 2002 Young Graduated Research Fellowship presented by the Gallium Arsenide Application Symposium (GAAS) Association.

Roberto Quaglia was born in Casale Monferrato, Italy, in 1984. He received the degree (cum laude) in electronic engineering and Ph.D. degree in electronic devices from Politecnico di Torino, Turin, Italy, in 2008 and 2012, respectively. His current research interests concern the design, modeling, and predistortion of high-efficiency power amplifiers, both hybrid and monolithic microwave integrated circuits. Dr. Quaglia was the recipient of the 2009 Young Graduated Research Fellowship presented by the Gallium Arsenide Application Symposium (GAAS) Association.

Marco Pirola (M’97) was born in Velezzo Lomellina, Italy, in 1963. He received the Laurea degree in electronic engineering and Ph.D. degree from Politecnico di Torino, Turin, Italy, in 1987 and 1992, respectively. In 1992 and 1994, he was a Visiting Researcher with the Hewlett Packard Microwave Technology Division, Santa Rosa, CA. Since 1992, he has been with the Department of Electronics and Communications, Politecnico di Torino, Turin, Italy, first as a Researcher and, since 2000, as an Associate Professor, where his research concerns the simulation, modeling, and measurements of microwave devices and systems.

Giovanni Ghione (M’87–SM’94–F’07) was born in 1956 in Alessandria, Italy. He received the degree (cum laude) in electronic engineering from Politecnico di Torino, Turin, Italy, in 1981. In 1990, he joined the University of Catania as a Full Professor of electronics, and, since 1991, he has covered the same position again at Politecnico di Torino, II Faculty of Engineering. His present research interests concern the physics-based simulation of active microwave and optoelectronic devices, with particular attention to noise modeling, thermal modeling, and active device optimization. His research interests also include several topics in computational electromagnetics, including coplanar component analysis. Prof. Ghione is a member of the AEI (Associazione Elettrotecnica Italiana). He is also an associate editor of the IEEE TRANSACTIONS ON ELECTRON DEVICES and a member of the Editorial Board of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

2549

A Fully Integrated Adaptive Multiband Multimode Switching-Mode CMOS Power Amplifier Ahmed F. Aref, Student Member, IEEE, and Renato Negra, Member, IEEE

Abstract—This paper presents a fully integrated adaptive multiband multimode switching-mode power amplifier (SMPA) in CMOS technology. The power amplifier (PA) module, consisting of input matching, driver, output stage, load transformation network (LTN), and auxiliary circuitry, utilizes optimized driving waveforms to increase output power and efficiency of a SWPA. The PA module is packaged in a 32 quad flat no-lead package. Based on the detailed analysis on appropriate driving waveforms, the SMPA is designed to maximize its output power and efficiency with minimum on-chip harmonic terminations. Furthermore, an adaptive gain control technique is proposed to control the SMPA gain at back-off while boosting the power-added efficiency (PAE) using a fully integrated tunable LTN. Employing both techniques concurrently enables us to have a multiband multimode SMPA. Measurements on a PA module designed in 90-nm CMOS and incorporating theses findings result in peak PAE of 43% for an output power of 27.1 dBm, associated with a large-signal gain of 22.1 dB at 1.97 GHz, when the devices are biased at 2.8 V. With the tunable LTN PAE at 4- and 6-dB backoff is 30% and 23%, respectively. To our knowledge, this is the first fully integrated multiband multimode SMPA in CMOS technology. Index Terms—Adaptive, dynamic load line (LL), high efficiency, matching network, multiband, multimode, power amplifier (PA), RF configurable circuits, tunable matching network.

I. INTRODUCTION

I

N TODAY’S cellular market, there is a huge demand to embed numerous wireless standards and applications into mobile communication devices. As the number of standards being supported per end-user cellular devices increases, the integration of off-chip components is essential to reduce the overall form factor and the costs of the devices. Therefore, configurable radio architectures are highly sought after to meet the market needs of wireless devices. A lot of research was invested in configurable wireless receiver architectures [1]–[3]. Major challenges remain, however, creating adaptive power amplifiers (PAs) and tunable filters for multiband multimode transmitter architectures [4]–[7]. Configurable multiband multimode transmit RF front-ends in wireless Manuscript received February 10, 2012; revised May 08, 2012; accepted May 10, 2012. Date of publication June 22, 2012; date of current version July 30, 2012. This work was supported by the UMIC Research Centre, RWTH Aachen University. The authors are with Mixed-Signal CMOS Circuits, UMIC Research Centre, RWTH Aachen University, Aachen 52056, Germany (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2201746

Fig. 1. Conventional multiple-path transmitter architecture versus configurable single-path transmission based on adaptive multiband multimode PAs and tunable filters.

transceiver architectures reduce the overall size and cost of cellular devices by utilizing only a single adaptive transmit path instead of multiple paths, as shown in Fig. 1. Since PAs are the most power consuming part in the RF frontend, PA efficiency is essential to decrease prime dc power consumption for both battery-operated equipment and for wireless infrastructure. This challenge becomes even tougher for future communication systems: digitally modulated spectral-efficient signals with time-varying envelopes suffer from a relatively low average PA efficiency because of the large peak-to-averagepower ratios (PAPRs) of the used spectrally efficient signals. In terms of energy efficiency, switching-mode power amplifiers (SMPAs) are superior to their linear counterparts [8]. As implied by the name, they employ the RF transistor as a switch, changing its state between ON and OFF without passing through the linear transconductive region of the device. This gives a significant rise to the harmonic frequency content at the output of the device, which is necessary to deliberately shape the current and voltage waveforms and to obtain a highly efficient operation. By properly terminating the harmonic frequencies in order

0018-9480/$31.00 © 2012 IEEE

2550

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

to allow either only voltage or only current at these frequencies, ideally power is only generated at the fundamental frequency. Therefore, a minimum overlap in time takes place between drain voltage and current, and high energy efficiency can be achieved. Practically, only a few harmonics can be properly terminated depending on the complexity of the circuit and the speed of the active device. However, because the active device is used as a switch, the output power of switching-mode PAs is mainly determined by the applied supply voltage and the load impedance. As a consequence, they have been used in configurations consisting of two or more such amplifiers for the amplification of varying envelope modulated signals, in particular polar and outphasing transmitters [9], [10]. Polar transmitters suffer from a limited operating bandwidth and power efficiency because of the wide spectral extension of the amplitude modulated (AM) component of digitally modulated signals. Outphasing transmitters with isolated power combiners also suffer from low energy efficiency at large power back-off levels. Therefore, switching-mode PAs utilizing adaptive multimode techniques with enhanced power-added efficiency (PAE) at power back-off levels and with multiband feature are the target for next-generation wireless transmitter architectures. Previous research has demonstrated multiband multimode PAs using either multichip modules (MCMs) or special technology options, which undermines the overall cost benefit of such a module. In [11], an adaptive load-line (LL) technique is configured depending on the required output power back-off. For this purpose, an MCM approach consisting of input matching network, PA, and the output tunable load transformation network (LTN) was implemented. To realize the configurable LTN, high unloaded quality factor ( ) integrated varactors in silicon on glass technology were used. A multimode PA in silicon on insulator (SOI) technology was proposed in [12]. Integrating PAs in SOI technology is challenging due to the self-heating effect of MOS devices in this process technology. In [13]–[17], a fully integrated linear PA is shown with discrete device resizing and power-combining transformers. Here, PAE is enhanced at back-off by switching ON and OFF individual stages depending on the instantaneous input power level. These individual stages have to be connected using extra leads, which decrease efficiency. In this paper, an adaptive gain control (AGC) technique is proposed to control efficiently the SMPA gain at back-off. The base for this efficient amplification technique is an appropriate driving waveform for switching-mode amplifiers. Moreover, a tunable LL approach is concurrently used with the AGC technique to boost efficiency at power back-off levels. By utilizing both techniques, an efficient multiband multimode PA module is obtained, which is capable of supporting wideband operation, and which can be fully integrated in bulk CMOS technology. II. EFFECTS OF DRIVING SIGNALS ON THE PERFORMANCE OF SWITCH MODE PAs At high frequencies, switching-mode PAs are generally driven with the smallest possible sinusoidal voltage signal to

Fig. 2. Three possible switching-mode PA driving waveforms with the resulting drain current at the output.

switch the transistor fully ON or OFF in order to maximize overall PA gain, PAE, as well as active device ruggedness. In theory, other waveforms can be used to obtain fast switching. However, many of them are of little practical relevance, as it is challenging and energy consuming to generate such signals at very high frequencies. In the following, the difference in performance of a balanced switching-mode CMOS PA is analyzed using three practical high-frequency driving waveforms. The fully balanced investigated waveforms are: 1) a sinusoid stimulus; 2) a bipolar square waveform symmetric in voltage levels around the transistor threshold voltage ; and 3) a unipolar square waveform. All these waveforms can easily be generated also at microwave frequencies. Fig. 2 shows a diagram illustrating the three driving waveforms at the input of the transistor. It can be seen that using a sinusoidal voltage waveform with an amplitude, , as drive results in a half sinusoidal current waveform at the output. This operation corresponds to class-B conditions. In order to achieve higher efficiency than class B, the drive level is increased to operate the device into deep saturation and to minimize the transition time between the high- and low-impedance states. In case of using a bipolar square wave driving signal, a square wave current is observed at the drain of the transistor. This current waveform is rich in harmonics and with proper harmonic frequency termination higher efficiency can be achieved compared to driving the transistor with a sinusoidal input signal. However, in both cases, half of the input power is wasted since the device is already OFF once the gate voltage drops below ( is assumed zero in Fig. 2). Decreasing the level of the driving signal much below does not improve performance considerably. Therefore, using a unipolar square waveform and assuming zero rise and fall times leads to the same output current as with a bipolar waveform. Hence, identical output power with similar harmonic content is obtained, whereas the gain of the amplifier is increased, respectively, by 6 and 4 dB compared to the bipolar and sinusoidal cases with amplitude due to the lower input power requirement.

AREF AND NEGRA: FULLY INTEGRATED ADAPTIVE MULTIBAND MULTIMODE SWITCHING-MODE CMOS PA

Fig. 3. Schematic diagram of the test bench used for the waveform analysis.

A. Finite Rise and Fall Time In this section, the difference between bipolar and unipolar square waveforms is analyzed in a more detailed manner by taking into account finite rise and fall times for the two rectangular waveforms. Fig. 3 shows the schematic used to analyze the current waveform at the drain of the CMOS transistor. The simulation frequency is set to 2.5 GHz and a 1-V CMOS 90-nm transistor is used as an RF power device. is 46 and the RF choke (RFC) and the dc decoupling capacitors are assumed to be ideal. Fig. 4(a) shows the simulated time-domain bipolar and unipolar square waveforms with a rise/fall time of 17.5% of the RF cycle. This specific rise/fall time was chosen since it results in the maximum output power. The resulting differential load current is plotted in Fig. 4(b). Although the power device is the same and the maximum voltage swing at the input is identical in the two cases, driving the PA with a unipolar waveform leads to a significantly larger fundamental load current amplitude than when applying a bipolar waveform for a given load. The higher gain is because of the large signal dynamic change of the input impedance of the power stage. This change increases the gain of the power stage when applying unipolar driving waveform compared to bipolar. This topic will be addressed in details in a future publication. It was also found that driving with unipolar waveform limits the magnitude of the generated output power at the odd frequency harmonics. This is analyzed further by inspecting the spectral composition of the two time-domain load currents of Fig. 4(b). Fig. 5 compares the spectrum of the squared voltage across between the unipolar and the bipolar waveforms. Only power at the fundamental frequency and odd harmonics are

2551

shown since the balanced circuit topology suppresses all even harmonic content in the drain current. As expected, employing a bipolar drive results in an output current with high harmonic frequency content. The power levels of the odd harmonic frequency components decrease with increasing frequency following a sinc-like function behavior. From the simulation results, it can be seen that, with a unipolar drive, not only power at the fundamental frequency is higher by 1.7 dB, but also the third harmonic component of the output signal is lower by 3 dB compared to the bipolar case. Furthermore, the power levels of the fifth and seventh harmonic frequencies are also lower for the unipolar wave by 9.5 and 6 dB, respectively. The difference between the two spectra can be explained with the help of Fig. 6, which shows a diagram of the expected current waveforms for both cases. Using a bipolar driving signal results in an abrupt phase change of the balanced RF drain current in the output load. Since the driving signals are symmetric around the transistor threshold voltage, the current changes its direction sharply when both transistors switch between the ONand OFF-states. This can be interpreted as “hard switching.” On the other hand, when driven with a unipolar waveform with a common-mode voltage that is higher than , the two transistors begin to conduct in a finite period of time depending on the rise and fall times of the waveform. This finite time makes the switching more gradually, i.e., softer. A smooth phase transition of the drain currents significantly decreases the harmonic content in the output compared to the bipolar case (soft phase transition requires less frequency bandwidth), while the fundamental RF current is increased. This results in a reduction of the third harmonic power levels compared to the hard switching case. A third harmonic short at the output is now added to the simulation setup depicted in Fig. 3. By short circuiting the third harmonic component at the drain of the transistor—as, e.g., done in inverse class-F PAs—the steepness of the load current with time is maintained and the overlap with the drain voltage is reduced. Fig. 7 shows drain efficiency and output power versus rise and fall times between employing a bipolar (symmetric in voltage around ) or a unipolar waveform (symmetric around 0 V and dc shifted by a certain value) with an identical maximum voltage swing of 1.05 V. The data in Fig. 7 shows that for bipolar waveforms, output power, and drain efficiency are highly dependent on the rise/fall times of the waveform. As expected, for smaller rise/fall times, output power as well as drain efficiency increase. This dependency is quite pronounced and both output power and drain efficiency roll off sharply from their maxima with increasing rise/fall time. Conversely, for the unipolar case, output power has a peak at a rise/fall time of around 18% of the signal period. This particular rise/fall time satisfies the smoothest transition in phase of the RF current at the output load, leading to the highest power at the fundamental frequency. Drain efficiency, however, peaks at a rise/fall time of around 10% of the RF period. At this particular rise/fall time, the optimum ratio between power at the fundamental and power at the third harmonic frequency is obtained. Therefore, the overlap of drain voltage and drain current of the MOS devices is minimized [18]. Fig. 7 shows that a dc-shifted

2552

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 4. Simulation results showing the differential load current of the CMOS PA caused by unipolar and bipolar driving square waveforms. In (a), the differential driving waveforms are shown, whereas (b) shows the resultant differential load current of the two cases.

Fig. 5. Simulated spectra of the squared load voltage using unipolar and bipolar waveforms. The difference in decibels between the two spectra is also plotted.

unipolar waveform makes the PA less sensitive to variations in rise/fall time of the driving waveform when compared to a bipolar waveform: drain efficiency stays fairly constant up to rise/fall times of 20%, i.e., 80 ps at 2.5 GHz, whereas output power changes only by 5% within the analyzed rise/fall-time range from 2.5% to 45% of the signal period. III. AGC Based on the outcome of the driving waveform analysis that the use of unipolar signals leads to higher gain, higher output power, and lower harmonic frequency content, in this section an AGC concept for the developed switching-mode PA is presented. Using the same simulation setup as shown in Fig. 3, the dependency of output power and drain efficiency on the bipolar and sinusoidal voltage swing above is studied. For the unipolar waveform, the swing is kept constant, but the effective dc level is varied. The abscissae in the plots represent the effective single-ended voltage swing above for the three waveforms.

Fig. 6. Diagram explaining the concept of hard versus soft switching of the RF current phase in a resistive load.

Fig. 8 shows that despite lower input voltage swing, the unipolar waveform provides significantly higher output power than a bipolar or a sinusoidal drive signal. For the specific device used, a peak output power of 100 mW is achieved using the unipolar waveform compared to 65 mW with the bipolar and 42 mW with the sinusoidal waveform. Even by increasing the voltage swing of the bipolar and the sinusoidal waveforms up to twice the recommended safe level for the used transistor, comparable peak output power as with the unipolar drive signal cannot be obtained. By further increasing the dc level of the unipolar waveform, ouput power decreases significantly since the lower voltage level does not switch OFF the device anymore, moving it into the linear transconductive region.

AREF AND NEGRA: FULLY INTEGRATED ADAPTIVE MULTIBAND MULTIMODE SWITCHING-MODE CMOS PA

2553

Fig. 7. Simulated output power and drain efficiency versus rise/fall time of the driving waveform. (a) Transient bipolar and (c) unipolar waveforms. Simulated output power and drain efficiency are presented in: (b) and (d), respectively.

Fig. 8. Comparison of output power performance of the switching-mode PA using three different driving waveforms.

This decreases the effective peak-to-peak voltage swing of the unipolar waveform with further increase of dc level. Note

that the results shown in Fig. 8 used the same unipolar driving waveform shown in Fig. 7. Fig. 9 shows drain efficiency, , as function of drive amplitude of the three waveforms. For a unipolar drive signal with a gate voltage of 0.55 V ( V), simulated drain efficiency peaks at 74%. Drain efficiency of the unipolar case drops sharply at higher gate voltage since the lower edge of the voltage swing approaches . This leads to a bleeding dc current from the device in the supposed OFF-state. While the peak is 74% when using unipolar waveform with a single-ended gate voltage swing above of 0.85 V, of 64% and 55% are observed when using a bipolar and a sinusoidal waveform, respectively. As with output power, the efficiency performance of the unipolar case could also not be matched with a bipolar or a sinusoidal voltage, even by increasing the amplitude up to 1.8 V above . From the above study, it can be concluded that numerous advantages are achieved by using a fixed swing unipolar square driving signal with a variable dc-offset for switching-mode PAs. First, with less RF input power, the unipolar signal provides more output power. Therefore, the gain of the PA is increased

2554

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 9. Drain efficiency comparison of the PA when using the three different waveforms as input drive.

and the PAE boosted. Secondly, higher reliability of the CMOS power device is achieved due to lower voltage stress for a particular output power requirement. Finally, when driven with a unipolar waveform, the device has high linearity, as shown in Fig. 8. It can be seen that for single-ended voltage swings of less than 0.9 V, the transfer characteristic is highly linear when using unipolar driving waveforms. This behavior can be exploited to use highly efficient switching-mode PAs for the amplification of variable envelope signals. IV. LL CONCEPT As with all variable envelope signals, efficiency in back-off also degrades with proper device driving. In order to enhance efficiency of the PA at output power back-off levels, the PA LL is reconfigured to maximize the voltage swing at the drain of the CMOS PA for a given dc level of the unipolar driving waveform. Fig. 10 shows the diagram detailing this concept, which increases efficiency at power back-off because the device is kept voltage saturated over a high dynamic range. For any given output power, an optimum configuration set of unipolar waveform, dc levels, and LL configurations can be found in regard to maximize efficiency and linearity. Previous approaches have already used adaptive LTN to boost efficiency at power back-off. In [12], silicon-on-insulator (SOI) technology is used since bulk CMOS switches are highly lossy compared to MOS SOI switches. The lossy bulk network dissipates a portion of the PA power at the drain of the CMOS switch. As mentioned above, the self-heating problems of SOI MOS devices, especially at high power operation, makes a fully integrated PA with the LTN in SOI challenging. Bulk CMOS is more cost effective when compared to SOI and makes it more attractive for implementation. Here, we compensate these losses by using the AGC technique employing unipolar driving waveforms combined with load tuning. By this we can realize a fully integrated PA with the tunable LTN in bulk CMOS using switched capacitors. In the proposed concept, a constant RF driving input power is applied. The fully integrated driver circuit feeds the PA with the required unipolar waveform. The PA power gain is controlled by

Fig. 10. Diagram showing the proposed concept of LL tuning combined with an AGC.

the adaptive gate bias of the power MOS devices. Using this concept provides a considerably improved performance in terms of output power and drain efficiency and facilitates the usage of highly efficient switching-mode PAs in amplifying wideband variable envelope modulated signals. The AGC can also be configured to compensate the loss in PA gain versus frequency. This extends the PA effective bandwidth and gives it a broadband-like behavior, as demonstrated by measurement results. Thus, the demonstrated PA module can amplify variable envelope modulated signals while allocating more bandwidth of the AM signal to the demonstrated AGC scheme. This could boost the efficiency of the dc–dc converter of the power stage usually used in polar transmitters and allows more operating bandwidth for the AM signal. This allows the utilization of this PA in next-generation wideband and power efficient polar transmitters. V. CIRCUIT DESIGN The schematic diagram of the proposed integrated PA module is shown in Fig. 11. It consists of an input matching network, a driver stage, an output stage loaded with a third harmonic resonator, and a tunable LTN with RF power switches, driving logic and level shifters fully integrated on one single chip in bulk CMOS technology. The design employs a fully balanced circuit topology from input to output. A fully balanced PA increases output power by a factor of 2, reduces the required on-chip decoupling capacitance, and minimizes the influence of the parasitic ground inductance present in the package [9] compared to a single-ended version. The supply voltage is fed to the PA via an off-chip RFC directly to the drain of the power devices. The adaptive gate bias is supplied through an off-chip voltage source. In a complete implementation of a transmitter system, a digital feedback loop controls the gate bias voltages, which gives the optimum required performance. A. Driver Stage As discussed above, the driver circuit should provide a fixed swing square waveform that is symmetric around 0 V. A cas-

AREF AND NEGRA: FULLY INTEGRATED ADAPTIVE MULTIBAND MULTIMODE SWITCHING-MODE CMOS PA

2555

Fig. 11. Simplified schematic diagram of the complete PA module.

caded inverter chain [19], as shown in Fig. 11, provides the required waveform when its output is ac coupled to the input of the RF power stage. A pseudodifferential topology employing feedback between the two differential paths of the driver is implemented. The feedback ensures balanced waveforms at the driver output even with AM/PM mismatch at the balanced input signals or with device mismatch in the cascaded inverter stages. The inverter stages are scaled with a taper factor of 3 to decrease rise and fall times at the driver output. Another advantage of this power driver topology is its inherent wideband operation without impairing much the overall PA power gain and efficiency due to the moderate power consumption of this circuit compared to the output stage. B. Power Stage As shown in Fig. 11, the 1-V thin-oxide high-gain devices and are cascoded with 2.5-V thick-oxide devices and . Since the peak voltage at the drain of the power device of an inverse class-F PA theoretically swings up to [20], the cascode thick-oxide device protects the thin-oxide high-gain device from breakdown. The active switching MOS unit (the 1-V high gain and the cascode devices) has been split into three parts such that the sizes are scaled in a binary fashion i.e., 1 and 0.5 from the main total size of the transistor. The units are enabled/disabled via the cascode device bias voltage . The main goal of reconfiguring the size of the active switching unit is to reuse the LL/scaled down supply voltages configurations at power back-off with different device sizes. C. LTN The single-ended LTN topology is shown in Fig. 12. According to [11], -LTN topologies have lower loaded quality

Fig. 12. Schematic diagram of the proposed single-ended LTN topology.

factor, , condition for matching networks when compared to transformer-based topologies, leading to wider operational bandwidth [8]. Moreover, they are less complex when compared to a transformer-based LTN. The chosen topology is, therefore, a -network followed by a -section. The -section was added in order to incorporate the bond-wire inductance and the dc-blocking function into the LTN. The optimum load for maximum power/efficiency performance is found by load–pull simulations taking into account a low impedance to ground at . At the series-tuned tank tuned to is capacitive and its value is absorbed into the parasitic capacitance of the drains of the MOS devices, , as shown in Fig. 12. The bond-wire inductance, , is also part of the LTN. The tunable LTN is configured by tuning the capacitors and . Two tuning unit capacitors are assigned for each capacitor ( and ) such that the total programmable units are four. Each unit has a programming bit, resulting in having a sum of four bits to program the LTN. The two least significant bits are assigned to configure , and the most significant bits to configure . can be programmed from 0 up to 2.25 pF with an LSB of 0.75 pF. is programmed with a thermometric coding from 0 up to 1 pF with an LSB of 0.5 pF.

2556

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 14. Schematic diagram of the implemented level-shifter control logic for the RF switches. Fig. 13. Schematic diagram of the implemented RF switch. TABLE I DESIGN PARAMETERS OF THE IMPLEMENTED CMOS PA MODULE

D. RF Power Switches and Level Shifter Switches are needed to reconfigure the LTN using switched capacitors since varactors may degrade linearity of the circuit. Fig. 13 shows the implemented RF CMOS switch in our work. The main challenges of realizing good RF CMOS switches for high power are discussed in this section and it is shown how the design implementation addresses these issues. The parasitic capacitances associated with the CMOS switch in either ON- or OFF-state affects the equivalent values of the capacitances inside the LTN. The gate to drain capacitance and the gate to bulk capacitance are the most dominant ones in the proposed topology. Both capacitances should therefore be accounted for in the equivalent required capacitance value for the LTN. A fully symmetric differential layout is crucial in suppressing any AM/PM distortions resulting from those voltage-dependent capacitances. Another issue is the leakage current through the drain-to-bulk diode junction. Whenever in Fig. 13 both and are OFF, a high-impedance condition at their drains arises. This leads to a high RF voltage swing, which might even adopt negative values. As a consequence, the drain-to-bulk diode junction can be forward biased and the resulting dissipated current in the bulk of the device deteriorates significantly efficiency and output power of the PA. To alleviate this problem, a pMOS device is used to set a dc voltage equal to V on the drain of and in the OFF-state. This ensures that the drain-to-bulk junction diode is reverse bias under all conditions. A third challenge is the voltage stress on the CMOS devices and its effect on reliability, especially in the OFF-state. For this purpose, an RF bootstrapping resistance is used at the gate of the MOS devices and . The resistance forces the gate RF voltage to follow the voltage swing at the drain, and hence, decreases the gate-to-drain junction voltage stress enhancing thereby device reliability. To further improve reliability for both devices, the logic levels used for ON/OFF switching are shifted

up from 0 V/ to , as shown in Fig. 13. The main goal here is to shift the input level of the LTN control logic from 0 V–2.5 to 2.5 V–5 V. By doing so, both and are exposed to less stress at values equal to 2.5 V. RF decoupling caps are needed to obtain a good RF ground at the common-mode node. The level shifting circuit is shown in Fig. 14. Output buffers are used to drive the RF switches. In order to operate directly from the 5-V supply, 3.3-V-thick gate–oxide devices are used in this circuit. Table I summarizes the design parameters of the circuit shown in Figs. 11 and 14. VI. SIMULATION RESULTS The complete PA module was simulated at a frequency of 2.5 GHz using GoldenGate from Agilent Technologies, Santa Clara, CA, and SpectreRF from Cadence, San Jose, CA. The PA module needs three supply voltages. The PA supply voltage is 2.5 V, the driver circuit is operated from a 1-V supply, and the RF switches require a supply voltage of 5 V in order to decrease the voltage stress on the devices. A constant RF input power of 5 dBm is fed to the input network preceding the driver. The output of the driver is a square waveform with a fixed voltage swing of 1 V. The driver output is ac coupled and the dc level is shifted to the adaptive gate bias of the power stage. Total simulated power consumption of the driver circuit is 58 mW. The LTN is designed based on the outcome of load–pull simulation. With a third harmonic short at the

AREF AND NEGRA: FULLY INTEGRATED ADAPTIVE MULTIBAND MULTIMODE SWITCHING-MODE CMOS PA

2557

Fig. 15. Simulation results of the PA module efficiency versus output power. A comparison between two cases is shown; using unipolar waveforms with AGC and configurable LTN versus class B with sinusoidal waveform at the input and static LTN at the output.

Fig. 17. Chip micrograph of the CMOS PA module designed in 90 nm. The chip comprises driver and output stage, the configurable LTN with a third harmonic trap, as well as level shifter and drivers for the switches.

Fig. 16. Relative efficiency enhancement of the class B with sinusoidal waveform drive after using unipolar waveform with AGC and configurable LTN.

drain of the MOS devices, load–pulling simulations were performed at different values ranging from 0 up to 0.7 V. For each setting of , a certain peak output power is obtained. The LTN is then designed to provide these optimum impedances for highest drain efficiency at specific back-off levels. Fig. 15 shows PA module efficiency versus output power for each of the 16 configurations of the implemented LTN. Peak output power of 27.4 dBm with an associated PAE of 50% is simulated. Due to the reconfigurable LTN, efficiency of the PA module peaks at 56% for an output power of 26.1 dBm. As indicated in Fig. 15, the four configurations “0011,” “0010,” “1000,” and “1100” achieve the highest drain efficiency at various back-offs. At each LL configuration, the gate voltage, , is adapted to reconfigure PA gain and to provide the required PA output power. When comparing these results with a class-B design using sinusoidal driving waveforms and a static LL configuration (“0011”)—i.e., the dashed line in Fig. 15—it can be seen

Fig. 18. Measured PAE versus output power employing AGC and the configurable LTN.

that the proposed approach delivers more output power. By sweeping the sinusoidal amplitude from 0.3 to 1.8 V, it is not possible to reach an output power of 27.4 dBm with the devices biased at 2.5 V. Even with an input swing as high as 1.8 V, which corresponds to 9 dB more input power at the fundamental frequency compared to the 1-V unipolar waveform, the supply voltage of the amplifier has to be increased to 3.2 V to obtain the same peak output power. Fig. 16 shows the relative efficiency enhancement employing the unipolar waveform with AGC and configurable LTN as compared to a class-B configuration with a static LL. The peak relative enhancement is as high as 70% for back-off levels between 6–8 dB.

2558

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 19. Measured PAE versus output power at 1.9, 2.05, 2.1, and 2.2 GHz. LL and AGC are set for optimum PAE. Supply voltage is varied between 1.6–2.8 V in steps of 0.4 V.

Without configurable LTN, a relative efficiency enhancement of 28%–30% can be achieved by using only the AGC concept and the unipolar square wave drive with adaptive . VII. DESIGN IMPLEMENTATION AND MEASUREMENT RESULTS The microphotograph of the implemented chip is shown in Fig. 17. The chip shows the implemented PA module in 90-nm CMOS technology. The chip occupies an area of 4 mm and is packaged in a 32 quad flat no-lead (QFN) package. The chip has 16 4 pads, whereof 21 pads are reserved for on-chip grounding. An RF test board was designed for testing the PA module using a Rogers RO5870 substrate. The surface mounted device (SMD) balun is placed off-chip and its insertion loss is deembedded from the following measurement results. Fig. 18 shows measured PAE versus output power at an operating frequency of 2.05 GHz. The 16 LL configurations are shown. Configurations giving optimum PAE at various back-off levels are highlighted. It can be seen that a peak output power of 27.2 dBm is measured when the output stage is biased at 2.8 V. Measured peak PAE of 42.5% at an output power of 26.5 dBm is achieved. The dynamic output power range is 8.3 dB, with PAE of 30% and 23% measured at 4- and 6-dB back-off, respectively. A frequency shift of 400 MHz lower than simulations was evident during measurements. This shift is mainly attributed to the package parasitics at the drains of the power MOS devices,

which alters the optimum impedance where the amplifier was designed for. This degrades PA overall efficiency and output power at the designed frequency of operation and shifts the frequency of optimum efficiency and maximum output power. Fig. 19 shows PAE versus output power at operating frequencies from 1.9 to 2.2 GHz. The supply voltage of the PA is varied between 1.6 and 2.8 V in 0.4-V steps. This measurement shows the improvement in PAE at various back-off levels compared to a single supply voltage. The PAE enhancement is achieved within a bandwidth of 300 MHz. At 2.05 GHz, PAE of greater than 42% is measured for a dynamic range of 4 dB. Moreover, PAE is above 21% for back-off levels of up to 10 dB. It has to be noted that when operating with a supply voltage of 1.6 V, the power MOS device is scaled down to one-third of its size. With the reduced device size, the same LL configurations can be reused at this lower supply voltage. To demonstrate the PA configurability and performance optimization with frequency, Fig. 20 shows maximum measured PA output power versus frequency for three different cases. Two of them utilize two different static LL configurations and AGC, while the third uses dynamic LL and AGC settings. LL configuration “0000” shows a higher output power from 2 to 2.2 GHz while LL “1000” is optimized between 1.85–1.95 GHz. With the configurable settings for the dynamic LL and AGC, the measured output power of 27.25 dBm varies only by 0.25 dB

AREF AND NEGRA: FULLY INTEGRATED ADAPTIVE MULTIBAND MULTIMODE SWITCHING-MODE CMOS PA

2559

TABLE II PERFORMANCE COMPARISON WITH STATE-OF-THE-ART PA MODULES

Fig. 20. Measured configurable maximum output power of the PA for two chosen configurations versus frequency compared to a conventional static LL PA.

Fig. 22. Measured maximum configurable PAE versus operating frequency and associated output power.

Fig. 23. Measured output spectrum with GSM signal. Measured PSD complies with the standard emission mask. Fig. 21. Measured configurable output power versus frequency for different output power levels.

within a bandwidth greater than 300 MHz, and by 0.25 dB in a bandwidth of more than 400 MHz. Fig. 21 shows PA output power at different discrete power levels versus frequency. The PA is fully reconfigurable such that

the large-signal RF gain can be constant over a wide band of frequencies. For an output power of 26.5 dBm, the output power of the PA is almost constant over a bandwidth of 600 MHz. For 1 dB less in output power, the bandwidth is extended up to 780 MHz. By decreasing output power further by 1 dB, a constant output power within a tolerance of 0.05 dB can be main-

2560

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

tained over an operating bandwidth of 1 GHz. The achieved wideband performance is attributed to the highly dynamic feature of the proposed PA module, and also because of the wideband nature of the power driver. We believe that the actual bandwidth of the module is even higher than 1 GHz and that the measurements are limited by the bandwidth of the used off-chip output balun. The specified frequency range for the used SMD balun is 2–3 GHz. Fig. 22 shows maximum measured PAE versus frequency and corresponding output power. It can be seen that PAE of more than 30% is achieved over a bandwidth of nearly 1 GHz. In this frequency range, output power changes from a peak of 27.3 dBm at 1.95 GHz to 24.2 dBm at 2.6 GHz. PAE of more than 40% is measured within a bandwidth in excess of 350 MHz. Fig. 23 shows the linearity of the SMPA using a GSM input signal. Spectrum shows compliance with GSM emission mask. Table II compares the results of this study with the state-of-the-art. Efficiency enhancement techniques (EETs) are used in most of the references studies. Therefore, PAE at power back-off for this work is compared with and without discrete envelope elimination and restoration (DEER) using different supply voltages, as detailed in Fig. 19. VIII. CONCLUSION In this paper, a fully integrated adaptive multiband multimode switching-mode PA is demonstrated in standard CMOS technology. The PA is adaptive in operating frequency and output power utilizing a tunable LTN and an AGC technique. It exploits the performance improvement originating form the use a unipolar square wave signal as drive for an inverse class-F PA. The proposed input signal leads to higher output power, lower odd harmonic frequency content in the output current, less input power, and thus improved gain and efficiency compared to other typical driving waveforms used at high frequencies. A prototype designed in 90-nm CMOS demonstrated an operating frequency bandwidth of 1 GHz with constant gain and output power. The PA can be configured to achieve PAE greater than 40% within a frequency bandwidth of more than 350 MHz. The achieved PA efficiency at 4- and 6-dB output power back-off is 30% and 23%, respectively. Measured with variable supply voltage of the power stage in voltage steps of 0.4 V showed that a significant boost in PAE can be achieved with minimum switching between different LL configurations. A measured PAE of 33% at 6-dB back-off and 22% at 10-dB back-off have been achieved. Based on these results, using the proposed AGC technique in combination with the tunable LL network enables the presented PA module to be the enabling technology for next-generation CMOS fully integrated wideband and efficient wireless transmitters. REFERENCES [1] G. Hueber, G. Strasser, R. Stuhlberger, K. Chabrak, L. Maurer, and R. Hagelauer, “A GSM-EDGE/CDMA2000/UMTS receiver IC for cellular terminals in 0.13 m CMOS,” in 9th Eur. Wireless Technol. Conf., Sep. 2006, pp. 23–26. [2] Y.-C. Ho, K. Muhammad, M.-C. Lee, C.-M. Hung, J. Wallberg, C. Fernando, P. Cruise, R. Staszewski, D. Leipold, and K. Maggio, “A GSM/GPRS receiver front-end with discrete-time filters in a 90 nm digital CMOS,” in Proc. IEEE CAS Workshop, Dallas, TX, Oct. 2005, pp. 199–202.

[3] G. Hueber, J. Zipper, and A. Holm, “A single-chip dual-band CDMA2000 receiver for cellular terminals in 0.13 m CMOS,” in Eur. Microw. Integr. Circuit Conf., Oct. 2007, pp. 319–322. [4] K. Joshin, Y. Kawano, X. Mi, O. Toyoda, T. Suzuki, T. Hirose, and S. Ueda, “ -band CMOS-based power amplifier module with MEMS tunable bandpass filter,” in Eur. Microw. Conf., Sep. 2010, pp. 1682–1685. [5] M. Kim, J. Hacker, R. Mihailovich, and J. DeNatale, “A monolithic MEMS switched dual-path power amplifier,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 7, pp. 285–286, Jul. 2001. [6] L. Wang and T. Mukherjee, “A 3.4 GHz to 4.3 GHz frequency-reconfigurable class E power amplifier with an integrated CMOS-MEMS LC balun,” in IEEE Radio Freq. Integr. Circuits Symp., May 2010, pp. 167–170. [7] R. Malmqvist, C. Samuelsson, P. Rantakari, T. Vaha-Heikkila, D. Smith, J. Varis, and R. Baggen, “RF MEMS and MMIC based reconfigurable matching networks for adaptive multi-band RF front-ends,” in IEEE Int. Microw. Workshop, Feb. 2010, pp. 1–4, RF Front-Ends for Softw.-Defined and Cognitive Radio Solutions ser. [8] A. Grebennikov, RF and Microwave Power Amplifier Design. New York: McGraw-Hill, 2005. [9] P. Reynaert and M. Steyaert, “A 1.75-GHz polar modulated CMOS RF power amplifier for GSM-EDGE,” IEEE J. Solid-State Circuits, vol. 40, no. 12, pp. 2598–2608, Dec. 2005. [10] P. Godoy, S. Chung, T. Barton, D. Perreault, and J. Dawson, “A 2.5-GHz asymmetric multilevel outphasing power amplifier in 65-nm CMOS,” in IEEE Power Amplifiers for Wireless Radio Appl. Top. Conf., Jan. 2011, pp. 57–60. [11] W. Neo, Y. Lin, X. D. Liu, L. de Vreede, L. Larson, M. Spirito, M. Pelk, K. Buisman, A. Akhnoukh, A. de Graauw, and L. Nanver, “Adaptive multi-band multi-mode power amplifier using integrated varactorbased tunable matching networks,” IEEE J. Solid-State Circuits, vol. 41, no. 9, pp. 2166–2176, Sep. 2006. [12] F. Carrara, C. Presti, F. Pappalardo, and G. Palmisano, “A 2.4-GHz 24-dBm SOI CMOS power amplifier with fully integrated reconfigurable output matching network,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 9, pp. 2122–2130, Sep. 2009. [13] K. H. An, D. H. Lee, O. Lee, H. Kim, J. Han, W. Kim, C.-H. Lee, H. Kim, and J. Laskar, “A 2.4 GHz fully integrated linear CMOS power amplifier with discrete power control,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 7, pp. 479–481, Jul. 2009. [14] P. Haldi, D. Chowdhury, G. Liu, and A. Niknejad, “A 5.8 GHz linear power amplifier in a standard 90 nm CMOS process using a 1 V power supply,” in IEEE Radio Freq. Integr. Circuits Symp., Jun. 2007, pp. 431–434. [15] D. Chowdhury, C. Hull, O. Degani, Y. Wang, and A. Niknejad, “A fully integrated dual-mode highly linear 2.4 GHz CMOS power amplifier for 4G WiMax applications,” IEEE J. Solid-State Circuits, vol. 44, no. 12, pp. 3393–3402, Dec. 2009. [16] G. Liu, P. Haldi, T.-J. K. Liu, and A. Niknejad, “Fully integrated CMOS power amplifier with efficiency enhancement at power back-off,” IEEE J. Solid-State Circuits, vol. 43, no. 3, pp. 600–609, Mar. 2008. [17] J. Kim, Y. Yoon, H. Kim, K. H. An, W. Kim, H.-W. Kim, C.-H. Lee, and K. Kornegay, “A linear multi-mode CMOS power amplifier with discrete resizing and concurrent power combining structure,” IEEE J. Solid-State Circuits, vol. 46, no. 5, pp. 1034–1048, May 2011. [18] F. H. Raab, “Class-F power amplifiers with maximally flat waveforms,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 11, pp. 2007–2012, Nov. 1997. [19] J. Walling, S. Taylor, and D. Allstot, “A class-G supply modulator and class-E PA in 130 nm CMOS,” IEEE J. Solid-State Circuits, vol. 44, no. 9, pp. 2339–2347, Sep. 2009. [20] D. M. Snider, “A theoretical analysis and experimental confirmation of the optimally loaded and overdriven RF power amplifier,” IEEE Trans. Electron Devices, vol. ED-14, no. 12, pp. 851–857, Dec. 1967. [21] Y. Yoon, H. Kim, K. H. An, J. Kim, C.-H. Lee, and J. Laskar, “A fullyintegrated dual-mode tunable CMOS RF power amplifier with enhanced low-power efficiency,” in Eur. Microw. Conf., Sep. 2010, pp. 982–985. [22] H. Kim, Y. Yoon, O. Lee, K. H. An, D. H. Lee, W. Kim, C.-H. Lee, and J. Laskar, “A fully integrated CMOS RF power amplifier with tunable matching network for GSM/EDGE dual-mode application,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2010, pp. 800–803. [23] S. Shim and S. Hong, “A 1-W, 800-MHz, switch-mode CMOS RF power amplifier using an on-chip transformer with double primary sides,” in IEEE Radio Wireless Symp., Jan. 2009, pp. 538–541.

AREF AND NEGRA: FULLY INTEGRATED ADAPTIVE MULTIBAND MULTIMODE SWITCHING-MODE CMOS PA

Ahmed F. Aref (S’05) received the B.Sc. degree in electronics and communications and M.Sc. degree in electronics engineering from Ain Shams University, Cairo, Egypt, in 2002 and 2009, respectively, and is currently working toward the Ph.D. degree at RWTH Aachen University, Aachen, Germany. From 2002 to 2004, he was an RFIC Design Engineer with AIAT Inc., Cairo, Egypt. From 2004 to 2006, he was an RFIC Design Engineer with SysDSoft Inc., Cairo, Egypt. From 2006 to 2007, he was with LSI Inc., Dubai, U.A.E., where he was an Analog/Mixed-Signal Design Engineer with the Consumer Electronics Group. From 2007 to 2009, he joined Qimonda AG, Munich, Germany. He designed high-speed embedded clock generators for graphics memories. His research interests are highly efficient PAs, advanced transmitter architectures, reconfigurable radio, high-speed clock generators and clock data recovery circuits.

2561

Renato Negra (S’06–M’06) received the M.Sc. degree in telematics from the Graz University of Technology, Graz, Austria, in 1999, and the Ph.D. degree in electrical engineering from ETH Zürich, Zürich, Switzerland, in 2006. His doctoral research was focused on power-efficient linear amplification of wireless communication signals. During his M.Sc. studies, he spend one year as an exchange student with the Norwegian University of Science and Technology (NTNU), Trondheim, Norway. From 1998 to 2000, he was with Alcatel Space Norway AS (now NorSpace AS), Horten, Norway, where he was involved in the design and characterization of space-qualified RF equipment. In April 2000, he joined the Laboratory for Electromagnetic Fields and Microwave Electronics, ETH Zürich. From 2006 to May 2008, he was a Post-Doctoral Fellow with the iRadio Lab, University of Calgary, Calgary, AB, Canada, where he was involved with switching-mode PAs and advanced wireless transmitter architectures. Since June 2008, he has been an Assistant Professor with RWTH Aachen University, Aachen Germany, where he heads the Mixed-Signal CMOS Circuits Group, Ultra High-Speed Mobile Information and Communication (UMIC) Research Centre. His research interests are highly efficient PAs, advance transmitter architectures, software-defined and reconfigurable radios, linearization, and mixed-signal, as well as millimeter-wave circuits.

2562

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Multichannel and Wideband Power Amplifier Design Methodology for 4G Communication Systems Based on Hybrid Class-J Operation Konstantinos Mimis, Kevin A. Morris, Souheil Bensmida, and Joe P. McGeehan

Abstract—A methodology for the design of multichannel, wideband, highly efficient hybrid Class-J power amplifiers for fourth–generation (4G) communication transmitters is proposed. The design procedure is based on the automatic generation and evaluation of a vast number of output matching networks of the same topology but different dimensions, with respect to efficiency, output power, and linearity. The approach can find application in the management of the efficiency/linearity/bandwidth tradeoff in amplifier design. In this paper, two matching network architectures have been considered. One multistubbed network and a stepped-impedance microstrip line network. The approach has been validated through the design, simulation, and measurement of two power amplifiers realized using the aforementioned procedure. The first amplifier covers 1.6–2.2 GHz (31.6% fractional bandwidth) with 55%–68% drain efficiency at the 2-dB compression point and worst case adjacent channel power ratio (ACRP) and error vector magnitude (EVM) of 21.8 dBc and 8.35%, respectively, over the bandwidth. The second covers 0.5–1.8 GHz (113% fractional bandwidth) with 50%–69% drain efficiency at the 2-dB compression point and worst case ACRP of 27.5 dBc and EVM of 4.22%. Both amplifiers are based on a commercial, packaged 10-W GaN HEMT transistor. Index Terms—Broadband, GaN, power amplifiers.

A

I. INTRODUCTION

S the transition towards fourth-generation (4G) communication standards commences, power amplifier (PA) design specifications for 4G become clear. Strict requirements of linearity and bandwidth performance as introduced by the standard have been complemented by the network operator’s need for reduced power consumption, posing increasing challenges to the PA designer. Modern communication systems require wider bandwidths that will soon reach and may exceed 100 MHz and support multiple standards in frequency bands that are not necessarily contiguous. One proposed approach for enabling the support of multiple standards in multiple frequency bands concurrently is multiband PAs. Commonly, multiband designs rely on the acquisition of load–pull measurement data at the bands of interest and application of advanced matching techniques with multistub networks or resonators to present the appropriate impedance terminations to the device at each band [1], [2]. Multiband PAs normally cover two to three bands with relatively narrow bandwidths per Manuscript received March 12, 2012; accepted April 16, 2012. Date of publication June 14, 2012; date of current version July 30, 2012. The authors are with the Centre for Communication Research, University of Bristol Bristol, BS8 1UB, U.K. (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2198489

band. Additionally, the frequency bands in which they operate are usually correlated; although an analytical technique has been proposed that in theory could provide the target impedance terminations at an unlimited number of uncorrelated frequencies [3]. An alternative approach is that of wideband designs that cover large parts of the spectrum in a continuous and concurrent manner. Wideband amplifiers covering multiple octaves have been extensively investigated and reported in the literature, but the design procedure mostly relies on time-consuming source/load–pull measurements or simulations without any general method for the design of the matching networks [4]–[7]. Systematic approaches for the design of the matching networks for wideband PA operation have been recently proposed, which still rely on load–pull measurement data acquired at multiple frequencies. In [8], the simplified real frequency technique was used to generate an optimum matching network from a nonlinear optimization procedure, while in [11] and [12] the analytical synthesis of low-pass networks was proposed followed by post-optimization or transformation from lumped-element representation into distributed networks. Even though the proposed matching network design procedures were fairly straightforward, they still rely on time-consuming load–pull data. Moreover, due to the nature of the load–pull information the management of the linearity/efficiency/output power tradeoff inherent in PA design is difficult to manage over large bandwidths. This may result in many iterations in the design process before all of the target specifications are reached. Recently, two new modes of PA operation were introduced, namely Class-J and continuous Class-F, showing promising results in terms of highly efficient wideband operation [13], [14]. The Class-J amplifier, as introduced in [13], shows not only potential of achieving high efficiency over wide bandwidths, but also good linearity and back-off efficiency compared with the continuous Class-F. The Class-J and continuous Class-F designs demonstrated in [9], [10] were both based on active load–pull measurements and de-embedded waveform observation for performance optimization. Such measurement capabilities require large capital investment and long calibration procedures. Moreover, the matching network design method was not based on a systematic approach, and it relies heavily on the particular device used. In this paper, a systematic method is introduced for the design of output matching networks for multichannel and wideband single-stage hybrid Class-J PAs. The method consists of the following steps.

0018-9480/$31.00 © 2012 IEEE

MIMIS et al.: MULTICHANNEL PA DESIGN METHODOLOGY FOR 4G COMMUNICATION SYSTEMS BASED ON HYBRID CLASS-J OPERATION

2563

TABLE I STATE-OF-THE-ART HIGH-EFFICIENCY, L/S-BAND SINGLE-STAGE WIDEBAND HIGH-POWER AMPLIFIERS

Fig. 1. Simplified transistor model, showing current generator plane (point A) and package plane (point B).

1) Generation of the fundamental and second-harmonic terminations for Class-J operation at the current generator . plane impedance terminations from 2) Transformation of the of the the current generator to the package plane device at multiple frequency points. 3) Generation of a matching network that transforms the 50load to the target impedance at the package plane at a single impedance terminations. frequency, for all of each individual matching network 4) Acquisition of over frequency and transformation from the package plane back to the current generator plane. 5) Evaluation of the current generator impedances of each matching network with respect to linearity/efficiency/output power and choose the most appropriate one for a particular frequency band. The efficiency/bandwidth/linearity tradeoff is effectively managed in this method by integrating a step-by-step matching network generation approach, a matching network evaluation method based on the “clipping” contours technique [9], and a modified waveform postulation method similar to [15]. This method can be considered as an alternative or complementary to load–pull and matching network optimization techniques for the design of wideband PAs. A summary of the results presented in this paper and results reported in the literature can be seen in Table I in terms of bandwidth, amplifier mode of operation, efficiency, and output power. II. CLASS-J OPERATION AND DESIGN SPACE FORMULATION The Class-J amplifier introduced in [13] allows for a significant reactive second-harmonic output impedance together with a complex fundamental output impedance. The general formulation of the drain voltage waveform for a purely reactive second harmonic termination is given by (1) with the limitation that remains above zero. The Class-J , , and . The case is given for parameters , , and are the quadrature component amplitudes, normalized to the dc component.

In [16], the continuity of linear RF power amplifier (RFPA) modes was introduced. That implies the existence of an infinite set of second-harmonic and fundamental impedance termination pairs with similar efficiency and output power capabilities. This collection of impedance terminations is hereafter called the design space. All design-space cases deliver Class-B-like efficiency and output power, if the device is biased in Class-B. The two limiting cases of this wider design space are Class-J with maximized and its conjugate in terms of impedances second harmonic voltage components, while Class-B is the middle case with a second-harmonic short present. Closed-form equations giving the aforementioned design-space impedances have been previously derived and successfully used for the design of a narrowband high-efficiency Class-J PA [17] and repeated here for completeness. Fundamental and second-harmonic normalized impedances are given by

with

if if

(2) (3)

and denote the design-space case where and the conduction angle, respectively. These impedances refer to point A, the current generator plane of the transistor, shown in Fig. 1, and are normalized . In Fig. 1, the to the fundamental load-line resistance as they are ideal reflection coefficients are denoted by a function of design-space case . These are transformed to different reflection coefficients at point B, the drain package terminal (package plane) over frequency , by the intrinsic and extrinsic parasitics of the device (including the package) , resulting in a new set of reflection coefficients now a function of both design-space case and frequency. The transformation between these two planes can be calculated according to (4) if the intrinsic and extrinsic parasitics of the device are known, similar to the load–pull prediction method introduced in [18]. The two-port -parameters of the network between points A

2564

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 3. Two selected matching network architectures. (a) Double-stub matching architecture. (b) Stepped-impedance microstrip-line network.

Fig. 2. Ideal fundamental (blue/interior of Smith chart) and second-harmonic (red/edge of Smith chart) terminations transformation from current generator , to package plane at fundamental frequencies of 1 GHz and plane . 2.5 GHz

(port 1) and B (port 2) denoted as at the appropriate frequency may be used for the transformations between planes. In is apthis paper the intrinsic drain–source capacitance proximated by a fixed value, which is a valid assumption for GaN devices if they are not operated in deep saturation [19] and has been previously proven sufficient for the design of highly efficient RFPAs [17], [20]. Such a plane transformation is shown graphically for the CGH40010 GaN HEMT on a Smith chart in Fig. 2, where ideal fundamental (blue/interior of Smith chart) and second-harmonic (red/edge of Smith chart) reflection coefficients are to the transformed from the current generator plane package plane for two fundamental frequencies of 1 GHz and 2.5 GHz . Although the theory dictates that the second-harmonic termination should be purely reactive, a second-harmonic termination with a substantial resistive part can be tolerated without great degradation in efficiency and output power [9], allowing for even more matching flexibility. It is apparent that high efficiency and output power can be sustained over large bandwidths if the proper fundamental and second-harmonic terminations are presented over frequency to the device. III. MATCHING NETWORK GENERATION AND EVALUATION As already discussed, high-efficiency PA operation can be sustained over a broad range of fundamental impedances with both negative and positive reactances. This requires the appropriate second harmonic termination loading at the current generator plane according to (2) and (3) for the Class-J mode. to over which For a chosen target bandwidth from matrix of the parasitic tranthe PA needs to operate, the sistor elements can be extracted at certain frequency intervals using the device’s physical model [21]. and by application of (4), all of the curWith knowledge of rent generator plane terminations given by (2) and (3) can be transformed to the package plane at each frequency point. This transformation results in D number of matching possibilities (combinations of fundamental and second harmonic) at . The size of each frequency point in is proportional to the density of the generated design

space controlled by and all matching possibilities can achieve similar performance (Class-B-like) at their respective frequencies. Instead of optimizing the output-matching network to follow a particular trajectory on the Smith chart, one matching network can be generated for each matching possibility per frequency, so that a matching network “pool” of size is created. In other words, the “pool” consists of networks that transform the 50- load to a Class-J mode termination condition at the appropriate plane only at a single frequency point. Each of this N number of networks, will be evaluated indi, where does not have vidually over , based on a figure of merit (FOM) and the most to equal suitable will be chosen and implemented. The FOM indicates the performance of the network with respect to predicted PA efficiency, output power, and linearity over the evaluation frequency band. The FOM and the evaluation method will be introduced and discussed in more detail in Section III-C2. In this paper, the study is constrained to two types of matching networks for the generation of the aforementioned network “pool,” but it can be generalized to any type of matching structure as long as closed-form design equations for it are available. The first one is a simple double-stub matching architecture shown in Fig. 3(a), while the second one is a stepped-impedance microstrip-line network depicted schematically in Fig. 3(b). These two networks were selected since they represent some of the most widely used techniques of impedance matching in PA design [22]. A. Distributed Double-Stub Matching Architecture This type of network is typically used for highly efficient, narrowband designs of up to 10% bandwidth, where precise harmonic control is applied. The first L section ( and the ) conwhere is trols the second-harmonic termination around . It is followed approximately a quarter of a wavelength at by a second L section such that both sections control the fundamental impedance termination at . The impedance presented at the at the second harmonic is given by , so that the length of is the frequencies for which . dominating factor for Closed-form equations for the design of such networks have been derived and can be found in [23]. In this implementation, the characteristic impedance of all the lines is restricted to 50 for simplicity but can be generalized to non-50- lines with the use of the appropriate closed-form equations. number of such networks were automatically generated to transform the 50load to the corresponding load for the fundamental and second-harmonic frequency, to form the aforementioned “pool” of matching networks.

MIMIS et al.: MULTICHANNEL PA DESIGN METHODOLOGY FOR 4G COMMUNICATION SYSTEMS BASED ON HYBRID CLASS-J OPERATION

B. Stepped-Impedance Microstrip-Line Network The second type of matching network considered is a stepped-impedance microstrip-line network as depicted in Fig. 3(b). The analytic design equations used target only to provide a specific match at the fundamental frequency at the device package plane. The second-harmonic termination at the current generator plane results from the combination of the intrinsic and extrinsic parasitics, as well as the harmonic impedance presented by the matching network at that frequency which is not intentionally controlled. number of such networks were automatically Again, generated to transform the 50- load to the corresponding load only at the fundamental frequency to form the “pool” of matching networks. For the fundamental match, two cases arise. In the case where is reactive but its reactance is positive and the intended smaller than a particular threshold, a single microstrip-line section can be used for the matching. In other words, if and then the characteristic impedance and electrical length of the single transmission line are given by (5) (6) denotes the load impedance. respectively. If a single section cannot achieve the transformation, then at least two transmission lines should be used, with more than two leading to less frequency dispersion. The first transmission line cancels out the reactive part of the load [ in Fig. 3(b)], purely resistive. can leaving the intermediate match then be matched by a single quarter-wavelength transformer or a Chebyshev multisection transformer. The electrical lengths and characteristic impedances of the lines as well as the value of for a single quarter-wavelength transformer are given by

where

2565

at an step and individual frequency point in for the fundamental and respective second-harmonic frequencies. The resulting impedances are then transformed from the package plane back to the current generator plane using (4), and , which are used for giving pairs of the evaluation process. and 1) Linearity Analysis: The impedances at the current generator plane of each network are tested against the clipping contours at each frequency point. The “clipping” contours technique is used as presented in [9] so that the drain RF voltage swing is restricted from crossing the “knee” region. If linearity is not preserved, even at a single frequency point, the network is discarded and is not considered further. The reason for the rigorous “knee” voltage crossing check is to preserve the inherent linearity of Class-J operation over frequency. Moreover, the validity of the performance evaluation with respect to efficiency and output power relies on the assumption that the amplifier is operated inside its quasi-linear region, meaning the device behaves as a current generator rather than a switch. 2) Performance and Definition of FOM: Networks that preserve linearity are then assigned a performance index. This index FOM given by

where and

(10)

and is the weighted average of the product of efficiency over frequency (discrete points ). Output output power and efficiency are normalized to Class-B power values. The FOM is used to choose the most appropriate matching network over the selected frequency range. Output power and efficiency are calculated by assuming a half-wave rectified sinusoidal drain current (Class-B), so together with the known impedances at the current generator plane for the fundamental and second harmonic, the drain voltage waveform can be postulated and performance can be inferred [15]. In case a specific frequency sub-band out of the overall frequency range is considered to be more critical, the weights for each frequency point can be set accordingly. In this study, the weights over frequency are equal.

(7)

D. Input-Matching Network

(8)

After the output-matching network is specified, the input matching network can be designed. Depending on the targeted bandwidth, the input match can be a broadband match at the center frequency and it can follow certain impedances over frequency for maximum gain or selectively mismatch for gain flatness. The approaches used for each of the prototype PAs will be described in more detail in their respective sections.

(9) The analytical formulation of the characteristic impedances and lengths for the multisection Chebyshev transformer can be found in [22]. C. Network Evaluation Both types of matching networks are analyzed in the same way and both the fundamental and second-harmonic terminato to the detions they present over frequency from vice at the package plane are extracted. This is done at each

IV. AMPLIFIER DESIGN CASE STUDIES FOR DIFFERENT MATCHING APPROACHES

THE

To verify the proposed PA design methodology, two PAs were designed, one for each matching network architecture. Both were built using the Cree CGH40010 GaN HEMT for

2566

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

TABLE II MATCHING NETWORK (MN) GENERATION AND EVALUATION SETTINGS FOR BOTH PA CASE STUDIES

which a large-signal transistor model and a parasitic physical model were available [21] and used for the impedance transformations between the current generator and package plane. The first amplifier targeted the frequency band between 1.9–2.5 GHz. For the second PA, the target was to achieve at least an octave bandwidth between 0.8–1.6 GHz. Under the particular biasing conditions and accounting for the transistor’s was “knee” voltage effect, a 40- fundamental load chosen as appropriate. It was then used to de-normalize the Class-J design space for the CGH40010 device under deep , using (2) and (3). The transistors were AB biasing stabilized in both cases before proceeding to the design of the amplifiers using a resistor at the input, bypassed by a shunt capacitor.

Fig. 4. Simplified schematic of the multichannel amplifier.

A. PA Case Study I: Multichannel PA For the first PA, the architecture of Fig. 3(a) was considered for implementation of the output match. A pool of 400 matching networks was generated and the output matching network that resulted from the network evaluation method was modified and tuned in AWR Microwave Office to incorporate the DC biasing and RF decoupling circuits and allow fabrication. The settings chosen for the generation of the design space and pool of matching networks are summarized in Table II, together with the matching network case that was chosen as the most appropriate. The lengths of the final microstrip lines were within 10% of the chosen matching network. SMT Coilcraft inductors were used, instead of quarter-wavelength lines, as RF blocks in the dc path to achieve the required bandwidth. For the input match, a source–pull was conducted at the center frequency and a broadband matching network was designed to cover the required bandwidth. A simplified schematic of the amplifier is given in Fig. 4 for an RT/Duroid 5880 substrate with a of 2.2 and thickness of 0.787 mm. Didielectric constant mensions of the final board are 92 132 mm. The fabricated amplifier can be seen in Fig. 5. B. PA Case Study II: Wideband PA For the second PA the matching architecture from Fig. 3(b) was used. A pool of 400 networks was generated and the output matching network that resulted from the network evaluation method was modified and tuned in AWR Microwave Office to incorporate the dc biasing and RF decoupling circuits to allow fabrication. The settings chosen for the generation of the design space, pool of matching networks as well as the chosen matching network case are summarized in Table II. The lengths

Fig. 5. Photograph of the multichannel amplifier.

of the final microstrip lines were within 5% of the original matching network. Simulations revealed that the amplifier was operating at a wider bandwidth than the expected, from 0.5 to 2 GHz. At low frequencies, between 0.5–0.9 GHz, the second harmonic termination falls inside the operating band of the PA, meaning that although the phase of the second-harmonic reflection coefficient may be the appropriate one, its magnitude is far lower than the ideal magnitude of 1. Still, its performance does not degrade significantly for this suboptimal Class-J operation, as previously investigated in [9]. Also, the high gain of the device at those frequencies helps to generate the required amount of second harmonic. For the dc biasing at the drain of the transistor, a two-step RF block was designed to accommodate the increased bandwidth, similar to bias “Tee” design principles. That allowed the effective shorting of high-frequency current components in the drain that subsequently generate bias supply modulation effects. Moreover, double inductors were used to cope with the peak drain current flow. Once more, SMT Coilcraft inductors were used. A simplified schematic of the amplifier is given in Fig. 6 for an RT/Duroid 5880 substrate with a dielectric constant of 2.2 and thickness of 0.787 mm. Dimensions of the final board are 65 95 mm. The fabricated amplifier can be seen in Fig. 7. For the design of the input-matching network, a series of source–pull measurements were performed at multiple frequency points. Based on the resulting gain contours, a

MIMIS et al.: MULTICHANNEL PA DESIGN METHODOLOGY FOR 4G COMMUNICATION SYSTEMS BASED ON HYBRID CLASS-J OPERATION

2567

Fig. 6. Simplified schematic of the wideband amplifier.

Fig. 8. Measured drain efficiency contours versus output power and frequency of the multichannel PA.

Fig. 7. Photograph of the wideband amplifier.

matching network was designed with intentional mismatch to flatten the gain in two frequency subbands between 0.5–1 GHz and 1–2 GHz. V. SIMULATION AND EXPERIMENTAL RESULTS The two PAs were simulated using a large-signal transistor model in AWR Microwave Office before fabrication on the RT/Duroid 5880 substrate. Here, the simulation results are presented and compared against measurements for both PAs. For the two designs, the CGH40010 transistor was under slightly different biasing conditions (both deep AB), as described in Section VI, in order to achieve optimum performance. A. Case Study I: Multichannel PA The fabricated PA biased under a 28-V drain–source voltage was measured beand a quiescent current of 13 mA tween 1.6 and 2.2 GHz. A shift of 300 MHz was observed from the target frequency, which was partially predicted by the large-signal model simulations. Measured efficiency of the amplifier versus output power and frequency is plotted in Fig. 8. Over 55% efficiency is achieved between 1.6–2.2 GHz, with an average 62% over the band and above 40-dBm output power delivered at all frequency points. Simulated and measured drain efficiency, power-added efficiency (PAE), and output power for a constant input drive of 30 dBm is shown in Fig. 9, with the PA driven up to its 2-dB compression point. There has been a 100-MHz frequency shift from simulations to measurements, which can be due to

Fig. 9. Drain efficiency, PAE, , and gain over frequency for constant of 30 dBm of the multichannel PA.

inaccuracies in the large-signal model or the modeling of the matching networks in the simulator. Nevertheless, good agreement between the expected and measured performance of the amplifier was achieved. A dip in efficiency can be observed around 1.8 GHz. This is caused by the imprecise placement of the second-harmonic termination while the reactive part of the . Simulated fundamental is low relative to the load-line voltage and current waveforms at three frequencies of 1.9, 2.1, and 2.3 GHz de-embedded at the device current generator plane are shown in Fig. 10. Although the resulting 31% fractional bandwidth is lower than the previously demonstrated 60% [9], due to the nature of the matching network (second harmonic is controlled), this performance can be scaled to other devices and frequencies, as it does not completely rely on the output capacitance of the de. It is also shown that the frequency dispersion of the vice terminations can be overcome under hybrid Class-J operation without the need for complicated matching network architectures [1], [2]. The simplicity of the matching network can also lead to reduced size for the PA module.

2568

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 10. Simulated voltage and current waveforms at the device current generator plane for the multichannel PA, at three frequencies.

Fig. 12. Drain efficiency, PAE, , and gain over frequency of the wideband PA at the 2-dB compression point.

Fig. 11. Measured drain efficiency contours versus output power and frequency of the wideband PA.

Fig. 13. Simulated voltage and current waveforms at the device current generator plane for the wideband PA at three frequencies.

B. Case Study II: Wideband PA The second PA was measured between 0.5 and 2 GHz, under a drain–source voltage of 31 V and 22 mA of quiescent current . Efficiency contours of the PA versus output power and frequency are plotted in Fig. 11. The simulated and measured drain efficiency, PAE, output power, and gain at single-input power-level drive for each frequency point are plotted in Fig. 12. The PA is driven up to its 2-dB compression point for all measurements. Drain efficiency stays above 50% over the bandwidth and output power is between 39–40 dBm. Close agreement between simulation and measurements is achieved over the whole amplifier bandwidth. For frequencies greater than 1.8 GHz, the output power and efficiency decrease. This is a consequence of the decreasing real part of the load-line at the current generator plane. Nevertheless, the amplifier achieves a 113% fractional bandwidth around 1.15 GHz with an average drain efficiency of 58% at the 2-dB compression point over the bandwidth. The achieved performance may not be directly scalable to other devices with different technology and output power capability since the resulting second-harmonic termination at the current generator

plane relies heavily on the output capacitance of the device. Simulated voltage and current waveforms at three frequencies of 0.9, 1.2, and 1.5 GHz de-embedded at the device current generator plane are shown in Fig. 13. C. Linearity Measurements In order to assess the inherent linearity of the Class-J amplifiers, as dictated by theory and compare them to [9], before applying any form of linearization, a 20-MHz LTE signal of 10.42-dB PAPR was fed to the amplifiers over their respective bandwidths. The PAs at each frequency point were driven such that they delivered 30 dBm of average output power and the resulting high and low adjacent channel power ratio (ACPR) as well as the error vector magnitude (EVM) of the quaternary phase-shift keying (QPSK), 16-quadrature amplitude modulation (16-QAM), and 64-QAM constellation was measured. Moreover, the average drain efficiency at each point was calculated. The results of the measurements for the multichannel and wideband amplifier are plotted in Figs. 14 and 15, respectively. The asymmetry between the low and high adjacent channels,

MIMIS et al.: MULTICHANNEL PA DESIGN METHODOLOGY FOR 4G COMMUNICATION SYSTEMS BASED ON HYBRID CLASS-J OPERATION

2569

reason, the resulting linearity needs to be taken into account both in terms of out of band regrowth and in-band through ACPR and EVM measurements. Interestingly, although efficiency at the 2-dB compression point of the multichannel PA is in general higher than the wideband PA over frequency, average efficiency measured with the 10.42-dB PAPR 20-MHz LTE signal is similar for both amplifiers. The good linearity performance of the PAs can be accounted to the integration of the “clipping” contour concept [9] in the output-matching network evaluation method. This allows the effective management of the efficiency, linearity, and bandwidth tradeoff. VI. CONCLUSION

Fig. 14. ACPR, EVM (64-QAM), and average efficiency of the multichannel under a 10.42-dB PAPR 20-MHz LTE PA while delivering 30 dBm of signal.

Fig. 15. ACPR, EVM (64-QAM), and average efficiency of the wideband under a 10.42-dB PAPR 20-MHz LTE PA while delivering 30 dBm of signal.

is considered to be a good indicator for the presence of memory effects and can be seen to be sufficiently low in both amplifiers. The multichannel amplifier between 1.6 and 2.2 GHz has an ACPR between 21.8 to 28.6 dBc, with an EVM between 5.15%–8.35% for the 64-QAM constellation. The of the multi-channel PA is 0.54 dB mean over its bandwidth. The average drain efficiency ranges from 18.7–25%. The wideband PA has an ACPR between 27.5 and 32.6 dBc over its bandwidth. The mean is 0.22 dB, revealing very low asymmetry between the high and low adjacent channels. The 64-QAM constellation EVM over the bandwidth is as low as 1.69%–4.22% showing very good in-band linearity. The average drain efficiency is in between 20.4%–26.9% over frequency. The average efficiency measurement varies not only because of the variable efficiency of the PAs with frequency but also the unequal compression that occurs between each point. For that

A methodology for designing multichannel, wideband, and efficient PAs for 4G communication systems is proposed. This method is based on a step-by-step approach for the design of the output-matching network and the use of a parasitic physical model of the transistor in use. A number of matching networks are generated and evaluated to achieve the specified design targets over a frequency range, based on hybrid Class-J operation. Two general matching architectures were considered, but the method can be implemented with any type of matching network. The approach is verified through two PA implementations based on the resulting matching networks from the described method. Close agreement between simulations and measurements was achieved for both PAs. The first amplifier delivers above 40 dBm with over 55% drain efficiency at the 2-dB compression point between 1.6–2.2 GHz and a worst case ACPR of 21.8 dBc and 8.35% EVM. The second amplifier covers 0.5–1.8 GHz with a minimum of 50% drain efficiency and above 39-dBm output power at the 2-dB compression point, with worst case ACPR and EVM of 27.5 dBc and 4.22%, respectively, over the bandwidth. ACKNOWLEDGMENT The authors would like to thank K. Stevens for his help in manufacturing the amplifiers. The work reported in this paper has formed part of the Green Radio Research Programme of the Virtual Centre of Excellence in Mobile & Personal Communications, Mobile VCE.1 REFERENCES [1] K. Jangheon, F. Mkadem, and S. Boumaiza, “A high efficiency and multi-band/multi-mode power amplifier using a distributed second harmonic termination,” in Proc. IEEE Eur. Microw. Integr. Circuits Conf., Sep. 2010, pp. 420–423. [2] R. Negra, A. Sadeve, S. Bensmida, and F. M. Ghannouchi, “Concurrent dual-band class-F load coupling network for applications at 1.7 and 2.14 GHz,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 55, no. 3, pp. 259–263, Mar. 2008. [3] P. Colantonio, F. Giannini, R. Giofre, and L. Piazzon, “A design technique for concurrent dual-band harmonic tuned power amplifier,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 11, pp. 2545–2555, Nov. 2008. [4] M. P. van der Heijden, M. Acar, and J. S. Vromans, “A compact 12-watt high-efficiency 2.1–2.7 GHz class-E GaN HEMT power amplifier for base stations,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2009, pp. 657–660. 1Fully detailed technical reports on this research are available to Industrial Members of Mobile VCE. [Online]. Available: www.mobilevce.com

2570

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

[5] P. Colantonio, F. Giannini, R. Giofre, and L. Piazzon, “High-efficiency ultra-wideband power amplifier in GaN technology,” IET Electron. Lett., vol. 44, no. 2, pp. 130–130, Jan. 2008. [6] E. Cipriani, P. Colantonio, F. Di Paolo, F. Giannini, R. Giofre, R. Diciomma, B. Orobello, and M. Papi, “A highly efficient octave bandwidth high power amplifier in GaN technology,” in Proc. IEEE Eur. Microw. Integr. Circuits Conf., Oct. 2011, pp. 188–191. [7] A. Sayed and G. Boeck, “5 W highly linear GaN power amplifier with 3.4 GHz bandwidth,” in Proc. Eur. Microw. Conf., 2007, pp. 1429–1432. [8] D. Y.-T. Wu, F. Mkadem, and S. Boumaiza, “Design of a broadband and highly efficient 45 W GaN power amplifier via simplified real frequency technique,” in IEEE MTT-S Int. Microw. Symp. Dig., 2010, pp. 1090–1093. [9] P. Wright, J. Lees, J. Benedikt, P. J. Tasker, and S. C. Cripps, “A methodology for realizing high efficiency class-J in a linear and broadband PA,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 12, pp. 3196–3204, Dec. 2009. [10] V. Carrubba, J. Lees, J. Benedikt, P. J. Tasker, and S. C. Cripps, “A novel highly efficient broadband continuous class-F RFPA delivering 74% average efficiency for an octave bandwidth,” in IEEE MTT-S Int. Microw. Symp. Dig., 2011, pp. 1–4. [11] P. Saad, C. Fager, H. Cao, H. Zirath, and K. Andersson, “Design of a highly efficient 2–4 GHz octave bandwidth GaN-HEMT power amplifier,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 7, pp. 1677–1685, Jul. 2010. [12] K. Chen and D. Peroulis, “Design of highly efficient broadband class-E power amplifier using synthesized low-pass matching networks,” IEEE Trans. on Microw. Theory Tech., vol. 59, no. 12, pp. 3162–3173, Dec. 2011. [13] S. C. Cripps, RF Power Amplifiers for Wireless Communications, 2nd ed. Norwell, MA: Artech House, 2006. [14] V. Carrubba, A. L. Clarke, M. Akmal, J. Lees, J. Benedikt, P. J. Tasker, and S. C. Cripps, “On the extension of the continuous class-F mode power amplifier,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 5, pp. 1294–1303, May 2011. [15] V. Carrubba, A. L. Clarke, S. P. Woodington, W. McGenn, M. Akmal, A. AlMuhaisen, J. Lees, S. C. Cripps, P. J. Tasker, and J. Benedikt, “High-speed device characterization using an active load–pull system and waveform engineering postulator,” in Proc. 77th ARFTG Microw. Meas. Conf., Jun. 2011, pp. 1–4. [16] S. C. Cripps, P. Tasker, A. Clarke, J. Lees, and J. Benedikt, “On the continuity of high efficiency modes in linear RF power amplifiers,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 10, pp. 665–667, Oct. 2009. [17] K. Mimis, K. A. Morris, and J. P. McGeehan, “A 2 GHz GaN class-J power amplifier for base station applications,” in Proc. IEEE Topical Conf. Power Amplifiers for Wireless Radio Applic., Jan. 2011, pp. 5–8. [18] S. Cripps, “A theory for the prediction of GaAs FET load–pull power contours,” in IEEE MTT-S Int. Microw. Symp. Dig,, 1983, pp. 221–223. [19] J. Moon, J. Kim, and B. Kim, “Investigation of a class-J power amplifier with a nonlinear for optimized operation,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 11, pp. 2800–2811, Nov. 2010. [20] K. Mimis, S. Bensmida, K. A. Morris, and J. P. McGeehan, “Continuous harmonically tuned class-B power amplifier: A closed form equation design approach,” presented at the IEEE MTT-S Int. Microw. Symp., Jul. 2012. [21] M. Paynter, S. Bensmida, K. A. Morris, J. McGeehan, M. Akmal, J. Lees, J. Benedict, P. Tasker, and M. Beach, “Non-linear large signal PA modelling for switching-mode operation (class-F/continuous class-F),” in Proc. IEEE Eur. Microw. Conf., Oct. 2011, pp. 152–155. [22] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1997. [23] F. Giannini and L. Scucchia, “A complete class of harmonic matching networks: Synthesis and application,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 3, pp. 612–619, Mar. 2009. Konstantinos Mimis received the B.Sc. degree in automation engineering from the Technological Education Institute of Piraeus, Piraeus, Greece, Athens, Greece, in 2007, and the M.Sc. degree in microelectronic systems engineering from the University of Bristol, Bristol, U.K., in 2008, where he is currently working toward the Ph.D. degree at the Centre for Communications Research. His research interests include high-efficiency broadband power-amplifier design, linearization, and efficiency-enhancement techniques.

Kevin A. Morris received the B.Eng. and Ph.D. degrees in electronics and communications engineering from the University of Bristol, Bristol, U.K., in 1995 and 1999, respectively. He was appointed as a Research Associate with the University of Bristol, Bristol, U.K., in 1998, a Lecturer in radio frequency (RF) engineering in 2001, and a Senior Lecturer in August 2007. Currently, he is involved with a number of research programmes within the U.K. He is the Principle Investigator on a five-year collaborative EPSRC project between the Universities of Cardiff and Bristol. The object of this project is the rigorous design of efficient power amplifiers (PAs) for use in future communications systems. He also leads a three-year industrially funded project in the area of efficient linear amplification design. He has authored or coauthored 45 academic papers and is the joint author of five patents. His research interests are principally in looking at methods of reducing power consumption in communications systems including the area of RF hardware design with specific interest in the design of efficient linear broadband PAs for use within future communications systems.

Souheil Bensmida received the D.E.A. degree in electronics and instrumentation from the University of Pierre and Marie Curie Paris 6, Paris, France, in 2000, and the Ph.D. degree in electronics and communications from the Ecole Nationale Superieure des Telecommunications (ENST), Paris, in 2005. He was a Postdoctoral Fellow between October 2006 and September 2008 with the iRadio Laboratory, University of Calgary, Calgary, AB, Canada. He is now a Research Associate with the University of Bristol, Bristol, U.K. His research interest is the nonlinear characterization and linearization of power amplifiers for mobile and satellite applications and microwave instrumentation.

Joe P. McGeehan received the Ph.D. and D.Eng. degrees in electrical and electronic engineering from the University of Liverpool, Liverpool, U.K., in 1971 and 2003, respectively. He is currently Director of the Centre for Communications Research, University of Bristol, Bristol, U.K., and a Senior General Advisor with Toshiba’s Telecommunications Research Laboratory. Since 1973, he has been researching spectrum-efficient mobile-radio communication systems and has pioneered work in many areas including linearized power amplifiers, WCDMA (3G), and smart antennas. He has served on numerous international committees and was advisor to the UK’s first DTI/MOD ”Defence Spectrum Review Committee” in the late 1970s. Dr. McGeehan is a Fellow of the Royal Academy of Engineering and was awarded a CBE in 2004 for services to the Communications Industry. In 2004, he was listed as one of the world’s top technology agenda setters by silicon.com (USA). He was joint recipient of the IEEE Vehicular Technology Transactions “Neal Shepherd Memorial Award” for work on SMART Antennas, and the IEE Proceedings “Mountbatten Premium” for work on satellite-tracking.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

2571

A CMOS Power Amplifier With a Built-In RF Predistorter for Handset Applications Ki Yong Son, Member, IEEE, Bonhoon Koo, Student Member, IEEE, and Songcheol Hong, Member, IEEE

Abstract—A CMOS power amplifier (PA) with a built-in RF predistorter is proposed to improve the predistortion system efficiency, especially for handset applications. To eliminate the power consumption of an external predistorter and digital-to-analog converters in the control signal paths, the driver stage of the proposed PA has gain and phase control abilities according to two digital control words. This compensates for the distortions of the PA with envelope-dependent gain and phase control. It is implemented in a 0.18- m RF CMOS process. The measurement results show 8.7 dB of gain control range and 49.4 of phase control range, which can compensate for the distortions of the designed PA. Using a WCDMA modulated signal, the PA improves its linear output power from 27.2 to 29.1 dBm and its linear efficiency from 34.8% to 41.1% by RF predistortion. Index Terms—Adjacent channel leakage ratio (ACLR), cascode amplifiers, CMOS, digitally controlled variable-gain amplifier (VGA), envelope, power amplifier (PA), RF predistortion, wideband code division multiple access (WCDMA).

I. INTRODUCTION

T

HE increased demand for a single-chip transceiver makes it more important to be able to implement a power amplifier (PA) using a CMOS process. A CMOS PA is an advantageous solution because of its low cost and high level of integration. However, it suffers from lossy substrate, low quality factor , and low breakdown voltage of active devices. It is still a challenging task to achieve the output power, efficiency, and linearity with a CMOS PA for wireless standards. To overcome these limitations, various linearization techniques have been reported for CMOS PAs [1]–[13]. As a system-level approach, predistortion is also known as one of the most effective linearization methods. It is categorized as digital baseband predistortion [14]–[20] or RF predistortion [21]–[23], according to the operating domain. The predistortion blocks, such as a predistorter, digital-to-analog converters (DACs), and a digital signal processing (DSP) block, require additional power consumption, but that addition is negligible compared with the power consumption of a basestation Manuscript received November 30, 2011; revised April 22, 2012; accepted April 26, 2012. Date of publication June 05, 2012; date of current version July 30, 2012. This work was supported by the Korean Government under National Research Foundation of Korea Grant 2011-006556. K. Y. Son was with the Department of Electrical Engineering, Korea Advanced Institute of Science and Technology (KAIST), Daejeon 305-701, Korea. He is now with the Advanced Product Research and Development Group, Samsung Electronics, Suwon 443-742, Korea (e-mail: [email protected]). B. Koo and S. Hong are with the Department of Electrical Engineering, Korea Advanced Institute of Science and Technology (KAIST), Daejeon 305-701, Korea. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2198230

Fig. 1. Block diagram of an RF predistortion scheme with a conventional PA.

PA. Therefore, research on predistortion methods for basestation applications has focused on predistortion architectures and algorithms [18]–[21]. However, the additional power consumption seriously degrades the system efficiency for a handset PA. To mitigate this problem, several studies have been reported for power-efficient implementation of a predistortion system for handset applications. A baseband-independent RF predistortion module was implemented for cellular phones [22], and a digital predistortion system using a quadrature delta–sigma modulator was presented for low power and low complexity [24]. In addition, a PA with a linearized variable-gain amplifier (VGA) was proposed using heterojunction field-effect transistor (HJFET) [25]. The functions of the designed linearized VGA are power control and distortion compensation. In [26], a CMOS PA with a varactor at its input node was proposed for digitally assisted AM–PM correction. Since the predistortion blocks are implemented in a CMOS process, a CMOS PA has a good opportunity to be integrated with them within a single chip. In this paper, a CMOS PA with a built-in RF predistorter is proposed; this circuit is implemented for an RF predistortion scheme. Since the driver stage of the PA adopts a vector modulator structure, it has gain and phase control abilities according to two digital control words. With the envelope-dependent control words, both the AM–AM and AM–PM distortions of the PA are compensated for. The RF predistortion scheme with the proposed PA avoids the use of predistortion blocks such as external predistorters and DACs in the control signal paths. Since the power consumption of these blocks is eliminated, the proposed predistortion scheme becomes more power efficient, and is especially advantageous for handset applications.

0018-9480/$31.00 © 2012 IEEE

2572

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 3. Calculated system efficiency as a function of maximum linear output % dB, dB). power (

Fig. 2. (a) Block diagram of an RF predistortion scheme with the proposed PA with a built-in RF predistorter. (b) Operation for distortion compensation.

In Section II, an RF predistortion scheme with the proposed PA is presented. It is then compared with a conventional scheme. The system efficiency is also estimated to show that the proposed scheme is efficient and effective for handset applications. In Section III, the circuit configuration of the designed PA is explained in detail. Measurement results are presented in Section IV, and a conclusion is given in Section V. II. PRINCIPLE OF OPERATION A. RF Predistortion Scheme With a Conventional PA If it is assumed to be memoryless, the RF output signal is only a function of the instantaneous RF input signal without hysteresis. Since a conventional PA has fixed AM–AM and AM–PM characteristics, an external predistorter is required to linearize the PA. The gain and phase of the predistorter are controlled according to the envelope of the RF input signal to

Fig. 4. Gain and phase adjustment by vector sum method in the first driver stage of the proposed PA with reduced control range.

have distortion characteristics that are the inverse of those of the PA. As a result, the AM–AM and AM–PM characteristics of the cascaded structure of the predistorter and the PA are linearized. Fig. 1 shows an RF predistortion scheme for a conventional PA. The digitized value of the instantaneous power of the RF input signal is used as an index of the lookup table (LUT). Two control signals corresponding to the index value are applied to the predistorter through two DACs whose sampling frequencies are multiples of the bandwidth of the baseband signal. At each instance, the distortion of the RF output signal of the PA is suppressed by its predistorted RF input signal from the predistorter. The above predistortion scheme is used to increase both the linear output power and the efficiency of the given PA. However, the static power consumption of the predistortion blocks reduces the system power efficiency, which makes it difficult to implement the predistortion system for handset applications.

SON et al.: CMOS PA WITH BUILT-IN RF PREDISTORTER

2573

Fig. 5. Overall schematic of the PA with a built-in RF predistorter.

B. RF Predistortion Scheme With a Proposed PA With a Built-In RF Predistorter Fig. 2(a) shows an RF predistortion scheme for the proposed PA with a built-in RF predistorter. While a conventional PA has fixed AM–AM and AM–PM distortions, the proposed PA has several AM–AM and AM–PM distortions that are controlled according to two external digital control words. If the two control words are bits and bits, respectively, there are curves for AM–AM and AM–PM distortions. This feature is achieved by modifying one of the driver stages, which can change its gain and phase by digital control. With the use of the proposed PA, the external predistorter and the DACs are not required any more, as shown in Fig. 2(a). Therefore, the static power consumption of these blocks can be eliminated in the RF predistortion scheme with the proposed PA. Fig. 2(b) shows the operation for distortion compensation. Without predistortion, which means fixed control words, the AM–AM and AM–PM distortions appear as the input power increases. With predistortion, however, the control words are adjusted according to the envelope of the RF input signal to maintain the constant gain and phase of the PA. This can be described as a shift among several curves of the AM–AM and AM–PM characteristics. As a result, the AM–AM and AM–PM distortions of the proposed PA are compensated for, as shown in Fig. 2(b). In this operation, the compensation resolution is dependent on the minimum step of gain and phase control of the PA. When the gain of the PA is instantaneously increased by the envelope-dependent control, dynamic power is consumed for one of the driver stages. However, this is negligible, compared with the static power consumption of the PA. Therefore, in the view of a whole system, an RF predistortion scheme with the proposed PA is more efficient than that with a conventional PA. C. Estimation of System Efficiency Three predistortion schemes, as well as a PA without predistortion, will be compared in terms of their system power efficiency: a PA without predistortion, an RF predistortion scheme

with the proposed PA (proposed RFPD), an RF predistortion scheme with a conventional PA (conventional RFPD), and a digital baseband predistortion scheme with a conventional PA (DPD). The system efficiency can be estimated by (1) is the output power (in dBm) of the PA, where is the power consumption of the PA, and is the power consumption of the predistortion blocks. The power consumption of the class-AB PA at arbitrary output power is estimated by

(2) where and are the peak efficiency and the saturated output power (in dBm) of the PA. The constant power consumption of the predistortion blocks includes ones at the predistorter, the DACs in control signal paths, and the DSP block, respectively. In this estimation, the power consumption of the feedback part is omitted. For the analysis, it is assumed that the power consumptions of a digital predistorter, an RF predistorter, and the DACs are 1.5, 0.6, and 0.3 W, respectively [27].1 With a WCDMA input signal whose bandwidth is 3.84 MHz, the power consumption of the DSP block is assumed from the data to be 0.1 W [27]; in that, it is proportional to the operating speed. These values can be changed for real implementation cases, but the tendency can be understood throughout the analysis. The power consumption of the predistortion blocks is calculated according to the predistortion schemes. For the digital predistortion scheme, the DACs in Fig. 1 are used in the transmit path, not in the control signal paths. Also, is zero for a PA without predistortion. 1AD8341: 1.5–2.4-GHz RF vector modulator, Analog Devices Inc., Norwood, MA.

2574

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 7. Microphotograph of the CMOS PA and IPD transformer after bondingwire connections were made.

By substituting in (1) with in (3), the required system efficiency for achieving the given maximum linear output power is obtained according to the various predistortion schemes, as shown in Fig. 3. In Fig. 3, an RF predistortion scheme with the proposed PA is most efficient for achieving the linear output power of more than 20 dBm. The system efficiency estimation above is different from the real cases. First, the power consumption values of the predistortion blocks are not the exact values for the monolithic implementation for a single-chip radio. Second, the definition of maximum linear output power is also different from the specifications of the wireless standards. In the estimation, the linear output power is the output power at which even signal clipping does not take place at all; this requirement is stricter than the real cases. However, the estimation implies that the RF predistortion scheme with the proposed PA can yield power-efficient implementation for handset applications. III. CIRCUIT DESIGN

Fig. 6. Schematic of the digitally controlled VGA.

To relate the system power consumption and the linearity improvement, the maximum linear output power should be included in (1). For this analysis, the linear output power is defined as the power level whose output signal does not have any distortions, including signal clipping phenomena. If the linearity improvement is assumed to be the same regardless of the schemes, the maximum linear output power (in dBm) of the PA is defined as (3) is the peak-to-average ratio of the RF input signal where and is the additional backoff required to achieve the linear amplifications without predistortion. In other words, is regarded as the linearity improvement by predistortion, and has zero value with any predistortion schemes.

As mentioned in Section II, the proposed PA has gain and phase control abilities according to two digital control words, which are an I-path control word (ICW) and a Q-path control word (QCW). The first driver stage of the PA has a structure similar to that of a vector modulator [28], [29] so that it can change its gain and phase by the vector sum method. Fig. 4 describes the vector sum method on the polar plot for gain and phase control. While a conventional vector modulator has a gain control range of tens of decibels and 360 phase control range, the first driver stage has reduced gain and phase control range in order to relieve the tradeoff between the control range and the control resolution with a fixed number of digital bits. In this design, the number of bits of the control words is reduced with the reduced control range, while the control resolution is maintained. To reduce the gain and phase control range of the first driver stage, the difference between the maximum and minimum gains of the gain control block should be reduced, as shown in Fig. 4. In addition, this gain reduction simplifies the design of the gain control blocks in the first driver stage. As a result, the reduced control range appears as the dark rectangular region on the polar

SON et al.: CMOS PA WITH BUILT-IN RF PREDISTORTER

2575

Fig. 8. Small-signal gain and phase variations of the designed PA at 1.95 GHz with respect to control words.

Fig. 9. Polar plot of small-signal complex gains of the designed PA at 1.95 GHz under selective points.

plot. According to the simulation results of the AM–AM and AM–PM distortions of the PA, the gain and phase control range are chosen to be approximately 9 dB and 50 , respectively. Using this concept, a 1.95-GHz PA was designed in a 0.18- m RF CMOS process. Fig. 5 shows the overall schematic of the designed PA. The first driver stage consists of a quadrature signal generator, two parallel gain control blocks, and an outphase combiner. Quadrature signal generators using CMOS process were reported in previous works [30]–[33], and the polyphase circuit [33] is used in this work. This circuit generates the differential quadrature signal to drive the two parallel gain control blocks. A digitally controlled VGA is designed for the gain control block, as shown in Fig. 6. It has six parallel gain cells with their input and output nodes combined. Each gain cell is designed as a differential cascode amplifier; each cell’s common-source (CS) and common-gate (CG) devices are thin gate–oxide nMOS and

Fig. 10. AM–AM and AM–PM distortions at 1.95 GHz under different gain conditions. (a) At maximum gain and phase. (b) At medium gain and phase. (c) At mimimum gain and phase.

thick gate–oxide nMOS, respectively. One gain cell is always turned on to drive the second driver stage regardless of the digital control words. The other five gain cells are binary weighted and controlled by a 5-bit digital control word. Therefore, the VGA achieves gain control by controlling the bias current. If the 5 bits of control words are and , the total bias current of the VGA is expressed by

2576

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 11. Gain and PAE as functions of output power with a CW input signal at 1.95 GHz under minimum gain condition.

Fig. 13. Measured ACLRs (at 5-MHz offset) and PAEs as functions of average output power with a WCDMA modulated input signal at 1.95 GHz under different gain conditions.

Fig. 12. Measured gain and PAE as functions of output power with a CW input signal at 1.95 GHz under different gain conditions. Fig. 14. Measured AM–AM and AM–PM distortions at 1.95 GHz with both fixed and adaptive envelope-dependent control words at finite number of points.

(4) is the bias current of the default cell that is always where turned on. Each control bit is connected to the gate of the CG device through the switch. The switches, shown in Fig. 6, are designed using thick gate–oxide nMOS and pMOS. In Fig. 5, instead of a Wilkinson combiner, the outphase combiner for the vector sum operation is implemented by a current-combining method to reduce the chip area. It is designed by connecting the drain nodes of two VGAs from different signal paths. The second driver stage and the power stage have differential cascode structures, whose CS and CG devices are thin gate–oxide nMOS and thick gate–oxide nMOS, respectively. They are biased as class-AB. Also, the R–C feedback between the gate of the CS device and the drain of the CG device is used for better stability [34]. In addition, circuit-level linearization techniques are used in the design of the power stage. The envelope injection circuit and the bias circuit of the CG devices are used to improve the linearity of the power stage [12]. The bias circuit for the gate of CG devices is used to reduce the sideband asymmetry in adjacent channel leakage ratio (ACLR). Finally, the output matching network consists of the output transformer with the capacitors. It transforms the external 50

to the desired load impedance of the power stage with differential-to-single-ended conversion. It is implemented in the integrated passive device (IPD) process, which provides a quality factor higher than that of the CMOS process [35]–[41]. The insertion loss of the output matching network was found in a simulation to be only 0.77 dB at 1.95 GHz. Therefore, the use of an IPD transformer as an output matching network improves the efficiency of the PA. IV. MEASUREMENT Fig. 7 shows the implemented CMOS PA and the IPD output transformer after bonding-wire connections were made. The sizes of the CMOS and IPD chips are 2.5 mm 1.1 mm and 1.3 mm 1.3 mm, respectively. Since the layout of the CMOS chip is pad limited, the size can be further reduced if it is integrated with a transceiver. The chips are attached to the ground region of an FR-4 printed circuit board (PCB). During measurement, the input and output 50- microstrip lines of the PCB are de-embedded. A. Small-Signal Measurement Fig. 8 shows the measured and simulated variation of the gain and phase of the designed PA at 1.95 GHz with respect to the

SON et al.: CMOS PA WITH BUILT-IN RF PREDISTORTER

2577

Fig. 15. Control word synthesis according to input power.

Fig. 16. Measured ACLRs (at both 5- and 10-MHz offsets) and PAE as functions of average output power with a WCDMA modulated input signal at 1.95 GHz with and without predistortion.

same control words. When the PA is controlled by the same control words , its gain and phase variation are expected to be the same as those of one gain control block. When the control words are adjusted from 0 to 31, the gain increases from 23.5 to 32.1 dB, while the phase variation is less than 2.4 . The control step, by one least significant bit (LSB), varies between 0.13–0.4 dB. Actually, the constant phase characteristics of VGA are required for proper vector summation. Fig. 9 shows the polar plot of the complex gain of the designed PA at 1.95 GHz. Since two 5-bit control words are used, 1024 2 conditions of different complex gain are possible for the PA. In Fig. 9, however, the complex gains at the selective 81 points are plotted to describe the gain and phase control range, with four corner conditions. The measurement results show 8.7 dB of gain control range and 49.4 of phase control range, which can compensate for the distortions of the PA. B. Measurement of the PA Characteristics Fig. 10 shows the measured and simulated AM–AM distortions of the PA at 1.95 GHz under different gain conditions with the measured and simulated AM–PM distortions of the PA at 1.95 GHz under different phase conditions. The proposed PA has multiple AM–AM and AM–PM characteristics, and the amount of distortion is almost the same with any digital control

Fig. 17. Measured WCDMA output spectra and ACLRs at average output power of 29.1 dBm. (a) Without predistortion. (b) With predistortion.

TABLE I COMPARISON OF THE ESTIMATED SYSTEM EFFICIENCY USING DESIGNED PROTOTYPE

words. As a result, the 1024 curves are vertically paralleled with shapes similar to those in Fig. 10. The discrepancies are shown between the simulated and measured characteristics. As a result, the distortions are more severe in the measured results than the simulated ones, which require more gain and phase control range in the first driver stage. Since the control range in Fig. 9 is wider than the AM–AM and AM–PM distortions, these characteristics, along with the envelope-dependent control, make it possible to compensate for the distortion of the PA itself.

2578

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

TABLE II PERFORMANCE COMPARISON OF RECENTLY REPORTED CMOS PAs FOR WCDMA HANDSET APPLICATIONS

Fig. 11 shows the measured and simulated gain and power-added efficiency (PAE) with a continuous wave (CW) input signal at 1.95 GHz with the minimum gain condition. The measured peak PAE and the saturated output power are 43.1% and 30.8 dBm, respectively. Fig. 12 shows the measured gain and PAE with a CW input signal at 1.95 GHz under different gain conditions. Measured peak efficiencies at different conditions are between 43.1%–43.8%, and measured saturated output powers are between 30.8 –30.9 dBm. This shows that the characteristics of the PA with a CW input signal remain the same regardless of the values of the gain and phase. Fig. 13 shows the measured ACLR and PAE with a WCDMA modulated input signal at 1.95 GHz under different gain conditions. The linearity requirements for WCDMA handset PAs are ACLRs less than 33 and 43 dBc at 5- and 10-MHz offsets, respectively. However, only ACLR at 5-MHz offset is shown in Fig. 13. The measured maximum linear output powers at different gain conditions are between 27.1–27.4 dBm, and the measured maximum linear PAEs are between 33.4%–34.7%. This shows that the linearty characteristics of the PA remain the same regardless of the values of the gain and phase. C. Predistortion Experiment Robust distortion compensation can be achieved using the closed-loop architecture [16]–[23]. It alleviates the effects of predistortion uncertainty and process variations. In this work, however, the open-loop predistortion experiment is done to verify the operation of the designed PA. The open-loop predistortion scheme does not require the parameter-estimation algorithms in digital circuits so that the system complexity and cost are reduced [42]. In the measurement setup, a WCDMA modulated input signal is applied to the input of the PA, and the envelope-dependent control words are applied to the first drvier stage. The timing mismatch between the two paths is adjusted by giving a time delay to the WCDMA input signal within the signal generator. The bandwidth of the WCDMA

input signal is 3.84 MHz, and the sampling frequency of the two control words is 30.72 MHz, eight times the bandwidth of the input signal. To determine the envelope-dependent control words, the AM–AM and AM–PM distortions of the PA are measured with both the fixed control words and the adaptive envelope-dependent control words at 1.95 GHz. The adaptive control is done manually to obtain the table of control words at a finite number of points. As a result, reduced AM–AM and AM–PM distortions are achieved at 1.95 GHz, as shown in Fig. 14. Fig. 15 shows the results of the control word synthesis. The control words for arbitrary input power are calculated using the information on finite measured points. If the instantaneous input power is between the measured points, the control words at the point are estimated by the polynomial approximation. Fig. 16 shows the measured ACLRs and PAEs with a WCDMA modulated input signal at 1.95 GHz with and without predistortion. For each measured output power, control words are synthesized using MATLAB and are dumped into the data generator. At the average output power of less than 24 dBm, ACLRs are the same regardless of predistortion. This is because the AM–AM and AM–PM distortions in that region are similar for the cases with and without predistortion, as shown in Fig. 14. As the average output power increases, the linearity improvement by predistortion appears to be due to the distortion compensation by the envelope-dependent control words shown in Fig. 16. However, the ACLR at 10-MHz offset is degraded due to the interpolation errors of the open-loop predistortion scheme, which is related to the coarse LUT [43]. The measured PAEs are similar for the cases with and without predistortion, implying that the dynamic power consumption of the first driver stage is negligible compared with the static power consumption of the overall PA. The maximum linear output power and PAE, without predistortion, are 27.2 dBm and 34.8%, respectively. The maximum linear output power and PAE with predistortion are 29.1 dBm

SON et al.: CMOS PA WITH BUILT-IN RF PREDISTORTER

and 41.1%, values of which show a linearity improvement of 1.9 dB and 6.3%, respectively. Fig. 17 shows the measured output spectra with and without predistortion at the average output power of 29.1 dBm. At this output power, the ACLR at low and high 5-MHz offsets are 27 and 26.4 dBc without predistortion. With predistortion, the ACLR at low and high 5-MHz offsets are 39.1 and 36.4 dBc, whose improvements are 13 and 10 dB, respectively. Table I shows the estimated system efficiency with the designed PA. The assumptions and equations for the estimation are the same as those given in Section II. The results show that the RF predistortion of the designed PA is an efficient and effective scheme to implement the predistortion system for handset applications. Table II summarizes the measurement results and compares them with the previously reported results for CMOS PAs for WCDMA handset applications. V. CONCLUSION A PA with a built-in RF predistorter is proposed and implemented in a 0.18- m RF CMOS process. It incorporates the function of a digitally controlled predistorter in the first driver stage in order to simplify the RF predistortion scheme. The designed PA with the envelope-dependent control shows the maximum linear output power of 29.1 dBm and PAE of 41.1%. The experiment proves the linearity improvement with small additional power consumption of the predistortion blocks. This can be an attractive solution for linearizing handset PAs. ACKNOWLEDGMENT The authors would like to thank Dr. H.-Y. Lee and Dr. J.-S. Paek, both with Samsung Electronics, Suwon, Korea, for their advice on the measurement setup. REFERENCES [1] C.-C. Yen and H.-R. Chuang, “A 0.25- m 20-dBm 2.4-GHz CMOS power amplifier with an integrated diode linearizer,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 2, pp. 45–47, Feb. 2003. [2] C. Wang, M. Vaidyanathan, and L. E. Larson, “A capacitance-compensation technique for improved linearity in CMOS class-AB power amplifiers,” IEEE J. Solid-State Circuits, vol. 39, no. 11, pp. 1927–1937, Nov. 2004. [3] Y. Ding and R. Harjani, “A high-efficiency CMOS 22-dBm linear power amplifier,” IEEE J. Solid-State Circuits, vol. 40, no. 9, pp. 1895–1900, Sep. 2005. [4] J. Kang, A. Hajimiri, and B. Kim, “A single-chip linear CMOS power amplifier for 2.4 GHz WLAN,” in IEEE Int. Solid-State Circuits Tech. Dig., Feb. 2006, pp. 761–769. [5] J. Kang, D. Yu, Y. Yang, and B. Kim, “Highly linear 0.18- m CMOS power amplifier with deep n-well structure,” IEEE J. Solid-State Circuits, vol. 41, no. 5, pp. 1073–1080, May 2006. [6] J. Kang, J. Yoon, K. Min, D. Yu, J. Nam, Y. Yang, and B. Kim, “A highly linear and efficient differential CMOS power amplifier with harmonic control,” IEEE J. Solid-State Circuits, vol. 41, no. 6, pp. 1314–1322, Jun. 2006. [7] S.-H. Baek, C. Park, and S. Hong, “A fully integrated 5-GHz CMOS power amplifier for IEEE 802.11a WLAN applications,” J. Semicond. Technol. Sci., vol. 7, no. 2, pp. 98–101, Jun. 2007. [8] C. Lu, A.-V. H. Pham, M. Shaw, and C. Saint, “Linearization of CMOS broadband power amplifiers through combined multigated transistors and capacitance compensation,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 11, pp. 2320–2328, Nov. 2007. [9] H. Jeon, K.-S. Lee, O. Lee, K. H. An, Y. Yoon, H. Kim, D. H. Lee, J. Lee, C.-H. Lee, and J. Laskar, “A 40% PAE linear CMOS power amplifier with feedback bias technique for WCDMA applications,” in IEEE RFIC Symp. Dig., May 2009, pp. 561–564.

2579

[10] C.-C. Huang and W.-C. Lin, “A compact high-efficiency CMOS power amplifier with built-in linearizer,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 9, pp. 587–589, Sep. 2009. [11] D. Chowdhury, C. D. Hull, O. B. Degani, Y. Wang, and A. M. Niknejad, “A fully integrated dual-mode highly linear 2.4 GHz CMOS power amplifier for 4G WiMAX applications,” IEEE J. Solid-State Circuits, vol. 44, no. 12, pp. 3393–3402, Dec. 2009. [12] B. Koo, Y. Na, and S. Hong, “Integrated bias circuits of RF CMOS cascode power amplifier for linearity enhancement,” IEEE Trans. Microw. Theory Tech., vol. 60, no. 2, pp. 340–351, Feb. 2012. [13] S. Leuschner, J.-E. Mueller, and H. Klar, “A 1.8 GHz wideband stacked-cascode CMOS power amplifier for WCDMA applications in 65 nm standard CMOS,” in IEEE RFIC Symp. Dig., Jun. 2011, pp. 1–4. [14] P. M. Asbeck, L. E. Larson, and I. G. Galton, “Synergetic design of DSP and power amplifiers for wireless communications,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 11, pp. 2163–2169, Nov. 2001. [15] F. Wang, D. F. Kimball, J. D. Popp, A. H. Yang, D. Y. Lie, P. M. Asbeck, and L. E. Larson, “An improved power-added efficiency 19-dBm hybrid envelope elimination and restoration power amplifier for 802.11g WLAN applications,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 12, pp. 4086–4099, Dec. 2006. [16] J. Kim, Y. Y. Woo, J. Moon, and B. Kim, “A new wideband adaptive digital predistortion technique employing feedback linearization,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 2, pp. 385–392, Feb. 2008. [17] C. D. Presti, F. Carrara, A. Scuderi, P. M. Asbeck, and G. Palmisano, “A 25 dBm digitally modulated CMOS power amplifier for WCDMA/ EDGE/OFDM with adaptive digital predistortion and efficient power control,” IEEE J. Solid-State Circuits, vol. 44, no. 7, pp. 1883–1896, Jul. 2009. [18] E. G. Jeckeln, F. M. Ghannouchi, and M. A. Sawan, “A new adaptive predistortion technique using software-defined radio and DSP technologies suitable for base station 3G power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 9, pp. 2139–2147, Sep. 2004. [19] O. Hammi, S. Carichner, B. Vassilakis, and F. M. Ghannouchi, “Synergetic crest factor reduction and baseband digital predistortion for adaptive 3G Doherty power amplifier linearizer design,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 11, pp. 2602–2608, Nov. 2008. [20] J. Jeong, D. F. Kimball, M. Kwak, C. Hsia, P. Draxler, and P. M. Asbeck, “Wideband envelope tracking power amplifiers with reduced bandwidth power supply waveforms and adaptive digital predistortion techniques,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 12, pp. 3307–3314, Dec. 2009. [21] S. Boumaiza, J. Li, M. Jaidane-Saidane, and F. M. Ghannouchi, “Adaptive digital/RF predistortion using a nonuniform LUT indexing function with built-in dependence on the amplifier nonlinearity,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 12, pp. 2670–2677, Dec. 2004. [22] S. Kusunoki, K. Yamamoto, T. Hatsugai, H. Nagaoka, K. Tagami, N. Tominaga, K. Osawa, K. Tanabe, S. Sakurai, and T. Iida, “Power amplifier module with digital adaptive predistortion for cellular phones,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2979–2986, Dec. 2002. [23] W. Woo, M. D. Miller, and J. S. Kenney, “A hybrid digital/RF envelope predistortion linearization system for power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 229–237, Jan. 2005. [24] S. Chung and J. L. Dawson, “Digital predistortion using quadrature modulation with fast adaptation for WLAN power amplifiers,” in IEEE MTT-S Int. Microw, Symp. Dig., Jun. 2011, pp. 1–4. [25] G. Hau, T. B. Nishimura, and N. Iwata, “High efficiency, wide dynamic range variable gain and power amplifier MMICs for wideband CDMA handsets,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 1, pp. 13–15, Jan. 2001. [26] Y. Palaskas, S. S. Taylor, S. Pellerano, I. Rippke, R. Bishop, A. Ravi, H. Lakdawala, and K. Soumyanath, “A 5-GHz 20-dBm power amplifier with digitally assisted AM–PM correction in a 90-nm CMOS process,” IEEE J. Solid-State Circuits, vol. 41, no. 8, pp. 1757–1763, Aug. 2006. [27] M. Ouzillou, “Linearize power amps with RF predistortion,” Scintera, Sunnyvale, CA, Aug. 2011. [Online]. Available: http://www.scintera.com [28] J. Paramesh, R. Bishop, K. Soumyanath, and D. J. Allstot, “A four-antenna receiver in 90-nm CMOS for beamforming and spatial diversity,” IEEE J. Solid-State Circuits, vol. 40, no. 12, pp. 2515–2524, Dec. 2005.

2580

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

[29] A. Afsahi, J. J. Rael, A. Behzad, H.-M. Chien, M. Pan, S. Au, A. Ojo, C. P. Lee, S. B. Anand, K. Chien, S. Wu, R. Roufoogaran, A. Zolfaghari, J. C. Leete, L. Tran, K. A. Carter, M. Nariman, K. W.-K. Yeung, W. Morton, M. Gonikberg, M. Seth, M. Forbes, J. Pattin, L. Gutierrez, S. Ranganathan, N. Li, E. Blecker, J. Lin, T. Kwan, R. Zhu, M. Chambers, M. Rofougaran, A. Rofougaran, J. Trachewsky, and P. V. Rooyen, “A low-power single-weight-combiner 802.11 abg SoC in 0.13 m CMOS for embedded applications utilizing an area and power efficient Cartesian phase shifter and mixer circuit,” IEEE J. Solid-State Circuits, vol. 43, no. 5, pp. 1101–1118, May 2008. [30] K.-J. Koh and G. M. Rebeiz, “0.13- m CMOS phase shifters for -, -, and -band phased arrays,” IEEE J. Solid-State Circuits, vol. 42, no. 11, pp. 2535–2546, Nov. 2007. [31] T. Yu and G. M. Rebeiz, “A 24 GHz 6-bit CMOS phased-array receiver,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 6, pp. 422–424, Jun. 2008. [32] D. Ozis, J. Paramesh, and D. J. Allstot, “Integrated quadrature couplers and their application in image-reject receivers,” IEEE J. Solid-State Circuits, vol. 44, no. 5, pp. 1464–1476, May 2009. [33] N. Wongkomet, L. Tee, and P. R. Gray, “A 31.5 dBm CMOS RF Doherty power amplifier for wireless communications,” IEEE J. SolidState Circuits, vol. 41, no. 12, pp. 2852–2859, Dec. 2006. [34] J. Kim, Y. Yoon, H. Kim, K. H. Ahn, W. Kim, H.-W. Kim, C.-H. Lee, and K. T. Kornegay, “A linear multi-mode CMOS power amplifier with discrete resizing and concurrent power combining structure,” IEEE J. Solid-State Circuits, vol. 46, no. 5, pp. 1034–1048, May 2011. [35] C.-H. Lee, J. J. Chang, K. S. Yang, K. H. An, I. Lee, K. Kim, J. Nam, Y. Kim, and H. Kim, “A highly efficient GSM/GPRS quad-band CMOS PA module,” in IEEE RFIC Symp. Dig., Jun. 2009, pp. 229–232. [36] H. Lee, C. Park, and S. Hong, “A quasi-four-pair class-E CMOS RF power amplifier with an integrated passive device transformer,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 4, pp. 752–759, Apr. 2009. [37] K. Y. Son, C. Park, and S. Hong, “A 1.8-GHz CMOS power amplifier using stacked nMOS and pMOS structures for high-voltage operation,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 11, pp. 2652–2660, Nov. 2009. [38] H. Lee, H.-Y. Lee, J.-S. Paek, and S. Hong, “A CMOS power amplifier for multi-mode LINC architecture,” in IEEE Radio Wireless Symp. Dig., Jan. 2010, pp. 41–44. [39] T. Joo, H. Lee, S. Shim, and S. Hong, “CMOS RF power amplifier for UHF stationary RFID reader,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 2, pp. 106–108, Feb. 2010. [40] W. Kim, K. S. Yang, J. Han, J. Chang, and C.-H. Lee, “An EDGE/GSM quad-band CMOS power amplifier,” in IEEE Int. Solid-State Circuits Conf. Dig., Feb. 2011, pp. 430–432. [41] S. Shim and S. Hong, “A CMOS power amplifier with integrated-passive-device spiral-shaped directional coupler for mobile UHF RFID reader,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 11, pp. 2888–2897, Nov. 2011. [42] A. Zhu, P. J. Draxler, J. J. Yan, T. J. Brazil, D. F. Kimball, and P. M. Asbeck, “Open-loop digital predistorter for RF power amplifiers using dynamic deviation reduction-based Volterra series,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 7, pp. 1524–1534, Jul. 2008.

[43] S. Chung, J. W. Holloway, and J. L. Dawson, “Open-loop digital predistortion using Cartesian feedback for adaptive RF power amplifier linearization,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 1449–1452. [44] S. Pornpromlikit, J. Jeong, C. D. Presti, A. Scuderi, and P. M. Asbeck, “A watt-level stacked-FET linear power amplifier in silicon-on-insulator CMOS,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 1, pp. 57–64, Jan. 2010.

Ki Yong Son (S’06–M’12) received the B.S., M.S., and Ph.D. degrees in electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2005, 2007, and 2012, respectively. In 2012, he joined the Advanced Product Research and Development Group, Samsung Electronics, Suwon, Korea. His research interests include CMOS PA design for mobile applications, RF PA linearization, and digital/RF predistortion systems.

Bonhoon Koo (S’06) received the B.S. degree in electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2006, and is currently working toward the Ph.D. degree at KAIST. His research interests include CMOS RF PA design for mobile applications, analysis of the nonlinearities of RF PAs, linearization techniques, and efficiency enhancement techniques.

Songcheol Hong (S’87–M’88) received the B.S. and M.S. degrees in electronics from Seoul National University, Seoul, Korea, in 1982 and 1984, respectively, and the Ph.D. degree in electrical engineering from The University of Michigan at Ann Arbor, in 1989. In May 1989, he joined the faculty of the Department of Electrical Engineering and Computer Science, Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea. In 1997, he held short visiting professorships with Stanford University, Palo Alto, CA, and Samsung Microwave Semiconductor, Suwon, Korea. His research interests are microwave integrated circuits and systems including PAs for mobile communications, miniaturized radar, and millimeter-wave frequency synthesizers, as well as novel semiconductor devices.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

2581

A Compact Linear 60-GHz PA With 29.2% PAE Operating at Weak Avalanche Area in SiGe Yaoming Sun, Gerhard G. Fischer, and J. Christoph Scheytt, Member, IEEE

Abstract—This paper presents a 60-GHz SiGe PA with a 29.2% power-added efficiency (PAE), a peak power of 16.8 dBm, and a of 14 dBm. Its measured peak output 1-dB compression gain is 13.5 dB at 60 GHz with a corresponding 3-dB bandwidth of 47–74 GHz. The PAE is above 25% with a supply voltage from 2.2 to 4 V. A cascode stage has been analyzed and used as the amplifier core. The high PAE is achieved by pushing the upper transistor of the cascode stage to weak avalanche area and correct transistor sizing. The linearity is achieved by optimizing the input matching and emitter degeneration. Safe operation conditions of heterojunction bipolar transistors at dc and high frequencies have been investigated at weak avalanche area. A safe operation boundary for high frequencies is given based on our experimental results and analytical derivations. Large-signal stress tests have shown there is no performance degradation and have proved the validity of this safe operation boundary. Index Terms—Avalanche, cascode, dynamic load line, linearity, millimeter wave, power-added efficiency (PAE), power amplifier (PA), safe operation boundary, SiGe BiCMOS, 60 GHz.

I. INTRODUCTION

R

ECENT development in SiGe BiCMOS technologies has dramatically increased the speed of heterojunction bipolar transistors (HBTs) [1], which enables the single chip solutions for millimeter-wave (mmWave) wireless applications [2], [3]. However, the speed is achieved by sacrificing the breakdown voltage due to the increased impact-ionization from the high collector doping [4]. This imposes a fundamental obstacle in designing high-performance power amplifiers (PAs). With these devices, high current is required to deliver high output power. As a result, the output impedance is reduced and a strong resonant output matching is needed, which increases the loss at the output thus reducing the PAE [5]. In order to achieve a sufficient output power, beam-forming technique can be used to spatially combine the output power of many PAs without loss [6], [7], but the overall PAE is strictly limited by the single PA element. Thus, the overall PAE of a mmWave transmitter is significantly lower than their low-frequency counterparts. Manuscript received November 30, 2011; revised May 11, 2012; accepted May 11, 2012. Date of publication July 10, 2012; date of current version July 30, 2012. This work was supported in part by EC FP7 within the project of SUCCESS under Grant FP7-ICT-248120. Y. Sun and G. G. Fischer are with IHP Microelectronics, 15236 Frankfurt, Germany. C. J. Scheytt is with the Heinz Nixdorf Institute, University of Paderborn, 33102 Paderborn, Germany. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2202684

The collector-base breakdown voltage with emitter open (BVcbo) is normally a few times higher than the collector-emitter breakdown voltage with base open (BVceo). Therefore, a common-base (CB) topology is preferable since its output breakdown is limited by BVcbo. At mmWave frequencies, a cascode topology is often used to increase the power gain and output power [8], [9]. Increasing the output collector voltage swing close to BVcbo implies that the CB transistor is working at weak avalanche area. Cascode core circuit has been investigated under large signal aggressively biased conditions [10]. In [10] it was shown that the catastrophic failure occurs when the instantaneous collector voltage exceeds a critical voltage, which is closely related to the BVcbo of the CB transistor. However at mmWave and sub-mmWave frequencies, it remains obscure to designers that to which extent into avalanche area an HBT can safely operate because load-pull measurements are not available. An upper boundary of HBT operation is proposed in this paper for high frequencies based on our observations, analytical derivations, and simulations. The linearity and output power in a CE amplifier can be optimized separately at the input and output by phase compensation techniques [11]. Such a PA can achieve a high-linearity and a high-output PAE simultaneously. In a cascode amplifier, similar behavior has also been observed in our PA. In this paper, we have designed a 60-GHz driver amplifier for a 122-GHz harmonic radar system in a 130-nm SiGe BiCMOS technology. It features a single-stage cascode topology, which is designed to operate at weak avalanche area for high PAE and to investigate the RF stressing behavior. At the target 3.3-V dc supply, transistor failure has not been observed. It achieves a peak output power of 16.8 dBm, an of 14 dBm, and a peak PAE of 29.2%. When further increasing the supply voltage up to 4 V, the PA can still survive provided the dc current is reduced accordingly to avoid a strong avalanche current and the collector-base junction breakdown. II. TECHNOLOGY AND POWER DEVICES The technology used in this design is a 130-nm SiGe [1]. BiCMOS, where HBTs feature 300/250 GHz Multiple-finger devices are used in power applications, and each finger has an area of 0.48 0.84 m . The peak is obtained at 0.9 mA per finger. The voltages of BVceo and BVcbo are 1.75 and 5 V, respectively. For the HBTs, a sophisticated scaled vertical bipolar inter-company (VBIC) model to account for up to eight fingers and different emitter lengths has been provided by the foundry design kit. Besides high-speed HBTs, there are polysilicon resistors, metal–insulator–metal (MIM) capacitors, standard CMOS transistors, and seven

0018-9480/$31.00 © 2012 IEEE

2582

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 1. Simplified substrate definition for inductive passive modeling (not to scale).

aluminum metal layers for inductive routing available. The top metal layer (TM2) has a thickness of 3 m, and the second metal layer (TM1) has a thickness of 2 m. They can be used for high-performance inductive components. The silicon bulk has a resistivity of 50 cm. The distances from the bottom of TM2 to the top of TM1, to the top of the lowest metal layer (M1), and to silicon bulk are 3, 10, and 11 m, respectively. M1 has a thickness of 400 nm. Silicon dioxide is grown above the silicon bulk and between the metal layers. A simplified cross section of the process for inductive passive modeling is shown in Fig. 1. Since the power device will be in operation at collector voltages well beyond BVceo, it has to be checked that the provided compact model provides a reasonable description of the HBT behavior in this critical region. Above BVceo, an excess current is being created by avalanche multiplication of carriers in the base-collector (BC) space charge region [12]. VBIC takes care of this by introducing a weak avalanche BC current component [13]

where , , , and are excess avalanche current, forward transport current, BC current, and inner BC voltage, respectively. Model parameters and represent built-in potential and grading coefficient of the BC junction, whereas the empirical parameters and are introduced to account for avalanche simulation only. VBIC model simulation results and experimental output characteristics of an 8 finger HBT with 0.84- m emitter length are shown in Fig. 2 and indicate the good correspondence between simulation and measurement within the avalanche region. Large-signal measurement for a cascode stage has not been performed for the used HBT. The dc characteristic of an eightfinger power device has been simulated up to BVcbo in a CB configuration and its – curve has been plotted in Fig. 3. The base voltage is fixed at 1.8 V corresponding to a 6.8-V breakdown voltage, . Base current reversal (BCR) points are connected to form a BCR curve (where base current is 0). BCR curve is a good indication of the onset of avalanche current because the reverse current of the BC junction is already comparable to the base current. A maximal dc load line of the CB transistor is added to – curve, where the voltage is limited from knee voltage to BVcbo. The quiescent current is set to

Fig. 2. Simulated and experimental (o) output characteristics at constant base current of an eight-finger HBT.

Fig. 3. Simulated – curve of a CB stage with an eight-finger power device in a cascode configuration; avalanche current becomes noticeable at the right-hand side of the BCR curve.

, which is the current corresponding to peak and is recommended for high speed operations by the foundry. For the given CB load line, the collector current is reduced with collector voltage increase, resulting in a reduced avalanche current. For comparison purposes, the dc load line of a CE stage is also drawn in Fig. 3, where the highest voltage is set to BVceo. In reality, the collector-emitter voltage of a CE stage can be slightly higher than BVceo because the base is not open in a real design. However, it is still significantly lower than BVcbo. This is the reason why the mmWave PA design is in strong favor of CB configurations. The knee voltage keeps constant for a fixed current since it is caused by the parasitic resistances of the inner transistor. The highest knee voltage is 0.4 V and corresponds to about 25% and 7% of the overall output voltage swings in the CE and CB load lines. It is obvious that both the output power and dc power dissipation by the CB transistor increase with increase of collector voltage for the same current. Therefore, it is not clear whether the PAE is improved or deteriorated by the increase of collector voltage. In order to analyze the tendency of PAE, the analytical expression of PAE can be derived based on Fig. 3. At high output power, the current variation is from 0 to . The RF output power is (1)

SUN et al.: COMPACT LINEAR 60-GHZ PA WITH 29.2% PAE OPERATING AT WEAK AVALANCHE AREA IN SIGE

where is the maximum collector voltage when the current is zero and . The dc power dissipation is calculated as (2) The PAE is then expressed as (3) Taking the first-order differentiation with respect to

, we have (4)

, (4) is positive. The PAE does inSince crease with increase of collector voltage. When the ideal transistor is used, i.e., with infinite gain and , (4) is zero and (3) achieves the maximum 50% PAE of a class-A amplifier. Collector efficiency can be obtained by ignoring the term in (3) and its first-order differentiation with respect to is similar to (4), but without the term. With the parameters of the real transistor, the first-order differentiation of the collector efficiency along the CE load line is calculated as 7%, which is equivalent to collector efficiency improvement of 7% per volt. A higher collector efficiency can be expected if the transistor operates into weak avalanche area, because the maximum collector voltage can be increased by up to 4.2 V from its CE load line. III. LINEARITY OF A CASCODE STAGE It is not straightforward to analytically investigate the linearity of a cascode stage, as demonstrated in [14] and [15], due to the lack of a simple output–input expression. Since a cascode stage is composed of two transistors in CE and CB configurations, it can be analyzed as a combination of a CE and CB stage. As has been shown in [11] and [16], the linearity of a CE HBT amplifier can be optimized independently with respect to output power and PAE because of its self-linearization behavior of the internal nonlinear parameters of the transistor. This behavior has also been observed in a cascode stage because the lower transistor of the cascode stage is fundamentally a CE configuration. Even without the self-linearization, a CB stage has a relatively good linearity because it suffers less from the Early effect. This can be explained by the forward transfer current of an HBT. If we assume that the collector voltage does not go below the knee voltage, the forward-active transfer current can be written as [17] (5) In a CE configuration, its input is excited by a voltage source and at the base, and its transfer current depends on both . On the contrary, the input of the CB transistor in a cascode stage is excited by a current source (formed by the lower CE transistor) at the emitter. If we assume that forward current gain is high, we have , where is input current and the emitter current. In a CB stage, is fixed in (5) so

that becomes dependent on rewrite (5) as

2583

. It becomes clearer if we

(6) With the increase of , is reduced. This behavior is similar to a negative feedback in an amplifier. In (5), tends to increase with increase, so as to . Since the emitter is terminated by a current source, this will increase the voltage at the emitter, which is equivalent to reducing . As a result, the Early effect is suppressed. From the above discussion, the output matching of a cascode amplifier can be designed in the same way as a CB amplifier, which focuses on the PAE and power matching. Its input matching is optimized as a CE amplifier to improve the linearity by making use of the self-linearization technique and other input-matching techniques, e.g., inductive emitter degeneration. In other words, a cascode amplifier can be designed to achieve a high PAE and a high linearity simultaneously. IV. HBT SAFE OPERATION BEYOND BCR IN A CB STAGE When operating at weak avalanche area, HBTs have a higher risk of breakdown. It is of importance to guarantee HBT safe operation during the design phase. SiGe HBT failures have been investigated by many others and the failure mechanisms are well understood [10], [29], [30]. The load-pull measurement performed in [10] has experimentally verified that the BVcbo is an absolute hard limit for RF amplifiers. Failure will occur whenever the output collector voltage is above BVcbo. Besides BVcbo, transistor failure is also related to high power dissipation, which causes transistor thermal burning out. A maximum safe operation area (SOA) boundary has been obtained in [29] by dc measurement, which shows the SOA is not a linear plot with respect to – . It rather has a tendency that the product of – is a constant. This is reasonable, because the – product corresponds to the power dissipation. In a real amplifier, the collector – relation is bounded by a corresponding load line. It is possible to guarantee an HBT working below the SOA by a single critical point in a certain load line, e.g., in Fig. 3. The overall dc power dissipation is (7) which is the HBT power dissipation when there is no ac signal. With the existence of an ac signal, a part of the dc power is transformed into ac power and is delivered to the load, so that the HBT power dissipation is reduced. Therefore, the HBT is safe if the dc current does not exceed the current of the dc SOA [29]. Based on this observation and the work from others, we propose an intuitive safe operation boundary for HBTs when they are used in an amplifier at low frequencies (parasitic capacitances are negligible): and , where is the current of the SOA boundary at the same supply voltage.

2584

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 4. Load-pull simulation setup.

Fig. 6. Schematic of the 60-GHz PA.

Fig. 5. PAE load-pull simulation of the cascode stage with 16-finger transistors. Fig. 7. Input impedance trajectory for each matching component.

Notice that the dc current may increase with increase of input signal. The two criteria need to be satisfied for all targeted input signal levels. High-frequency HBT safe operation will be discussed in Section V. V. PA DESIGN AND SIMULATION A. Load-Pull Simulation A sophisticated VBIC transistor model provided by the foundry is used in the PA design. The number of emitter fingers has been swept in a load-pull simulation. A 16-finger device is chosen in this design because its output impedance is the closest to the Smith chart center. The main consideration here is to achieve a high PAE. If a different transistor was used, its optimum impedance for PAE would shift to either the high- or low-impedance regions. Thus, a stronger resonant circuit needs to be introduced at the output to match it back to Smith chart center increasing the loss of the output matching structures [5], [8]. The setup of the load-pull simulation is shown in Fig. 4. All passive components are ideal in load-pull simulations. An emitter degeneration inductor has been introduced to improve the stability and the linearity [15]. The collector current is biased slightly lower than class A, so that class-A operation can be reached when its input power increases. Output PAE circles are plotted in Fig. 5. Its output power circles have the same center as PAE circles and are not shown for the sake of legibility. Matched by ideal components at the input and output, the cascode stage can achieve more than 35% PAE and a 16.5-dBm saturation power at a 3.3-V dc supply.

B. Input and Output Matching The input-matching structure can be optimized to improve the linearity of a cascode stage, as discussed in the previous section. However, in this design, the highest priority is given to PAE. Linearity is taken into account only if its effect on the PAE and output power is small. Fortunately, these design targets more or less comply with each other. With the output impedance set to , the input matching point is determined by a sourcepull simulation. The large-signal input matching slightly deviates from small-signal conjugate . This indicates that the best input matching happens at high input power. An L-type input-matching topology is chosen as the input matching structure (Fig. 6), where the shunt capacitor at input port is constructed by the input bond-pad. The input bond-pad is shielded from the substrate by the bottom ground layer M1 and is used as an input-matching component. It can be modeled as a 28-fF capacitor, Cpad. An impedance trajectory for each input-matching component is plotted in Fig. 7. The series capacitor is a dc blocker and is not shown in the trajectory. The inductor is implemented by a 1.5-turn spiral winding on TM2 as shown in Fig. 8. Its under-path is realized on TM1. After optimization, it has an inductance of 130 pH and a -factor of 22 at 60 GHz. Its self-resonant frequency is simulated as 140 GHz. The output-matching topology in Fig. 6 has been selected to match the output to 50 . Once again, we used the output bond-pad capacitance as an output matching component, which is constructed in the same way as the input bond-pad. An octagon shape is adopted so that its parasitic capacitance becomes 25 fF. A strong resonant output matching is not required from

SUN et al.: COMPACT LINEAR 60-GHZ PA WITH 29.2% PAE OPERATING AT WEAK AVALANCHE AREA IN SIGE

2585

Fig. 8. 1.5-turn spiral inductor for input matching. Fig. 10. Schematic of the base biasing circuit used for Fig. 6.

Fig. 11. Symplified ac equivalent circuit of a CB stage.

Fig. 9. Output-matching trajectory for each component.

previous discussion, which relaxes the output matching component designs. All of the output inductive matching components are realized by microstrip transmission lines. All microstrip transmission lines in this design are realized at TM2 and with M1 as ground plane. Their corresponding electrical lengths and characteristic impedances are also shown in the figure. The widths for the 50- and 60- microstrip transmission lines are 16 and 10 m. The 50- MTL has a loss of 0.5 dB per millimeter at 60 GHz. An output-matching trajectory of each component is shown in Fig. 9. The series capacitor mainly acts as a dc blocker and has nearly no effects on the matching. At output matching, we are looking into the direction of the output port so that the impedance ends at the optimum PAE matching point. C. DC Biasing Circuit To facilitate the PA measurement and to reduce the dc biasing pads, a bias circuit is used to feed the PA in Fig. 6. A two-finger transistor is diode-connected with a series resistor as a current source to bias the CE transistor. As to the upper CB stage, it is important to provide a low impedance to ground because the CB transistor is intended to work well above the BCR region. The weak avalanche current at the CB junction must be conducted to ground without causing voltage variation in order to fix the dc operation point of the CB transistor. Two 16-finger diodeconnected transistors are used in series to provide the biasing voltage of the base of the CB transistor. D. High-Frequency Safe Operation of an HBT CB Stage To investigate the HBT safe operation at high frequencies, a conceptual equivalent circuit of the CB transistor is diagrammed in Fig. 11 together with the output load and the shunt microstrip transmission line (represented by the load inductor, ). The

Fig. 12. Phase distortions of the two transistors in a cascode stage referred to the phase of the input current .

voltage-controlled current source is governed by (1). This current does not deviate from the dc load lines because it depends only on and . The reactive components, IndL, and , form a parallel resonant structure. At the center of the resonant frequency, it behaves as a pure resistance, which inevitably dissipates power. Compared with Section IV, a certain amount of overall power is dissipated by the output resonant structure besides the inner transistor and output load. Therefore, the CB transistor is safer from thermal run away at high frequencies at the same dc operation conditions. The safe margin depends on the loss of the output-matching structure. From this discussion, the low-frequency boundary can be directly applied to HBTs used in an amplifier at high frequencies with an additional safe margin. E. Phase Distortion Linearity and phase distortion are strongly related to each other [18]. The phases of the collector currents of the two transistors are plotted in Fig. 12. These are relative phases compared to the input current, , and they are normalized to a small input signal. In Fig. 12, the phase distortion of the CE transistor is within degree even at deep saturation, implying an excellent self-linearization. The peak phase distortion of the CB

2586

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 15. Measured output power and gain at 60 GHz at dc biasing conditions for highest linearities.

Fig. 13. Chip photograph of the PA.

Fig. 16. Measured PAEs and dc power dissipations at different supply voltages.

Fig. 17. Simulated dynamic load lines at 12-dBm input power with the highest current settings before transistor failure. Fig. 14. Measured and simulated small-signal -parameters.

transistor output current is only a few degrees at deep saturation, indicating a good linearity property of the CB transistor. VI. EXPERIMENTAL RESULTS The PA has been laid out according to the designed parameters from the previous chapter. Fig. 13 shows the chip photograph of the 60-GHz PA which occupies an area of 0.2 mm with bond-pads and 0.08 mm without bond-pads. Since the bond-pads have been designed with a bottom metal ground, they can be simply replaced by MIM capacitors without changing

the input and output matching conditions in the final transceiver system integration. Small-signal measurements have been performed with a vector network analyzer (VNA) at a supply voltage of 2.5 V and dc current of 20 mA. The measured and simulated results are shown in Fig. 14. The measured small-signal gain is 13.5 dB and the simulated one is 14 dB. Their frequency responses are almost identical with only a small frequency shift in peak gain thanks to the accurate modeling of the passive and active devices. Its measured 3-dB bandwidth ranges from 47 to 74 GHz. Small-signal input return loss is below 10 dB from 55 to 77 GHz, and the measured output return loss for small signal is dB at 60 GHz.

SUN et al.: COMPACT LINEAR 60-GHZ PA WITH 29.2% PAE OPERATING AT WEAK AVALANCHE AREA IN SIGE

2587

TABLE I PERFORMANCE COMPARISON OF RECENTLY PUBLISHED INTEGRATED MMWAVE PAS

Its output power was measured by the Agilent power meter E4419B with the power sensor of V8486A, which is directly connected to a ground–signal–ground probe by a short waveguide. At low input levels, the output power is calculated to the output pad by using the probe and waveguide transition losses from the vendor. With the measured small-signal gain, the input power is calculated to the input probe tip. The input cable/probe loss is then calculated as the difference between the 60-GHz generator and the calculated input power level. The dc supply voltage is swept from 2.2 to 4 V and with different collector currents. Fig. 15 shows the measured output power and gain with respect to the input power at 60 GHz. The collector currents are tuned to have the highest at each supply voltage except at the 4 V where transistor breakdown occurred at high current settings. Catastrophic transistor failure has not been observed up to 3.3-V dc supply. At 3.3 V, the highest of 14 dBm is achieved with a corresponding saturation power of 15.7 dBm. Its peak is only 1.7 dB below the due to the self linearization of the CE transistor and the high linearity property of the CB transistor. The measured increases with the increase of supply voltage. At a 4-V supply (class AB), the highest of 16.8 dBm has been achieved. Above 3.3 V, the gain is slightly reduced with the increase of supply voltage because the collector current must be reduced accordingly to avoid catastrophic transistor failure. PAEs and dc power dissipations are shown in Fig. 16. A quiescent current of 16 mA is set for a 4.0-V supply to avoid transistor breakdown. Even with the low quiescent current at a 4.0-V supply, the peak dC power dissipation is the highest due to the class-AB operation. All measured peak PAEs at the supply from 2.2 to 4.0 V exceed 25%. At 3.3 V, a peak PAE of 29.2% is achieved with a corresponding output power of 15

dBm. This is so far the highest reported PAE for integrated 60 GHz PAs. In Fig. 15 at 3.3 V, the linearity was measured with a slightly higher quiescent current, and its corresponding peak PAE is 29% at the same output power. This verifies our previous discussion that a cascode amplifier can achieve a high PAE and a high linearity simultaneously. RF stressing has been performed at different dc supplies. Below 3.3 V, transistor failure has not been observed with a 12-dBm input power and class-A biasing. Above 3.5 V, the PA quiescent current is increased gradually until the onset of the transistor catastrophic breakdown. Fig. 17 shows the dynamic load lines for highest quiescent currents before transistor breakdown. The simulated collector voltages in all three dynamic load lines are approaching BVcbo, indicating that any further collector voltage increase will cause collector-base breakdown. The highest biasing current is at 3.3 V, which corresponds to and is recommended for high-speed operations by the foundry. Therefore, the transistor failures that occurred in previous measurements are purely related to BVcbo. Even operating at the conditions shown in Fig. 17, the PA does not have performance degradation after a few hours of RF stressing. For comparison purpose, we summarize recently published mmWave PAs with high PAEs in Table I. The technologies are SiGe BiCMOS, bulk CMOS and CMOS SOI. Our PA achieves the highest PAE of 29.2% and relatively high . The gain and are in the middle among others. Notice that a singleended design can be easily converted into a balanced design with 3 dB more output power and the same PAE. VII. CONCLUSION A compact linear 60-GHz SiGe PA has been presented, which features a single-stage cascade topology. The PA occupies a

2588

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

chip area of 0.2 mm with bond-pads and 0.08 mm without bond-pads. Its measured gain is 13.5 dB and 3-dB bandwidth is 47–74 GHz. It achieves a peak PAE of 29.2% and a peak output power of 16.8 dBm. This is thus far the highest PAE in all reported 60-GHz integrated PAs in silicon. The peak is measured as 14 dBm at 3.3-V dc supply, which is only 1.7 dB lower than its saturation power. High PAE and high linearity have been achieved simultaneously. These results have been achieved by proper transistor sizing, pushing the CB stage into weak avalanche area, emitter degeneration, and utilizing the self-linearization property of the CE transistor. An upper boundary of transistor safe operation at both low and high frequencies has been proposed. Below BVcbo, a CB transistor can safely operate if the corresponding dc operation is safe. Here, the dc current corresponds to the highest input power. This has been verified by measurement results and simulations. A few hours of RF stressing does not deteriorate the PA performance even with collector voltage very close to BVcbo. This PA was originally designed as a 60-GHz driver amplifier for a 122-GHz single-chip radar transceiver. Due to its high linearity and high efficiency, it can also be used for 60-GHz OFDM-based wireless transceivers. It is especially suited for 60-GHz beam-forming transceivers. ACKNOWLEDGMENT The authors would like to thank IHP’s technology group for the fabrication of this chip and measurement group, specifically J. Borngraeber and C. Wipf, for the help of on-wafer measurement. REFERENCES [1] H. Rücker, B. Heinemann, W. Winkler, R. Barth, J. Borngräber, J. Drews, G. G. Fischer, A. Fox, T. Grabolla, U. Haak, D. Knoll, F. Korndörfer, A. Mai, S. Marschmeyer, P. Schley, D. Schmidt, J. Schmidt, K. Schulz, B. Tillack, D. Wolansky, and Y. Yamamoto, “A 0.13 m SiGe BiCMOS technology featuring fT/fmax of 240/330 GHz and gate delays below 3 ps,” IEEE J. Solid-State Circuits, vol. 45, no. 9, pp. 1678–1686, Sep. 2010. [2] Y. Sun, S. Glisic, and F. Herzel, “A fully differential 60 GHz receiver front-end with integrated PLL in SiGe:C BiCMOS,” in Proc. Eur. Microw. Integr. Circuits Conf., 2006, pp. 198–201. [3] S. Glisic, J. Scheytt, Y. Sun, F. Herzel, R. Wang, K. Schmalz, M. Elkhouly, and C.-S. Choi, “Fully integrated 60 GHz transceiver in SiGe BiCMOS, RF modules, and 3.6 Gbit/s OFDM data transmission,” Int. J. Microw. Wireless Tech., vol. 3, no. 02, pp. 139–145, Mar. 2011. [4] J. S. Rieh, B. Jagannathan, D. Greenberg, G. Freeman, and S. Subbanna, “A doping concentration-dependent upper limit of the breakdown voltage-cutoff frequency product in Si bipolar transistors,” SolidState Electron., vol. 48, pp. 339–343, 2003. [5] A. Siligaris, Y. Hamada, C. Mounet, C. Raynaud, B. Martineau, N. Deparis, N. Rolland, M. Fukaishi, and P. Vincent, “A 60 GHz power amplifier with 14.5 dBm saturation power and 25% peak PAE in CMOS 65 nm SOI,” IEEE J. Solid-State Circuits, vol. 45, no. 7, pp. 1286–1294, Jul. 2010. [6] A. Nararajan, S. K. Reynolds, M.-D. Tsai, S. T. Nicolson, J.-H. C. Zhan, D. G. Kam, D. Liu, Y.-L. O. Huang, A. Valdes-Garcia, and B. A. Floyd, “A fully-integrated 16-Element phased-array receiver in SiGe BiCMOS for 60-GHz communications,” IEEE J. Solid-State Circuits, vol. 46, no. 5, pp. 1059–1075, May 2011. [7] A. Valdes-Garcia, S. T. Nicolson, J.-W. Lai, A. Natarajan, P.-Y. Chen, S. K. Reynolds, J.-H. C. Zhan, D. G. Kam, D. Liu, and B. Floyd, “A fully integrated 16-Element phased-array transmitter in SiGe BiCMOS for 60-GHz communications,” IEEE J. Solid-State Circuits, vol. 45, no. 12, pp. 2757–2773, Dec. 2010.

[8] V.-H. Do, V. Subramanian, W. Keusgen, and G. Boerg, “A 60 GHz SiGe-HBT power amplifier with 20% PAE at 15 dBm output power,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 3, pp. 209–211, Mar. 2008. [9] U. R. Pfeiffer and D. Goren, “A 20 dBm fully-integrated 60 GHz power amplifier with automatic level control,” IEEE J. Solid-State Circuits, vol. 42, no. 7, pp. 1455–1463, Jul. 2007. [10] C. M. Grens, P. Cheng, and J. D. Cressler, “An investigation of the large-signal RF safe-operating-area on aggressively-biased cascode SiGe HBTs for power amplifier applications,” in Proc. IEEE Tropical Meeting Silicon Monolithic Integr. Circuits RF Syst., Jan. 2009, pp. 1–4. [11] H. Yamada, S. Ohara, T. Iwai, Y. Yamaguchi, K. Imanishi, and K. Joshin, “Self-linearizing technique for -band HBT power amplifier: Effect of source impedance on phase distortion,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 11, pp. 2398–2402, Dec. 1996. [12] G. Niu, J. D. Cressler, S. Zhang, U. Gogineni, and D. Ahlgren, “Measurement of collector-base junction avalanche multiplication effects in advanced UHV/CVD SiGE HBTs,” IEEE Trans. Electron Devices, vol. 46, no. 5, pp. 1007–1014, May 1999. [13] C. C. McAndrew, J. A. Seitchik, D. F. Bowers, M. Dunn, M. Foisy, I. Getreu, M. McSwain, S. Moinian, J. Parker, D. J. Roulston, M. Schröter, P. van Wijnen, and L. F. Wagner, “VBIC95, The vertical bipolar Inter-Company model,” IEEE J. Solid-State Circuits, vol. 31, no. 10, pp. 1476–1482, Oct. 1996. [14] G. Niu, Q. Liang, J. D. Cressler, C. S. Webster, and D. L. Harame, “RF linearity characteristics of SiGe HBTs,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 9, pp. 1558–1564, Sep. 2001. [15] K. L. Fong and R. G. Meyer, “High-Frequency nonlinearity analysis of common-emitter and differential-pair transconductance stages,” IEEE J. Solid-State Circuits, vol. 33, no. 4, pp. 548–555, Apr. 1998. [16] T. Iwai, S. Ohara, H. Yamada, Y. Yamaguchi, K. Imanishi, and K. Joshin, “High efficiency and high linearity InGaP/GaAs HBT power amplifiers: Matching techniques of source and load impedance to improve phase distortion and linearity,” IEEE Trans. Electron Devices, vol. 45, no. 6, pp. 1120–1196, Jun. 1998. [17] M. Reisch, High-Frequency Bipolar Transistors, Physics, Modeling, Applications. Berlin, Germany: Springer, ch. 1. [18] A. Leke and J. S. Kenney, “Behavioral modeling of narrowband microwave power amplifiers with applications in simulating spectral regrowth,” in IEEE MTT-S Int. Microw. Symp. Dig., San Francisco, CA, Jun. 1996, pp. 1385–1388. [19] J. Chen and A. M. Niknejad, “A compact 1 V 18.6 dBm 60 GHz power amplifier in 65 nm CMOS,” in IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, 2011, pp. 432–433. [20] J. L. Kuo, Z. M. Tsai, K. Y. Lin, and H. Wang, “A 50–70 GHz power amplifier using 90 nm CMOS technology,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 1, pp. 45–47, Jan. 2009. [21] C. Wang, Y. Cho, C. Lin, H. Wang, C. Chen, D. Niu, J. Yeh, C. Lee, and J. Chern, “A 60 GHz transmitter with integrated antenna in 0.18 m SiGe BiCMOS technology,” in IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, 2006, pp. 186–187. [22] A. Komijani and A. Hjimiri, “A wideband 77 GHz, 17.5 dBm power amplifier in silicon,” in Proc. IEEE Custom Integr. Circuits Conf., 2005, pp. 566–569. [23] A. Valdes-Garcia, S. Reynolds, and U. R. Pfeiffer, “A 60 GHz class-E power amplifier in SiGe,” in Proc. Asian Solid-State Circuits Conf., 2006, pp. 199–202. [24] D. Grujic, M. Savic, C. Bingol, and L. Saranovac, “60 GHz SiGe:C HBT power amplifier with 17.4 dBm output power and 16.3% PAE,” IEEE Microw. Wireless Compon. Lett., vol. 22, no. 4, pp. 194–196, Apr. 2012. [25] Y. Zhao, J. R. Long, and M. Spirito, “A 60 GHz 20 dBm power amplifier with 20% peak PAE,” in Proc. IEEE Radio Frequency Integr. Circuits Symp., 2011, pp. 1–4. [26] T. LaRocca, J. Y.-C. Liu, and M.-C. F. Chang, “60 GHz SiGe-HBT CMOS amplifiers using transformer-coupling and artificial dielectric differential transmission lines for compact design,” IEEE J. Solid-State Circuits, vol. 44, no. 5, pp. 1425–1435, May 2009. [27] J. Y.-C. Liu, Q. J. Gu, A. Tang, N.-Y. Wang, and M.-C. F. Chang, “A 60 GHz tunable output profile power amplifier in 65 nm CMOS,” IEEE Microw. Wireless Compon. Lett., vol. 21, no. 7, pp. 377–379, Jul. 2011. [28] C. Y. Law and A.-V. Pham, “A high-gain 60 GHz power amplifier with 20 dBm ouput power in 90 nm CMOS,” in IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, 2010, pp. 426–427.

SUN et al.: COMPACT LINEAR 60-GHZ PA WITH 29.2% PAE OPERATING AT WEAK AVALANCHE AREA IN SIGE

2589

[29] J. B. Johnson, A. J. Joseph, D. C. Sheridan, R. M. Maladi, P.-O. Brandt, J. Persson, J. Andersson, A. Bjorneklett, U. Person, F. Abasi, and L. Tilly, “Silicon-Germanium BiCMOS HBT technology for wireless power amplifier applications,” IEEE J. Solid-State Circuits, vol. 39, no. 10, pp. 1605–1614, Oct. 2004. [30] A. Inoue, S. Nakatsuka, R. Hattori, and Y. Matsuda, “The maximum operating region in SiGe HBTs for RF amplifiers,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2002, pp. 1023–1026.

Gerhard G. Fischer received the diploma degree in physics from the University of Würzburg, Würzburg, Germany, in 1992, and the Ph.D. degree from the University of Potsdam, Potsdam, Germany, in 1997. Since 1993, he has been a member of IHP Microelectronics, Frankfurt, Germany. Currently, his activities include the development of IHP’s bipolar compact models and the investigation of HBT reliability.

Yaoming Sun received his B.Eng. degree from Xidian University, Xi’an, China, in 1997, the M.S. degree from Katholieke Universiteit Leuven, Leuven, Belgium, in 2003, and the Ph.D. degree from Brandenburgische Technische Universität Cottbus, Cottbus, Germany, in 2009. He worked in the field of mobile communication RF transceivers from 1997 to 2002 in a Xiamen-based company in China. In 2003, he was -band transceiver design based on involved with MCM technology with IMEC, Belgium. He joined IHP Microelectronics, Frankfurt, Germany, as a Scientist since 2003. He has designed and codesigned the first 60-GHz transceiver chipset in Europe. His main research interests are designs of millimeter-wave transceivers in a single chip for wireless communications and radar sensors.

J. Christoph Scheytt (M’04) received the M.Sc. and Ph.D. (with highest honors) degrees from Ruhr-University Bochum, Bochum, Germany, in 1996 and 2000, respectively. In 2000, he cofounded advICo Microelectronics GmbH, a German IC design house. For six years, he served as CEO at advICo, where he was responsible for various projects in the area of wireless and fiber-optic IC design. From 2006 to 2012, he was with IHP Microelectronics, Frankfurt, Germany, where he was head of the Circuit Design Department. In 2012, he was appointed a Full Professor with the Heinz Nixdorf Institute, University of Paderborn, Paderborn, Germany. He has authored or coauthored more than 80 papers and holds 12 patents. His research interests include RFIC and broadband IC design, PLL techniques, and design with SiGe BiCMOS technologies.

2590

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Design and Analysis of a 21–29-GHz Ultra-Wideband Receiver Front-End in 0.18- m CMOS Technology Yo-Sheng Lin, Senior Member, IEEE, Jen-How Lee, Sheng-Li Huang, Chiu-Hsuan Wang, Chien-Chin Wang, and Shey-Shi Lu, Senior Member, IEEE

Abstract—This paper reports the design and analysis of 21–29-GHz CMOS low-noise amplifier (LNA), balun and mixer in a standard 0.18- m CMOS process for ultra-wideband automotive radar systems. To verify the proposed LNA, balun, and mixer architectures, a simplified receiver front-end comprising an LNA, a double-balanced Gilbert-cell-based mixer, and two Marchand baluns was implemented. The wideband Marchand baluns can convert the single RF and local oscillator (LO) signals to nearly perfect differential signals over the 21–29-GHz band. The performance of the mixer is improved with the current-bleeding technique and a parallel resonant inductor at the differential outputs of the RF transconductance stage. Over the 21–29-GHz band, the receiver front-end exhibits excellent noise figure of 4.6 0.5 dB, conversion gain of 23.7 1.4 dB, RF port reflection coefficient lower than 8.8 dB, LO-IF isolation lower than 47 dB, LO-RF isolation lower than 55 dB, and RF-IF isolation lower than 35.5 dB. The circuit occupies a chip area of 1.25 1.06 mm , including the test pads. The dc power dissipation is only 39.2 mW. Index Terms—Balun, Gilbert-cell mixer, low-noise amplifier (LNA), low power, port-to-port isolation, receiver front-end.

I. INTRODUCTION

R

ECENTLY, ultra-wideband (UWB) technology has attracted a lot of academic and industrial interests. In 2002, the Federal Communications Commission (FCC), Washington, DC, regulated the 22–29-GHz band for the UWB automotive radar system applications [1]. In 2005, the European Conference of Postal and Telecommunications Administrations (CEPT) released bandwidth of 5 GHz, from 21.65 to 26.65 GHz, for the UWB short-range radar applications to fulfill the requirement of range resolution of a few centimeters [2]. An UWB receiver front-end is a critical block in UWB transceiver design. To amplify and down-convert the small radio signals received from the whole UWB band with a good signal-to-noise ratio (SNR) property, in addition to flat and high , flat and low noise figure (NF) is also required. Besides, for pulsed radar systems, good phase linearity is required in order to keep the shape of the pulse Manuscript received February 19, 2012; accepted March 13, 2012. Date of publication June 01, 2012; date of current version July 30, 2012. This work was supported by the National Science Council of the R.O.C. under Contract NSC100-222-E-260-011-MY3 and Contract NSC100-2221-E-260-006-MY2. Y.-S. Lin, J.-H. Lee, S.-L. Huang, C.-H. Wang, and C.-C. Wang are with the Department of Electrical Engineering, National Chi Nan University, 545 Puli, Taiwan (e-mail: [email protected]). S.-S. Lu is with the Graduate Institute of Electronics Engineering and Department of Electrical Engineering, National Taiwan University, 106 Taipei, Taiwan (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2198234

when receiving RF signals from an antenna. There are some reports of CMOS narrowband receiver front-ends designed for frequencies around 24 GHz [3]–[8], but only a few for CMOS wideband receiver front-ends for 21.65–26.65- or 22–29-GHz UWB short-range radar applications [9], [10]. In this paper, we present a 21–29 GHz (covering both the 21.65–26.65- and 22–29-GHz band of interest) CMOS UWB receiver front-end with flat and high conversion gain (CG) and flat and low NF [11]. The receiver front-end comprises a low-noise amplifier (LNA), a mixer, and two Marchand baluns. The wideband Marchand baluns can convert the single RF and local oscillator (LO) signals to nearly perfect differential signals over 21–29 GHz. In this work, we do not adopt the complicated sub-harmonic mixer (SHM) [12], whose LO input frequency is about half of the RF input frequency to mitigate the dc-offset problem. Instead, we propose a high-performance double-balanced Gilbert-cell-based mixer using the current-bleeding technique and a parallel resonant inductor at the differential outputs of the RF transconductance stage. In addition, instead of the complicated quadrature voltage-controlled oscillator (QVCO) for differential in-phase/quadrature (I/Q) LO signal generation needed in an SHM, a simple single LO signal generator in conjunction with a quadrature coupler (QC) is used in the proposed receiver architecture. This paper is organized as follows. In Section II, the receiver architecture is described. The design and analysis of the LNA, Marchand balun, and mixer are introduced in Section III. In Section IV, we discuss the measurement results of the CMOS receiver front-end and make comparisons with previous work. Section V presents a conclusion. II. RECEIVER ARCHITECTURE The basic requirements of a short-range radar system include high dynamic range, close-range detection, and high-range resolution [9], [10], [13]–[19]. In CMOS technology, the generation of a wideband low phase-noise chirp is challenging since normally a complex frequency synthesizer is needed. This makes the frequency-chirped radar normally unsuitable for short-range radar applications. The pseudorandom noise (PN) coded radar is resilient to interferers, but normally suffers from a relatively low dynamic range (i.e., limited range) [18]. Compared with the frequency-chirped and PN-coded radar, the key difference of the pulse radar is a better isolation between its transmitter and receiver, especially with a single antenna. This is because its transmitter and receiver are operated in a time-duplex mode. In addition, generally speaking, the complexity of the pulse radar is relatively lower [10]. These advantages make the pulse radar very suitable for UWB 21.65–26.65-, 22–29-, and 76–81-GHz millimeter-wave short-range radars.

0018-9480/$31.00 © 2012 IEEE

LIN et al.: DESIGN AND ANALYSIS OF 21–29-GHz UWB RECEIVER FRONT-END

2591

Fig. 1. Block diagram of a typical UWB pulse-radar receiver.

Fig. 1 shows the block diagram of a typical pulse-radar receiver for UWB automotive radar systems. The receiver comprises a 21–29-GHz UWB LNA, two 21–29-GHz UWB mixers, three 21–29-GHz Marchand baluns, two baseband variable-gain amplifiers (VGAs), two baseband filters, two pulse modulators, a QC, and an LO signal generator. The function of the UWB LNA is to amplify the received modulated pulses with small magnitude and phase distortion. Thus, the basic requirements of the LNA include flat and high , flat and low NF, and good phase linearity (i.e., small group-delay variation). The baseband pulse signals are up-converted to the automotive radar band by the pulse modulators through the modulation of the 24.15 GHz (for 21.65–26.65-GHz radar sensors) or 25.5 GHz (for 22–29-GHz radar sensors) LO signals. The locally generated modulated pulses from the pulse modulator are delayed reproductions of the transmitted ones. The UWB I/Q mixers correlate them with the amplified received modulated pulses. The cross-correlation products are amplified by the VGAs, and then become dc-coupled outputs by integration in the baseband filters [18]. The purpose of this work is to verify the proposed LNA, balun and mixer architectures are suitable for 21–29-GHz automotive radar systems so only one mixer is included for simplicity. The QC, pulse modulator, baseband VGA and filter, and LO signal generator are being developed as a sequel of this work. III. RECEIVER FRONT-END DESIGN The 0.18- m 1P6M CMOS process (with substrate resistivity of 8–12 cm) provided by the commercial foundry TSMC was

adopted to design and implement the 21–29-GHz receiver frontend. The interconnection lines, as well as the coils of the baluns, were implemented by the 2.34- m-thick topmost metal to minimize the resistive loss. The design of the LNA, balun, and mixer of the receiver front-end is introduced as follows. A. LNA Design Fig. 2 shows the schematic of the LNA with the important device parameters labeled. The LNA is composed of a common-source stage followed by a cascoded stage. The equivalent Miller capacitance of (gate–drain capacitance of transistor ) at the gate and drain terminal of transistor can be parallelly resonated by inductor and , respectively. This, in turn, results in a low (or excellent stability) because the reverse signal flow is suppressed. All transistors ( and have the same gate length of 0.18 m. The gatewidth per finger of and is 4.6, 3, and 4 m, respectively. The finger number of and is 10, 16, and 27, respectively. The reason why different finger widths (and total gatewidths) are chosen for – is as follows. First, to achieve flat and low NF over the 21–29-GHz band, adopts the size (i.e., 4.6 m 10) and bias ( V) that corresponds to the optimum minimum NF and maximum oscillation frequency performance. Second, the signal level at the second stage is significantly larger. Thus, a relatively wider gatewidth is adopted for and to achieve better power and phase linearity performance. Finally, the finger widths and total gatewidths of and are finely

2592

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 2. Schematic of the LNA.

tuned for performance optimization. This, in turn, results in different finger widths (and total gatewidths) being chosen for – . Simulated results show the LNA achieves input 1-dB compression point better than 15 dBm and group-delay variation smaller than 15 ps for frequencies 21–29 GHz. Compared with the two-stage CMOS LNA with a third-order Cauer bandpass filter (BPF) matching network at the input for UWB input impedance-matching in [9] and [10], this work exhibits better performances. This is because the adoption of the BPF at the input requires a number of additional reactive elements (four, i.e., and in [9] and [10]), which inevitably results in larger die size and higher NF (due to the finite quality factor ( factor) of the reactive elements). In addition, note that the two-stage LNA is different from the four-stage 21–27-GHz LNA reported in [20]. The main difference is the current-reused second and third stages in [20] are not included in this work. Instead, a parallel-peaking inductor is added to the input stage, and a series-peaking inductor and a parallel-peaking inductor are added to the output stage. To optimize the NF frequency response over the 21–29-GHz band, the input transistor adopts the size and bias that correspond to the best performance. A slightly under-damped factor for the NF frequency response is achieved based on the derived analytical equations, which will be introduced later. This, in turn, results in a comparable gain (14.22 0.65 dB versus 14.89 1.14 dB), a lower power consumption (15.2 mW versus 27 mW), and a better NF (3.08 0.14 dB versus 3.87 0.47 dB) for frequencies 21–27 GHz. Fig. 3(a) shows the simulated square of the short-circuit current gain , maximum stable power gain , and maximum available power gain versus frequency characteristics of the input transistor . The current-gain cutoff frequency is defined as the frequency corresponding to (i.e., 0 dB), while is defined as the frequency corresponding to . As can be seen, the simulated

Fig. 3. Simulated: (a) and istics and (b) . transistor

and versus frequency characterversus frequency characteristics of the input

and are 53.7 and 99.7 GHz, respectively. The result is reasonable since it is close to our previous measured result ( GHz and GHz) of an NMOSFET with different gatewidth (i.e., not optimized for ), but fabricated in the same technology [21]. The good and performance of the transistors indicates that it is possible to apply this CMOS process on the implementation of high-performance 21–29-GHz LNA and receiver front-end. Fig. 3(b) shows the simulated and versus frequency characteristics of the input transistor . Over the frequencies of 21–29 GHz, the input transistor achieves low of 1.23–1.63 dB, and high of 9.87–8.52 dB. The high indicates that it is reasonable to approximate the NF of the receiver front-end by the NF of the first-stage of the LNA. Fig. 4 shows the simulated -parameters versus frequency characteristics of the LNA. Flat and high of 13.7 1.2 dB and flat and low of 35.48 1.51 dB are achieved over the frequency range of 21–29 GHz. The excellent and performances are partly due to the introduction of the parallelpeaking inductor . In addition, is lower than 8.7 dB for frequencies 20.5–31.3 GHz, and is lower than 10 dB for frequencies 20.6–29 GHz. The wideband input impedance matching is

LIN et al.: DESIGN AND ANALYSIS OF 21–29-GHz UWB RECEIVER FRONT-END

2593

Fig. 4. Simulated -parameters versus frequency characteristics of the LNA.

mainly attributed to the two intrinsic dips (at 21.5 and 28.9 GHz), which will be explained shortly. Fig. 5(a) shows the equivalent circuit for calculating of the LNA. Note that there are two RLC impedance branches and in parallel. For frequencies around the lower corner frequency (21 GHz), is the dominant one (i.e., ). The input network can be simplified to the series RLC network shown in Fig. 5(b). Thus, there is a dip in at frequency around

(1) is the output resistance of the input transistor . in which According to (1), the calculated is 20.6 GHz, close to the simulated one (21.5 GHz) in Fig. 4. In addition, for frequencies around the upper corner frequency (29 GHz), is the dominant one (i.e., ). The input network can be simplified to the series RLC network shown in Fig. 5(c). Thus, there is another dip in at frequency around (2) is 29.35 GHz, close to the According to (2), the calculated simulated one (28.9 GHz) in Fig. 4. Fig. 6(a) shows the simulated versus frequency characteristics of the LNA at various values. As can be seen, increases with the decrease of , consistent with (1). Fig. 6(b) shows the simulated versus frequency characteristics of the LNA at various values. As can be seen, increases with the decrease of , consistent with (2). The inductive-peaking technique is used in the output of each stage so that the output of each stage is equivalently loaded with a bandpass combination of (with an equivalent series resistance ) and to provide a low- (wideband) parallel resonance at a frequency within the 21–29-GHz band. In addition, inductor in the second stage resonates with the parasitic capacitance at the drain terminal of and the source terminal of , which leads to a gain peaking at frequencies around 29 GHz. In conjunction with the gain peaking of

Fig. 5. Input equivalent circuit of the LNA for calculation. (a) Complete circuit and simplified circuit at frequencies around (b) lower corner frequency and (c) upper corner frequency.

and at frequencies around 21 GHz, the gain degradation of the first stage at high frequencies can be compensated. This is the method to achieve flat and high and good phase linearity property. The stability parameter ( ) and its dual parameter ( ) are defined as follows [22]: (3) (4) in which . It has been shown that (or ) alone is necessary and sufficient for a circuit to be unconditionally stable. Fig. 7 shows the simulated stability parameters ( ) and ( ) versus frequency characteristics of the LNA. As can be seen, the LNA is unconditionally stable from dc to 50 GHz. The good stability of the LNA is partly attributed to its two-stage structure, which is intrinsically stable [23]. What is also shown in Fig. 7 is the simulated results at fast–fast (FF) corner (i.e., the devices with minimal possible

2594

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 8. Equivalent circuit of the LNA for noise calculation.

matching and flat and high-gain frequency response. Traditional low-noise design based on optimum NF at each frequency is not suitable for wideband design because the resulting NF frequency response is not flat. In order to achieve flat NF response, the factors that control the shape of NF frequency response must be derived first. Fig. 8 shows the equivalent circuit of the LNA in Fig. 2 for its NF calculation. The noise factor of the LNA can be expressed as follows [24]:

Fig. 6. Simulated versus frequency characteristics of the LNA: (a) at varvalues and (b) at various values. ious

(5) where (6) (7)

Fig. 7. Simulated stability parameters ( ) and acteristics of the LNA.

( ) versus frequency char-

gate length due to process variation). The LNA is still unconditionally stable from dc to 50 GHz. This result indicates that this technique is scalable to shorter channel technologies. In wideband applications, flat and low-NF frequency response is also required in addition to wideband input impedance

and represent the corresponding noise factor contributions of gate noise and drain noise to the LNA, respectively. In this work, is larger than over the 21–29-GHz band. (the ratio of to zero-bias drain conductance ) of 0.85 is normally adopted for the NF calculation [25], [26]. Since the NF [see (5)] has been put in the form of a second-order function of , its frequency response is well known and controlled by quality factor . That is, flat and low NF can be achieved if the values of , and the size and bias of the input transistor , i.e., and , are selected appropriately to make of the derived second-order NF frequency response slightly greater than 0.707, i.e., slightly under-damped. Finally, the second stage is optimized for linearity. The LNA consumes

LIN et al.: DESIGN AND ANALYSIS OF 21–29-GHz UWB RECEIVER FRONT-END

Fig. 9. (a) Simulated and NF. (b) Simulated and calculated NF versus frequency characteristics of the LNA.

13 mW in the bias condition of V, V, and V (by bias-T). Fig. 9(a) shows the simulated and NF versus frequency characteristics of the LNA. Flat and low NF of 3.19 0.25 dB is achieved over the frequencies of 21–29 GHz, close to that (3.02 0.31 dB) of the simulated . Fig. 9(b) shows the simulated and calculated [by (5)] NF versus frequency characteristics of the LNA. To calculate the NF frequency response, and of the input transistor should be extracted first. According to the methodology introduced in [26], fF and mS are obtained. From (6), the calculated is equal to 29.36 GHz, a reasonable value since it is very close to the upper corner frequency (29 GHz) of the LNA. From (7), the calculated is equal to 1.3, also a reasonable value since it means the frequency response of the dominant term of the NF expression is slightly under-damped, which, in turn, results in the NF frequency response being relatively flat and low. The result shows the calculated NF is 3.25 0.28 dB for frequencies 21–29 GHz, close to that (3.19 0.25 dB) of the simulated one. B. Balun The needed 21–29-GHz baluns were designed based on the “lumped-element” Marchand balun structure proposed in [27].

2595

Fig. 10. (a) Schematic diagram and (b) lump-element equivalent circuit of the Marchand balun.

Such a balun structure is advantageous in terms of its excellent amplitude/phase match and broadband response compared with the traditional single-to-differential transformers [28], [29]. Instead of the area-consumed microstrip line (MSL) structure, the miniature spiral coil structure is adopted to implement the needed inductor elements in the baluns. Fig. 10(a) shows the schematic diagram of the Marchand balun. The metal width and space are 4 and 2 m, respectively. The balun consists of an unbalanced input (port-1), an open terminal (O.C.), two short terminals (GND), and two balanced outputs (port-2 and port-3). Note that the coils of the balun are implemented by the 2.34- m-thick topmost metal to minimize the resistive loss. Only the underpass interconnection line of port-1 is realized by . Fig. 10(b) shows the lump-element balun equivalent circuit [27]. The spiral coil couple-line is modeled by the lump inductor , and the capacitor modeled the coupling capacitance effect, which is produced from the spiral coil couple line. That is, the capacitors are realized as the parasitic components of the inductors. Port-1 is the unbalanced RF (or LO) input port, and port-2 and port-3 are the balanced and (or and ) output ports, respectively. From the network’s perspective, this lump-element balun can be regarded as an out-of-phase

2596

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

power splitter, including a parallel-connected high-pass filter and a BPF. The signals through the output ports of the ideal balun have equal power, but are 180 out-of-phase; all ports (except the O.C. port) have an input impedance of 50 (i.e., ). Suppose (8) in which is the geometrical mean of 21 and 29 GHz. According to Fig. 10(b), the input admittance of the balun for frequencies around can be represented as follows: (9) It is a common practice to choose in order to achieve excellent matching for frequencies around . According to (8) and (9), the ideal values of and are as follows: (10) (11) In addition, according to (9), the input return loss represented as follows:

can be

(12) By plugging be expressed as

into (12) and assuming

can

(13) Now it is clear that is a standard notch function with 3-dB matching bandwidth equal to (or C). In general, should be smaller than 10 dB over the band of interest, i.e., dB The corresponding matching bandwidth

(14) is equal to (15)

The equivalent inductance [i.e., in Fig. 10(b)] and factor of a single spiral coil couple-line inductor of the balun in Fig. 10(a) can be obtained from the simulated -parameters (which can be transformed from the simulated -parameters) by the following equations [30]: (16) (17)

Fig. 11. Simulated and of the balun in Fig. 10(a).

factor of a single spiral coil couple-line inductor

Fig. 11 shows the simulated and factor of a single spiral coil couple-line inductor of the balun. At 25 GHz, is equal to 0.818 nH, and is equal to 49.5 fF (not shown here). In addition, over the 21–29-GHz band, an of 0.716–0.987 nH and a factor of 4.91–6.04 are obtained. The self-resonance frequency , i.e., the frequency corresponding to or , is 41.5 GHz. That is, the structure is inductive for frequencies lower than 41.5 GHz, covering the 21–29 GHz of interest. Fig. 12(a) shows the simulated and calculated of the balun. The calculated result is consistent with the simulated one. The simulated is equal to 14.8 dB at 24 GHz, and is smaller than 10 dB for frequencies 16.2–52 GHz. What is also shown in Fig. 12(a) is the simulated and . is equal to 5.17 dB at 24 GHz, and is smaller than 5.37 dB for frequencies 21–29 GHz. In addition, is equal to 5.15 dB at 24 GHz, and is smaller than 5.37 dB for frequencies 21–29 GHz. Fig. 12(b) shows the simulated amplitude imbalance (AI) and phase difference (PD) versus frequency characteristics of the balun. AI between port-2 and port-3 is 0.02 dB at 24 GHz, and is smaller than 0.03 dB for frequencies 21–29 GHz. PD between port-2 and port-3 is 181.3 at 24 GHz, and is 181.3 –181.5 for frequencies 21–29 GHz. The designed Marchand balun shows excellent robustness to process, voltage, and temperature (PVT) and modeling errors. For example, for a 5% variation of metal width and unchanged metal pitch (i.e., metal width plus metal space), the variation in (or ), AI, and PD is slight, which are described in more detail as follows. Fig. 13(a) shows the simulated of the balun with various metal widths. Note that the metal pitch is kept the same, i.e., 6 m. The simulated results for the case with a narrower metal width of 3.8 m (i.e., 5.17 dB at 24 GHz, and smaller than 5.34 dB for frequencies 21–29 GHz) and the case with a wider metal width of 4.2 m (i.e., 4.98 dB at 24 GHz, and smaller than 5.22 dB for frequencies 21–29 GHz) are close to that of this work (i.e., 5.15 dB at 24 GHz, and smaller than 5.37 dB for frequencies 21–29 GHz).

LIN et al.: DESIGN AND ANALYSIS OF 21–29-GHz UWB RECEIVER FRONT-END

Fig. 12. (a) Simulated and calculated , and simulated and and PD versus frequency characteristics of the Marchand balun.

2597

. (b) AI

Fig. 13(b) shows the simulated AI between port-2 and port-3 of the balun with various metal widths. The simulated results for the case with a narrower metal width of 3.8 m (i.e., 0.03 dB at 24 GHz, and smaller than 0.04 dB for frequencies 21–29 GHz) and the case with a wider metal width of 4.2 m (i.e., 0.06 dB at 24 GHz, and smaller than 0.1 dB for frequencies 21–29 GHz) are close to that of this work (i.e., 0.02 dB at 24 GHz, and smaller than 0.03 dB for frequencies 21–29 GHz). Fig. 13(c) shows the simulated PD between port-2 and port-3 of the balun with various metal widths. The simulated results for the case with a narrower metal width of 3.8 m (180.23 at 24 GHz, and 180.15 –180.67 for frequencies 21–29 GHz) and the case with a wider metal width of 4.2 m (179.51 at 24 GHz, and 179.4 –179.86 for frequencies 21–29 GHz) are close to that of this work (181.3 at 24 GHz, and 181.3 –181.5 for frequencies 21–29 GHz). C. Mixer Design Fig. 14 shows the schematic of the proposed Gilbert-cellbased double-balanced mixer with integrated RF- and LO-port baluns. The important device parameters are also labeled. The gain peaking inductor between the differential outputs of the RF transconductance stage can enhance the performances

Fig. 13. Simulated: (a) , (b) AI, and (c) PD versus frequency characteristics of the Marchand balun with various metal widths.

of the mixer, which will be discussed later. The wideband Marchand baluns can convert the single RF and LO signals to nearly perfect differential signals over the 21–29-GHz band. This topology is balanced for RF, LO, and IF signals so the corresponding isolations are better than those of a traditional single-balanced mixer [9], [10]. For example, compared with the corresponding receiver front-end with the traditional single-balanced mixer, simulated results demonstrate that an

2598

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 14. Schematic of the mixer.

improvement of 17.74–18.28, 51.98–61.06, and 22.12–27.8 dB are achieved for RF-IF, LO-IF, and LO-RF isolation, respectively. All transistors – have the same gate length of 0.18 m. The gatewidth per finger of – and – is 5 and 4 m, respectively. The finger number of – – and – is 10, 9, and 25, respectively. The mixer consumes 24 mW in the bias condition of V, V, V, and V. The differential transconductance stage, which converts the differential RF input voltage signals ( and ) to output current signals, is composed of nMOS transistors and biased in the saturation region. Based on the theory in [31], the input matching bandwidth is inversely proportional to the equivalent input series inductance of the equivalent input series RLC network. The theoretical corresponding to 8 GHz (21–29 GHz) of input matching bandwidth is 0.663 nH. However, in this work, a smaller inductance of 0.256 pH is selected for a larger design margin. The needed equivalent 50- series resistance is mainly contributed by the parallel RLC load of transistors through the feedback capacitance . Analytical expressions can be obtained according to the methodology introduced in [32]. Excellent RF-port input impedance matching can then be achieved if

the values of – – and the size and bias of transistors – , i.e., and are selected appropriately to make the equivalent input series RLC network resonant at around the center frequency (25 GHz) and has an equivalent input series resistance of about 50 . The LO switching quad consists of nMOS transistors – , which are biased in the near pinch-off region to act as switches. In order to minimize the noise of the mixer, the bias current of the LO switching quad should be small enough. Therefore, a current supplying circuit (based on the current bleeding technique), composed of pMOS transistors – and resistors – , is incorporated to provide most of the needed drain current of the RF transconductance stage such that the bias current of the LO switching quad could be largely reduced. Furthermore, since a relatively larger load resistance can be adopted, a higher CG can be achieved [33]. The single-stage differential IF amplifier comprises transistors – and load resistors – provides additional gain to compensate the loss caused by the passive components. The resonant inductor can parallelly resonate with the parasitic capacitance at the drain terminals of transistors – and – , and the parasitic capacitance at the source terminals of transistors – . This leads to not only an enhancement of

LIN et al.: DESIGN AND ANALYSIS OF 21–29-GHz UWB RECEIVER FRONT-END

Fig. 15. Simulated CG versus RF frequency characteristics of the mixer: (a) with and without the resonant inductor and (b) at various LO input power.

CG, but also an improvement of NF. Thus, due to the inductive gain peaking caused by , the CG and NF performances of the mixer over the 21–29-GHz band can be enhanced. Fig. 15(a) shows the simulated CG versus RF frequency characteristics of the mixer with and without the resonant inductor . The IF frequency is fixed at 0.1 GHz. That is, the LO frequency is 0.1 GHz lower than the RF frequency. The mixer achieves flat and high CG of 11.8 0.97 dB for frequencies 21–29 GHz. In addition, the resonant inductor can significantly improve the CG. For example, an improvement of 4.25 dB in CG (from 8.52 to 12.77 dB) is achieved at 24 GHz. Fig. 15(b) shows the simulated CG versus RF frequency (i.e., LO frequency plus 0.1 GHz) characteristics of the mixer at various LO input power . For frequencies 21–29 GHz, the mixer achieves flat and high CG of 11.45 1.12 dB for dBm and 10.76 1.23 dB for dBm, close to that (11.8 0.97 dB) of this work ( dBm). In addition, the mixer achieves better than 15 dBm and group-delay variation smaller than 6 ps for frequencies 21–29 GHz. Fig. 16(a) shows the simulated single-sideband (SSB) NF of the mixer and the SSB NF of the receiver front-end versus IF frequency characteristics with and without the resonant inductor . The LO frequency is fixed at 25 GHz, and the RF frequency is the sum of the LO frequency and the IF frequency. As can be seen, the resonant inductor can significantly improve NF. For example, an improvement of 3.93 dB

2599

Fig. 16. Simulated and versus IF frequency characteristics: and (b) by using the designed (a) with and without the resonant inductor Marchanrd baluns and by using the ideal baluns.

Fig. 17. Simulated versus IF frequency characteristics at various LO frequencies and LO input power.

in (from 13 to 9.07 dB) is achieved at IF frequency of 0.1 GHz. In addition, the resonant inductor can significantly improve . For example, an improvement of 0.82 dB in NF (from 4.44 to 3.62 dB) is achieved at IF frequency of 0.1 GHz. Fig. 16(b) shows the simulated and versus IF frequency characteristics by using the Marchard baluns and

2600

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 19. Chip micrograph of the implemented receiver front-end.

Fig. 18. Simulated CG and NF versus frequency characteristics of the receiver values, (b) values, and (c) values. front-end at various: (a)

the ideal baluns. Compared with the case that uses the ideal baluns, though there is a medium degradation in due to the loss of the Marchand baluns, the degradation in is slight. For example, at IF frequency of 0.1 GHz, the degradation in is 2.42 dB (from 6.65 to 9.07 dB), while the degradation in is only 0.31 dB (from 3.31 to 3.62 dB). This is mainly attributed to the high gain of the LNA. Fig. 17 shows the simulated versus IF frequency characteristics at various LO frequencies. The RF frequency is

the sum of the LO frequency and the IF frequency. The variation of LO frequency only slightly changes performance. For example, at IF frequency of 0.1 GHz, the simulated is 3.59 dB and 3.6 dB at LO frequency of 24 and 26 GHz, respectively, close to that (3.63 dB) at 25 GHz. What is also shown in Fig. 17 is the simulated versus IF frequency characteristics at various LO input power. The variation of LO input power only slightly changes performance. For example, at IF frequency of 0.1 GHz, the simulated is 3.68 and 3.59 dB at LO input power of 3 and 1 dBm, respectively, close to that (3.63 dB) at 25 GHz. Fig. 18(a) shows the simulated CG and NF versus frequency characteristics of the receiver front-end at various values, i.e., typical value (529.8 pH), typical value plus 10% (583 pH), and typical value minus 10% (477 pH). The simulated CG of the receiver front-end is 25.12 1.86 dB for pH and 25.44 1.46 dB for pH, close to that (25.35 1.61 dB) for pH. In addition, the simulated NF of the receiver front-end is 4.04 0.41 dB for pH and 3.94 0.31 dB for pH, close to that (3.93 0.44 dB) for pH. Fig. 18(b) shows the simulated CG and NF versus frequency characteristics of the receiver front-end at various values, i.e., typical value (526.8 pH), typical value plus 10% (580 pH), and typical value minus 10% (474 pH). The simulated CG of the receiver front-end is 25.42 2.02 dB for pH and 25.01 1.44 dB for pH, close to that (25.35 1.61 dB) for pH. In addition, the simulated NF of the receiver front-end is 3.93 0.49 dB for pH and 4.02 0.46 dB for pH, close to that (3.93 0.44 dB) for pH. Fig. 18(c) shows the simulated CG and NF versus frequency characteristics of the receiver front-end at various values, i.e., typical value (503.3 pH), typical value plus 10% (553 pH),

LIN et al.: DESIGN AND ANALYSIS OF 21–29-GHz UWB RECEIVER FRONT-END

2601

Fig. 20. Measured and simulated RF-port reflection coefficient and measured LO-port reflection coefficient versus frequency characteristics of the receiver front-end.

and typical value minus 10% (453 pH). The simulated CG of the receiver front-end is 25.39 1.75 dB for pH and 25.22 1.52 dB for pH, close to that (25.35 1.61 dB) for pH. In addition, the simulated NF of the receiver front-end is 3.95 0.46 dB for pH and 3.95 0.44 dB for pH, close to that (3.93 0.44 dB) for pH. In addition, two-tone intermodulation simulation was performed at RF frequencies of 24 and 24.001 GHz, fixed LO frequency of 23.9 GHz, and fixed LO input power of dBm. The receiver front-end shows of 29 dBm, input secondorder intercept point (IIP2) of 40 dBm, and input third-order intercept point (IIP3) of 19 dBm (not shown here). IV. MEASUREMENT RESULTS AND DISCUSSIONS The chip micrograph of the finished receiver front-end is shown in Fig. 19. The chip area is only 1.25 1.06 mm , including the test pads. On-wafer measurement was performed by using an Agilent’s 50-GHz RFIC measurement system, including an Agilent E8254A (250 kHz–40 GHz) PSG analog signal generator for generation of LO input signals, an Agilent E4448A (3 Hz–50 GHz) PSA series spectrum analyzer for IF spectrum measurement, and an Agilent HP8510C vector network analyzer (VNA) for return- and insertion-loss measurements. The gate bias of the RF input transistors and the gate bias of the LO switching quad is 0.7 and 1.1 V, respectively. The LNA consumes a current of 7.21 mA from a 1.8-V supply. The mixer (includes the IF amplifier) consumes a current of 17.5 mA from a 1.5-V supply. That is to say, the receiver front-end consumes 39.2 mW power in total. Fig. 20 shows the measured and simulated RF-port reflection coefficient versus frequency characteristics of the receiver frontend. The measured result conforms well to the simulated one. The measured RF-port reflection coefficient is below 10 dB for frequency from 20.5 to 31.2 GHz (except for a small range from 23 to 26.1 GHz, which is below 9 dB), indicating a very broadband input matching characteristic. What is also shown in Fig. 20 is the measured LO-port reflection coefficient versus frequency characteristics of the receiver front-end. The measured

Fig. 21. (a) Measured and simulated CG versus RF frequency characteristics, (b) measured and simulated CG versus LO input power characteristics, and (c) measured RF-IF, LO-IF, and LO-RF isolation versus frequency characteristics of the receiver front-end.

LO-port reflection coefficient is below 10 dB for frequencies 21.3–26.1 GHz. Fig. 21(a) shows the measured and simulated CG versus RF frequency characteristics of the receiver front-end at IF frequency of 0.1 GHz. That is, the LO frequency is 0.1 GHz lower than the RF frequency. The measured result conforms well to the simulated one. The receiver front-end achieves flat and high CG of 23.7 1.4 dB over the 21–29-GHz band.

2602

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

TABLE I SUMMARY OF THE IMPLEMENTED 21–29-GHz CMOS RECEIVER FRONT-END, AND RECENTLY REPORTED STATE-OF-THE-ART 21–29-GHz BAND CMOS RECEIVER FRONT-ENDS

Fig. 22. Measured and simulated NF versus frequency characteristics of the receiver front-end.

Fig. 23. Measured IIP3 of the receiver front-end at 24 GHz.

Fig. 21(b) shows the measured and simulated CG versus LO input power characteristics at RF frequency of 24 GHz, IF frequency of 0.1 GHz, and RF input power of 40 dBm. The measured result conforms well to the simulated one. Due to the excellent LO-port input matching ( 26 dB at 23.9 GHz), the receiver front-end achieves maximum CG of 25.1 dB at a relatively lower LO input power of 4 dBm. Note that a sufficiently large LO input power will push the RF input transistors to the triode region due to the large voltage drop of the load resistors , which, in turn, results in a degradation of of the RF input transistors. This explains why the CG exhibits a maximum of 25.1 dB at LO input power of 4 dBm, then drops at higher LO power levels. Fig. 21(c) shows the measured LO-IF, LO-RF, and RF-IF isolation versus frequency characteristics of the receiver frontend. The receiver front-end achieves excellent LO-IF isolation smaller than 47 dB, LO-RF isolation smaller than 55 dB, and RF-IF isolation smaller than 35.5 dB over the 21–29-GHz band. Fig. 22 shows the measured and simulated NF versus RF frequency characteristics of the receiver front-end. The measured result conforms well to the simulated one. The receiver front-end achieves excellent flat and low NF of 4.6 0.5 dB over the 21–29-GHz band.

In addition, two-tone intermodulation measurement was performed at RF frequencies of 24 and 24.001 GHz, fixed LO frequency of 23.9 GHz, and fixed LO input power of 4 dBm. Fig. 23 shows the measured fundamental and third-order intermodulation (IM3) output power versus input power characteristics of the receiver front-end. The corresponding and IIP3 are 28 dBm and 17.9 dBm, respectively. Table I is a summary of the implemented 21–29-GHz CMOS receiver front-end, and recently reported state-of-the-art 21–29-GHz band CMOS receiver front-ends [3], [4], [10]. As can be seen, our receiver front-end exhibits the lowest NF, low power, and excellent port-to-port isolations. Overall, the results indicate that this receiver front-end is suitable for 21–29-GHz UWB automotive radar systems. V. CONCLUSION In this paper, we have presented the design and analysis of a 21–29-GHz CMOS receiver front-end in a standard 0.18- m CMOS process for UWB automotive radar systems. The performances of the mixer are improved with the current-bleeding technique and a parallel resonant inductor in the differential outputs of the RF transconductance stage. The wideband Marchand baluns can convert the single RF and LO signals to nearly perfect differential signals over the 21–29-GHz band. The receiver front-end dissipates 39.2 mW, and exhibits excellent CG of 23.7 1.4 dB and NF of 4.6 0.5 dB over the 21–29-GHz band. In addition, LO-IF isolation smaller than 47 dB, LO-RF isolation smaller than 55 dB, and RF-IF isolation smaller than 35.5 dB are achieved over the 21–29-GHz band. The results highlight the potential application of the proposed architecture in 21–29-GHz band communication systems. ACKNOWLEDGMENT The authors are very grateful for the support of the National Chip Implementation Center (CIC), Hsinchu, Taiwan, for chip

LIN et al.: DESIGN AND ANALYSIS OF 21–29-GHz UWB RECEIVER FRONT-END

2603

fabrication, and the National Nano-Device Laboratory (NDL), Hsinchu, Taiwan, for measurements.

[24] H. W. Chiu, S. S. Lu, and Y. S. Lin, “A 2.17 dB NF, 5 GHz band monolithic CMOS LNA with 10 mW DC power consumption,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 813–824, Mar. 2005. [25] P. Heydari, “Design and analysis of performance-optimized CMOS UWB distributed LNA,” IEEE J. Solid-State, Circuits, vol. 42, no. 9, pp. 1892–1905, Sep. 2007. [26] F. X. Pengg, “Direct parameter extraction on RF-CMOS,” in IEEE Radio Freq. Integr. Circuits Symp., 2002, pp. 355–358. [27] P. C. Yeh, W. C. Liu, and H. K. Chiou, “Compact 28-GHz subharmonically pumped resistive mixer MMIC using a lumped-element highpass/band-pass balun,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 2, pp. 62–64, Feb. 2005. [28] O. El-Gharniti, E. Kerhervé, and J. B. Bégueret, “Modeling and characterization of on-chip transformers for silicon RFIC,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 4, pp. 607–615, Apr. 2007. [29] J. R. Long, “Monolithic transformers for silicon RFIC design,” IEEE J. Solid-State Circuits, vol. 35, no. 9, pp. 1368–1382, Sep. 2000. [30] Y. S. Lin, J. F. Chang, H. B. Liang, T. Wang, and S. S. Lu, “High-performance transmission-line inductors for 30–60 GHz RFIC applications,” IEEE Trans. Electron Devices, vol. 54, no. 9, pp. 2512–2519, Sep. 2007. [31] T. Wang, H. C. Chen, H. W. Chiu, Y. S. Lin, G. W. Huang, and S. S. Lu, “Micromachined CMOS LNA and VCO by CMOS compatible ICP deep trench technology,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 2, pp. 580–588, Feb. 2006. [32] Y. S. Lin, C. Z. Chen, H. Y. Yang, C. C. Chen, J. H. Lee, G. W. Huang, and S. S. Lu, “Analysis and design of a CMOS UWB LNA with dualRLC-branch wideband input matching network,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 2, pp. 287–296, Feb. 2010. [33] L. A. NacEachern and T. Manku, “A charge-injection method for Gilbert cell biasing,” in IEEE Can. Electr. Comput. Eng. Conf., 1998, vol. 1, pp. 365–368.

REFERENCES [1] “Technical requirements for vehicular radar systems,” FCC, Washington, DC, FCC 47 CFR, Sec. 15.515, 2008. [2] “Commission Decision 2005/50/EC,” Official J. Eur. Union, Jan. 2005. [3] X. Guan and A. Hajimiri, “A 24-GHz CMOS front-end,” IEEE J. SolidState Circuits, vol. 39, no. 2, pp. 368–373, Feb. 2004. [4] C. Y. Chu, C. C. Wei, H. C. Hsu, S. H. Feng, and W. S. Feng, “A 24 GHz low-power CMOS receiver design,” in IEEE Int. Circuits Syst. Symp., 2008, pp. 980–983. [5] M. Törmänen and H. Sjöland, “Two 24 GHz receiver front-ends in 130-nm CMOS using SOP technology,” in IEEE Radio Freq. Integr. Circuits Symp., 2009, pp. 559–562. [6] R. M. Kodkani and L. E. Larson, “A 24-GHz CMOS sub-harmonic mixer based zero-IF receiver with an improved active balun,” in IEEE Custom Integr. Circuits Conf., 2009, pp. 673–676. [7] V. Issakov, K. L. R. Mertens, M. Tiebout, A. Thiede, and W. Simburger, “Compact quadrature receiver for 24 GHz radar applications in 0.13 m CMOS,” Electron. Lett., vol. 46, no. 1, pp. 79–80, 2010. [8] A. Mazzanti, M. Sosio, M. Repossi, and F. Svelto, “A 24 GHz subharmonic direct conversion receiver in 65 nm CMOS,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 58, no. 1, pp. 88–97, Jan. 2011. [9] V. Jain, S. Sundararaman, and P. Heydari, “A CMOS 22–29 GHz receiver front-end for UWB automotive pulse-radars,” in IEEE Custom Integr. Circuits Conf., 2007, pp. 757–760. [10] V. Jain, S. Sundararaman, and P. Heydari, “A 22–29-GHz UWB pulseradar receiver front-end in 0.18- m CMOS,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 8, pp. 1903–1914, Aug. 2009. [11] S. L. Huang, Y. S. Lin, and J. H. Lee, “A low-power and low-noise 21–29 GHz ultra-wideband receiver front-end in 0.18 m CMOS technology,” in IEEE Custom Integr. Circuits Conf., San Jose, CA, pp. 1–4. [12] H. C. Chen, T. Wang, and S. S. Lu, “A 5–6 GHz 1-V CMOS direct down-conversion receiver with an integrated quadrature coupler,” IEEE J. Solid-State Circuits, vol. 42, no. 9, pp. 1963–1975, Sep. 2007. [13] V. Jain, F. Tzeng, L. Zhou, and P. Heydari, “A single-chip dual-band 22–29-GHz/77–81-GHz BiCMOS transceiver for automotive radars,” IEEE J. Solid-State Circuits, vol. 44, no. 12, pp. 3469–3485, Dec. 2009. [14] S. Pruvost, L. Moquillon, E. Imbs, M. Marchetti, and P. Garcia, “Low noise low cost Rx solutions for pulsed 24 GHz automotive radar sensors,” in IEEE Radio Freq. Integr. Circuits Symp., 2007, pp. 387–390. [15] H. Hashemi, X. Guan, and A. Hajimiri, “A fully integrated 24 GHz 8-path phased-array receiver in silicon,” in IEEE Int. Solid-State Circuits Conf., 2004, pp. 390–391. [16] A. Natarajan, A. Komijani, and A. Hajimiri, “A 24 GHz phased array transmitter in 0.18 m CMOS,” in IEEE Int. Solid-State Circuits Conf., Feb. 2005, pp. 212–213. [17] H. Krishnaswamy and H. Hashemi, “A 4-channel 24–27 GHz UWB phased array transmitter in 0.13 m CMOS for vehicular radar,” in IEEE Custom Integr. Circuits Conf., 2007, pp. 753–756. [18] I. Gresham, A. Jenkins, R. Egri, C. Eswarappa, N. Kinayman, N. Jain, R. Anderson, F. Kolak, R. Wohlert, S. P. Bawell, J. Bennett, and J. P. Lanteri, “Ultra-wideband radar sensors for short-range vehicular applications,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 9, pp. 2105–2122, Sep. 2004. [19] I. Gresham, N. Kinayman, A. Jenkins, R. Point, A. Street, Y. Lu, A. Khalil, R. Ito, and R. Anderson, “A fully integrated 24 GHz SiGe receiver chip in a low-cost QFN plastic package,” in IEEE Radio Freq. Integr. Circuits Symp., Jun. 2006, pp. 11–13. [20] C. C. Chen, H. Y. Yang, and Y. S. Lin, “A 21–27 GHz CMOS wideband LNA with 9.3 1.3 dB gain and 103.9 8.1 ps group-delay using standard 0.18 m CMOS technology,” in IEEE Radio Wireless Symp., 2009, pp. 586–589. [21] Y. S. Lin, “An analysis of small-signal source-body resistance effect on RF MOSFETs for low-cost system-on-chip (SoC) applications,” IEEE Trans. Electron Devices, vol. 52, no. 7, pp. 1442–1451, Jul. 2005. [22] M. L. Edwards and J. H. Sinsky, “A new criterion for linear 2-port stability using geometrically derived parameters,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 12, pp. 2303–2311, Dec. 1992. [23] B. Razavi, Fundamentals of Microelectronics. New York: Wiley, 2008, pp. 652–666.

Yo-Sheng Lin (M’02–SM’06) was born in Puli, Taiwan, on Oct. 10, 1969. He received the Ph.D. degree in electrical engineering from National Taiwan University, Taipei, Taiwan, in 1997. His doctoral dissertation concerned the fabrication and study of GaInP–InGaAs–GaAs doped-channel field-effect-transistors and their applications to monolithic microwave integrated circuits. In 1997, he joined the Taiwan Semiconductor Manufacturing Company, as a Principle Engineer for 0.35/0.32- m DRAM and 0.25- m embedded DRAM technology development with the Integration Department of Fab-IV. Since 2000, he has been responsible for 0.18/0.15/0.13- m CMOS low-power device technology development with the Department of Device Technology and Modeling, Research and Development, and became the Technical Manager in 2001. In August 2001, he joined the Department of Electrical Engineering, National Chi Nan University (NCNU), where he became an Associate Professor in August 2003, and a Professor in August 2006. From June to September, 2004, he was a Visiting Researcher with the High-Speed Electronics Research Department, Bell Laboratories, Lucent Technologies, Murray Hill, NJ. From February 2007 to January 2008, he was a Visiting Professor with the Department of Electrical Engineering, Stanford University, Stanford, CA. His current research interests are in the areas of RF identification (RFID) chip design and UWB circuits and systems in both microwave and millimeter-wave bands. Dr. Lin was a recipient of the NCNU Excellent Research Award in 2006, the Chinese Institute of Electrical Engineering Outstanding Young EE Engineer Award in 2007, and the NCNU Excellent Teaching Award in 2011.

Jen-How Lee was born in I-Lan, Taiwan, on February 28, 1979. He received the M.S. degree in electrical engineering from National Chi Nan University, Puli, Taiwan, in 2007, and is currently working toward the Ph.D. degree at National Chi Nan University. His master thesis concerned UWB LNAs. His current research interests focus on the design of 60-GHz UWB CMOS receiver front-ends.

2604

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Sheng-Li Huang was born in Taipei, Taiwan, on May 5, 1985. He received the M.S. degree in electrical engineering from National Chi Nan University, Puli, Taiwan, in 2010. His master thesis concerned UWB LNAs, mixers, and baluns for automobile radar systems.

Chiu-Hsuan Wang was born in Taichung, Taiwan, on October 1, 1985. She received the B.S. degree in electronic engineering from Fu Jen Catholic University, Xinzhuang, Taiwan, in 2008, and the M.S. degree in electrical engineering from National Chi Nan University, Puli, Taiwan, in 2010. Her master thesis concernred UWB receiver front-ends for automobile radar systems.

Chien-Chin Wang was born in I-Lan, Taiwan, on February 24, 1985. He received the B.S. and M.S. degrees in electrical engineering from National Chi Nan University, Puli, Taiwan, in 2008 and 2010, respectively, and is currently working toward the Ph.D. degree at National Chi Nan University. His master thesis concerned - and -band frequency dividers. His current research interests focus on the binary frequency shift-keying (BFSK) transceiver, sigma–delta DAC, and audio amplifier for wireless biomedical sensor network systems-on-chip (SOCs).

Shey-Shi Lu (S’89–M’91–SM’99) was born in Taipei, Taiwan, on October 12, 1962. He received the B.S. degree from National Taiwan University, Taiwan, R.O.C., in 1985, the M.S. degree from Cornell University, Ithaca, NY, in 1988, and the Ph.D. degree from the University of Minnesota at Minneapolis–St. Paul, in 1991, all in electrical engineering. His M.S. thesis concerned the planar doped barrier hot electron transistor, while his doctoral dissertation concerned the uniaxial stress effect on AlGaAs/GaAs quantum well/barrier structures. In August 1991, he joined the Department of Electrical Engineering, National Taiwan University, where he is currently a Professor. From August 2007 to July 2010, he was the Director of the Graduate Institute of Electronics Engineering, National Taiwan University. His current research interests are in the areas of RF integrated circuit (RFIC)/monolithic microwave integrated circuits (MMICs), and micromachined RF components.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

2605

Performance Limitations and Measurement Analysis of a Near-Field Microwave Microscope for Nondestructive and Subsurface Detection Jonathan D. Chisum, Student Member, IEEE, and Zoya Popović, Fellow, IEEE

Abstract—Near-field microwave microscopy provides a means for nondestructive localized characterization of both surface and subsurface materials and devices. This paper details the design and implementation of a near-field microwave measurement system capable of achieving large scan areas ( 1 mm ) with micrometer spatial resolution, good signal-to-noise and long-term measurement stability. We discuss the measurement topology, system design, and qualification, as well as the design and optimization of the probe circuit and equivalent microwave circuit. The system noise floor is measured and noise-limiting elements are identified. A calibration method is discussed that allows for the quantitative extraction of the tip-sample impedance and enables tip-sample modeling. Several demonstration measurements are provided that show differentiation of not just metals and dielectrics, but compound targets. Additionally, resolution of 10- m features at the surface are demonstrated with a factor of 4 degradation in spatial resolution at a depth approximately equal to the tip diameter. Index Terms—Detection, evanescent, localized, near-field, nondestructive, subsurface.

Fig. 1. NFMM probe circuit is a critically coupled resonator ( ), loaded by , at a height a near-field probe tip (inset, right) of characteristic diameter above the surface of the sample. The probe tip is modeled as a cylindrical shaft, conical taper, and spherical tip. The reflection coefficient of the probe circuit (inset, left) is measured as the sample is scanned beneath the probe tip. Changes in the DUT cause changes in the probe response and result in contrast in the measurement.

I. INTRODUCTION

A

DVANCES IN new materials and microscale and nanoscale devices and structures in the last several decades have continued to focus on reduction of scale and vertical and lateral integration of multiple materials. Yet, diagnostic tools have fallen behind the rapid progress in fabrication capabilities [1]–[5]. In addition, there is a need for rapid characterization of new materials [6], [7], characterization of thin films [8]–[10], knowledge of semiconductor dopant profiles [11], [12], and the ability to image subsurface features of such structures [13]–[15]. The near-field microwave microscope (NFMM) has shown promise in helping to meet these needs [16] by providing a means for the nondestructive, localized measurement of complex material parameters of the device-under-test (DUT) with fine spatial resolution and sensitivity to subsurface features. Manuscript received September 26, 2011; revised April 04, 2012; accepted April 09, 2012. Date of publication June 21, 2012; date of current version July 30, 2012. This work was supported in part by the National Science Foundation under Grant ARRA ECCS 0925636. J. Chisum was with the Department of Electrical and Computer Engineering, University of Colorado at Boulder, Boulder, CO, 80309 USA. He is now with the Massachusetts Institute of Technology (MIT) Lincoln Laboratory, Lexington, MA 02421 USA (e-mail: [email protected]) Z. Popović is with the Department of Electrical and Computer Engineering, University of Colorado at Boulder, Boulder, CO, 80309 USA. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2201739

As described in [17] and shown in Fig. 1, a typical NFMM system is comprised of a near-field probe tip and a detection system. The probe tip, which is either aperture-based or apertureless, couples to the DUT in a spatially confined region. A detection or readout circuit provides a stimulus and measures the response of the probe-sample interaction. The readout circuit is either resonant, nonresonant, or self-oscillating. In this study, we employ an apertureless sharpened-rod probe tip and a resonant readout system referred to as the lock-in vector near-field probe (LVNP). In the last decade, significant improvement in NFMM capabilities and interpretation of measurements have resulted in lateral spatial resolution on the order of 100 nm [18], [19], and quantitative material characterization [8], [20]. In addition, modulation of the local RF oscillator [21] and height modulation of the tip or sample [22]–[24] have been employed with phase sensitive detection (PSD) to increase the signal-to-noise ratio (SNR). In this study, we quantitatively examine the parameters that limit the performance of an NFMM system. We present a thorough characterization of the LVNP NFMM system and compare noise mitigation techniques, including a quantitative comparison of the RF and height modulation schemes in the time and frequency domains. We introduce appropriate calibration methods for physical modeling of the near-field probe. Finally, a quantitative approach to spatial resolution analysis as a function of material and sample depth is defined and applied to measurements.

0018-9480/$31.00 © 2012 IEEE

2606

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 2. Near-field probe circuit (left) is comprised of a capacitively coupled resonator, loaded by the near-field probe tip (right).

Section II overviews the underlying principle of NFMM measurements, presents the near-field probe circuit, LVNP system architecture, and measurement principle. Section III discusses modulation effects, and various performance parameters significant to the LVNP including noise and drift. Section IV demonstrates the performance of the LVNP through measurements, and we conclude with a discussion of probe circuit and LVNP integration for parallelized probe arrays. II. CONCEPTUAL OVERVIEW A. Near-Field Probe Fig. 1 shows the concept of an NFMM measurement. The probe circuit is a critically coupled resonator ( ), loaded by a near-field probe tip of characteristic diameter , maintained at a height close to the surface of the DUT. The DUT is scanned beneath the probe tip by electromechanical and piezoelectric motion stages. Variations in the composition and structure of the DUT over the scan area cause changes in the nearfield coupling of the tip and DUT, which result in a change in the resonant response of the high- probe circuit. By monitoring the changing response of the probe circuit ( and , or at a fixed frequency ), variation in the DUT is mapped to contrast in the measurement, with spatial resolution on the order of the probe tip diameter [17], [22]. Fig. 2 (left) shows a photograph of the microstrip implementation of the probe circuit built on Rogers 4003c substrate. A series capacitor couples the incident RF power into a surface-mount rectangular coaxial transmission line resonator (SkyWorks SR9000EPHY790) of resonant frequency MHz with an unloaded quality factor . The resonator is loaded by a sharp metallic near-field probe tip with a characteristic tip diameter on the order of 1–10 m, scanned at a height above the surface of the DUT (Fig. 2, right). Fig. 3(a) shows an equivalent model of the probe circuit. The coaxial transmission line resonator is a half-wavelength opencircuit resonator, which has a high impedance at resonance. In order to map this resonance into the most sensitive region of the Smith chart for reflection-type measurements [25], we critically couple the input with a capacitor . A series resistor and inductor model losses and tab inductance, respectively, while a small transmission line bend models the microstrip circuit where the tip is attached. The capacitive coupling of the tip to

Fig. 3. (a) Equivalent lumped-element and transmission-line circuit model is used for fitting the response of the probe circuit to determine the tip-DUT coupling impedance. (b) Typical capacitive loading shifts the resonance down dramatically while typical resistive loading slightly changes the minimum (shown in inset).

the DUT is represented by , while losses in the tip metal and the DUT are modeled by . Fig. 3(b) shows the measured and fitted response of the probe circuit in free-space (i.e., tip-DUT coupling is negligible). As loading increases to 5 and 10 fF, the resonant frequency shifts down dramatically. Increased loading causes a small change in the minimum of (inset). It is important to note that the small change due to resistive coupling can only be measured with sufficient capacitive coupling (here, fF). This is equivalent to saying that the probe tip must be “close enough” to the DUT for a resistive measurement to be successful. It has been shown that a tip of diameter must be scanned within a height to achieve tip-limited spatial resolution [17]. B. Instrumentation and Measurement Topology It is tempting to employ a network analyzer to measure the response of the probe circuit. However, we must measure small changes in the resonant response of the probe circuit in the presence of an otherwise large background signal. Thus, we anticipate requiring high dynamic range. A typical NFMM measurement may take between a few minutes and a few hours so we additionally require extremely good long-term stability. A modern network analyzer with a low IF bandwidth and averaging is

CHISUM AND POPOVIĆ: PERFORMANCE LIMITATIONS AND MEASUREMENT ANALYSIS OF NFMM

2607

Fig. 4. Microwave excitation (LO) is divided such that part is reflected off the probe circuit (dashed outline) and mixed in quadrature with the other part. For a continuous-wave excitation, at a single location on the DUT, the resulting and are dc signals proportional to . When the LO or the piezo is , then and are ac signals proportional to , and modulated at thus the LVNP can employ PSD.

able to achieve high dynamic range; however, each independent measurement requires a relatively long period of time so the drift ( noise) of the instrument will prevent useful comparison of measurements over an entire scan area. In [26], it was shown that the variance of a measurement in the presence of noise for a phase sensitive detector (PSD) is nondivergent, implying that long-term stability can be achieved. PSD is essentially measuring a low-frequency signal (e.g., for a single LO frequency, ) by modulating it beyond the knee ( ) of the system, then filtering for an arbitrarily long period of time, . Integration time provides dynamic range and long-term stability is achieved because the measurement occurs beyond . The LVNP implements a PSD readout circuit for NFMM. A simplified schematic of the LVNP is shown in Fig. 4. The probe circuit discussed in Section II-A is shown to the right of the vertical dashed line and the LVNP is to the left. Power from an RF local oscillator (LO) is split: one part is reflected off the probe circuit (through a circulator) and mixed in phase quadrature with the other part. For constant LO power, (1) (2) which uniquely determines the magnitude and phase of the complex probe reflection coefficient (also referred to as throughout). can then be related to the input impedance of the probe circuit model in Fig. 3(a). The modulation source and two Stanford Research lock-in amplifier volt meters (SR830) implement the PSD loop. The switch in Fig. 4 represents two modulation schemes, which are: 1) amplitude modulation of the RF LO and 2) height modulation of the axis piezoelectric (piezo) motion stage. Both methods effectively translate the dc and signals to allowing for long-term stability. The characteristics of each modulation scheme are discussed further in Section III-A.

Fig. 5. Measured lateral scan across a metallic step transition. Here, the LVNP m is employs piezo modulation in which the nominal scan height nm between a “nominal” and “modumodulated by an amount lated” state. The measurement (solid trace) is the result of 501 data points, two (square marker) and of which will be used to describe the measurement: (circle marker).

C. Measurement Principle We demonstrate the measurement principle of the LVNP through a typical measurement in which the probe circuit and probe tip are held fixed while the DUT is laterally scanned along the -dimension. The DUT is a metallic step transition, as shown schematically at the top of Fig. 5. At , the probe tip is closer to the transition than at , and therefore is more strongly coupled to the DUT. The DUT is scanned to each location and held for a fixed time while the piezo motion stage is vertically modulated at . The separation between the DUT and the probe tip thus oscillates between and at . We refer to the two states as the “nominal” and “modulated” states, respectively. The nominal states at and are far enough from the DUT that they have approximately the same coupling,1 but the coupling of the modulated states differs. Fig. 6(a) shows the frequency response of the probe circuit for the nominal state (same at and ), the modulated state at , and the modulated state at . As the tip nears the sample, increased capacitive loading effectively lengthens the resonator shifting the resonant frequency down. When the LVNP is operated at a single frequency , the input to the PSD [see Fig. 6(b)] is a sinusoid at varying between the nominal and modulated reflected voltages where the dc offset is proportional to the background signal. The final recorded signal is the detected rms of the ac-coupled input within a narrow passband ( ) around : and at 1Measurements

confirm this to be a good approximation.

2608

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 6. (a) Measured probe circuit response, at and compared to , the difference between nominal and is , the nominal response. At is . (b) Simulated inputs to the while the difference between nominal and and at the piezo-modulock-in amplifier are sinusoids of peak values with dc offsets due to background signals. Lock-in outputs lated frequency are dc voltages equal to the rms equivalent of the ac-coupled input sinusoids: and . (a) Measured of probe circuit. (b) Simulated input and output of PSD (lock-in).

and , respectively. Broadband noise outside the passband is rejected. III. SYSTEM CHARACTERIZATION Section II described the near-field measurement concept, and design of the probe circuit and LVNP instrument. Next, we characterize the LVNP for unique LO and piezo-modulation effects, and we determine system noise and stability. A. Modulation Effects To compare the effects of modulation scheme—LO or piezo—we examine frequency sweeps of the probe circuit. Fig. 7 shows frequency sweeps with LO modulation at 40 Hz [see Fig. 7(a)] and piezo modulation at 10 and 40 Hz [see Fig. 7(b)]. They differ in three significant ways: modulation mechanism, calibration, and SNR.

Fig. 7. LVNP can modulate the amplitude of the LO or the height of the piezoelectric motion stage. (a) Calibrated LO modulated frequency sweep at Hz. (b) Uncalibrated piezo-modulated frequency sweep showing 10-Hz piezo modulation is more noisy than 40-Hz modulation, and demonstrating the benefits of variable modulation frequency. (a) LO modulation. (b) Piezo modulation.

While the piezo-modulation mechanism is height variation of the tip-DUT separation distance, the LO modulation mechanism is amplitude modulation of the LO. That is, the tip-DUT separation distance remains constant, but the RF voltage at the probe is modulated at . The output of the PSD is the difference between the nominal and modulated states. For LO modulation, this is the difference between a resonance, and a highly attenuated version of the same resonance. Therefore, the plot in Fig. 7(a) has a resonant shape. The output of the PSD for a piezo-modulated frequency sweep is the difference between a resonance and a slightly shifted resonance, which results in a double-hump, as in Fig. 7(b). For reasons discussed in Section IV-B, LO modulated measurements can be calibrated while piezo-modulated measurements cannot. Therefore, the response in Fig. 7(a) is calibrated while a systematic error appears at 763.5 MHZ in Fig. 7(b). Despite this drawback, piezo modulation is useful because it provides better long-term stability (Section III-B).

CHISUM AND POPOVIĆ: PERFORMANCE LIMITATIONS AND MEASUREMENT ANALYSIS OF NFMM

Fig. 8. Noise spectrum for the un-modulated LVNP, limited by the - and -mixers (solid trace labeled “Electronic”) shows a region extending to approximately 200 Hz, while the lock-in amplifier (dashed trace) has a knee at 20 Hz. When the LVNP is operated without vibration isolation (solid trace labeled “Vibration”), the noise spectrum is dominated by vibration noise.

While LO and piezo modulation differ in many respects, both can be operated over a continuous range of modulation frequencies, which is beneficial for noise reduction and interference immunity. The piezo can be modulated at Hz , limited by mechanical resonances in the scanning hardware. The LO can be modulated at Hz kHz , limited by the modulation hardware of the specific LO used in this work. Fig. 7(b) shows piezo-modulated frequency sweeps at Hz and Hz. Even though both frequency sweeps employ a 300-ms integration time, the 10-Hz sweep includes interference and is generally more noisy than the 40-Hz sweep. Referring to the system noise spectrum in Fig. 8, this is because 10 Hz is far below the knee while 40 Hz is much closer to in a region with a five times lower noise floor. In other words, judicious selection of can reduce the integration time required to achieve a given SNR. B. Noise and Stability NFMM measurements can take several minutes to several hours to complete depending on the desired SNR, the total area scanned, and the required spatial resolution. For this reason, much effort has been dedicated to developing a system architecture (the LVNP discussed in Section II-B) that provides low noise and long-term stability. Fig. 8 shows the measured noise floor of the LVNP from 0.1 to 350 Hz with PSD disabled. The noise spectra was measured by sweeping the reference signal of the lock-in amplifier between 0.1–350 Hz and recording the power in each frequency bin, during which the experiment was not modulated. In order to determine which component of the LVNP limits the noise floor, we sequentially short the input to various elements and perform a spectrum measurement. The dashed trace labeled “Lock-in Floor” shows the measured noise spectrum with the inputs to the lock-in amplifier shorted and defines the lowest noise floor of the system with a knee at Hz. The solid trace

2609

Fig. 9. Acoustic and vibration isolation chamber houses the probe, DUT, and scanning hardware. The chamber includes acoustic isolation foam, and a mechanical low-pass filter constructed of a 35-kg granite platform suspended by four bungee cords and yielding a cutoff frequency of approximately 20 Hz. The DUT sits on a piezoelectric axis motion stage, which is scanned beneath the -axes mechanical motion stages. probe tip by

labeled “Electronic” shows the noise spectrum with the inputs to the - and -mixers shorted. The mixers raise the white noise floor, degrade from 20 Hz to approximately 200 Hz and were found to be the noise-limiting devices. The solid trace labeled “Vibration” shows the noise spectrum with nothing shorted, the probe circuit strongly coupled to a DUT, and the probe, DUT, and scanning hardware placed directly on a laboratory bench (i.e., no vibration isolation). In this case, mechanical vibration noise dominates the LVNP electronic noise so an acoustic and vibration isolation chamber is needed [27], as shown in Fig. 9. In order to characterize long-term stability of the LVNP, we record a nominally constant output over time and observe fluctuations from nominal. The time series of such an output is plotted in Fig. 10(a) where the median value has been subtracted ( ), and Fig. 10(b) shows the corresponding fast Fourier transforms (FFTs) as voltage spectral densities (VSD) in units of Hz [28]. We compare two LO modulation depths: 5%, and 99%, and piezo modulation of 500 nm. Piezo modulation exhibits extremely good stability over 4 h and is nearly white in the frequency domain. As LO modulation depth increases, stability degrades and noise becomes dominant. However, even in the case of 99% LO modulation depth, the knee is Hz, which is four orders of magnitude below the noise spectra shown in Fig. 8. It is important to understand that the noise spectra in Fig. 10(b) were measured with modulation and PSD while Fig. 8 had PSD disabled. In other words, the low-frequency noise in Fig. 8 is the noise of the electronics without PSD. Fig. 10 can be used to calculate the expected drift over an arbitrary measurement time. For example, the expected peak-topeak drift due to white and noise for a 1-h measurement with 99% LO modulation can be calculated from [26] and [28] as (3) where modulation,

nV

Hz is the white noise floor for 99% LO Hz is the -knee, is the

2610

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 11. Touchdown measurement over metal and over SiN passivated metal yields a measurement contrast.

Fig. 10. Drift in the time domain is equivalent to noise in the frequency domain. (a) Time traces of a constant output signal over four hours and the (b) corresponding FFTs show that piezo modulation is extremely stable, while increasing LO modulation depth results in decreased long-term stability. This is a result of the PSD differencing the signal in the high state with a low state that has dropped below the white noise floor. Equation (3) predicts 3.3 V of drift over 1 h for 99% LO modulation depth, shown with the vertical dashed trace in noise (frequency domain). (a). (a) Drift (time domain). (b)

equivalent noise bandwidth for an integration time of , and Hz corresponds to a 1-h measurement. The expected peak-to-peak drift is 3.3 , which agrees with the time-domain measured values, as indicated by the vertical dashed trace in Fig. 10(a). To achieve the best stability for a large scan with fine spatial resolution and good SNR, shallow LO modulation or piezo modulation should be used. The majority of the area scans shown in Section IV-C use LO modulation with 25% depth. It should be noted that reducing the LO modulation depth decreases and [see Fig. 6(b)] and the overall SNR so a tradeoff must be made between SNR and long-term stability. IV. MEASUREMENTS AND ANALYSIS With the probe circuit optimized and modeled, and noise and stability performance for the instrument characterized, we

present several demonstration measurements. For each measurement, a quantitative analysis is conducted as it relates to each of three modalities: differentiation, analysis, and imaging. The differentiation modality is for binning test samples with high fidelity by performing a vertical scan to increase the number of data points used for differentiation, as in [29]. The analysis modality is similar to differentiation, but includes calibration of the LVNP in order to correlate measured data with theoretical data. Finally, the imaging modality represents the spatial variation of a sample over an area of interest. A combination of the analysis and imaging modalities is referred to as quantitative imaging. This is at the forefront of the field [30] and will be viewed as the eventual goal, but has so far only been demonstrated for special cases (e.g., analytical solutions at zero scan height or curve fitting to known samples [31]). A. Differentiation Screening of metallic test structures with and without 0.8 m of silicon–nitride (SiN) passivation ( ) is performed with a multidatapoint vertical touchdown scan, as shown in Fig. 11. Circular markers indicate the touchdown over bare metal, and square markers indicate the touchdown over metal with 0.8 m of passivation ( ). The probe tip diameter is 5 m so we expect the sensitive region to be within 5 m. Indeed, beyond a scan height of 4 m, the two samples are indistinguishable, but as the probe approaches the passivation layer and the effective permittivity increases, the difference becomes more pronounced. Binning in this case could be accomplished with a single datapoint at m m, requiring less than 1 s to perform each measurement. However, the high-fidelity differentiation shown in Fig. 11 required approximately 10 min. Differentiation of multiple materials through vertical scanning has also been demonstrated in [29] and [32]. Another test structure is scanned for lateral differentiation. Fig. 12(a) shows the height profile of a 90- m square metallic test structure partially surrounded by SiN, which is destructively measured with a DekTak profilometer. Metallic

CHISUM AND POPOVIĆ: PERFORMANCE LIMITATIONS AND MEASUREMENT ANALYSIS OF NFMM

Fig. 12. (a) Height profile of a 90- m-square metallic bond pad on a MMIC (expanded ordinate scale), destructively measured with a DekTak profilometer. from a lateral scan with a 5- m-diameter probe tip 4 m over the bare (b) metal bond pad. Strong responses at the SiN/metal transition (circled) indicates ), metal, and a combination of the two. (c) Lateral sensitivity to SiN ( scans over the SiN/metal transition at several heights ensure material differentiation, not due to topography. Markers indicate the response at a constant height of 4 m over all three regions. (a) DekTak profile of SiN over square metal bond pad. Not to scale: radius of curvature of tip and SiN buildup is 2.5 and 18 m, full lateral scan. (c) of SiN transition. respectively. (b)

and SiN regions are indicated, based upon the test structure layout, showing that SiN passivation wraps over the sides of the metallic pad. Fig. 12(b) shows a horizontal scan in with a 5- m probe tip at a height of 4 m above the bare metal. The scan includes the expected dip between 0.08–0.19 mm as the tip transitions over the metal pad, and a response due to buildup of SiN at the edge transition. Notice that the SiN buildup extends the effective width of the pad from 90 m to approximately 110 m. To explore the buildup of SiN, several scans are conducted around the edge transition at increasingly close scan heights, as shown in Fig. 12(c). While a step transition from SiN to metal results in a monotonic response (e.g., Fig. 5), the response seen in this case differentiates not only insulator and metal, but a combination of the two, which is a much more subtle difference. To confirm these measurements are truly from material properties and not topography, we mark symbols in Fig. 12(c) corresponding to a consistent 4- m height above the sample for each of the three regions along the scan. The height-compensated probe over SiN, SiN/metal, and bare metal results in measured responses of 1820, 1818.25, and 1817 V, respectively. We note that the graphical representation of the probe tip in Fig. 12(a) is not to scale. In reality, the radius of curvature of the probe tip and SiN feature is 2.5 and 18 m, respectively. The ratio of 7.2 justifies comparison of the probe tip over

2611

Fig. 13. (a) LVNP is similar to a one-port reflectometer with: RF excitation (LO), linear four-port, load, and vector volt meter. This means the LVNP can be calibrated with an SOL calibration set like a standard VNA port. (b) SOL calibration defines reference plane P1. The circuit model developed in Fig. 3(a) is then de-embedded moving the reference plane to the tip-DUT load at P2. (a) One-port reflectometer calibration. (b) Probe circuit de-embedding.

the planar SiN and planar bare metal with the probe tip over the quasi-planar SiN/metal buildup. Random variation along the bare metal region in Fig. 12(b) is attributed to surface roughness, also revealed in the DekTak height profile, while slope in the sample platform causes the linear bias. If desired, the LVNP can compensate for platform slope in several ways, e.g., by measuring the separation distance at the extents of the scan and linearly compensating or by optical profilometry at every point throughout the scan. B. Analysis In order to further understand the operation, performance, and limitations of the LVNP, we would like to determine: 1) the values of the parasitics and components in the probe circuit of Fig. 3(a) and 2) determine simple, but sufficiently accurate models for the tip-DUT coupling. To accomplish this, systematic instrument errors must be removed through calibration. Fig. 13(a) shows a block diagram of the LVNP with standard one-port reflectometer elements identified in dashed outlines. The LO serves as the excitation, the power splitter and circulator are the linear four-port, the -demodulator and lock-in amplifier are the vector volt meter, and the probe circuit and tip-DUT coupling are the load. We calibrate by fabricating custom short, open, and matched-load (SOL) impedance standards [33] on the same substrate with the same SMA-to-planar transition as the probe circuit. As in a network analyzer, measurement of the calibration standards defines a two-port error matrix: , , and , which represent the linear four-port [34], [35] and various scaling coefficients. The calibrated probe circuit reflection

2612

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 14. FEM electromagnetic simulation of the electric potential distribution around a probe tip of diameter , a height off a slab of dielectric over metal is used to develop equivalent circuit models of tip-DUT loading from calibrated and de-embedded measurements.

Fig. 15. Three distinct regions exist in a typical touchdown measurement. Below approximately 0.1- m small imperfections at the tip of the probe tip dominate the response. Beyond 0.5 m, the taper and shaft of the probe tip dominate the response effectively saturating the sensitivity. Between these two regions, the tip can be effectively modeled as a parallel-plate capacitor. When the shaft length is halved, the saturation value decreases.

coefficient is

in terms of the measured reflection coefficient

(4) referenced to the P1 plane. Note that a modulated piezo does not interact with the calibration standards so only LO modulation can be calibrated in this way. A frequency sweep with the probe tip in free space (i.e., far from the DUT such that coupling is negligible) is used to fit the probe circuit parameters, then the probe circuit is de-embedded [see Fig. 13(b)] to reveal the complex tip-DUT coupling impedance at the P2 reference plane. Finally, finite-element method (FEM) electromagnetic simulations are used to explore the effect of various tip geometries on the tip impedance, as in [36]. Fig. 14 shows the electrostatic potential for a parameterized tip and DUT. These simulations are used to calculate

Fig. 16. (b) and (c) Magnitude and phase of the reflection coefficient of the probe circuit as it is scanned over a 1.1 mm 1.0 mm area of a MMIC inductor . (c) . circuit, as shown in (a). (a) Target. (b)

tip-DUT impedance as a function of tip diameter , shaft diameter , tip angle , tip-DUT scan height , and material . Fig. 15 shows a touchdown measurement over metal with a 5- m-diameter probe tip. Applying SOL calibration, then

CHISUM AND POPOVIĆ: PERFORMANCE LIMITATIONS AND MEASUREMENT ANALYSIS OF NFMM

2613

Fig. 17. MMIC test structure is scanned with a large 50- m probe tip and a small 10- m probe tip (overlay). The large tip blurs features revealed by the smaller tip including the 10- m lines and spaces of the inductor and the octagonal shape of the bond pad.

de-embedding the probe circuit model, the uncalibrated is converted to a calibrated tip capacitance . The theoretical capacitance of a parallel-plate capacitor with circular plates of radius 10.8 m (approximately , as expected from [37]) fits the data between 150–250 nm. The response close to the sample ( 100 nm) is dominated by small imperfections at the apex of the spherical tip [38], while beyond 500 nm, the data is fit by the capacitance of the shaft and taper alone, as calculated from FEM simulations (dashed trace). If the shaft length is halved the saturated response (dashed–dotted trace) lowers by approximately 2.5 fF, which extends the sensitive region of operation by 100 nm. C. Imaging The imaging modality results in a 2-D scan over the lateral coordinates of the DUT using a constant step size throughout the scan. The 2-D image contrast is a map of the variation in composition of the DUT. One goal of the LVNP is to provide very large scan range compared to the often implemented AFM-assisted NFMM systems [8], [39]. While the scan area in the following images is typically 1 mm 1 mm, 100 times larger than even an extended range AFM scan head [40], the actual scan range limit is 25 mm 25 mm with 5 mm of vertical travel. This is possible through the combination of coarse electromechanical motion stages and fine piezoelectric motion stages. Fig. 16(a) shows the layout of a GaAs monolithic microwave integrated circuit (MMIC) test structure fabricated in the TriQuint TQPED process, with three layers of metallization. M0 is the lowest layer, 8.6 m below the surface passivation. A metal–insulator–metal (MIM) capacitor layer is 0.15 m above M0. M1 is 6 m below the surface, but is via-plated to M2, which lies 0.8 m below the surface passivation (referred to as M1–M2). The planar spiral inductor in the middle of the MMIC has 10- m lines and spaces. Fig. 16(b) and (c) shows the magnitude and phase response from a 20- m probe tip scanned over the test structure. The various metallic layers are clearly differentiated with the strongest response coming from the thick plated metal layer (M1–M2) near the surface. The lower resolution response comes from the lower metal layer (M0) buried beneath nearly 9 m of dielectric. has a

Fig. 18. (a) ESFs of the transition from dielectric to metal (scan tracks shown in inset) with a 10- and a 50- m tip over plated M1–M2 and over subsurface M0 are processed to yield: (b) MTFs, which show the quantitative response as a function of spatial frequency. The MTFs confirm the smaller probe tip provides higher spatial resolution, but when imaging metal below dielectric, at a depth on the order of the tip diameter (here, 9 m), the spatial resolution degrades by a factor of 4. (a) ESFs. (b) Corresponding MTFs.

minimum value when it is most strongly loaded by the plated metal because as the capacitance increases, the resonance shifts down. has the opposite trend because the phase of the response increases around resonance. Despite drift-induced vertical stripes, which are more pronounced in , it is useful to examine both magnitude and phase because of the opposing trends. Fig. 17 shows the same test structure scanned with a large probe tip (50 m) and a small probe tip (10 m). As expected, features are significantly blurred with the large probe tip, but an overlay of the scan with the small tip shows the octagonal shape of the probe pad and the 10- m lines and spaces of the inductor. This confirms that spatial resolution is on the order of the probe tip diameter. Spatial resolution can also be characterized by measuring an edge. Fig. 18(a) shows the normalized (n.u.) edge response or edge spread function (ESF) [41] of a 10- m tip scanned

2614

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

over plated M1–M2, a 10- m tip scanned over the subsurface M0, and a 50 m tip scanned over plated M1–M2. Scan tracks over the test structure are indicated in the inset. From the ESFs, the 10- m tip over M1–M2 has the steepest rise, and thus the highest spatial resolution. These observations can be quantified through the optical transfer function (OTF), which describes the magnitude and phase of the system response as a function of spatial frequency . It is the Fourier transform of the derivative of the ESF [41], and the modulation transfer function (MTF) is the magnitude of the OTF. The edge responses shown in Fig. 18(a) yield the MTFs shown in Fig. 18(b). Spatial resolution is defined as the point at which the MTF drops to the noise floor and is indicated by vertical dashed lines for each of the three measurements. The 10- m tip over M1–M2 exhibits 80 000 line-pairs/meter spatial resolution or 24- m resolution. This degrades by a factor of four to 100 m over M0, as a result of the 9- m dielectric covering. The 50- m tip over M1-M2 has 43- m resolution. The low resolution of the 10- m tip could be due to a blunted tip, while the high resolution of the 50- m tip is likely due to small scale tip imperfections [38]. V. CONCLUSION The LVNP presented in this study can be extended in several ways. We designed planar probe circuits [13] for repeatable fabrication and simple integration of various probe tips. In addition, the probe circuit lends itself to integration with active components for miniaturization. For example, the -demodulator can be implemented as a MMIC and the ferrite circulator replaced by a quasi-circulator and low-noise amplifier (LNA) [42]. The resonator can also be planarized [43], [44] at the expense of the factor. Integration enables arrays of probes that have two benefits, which are: 1) multifrequency arrays provide spatial resolution at various depths [15] and 2) identical elements provide -times faster scans. Currently, typical scan times are 100–300 ms per pixel2 with the 10- m detail in Fig. 17 requiring approximately 10 min to complete. This factor is reduced by for an -element probe array. We have presented the LVNP for the nondestructive microwave measurement of microscale and nanoscale samples including inhomogeneous materials, MMICs, and complex structures with lateral and vertical composition. We discussed the design of a high- factor, critically coupled resonant probe circuit, and a low-noise measurement system for modulation and PSD of NFMM measurements. The system noise floor was characterized and it was determined the -demodulator was the noise-limiting element. We are presently working to replace the mixers, and thus lower the overall system noise. The knee was measured as Hz, four orders of magnitude better than the same electronics without modulation. This stability enabled large scan areas with acceptable levels of drift. It was shown that the LVNP can differentiate not only dielectrics and conductors, but vertically stacked combinations of the two. Through custom SOL calibration standards and FEM simulations, the physical tip impedance was determined 2Pixel integration time is inversely proportional to probe tip size to achieve a given SNR

and it was found that sensitivity can be increased by shortening the shaft of the tip. Images of a MMIC test structure showed good long-term stability, as well as spatial resolution on the order of the probe-tip diameter. A quantitative definition of spatial resolution was based upon the MTF calculated from edge targets, and spatial resolution as a function of sample depth was discussed. ACKNOWLEDGMENT The authors gratefully acknowledge Dr. P. Kabos and Dr. M. Wallis, both with the National Institute of Standards and Technology (NIST), Boulder, CO, for helpful input and discussion. REFERENCES [1] “High performance microchip supply,” Defense Sci. Board, Office Undersecretary of Defense for Acquisition, Technol., Logist., Washington, DC, Tech. Rep. ADA435563, Feb. 2005. [2] “Trust for integrated circuits,” Defense Adv. Res. Projects Agency, Washington, DC, Tech. Rep. DARPA-BAA-06-40, 2006. [3] “Circuit analysis tools,” Intell. Adv. Res. Projects Activity, Office Director Nat. Intell., Washington, DC, Tech. Rep. IARPA-BAA-09-09, May 2009. [4] V. V. Talanov and A. R. Schwartz, “Near-field scanning microwave microscope for interline capacitance characterization of nanoelectronics interconnect,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 5, pp. 1224–1229, May 2009. [5] “Integrity and reliability in integrated circuits,” Defense Adv. Res. Projects Agency, Washington, DC, Tech. Rep. DARPA-BAA-10-33, 2010. [6] N. Okazaki, H. Odagawa, Y. Cho, T. Nagamura, D. Komiyama, T. Koida, H. Minami, P. Ahmet, T. Fukumura, Y. Matsumoto, M. Kawasaki, T. Chikyow, H. Koinuma, and T. Hasegawa, “Development of scanning microwave microscope with a lumped-constant resonator probe for high-throughput characterization of combinatorial dielectric materials,” Appl. Surf. Sci., vol. 189, pp. 222–226, Apr. 2002. [7] K. Lee, H. Melikyan, A. Babajanyan, T. Sargsyan, J. Kim, S. Kim, and B. Friedman, “Visualization of magnetic domains by near-field scanning microwave microscope,” Ultramicroscopy, vol. 109, no. 8, pp. 889–893, Jul. 2009. [8] C. Gao, T. Wei, F. Duewer, Y. Lu, and X. D. Xiang, “High spatial resolution quantitative microwave impedance microscopy by a scanning tip microwave near-field microscope,” Appl. Phys. Lett., vol. 71, no. 13, pp. 1872–1874, Sep. 1997. [9] K. Lai, M. Nakamura, W. Kundhikanjana, M. Kawasaki, Y. Tokura, M. A. Kelly, and Z. Shen, “Mesoscopic percolating resistance network in a strained manganite thin film,” Science, vol. 329, no. 5988, pp. 190–193, Jul. 2010. [10] S. Huang, H. M. Christen, and M. E. Reeves, “Parameter-free extraction of thin-film dielectric constants from scanning near field microwave microscope measurements,” Naval Res. Lab, Washington, DC, Tech. Rep. ADA524131, Jun. 2010. [11] A. Imtiaz, T. M. Wallis, S. H. Lim, J. Chisum, Z. Popović, and P. Kabos, “Near-field antenna as a scanning microwave probe for characterization of materials and devices,” in Proc. 4th Eur. Antennas Propag. Conf., Barcelona, Spain, Apr. 2010, pp. 1–3. [12] W. Kundhikanjana, K. Lai, M. A. Kelly, and Z. Shen, “Cryogenic microwave imaging of metal–insulator transition in doped silicon,” Rev. Sci. Instrum., vol. 82, no. 3, Mar. 2011, Art. ID 033705. [13] J. D. Chisum, M. Ramirez-Velez, and Z. Popović, “Planar circuits for non-contact Near-field microwave probing,” in Proc. 39th Eur. Microw. Conf., Rome, Italy, Sep. 2009, pp. 802–805. [14] Z. Ren, M. Boybay, and O. Ramahi, “Near-field probes for subsurface detection using split-ring resonators,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 2, pp. 488–495, Feb. 2011. [15] C. Plassard, E. Bourillot, J. Rossignol, Y. Lacroute, E. Lepleux, L. Pacheco, and E. Lesniewska, “Detection of defects buried in metallic samples by scanning microwave microscopy,” Phys. Rev. B, Condens. Matter, vol. 83, no. 12, Mar. 2011, Art. ID 121409. [16] S. C. Cripps, “Probing times [microwave bytes],” IEEE Microw. Mag., vol. 10, no. 1, pp. 28–34, Feb. 2009.

CHISUM AND POPOVIĆ: PERFORMANCE LIMITATIONS AND MEASUREMENT ANALYSIS OF NFMM

[17] S. M. Anlage, V. V. Talanov, and A. R. Schwartz, “Principles of nearfield microwave microscopy,” in Scanning Probe Microscopy: Electrical and Electromechanical Phenomena at the Nanoscale, S. Kalinin and A. Gruverman, Eds. New York: Springer-Verlag, 2007, vol. 1, pp. 215–253. [18] F. Keilmann, B. Knoll, and A. Kramer, “Long-wave-infrared near-field microscopy,” Phys. Status Solidi B, vol. 215, pp. 849–854, Apr. 1999. [19] M. Tabib-Azar, D. Su, A. Pohar, S. R. LeClair, and G. Ponchak, “0.4 m spatial resolution with 1 GHz cm) evanescent microwave probe,” Rev. Sci. Instrum., vol. 70, no. 3, pp. 1725–1729, Mar. 1999. [20] D. E. Steinhauer, C. P. Vlahacos, S. K. Dutta, B. J. Feenstra, F. C. Wellstood, and S. M. Anlage, “Quantitative imaging of sheet resistance with a scanning near-field microwave microscope,” Appl. Phys. Lett., vol. 72, no. 7, pp. 861–863, Feb. 1998. [21] C. Bryant and J. Gunn, “Noncontact technique for the local measurement of semiconductor resistivity,” Rev. Sci. Instrum., vol. 36, pp. 1614–1617, Nov. 1965. [22] E. A. Ash and G. Nicholls, “Super-resolution aperture scanning microscope,” Nature, vol. 237, pp. 510–512, Jun. 1972. [23] M. Tabib-Azar, N. S. Shoemaker, and S. Harris, “Non-destructive characterization of materials by evanescent microwaves,” Meas. Sci. Technol., vol. 4, no. 5, pp. 583–590, May 1993. [24] A. Tselev, S. M. Anlage, H. M. Christen, R. L. Moreland, V. V. Talanov, and A. R. Schwartz, “Near-field microwave microscope with improved sensitivity and spatial resolution,” Rev. Sci. Instrum., vol. 74, no. 6, pp. 3167–3170, Jun. 2003. [25] “Agilent impedance measurement handbook: A guide to measurement technology and techniques,” Agilent Technol., Santa Clara, CA, Tech. Rep. 5950-3000, Jun. 2009. [26] J. D. Chisum, E. N. Grossman, and Z. Popović, “A general approach to low noise readout of terahertz imaging arrays,” Rev. Sci. Instrum., vol. 82, no. 6, Jun. 2011, Art. ID 065106. [27] K. Iwaya, R. Shimizu, T. Hashizume, and T. Hitosugi, “Systematic analyses of vibration noise of a vibration isolation system for highresolution scanning tunneling microscopes,” Rev. Sci. Instrum., vol. 82, no. 8, Aug. 2011, Art. ID 083702. [28] W. B. Davenport and W. L. Root, An Introduction to the Theory of Random Signals and Noise. New York: Wiley, 1987, vol. 11. [29] A. Imtiaz, T. Baldwin, H. T. Nembach, T. M. Wallis, and P. Kabos, “Near-field microwave microscope measurements to characterize bulk material properties,” Appl. Phys. Lett., vol. 90, Jun. 2007, Art. ID 243105. [30] H. P. Huber, M. Moertelmaier, T. M. Wallis, C. J. Chiang, M. Hochleitner, A. Imtiaz, Y. J. Oh, K. Schilcher, M. Dieudonne, J. Smoliner, P. Hinterdorfer, S. J. Rosner, H. Tanbakuchi, P. Kabos, and F. Kienberger, “Calibrated nanoscale capacitance measurements using a scanning microwave microscope,” Rev. Sci. Instrum., vol. 81, no. 11, Nov. 2010, Art. ID 113701. [31] C. Gao and X. Xiang, “Quantitative microwave near-field microscopy of dielectric properties,” Rev. Sci. Instrum., vol. 69, no. 11, pp. 3846–3851, Nov. 1998. [32] X. Zhang, X. Wang, F. Xu, Y. Ma, and C. K. Ong, “High frequency dielectric properties distribution of BiFeO thin film using near-field microwave microscopy,” Rev. Sci. Instrum., vol. 80, no. 11, Nov. 2009, Art. ID 114701. [33] S. F. Adam, “A new precision automatic microwave measurement system,” IEEE Trans. Instrum., vol. IM-17, no. 4, pp. 308–313, Dec. 1968. [34] H. J. Eul and B. Schiek, “A generalized theory and new calibration procedures for network analyzer self-calibration,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 4, pp. 724–731, Apr. 1991. [35] T. Y. Otaoshi, “Improved RF calibration techniques: Scattering matrix of a reduced multiport,” NASA JPL, Pasadena, CA, Tech. Rep. 37-56, Mar. 1969, vol. II. [36] K. Lai, W. Kundhikanjana, M. A. Kelly, and Z. X. Shen, “Calibration of shielded microwave probes using bulk dielectrics,” Appl. Phys. Lett., vol. 93, no. 12, Sep. 2008, Art. ID 123105.

2615

[37] D. Cheng, Field and Wave Electromagnetics, 2nd ed. Reading, MA: Addison-Wesley, 1989, pp. 172–174. [38] A. Imtiaz and S. M. Anlage, “Effect of tip geometry on contrast and spatial resolution of the near-field microwave microscope,” J. Appl. Phys., vol. 100, pp. 0 443 041–0 443 048, Aug. 2006. [39] A. Imtiaz and S. M. Anlage, “A novel STM-assisted microwave microscope with capacitance and loss imaging capability,” Ultramicroscopy, vol. 94, no. 3-4, pp. 209–216, Apr. 2003. [40] “The Nanosurf Easyscan 2 AFM specifications,” Nanosurf, Boston, MA, 2011. [41] G. D. Boreman, Modulation Transfer Function in Optical and Electrooptical Systems. Bellingham, WA: PIE Press, 2001, vol. TT52, Tutorial Texts Opt. Eng.. [42] S. K. Cheung, T. P. Halloran, W. H. Weedon, and C. P. Caldwell, “MMIC-based quadrature hybrid Quasi-circulators for simultaneous transmit and receive,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 3, pp. 489–497, Mar. 2010. [43] C. Chi and G. M. Rebeiz, “Conductor-loss limited stripline resonator and filters,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 4, pp. 626–630, Apr. 1996. [44] K. J. Vanhille, D. L. Fontaine, C. Nichols, D. S. Filipovic, and Z. Popović, “Quasi-planar high- millimeter-wave resonators,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 6, pp. 2439–2446, Jun. 2006. Jonathan D. Chisum (S’02) received the B.S. degree in electrical engineering from Seattle Pacific University, Seattle, WA, in 2003, and the M.S. and Ph.D. degrees in electrical engineering from the University of Colorado at Boulder, in 2008 and 2011. While with the University of Colorado, he was a Student Researcher in the Professional Research Experience Program (PREP), National Institute of Standards and Technology (NIST) Boulder Laboratories (2006–2008), a Research Intern with Millilab, VTT Technical Research Center of Finland (2009), and a Graduate Research Assistant with the Microwave Laboratory, University of Colorado at Boulder (2008–2011). In 2012, he joined the Massachusetts Institute of Technology (MIT) Lincoln Laboratory, Lexington, where he is involved with wideband communication systems. His research interests include low-noise instrumentation, near-field microwave microscopy, millimeter-wave/terahertz imaging, wireless sensors, antenna design, and MMIC design. Dr. Chisum was the recipient of an MIT Lincoln Laboratory Graduate Fellowship in 2009. Zoya Popović (F’02) received the Dipl.Ing. degree from the University of Belgrade, Serbia, Yugoslavia, in 1985, and the Ph.D. degree from the California Institute of Technology, Pasadena, in 1990. Since 1990, she has been with the University of Colorado at Boulder, where she is currently a Distinguished Professor and holds the Hudson Moore Jr. Chair with the Department of Electrical and Computer Engineering. In 2001, she was a Visiting Professor with the Technical University of Munich, Munich, Germany. Since 1991, she has graduated 35 Ph.D. students and currently advises a group of 16 graduate students. Her research interests include high-efficiency and broadband microwave circuits, quasi-optical millimeter-wave techniques, smart and multibeam antenna arrays, and wireless powering. Dr. Popović was the recipient of the 1993 and 2006 Microwave Prizes presented by the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) for the best journal paper, the 1996 URSI Issac Koga Gold Medal, a 2000 Humboldt Research Award for Senior U.S. Scientists, and the 2001 Hewlett-Packard (HP)/American Society for Engineering Education (ASEE) Terman Medal for combined teaching and research excellence.

2616

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Low-Frequency Noise Sources and Gain Stability in Microwave Amplifiers for Radiometry Eric Gonneau and Laurent Escotte

Abstract—In this paper, the impact of low-frequency noise sources on the gain stability of microwave amplifiers is presented. The presence of a thermally activated relaxation process is experimentally demonstrated. To support the experiment, a mathematical model of this additional excess noise source is proposed in the Allan variance analysis. Different microwave amplifiers are also characterized and their stability is compared with the use of the Allan variance. Index Terms—Allan variance, amplifiers, gain fluctuations, stability.

I. INTRODUCTION

F

LICKER noise or noise in microwave low-noise amplifiers (LNAs) limits the radiometer sensitivity. Low-frequency gain fluctuations are induced by this excess noise source, which degrade both the stability and resolution of the system, and subsequently, the overall performance of the instrument. This becomes a critical point when the measurement system requires very wide instantaneous frequency bands [1]. This limiting factor could have an impact on several domains, and its influence on the front-end topology for phased-array radiometers was studied in [2]. It was found that incorporating an LNA at each branch reduces the system gain and noise temperature fluctuations. Kooi et al. reported that the observation efficiency in radio astronomy equipments can be optimized through a proper knowledge regarding the nature of the receiver noise fluctuations [3]. In addition, noise may also lead to unwanted stripes in the final sky maps of the cosmic microwave background, as reported in [4], during in-orbit radiometer characterization. This effect can be reduced if the corner noise frequency (i.e., the frequency at which noise and thermal noise are equal) is less than the spacecraft rotation frequency [5]. It has also been reported in [6] that the temperature-induced receiver fluctuations are increased with cryocooler systems when compared with liquid-helium filled cryostats. The technology of the active devices has also been found to have an impact on the Manuscript received November 07, 2011; revised May 07, 2012; accepted May 10, 2012. Date of publication July 06, 2012; date of current version July 30, 2012. This work was supported by the Regional Council of Midi-Pyrénées. E. Gonneau is with Simulation Instrumentation et Matériaux pour l’Analyse Dosimétrique (SIMAD), Université de Toulouse, F-31400 Toulouse, France (e-mail: [email protected]). L. Escotte is with the Centre National de la Recherche Scientifique (CNRS), Laboratoire d’Analyse et d’Architecture des Systèmes (LAAS), F-31400 Toulouse, France, and also with the Université de Toulouse (UPS), F-31400 Toulouse, France (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2202681

gain fluctuations of cryogenic LNAs [7], [8]. The authors reported that the GaAs-based LNAs are slightly better than the InP-based LNAs in terms of gain stability. In this paper, the stability of two different microwave amplifiers designed for low noise figure and low phase-noise operations were studied with the Allan variance. In the experiment, we had pointed out a nonstandard behavior in the plot of Allan variance versus integration time. The power spectrum analysis revealed the presence of a relaxation process with a Lorentzian shape, which was thermally activated and resulted in a loss of LNA stability. We propose, for the first time, a theoretical model of this excess noise source that can be implemented in the Allan variance analysis. This paper is organized as follows. The experimental test set based on the total power radiometer is described in Section II. The theoretical model of the relaxation process is presented in Section III. Analysis versus ambient temperature is reported in Section IV, showing the presence of a thermally activated noise source. Experimental results concerning different amplifiers stability are reported in Section V and compared with the theoretical model. II. EXPERIMENTAL TEST SET Low-frequency-gain fluctuations in microwave amplifiers have been essentially investigated for cryogenic applications over the past decades. Two different techniques depending on the type of source connected at the input have been proposed. The first one is based on the measurement of the total power at the LNA output with a very stable thermal noise source at the input [9]. A power–power correlation technique can also be used to eliminate the test set fluctuations [10]. The second approach consists of injecting a continuous wave (CW) signal at the input and detecting the output power in a narrow band tuned to the carrier frequency in the receiver of a network analyzer [8]. The output power can also be monitored by a power meter, as proposed in [11]. In this paper, we used a simple total power radiometer, depicted in Fig. 1. The device consists of a matched load connected at the input of the amplifier under test, a two-pole dielectric resonator bandpass filter (30-MHz bandwidth, centered at 1.415 GHz), and a zero-bias Schottky detector. The output voltage is measured with a nanovolt meter (Agilent 34420A) It is configured for better resolution and measurement speed in remote operation. The number of elements in the test set is deliberately low (no additional RF or dc amplifier, low-pass filter, etc.) to avoid extra noise sources and instabilities. The output voltage fluctuations correspond to the noise temperature fluctuations of the test set, including the

0018-9480/$31.00 © 2012 IEEE

GONNEAU AND ESCOTTE: LOW-FREQUENCY NOISE SOURCES AND GAIN STABILITY IN MICROWAVE AMPLIFIERS FOR RADIOMETRY

2617

Fig. 1. Experimental test set.

TABLE I CHARACTERISTICS OF AMPLIFIERS UNDER TEST MEASURED AT 1.4 GHz AND 23 C

fluctuations in amplifier gain, amplifier noise temperature, and matched load temperature. The different elements were mounted in a 3-mm-thick aluminum enclosure (110 180 60 mm) covered by a 40-mmthick extruded polystyrene. A heating resistor was also fixed on the bottom plate and was controlled with a dedicated circuit [12]. The temperature sensor was a Wheatstone bridge composed of two thermistors and two precision resistors. The temperature inside the enclosure was set by the value of the precision resistors in the two legs of the bridge. The amplifiers under test (connectorized and packaged circuits fixed to the bottom plate of the enclosure) also acted as heating elements due to their own dissipated power (between 3–3.75 W). The temperature-stabilized enclosure was located in a temperature-regulated chamber (ESPEC SU-241). The temperature inside the latter was adjusted to maintain a sufficient temperature difference between the regulated box and its external environment for a proper operation of the temperature control circuit. All the data were collected during the night to understate the temperature variation in the room due to human activity. In these conditions, the maximum deviation measured with a thermistor located on the amplifier under test was 0.02 C. The characteristics of the commercially available amplifiers under test are reported in Table I. The residual phase noise was measured with a dedicated test set described in [13]. Amplifier #A was a very-low LNA with 62-dB power gain measured at 1.4 GHz. Amplifier #B is comprised of two cascaded identical amplifiers, each featuring 30-dB power gain, optimized for low phase-noise performance ( 116 dB rad Hz for each amplifier at 2.5-Hz offset from the carrier). III. ALLAN VARIANCE OF A RELAXATION PROCESS Allan variance or two-sample variance was proposed in [14] to analyze the stability of the frequency sources. This improved tool has proven useful in the past to investigate time series with low-frequency components, and more precisely, for assessing the nature of the underlying noise. It is now largely employed

Fig. 2. Allan variance versus integration time for amplifier #B measured without regulation. The model given by (1) is represented by the red line (in online version) and is almost merged with the experimental data (symbols).

in several domains. Rau et al. were the first to propose this parameter for evaluating the stability of microwave radiometers [15]. The Allan variance can be described as the mean square difference between adjacent averages of the signal over an observation (or integration) time . The translation between the frequency domain and time domain is now well known [16], and is given by (1) stands for the Neperian logarithm. , , and are the coefficients of the power-law model commonly used in the frequency domain to describe the power spectral density (PSD) of the voltage fluctuations (2) , , and are the coefficients measuring the level of white noise, noise, and random walk noise, respectively. In the case of linear drift, higher order terms can be added in (1) and (2). A. Experimental Observations Fig. 2 represents the variations of Allan variance versus integration time for amplifier #B when the temperature is not regulated. In this case, the cover of the box is removed and all the components come in contact with ambient air. As a rough guide, the noise floor of the system (without amplifier) measured in the same condition is also depicted via dashed lines. As can be seen in Fig. 2 at 1-s integration time, the signal-to-noise ratio is 10 dB. It varies over the whole range of integration times between 4–12 dB. The model given by (1) is also reported in Fig. 2. An additional term (noted ) has been added in (1) and is attributed to a linear drift. The Allan variance coefficients are summarized in Table II. As depicted in Fig. 2, the theoretical model can be fitted in perfect agreement with the experimental data. When the temperature was regulated at 18 C, the behavior of the Allan variance plot, shown in Fig. 3, exhibited a particular shape. The theoretical model (varying as at smaller lag) could not fit the experimental data and a small bulge appeared

2618

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

TABLE II NOISE COEFFICIENTS OF THE MODEL USED IN FIG. 2. AMPLIFIER #B WITHOUT REGULATION. THE OUTPUT VOLTAGE IS 292 V

Fig. 4. PSD of voltage fluctuations for amplifier #B measured at 18 C. The solid line corresponds to the theoretical model given by (2) (3).

where corresponds to the expected value; voltage value over the time interval

is the average defined by (5)

Fig. 3. Allan variance versus integration time for amplifier #B measured at 18 C. The dashed line corresponds to the theoretical model of white noise.

above 2 s. This was previously observed at cryogenic temperatures by others and was attributed to some microphonic pickup noise on the LNA bias lines [3], [11]. Here, the easiest way to explain this behavior is to use the PSD of the output voltage. The PSD of the voltage fluctuations was calculated from a discrete Fourier transform. A total of 8192 points were used and a consistent PSD estimation was obtained using Welch’s averaged periodogram method over 30 nonoverlapping segments. As reported in Fig. 4, an additional noise source with a Lorentzian spectrum was obviously present. Its PSD can be written as [17] (3) where is the decay constant of the process and is the mean quadratic value of the voltage fluctuations. The characteristic frequency of the process was found to be around 70 mHz. The model given by the sum of (2) and (3) is also plotted in Fig. 4. The parameters , , , , and have been adjusted to allow the fit of the model to the data. The relaxation time is 2.41 s, which is close to the value where the bulge appears in the Allan plot. This suggests that the phenomena are correlated. An extended mathematical model of the Allan variance, including a relaxation process, must then be developed to validate this statement. B. Theoretical Model According to the definition given at the beginning of Section III, the Allan variance can be expressed as

(4)

Equation (5) can be viewed as the output of a signal passing through an ideal filter with a square impulse response, and can be given by (6) represents the convolution product and for , and elsewhere. Equation (4) can be expressed in terms of the autocorrelation function as

where

(7) The autocorrelation function at the output of the filter can be determined from the following relation:

(8) It can be easily verified that the autocorrelation function of of width is a triangular function the rectangular filter and a full length . The convolution product with a height is given by and between (9) Setting

in (9) gives (10)

The autocorrelation function of a relaxation process with a Lorentzian spectrum is well known and is given by [17] (11)

GONNEAU AND ESCOTTE: LOW-FREQUENCY NOISE SOURCES AND GAIN STABILITY IN MICROWAVE AMPLIFIERS FOR RADIOMETRY

Fig. 5. Allan variance versus integration time for amplifier #B measured (symbols) at 18 C. The solid line in red (in online version) corresponds to the model given by (1) (14). The thin lines correspond to the classical noise sources given by (1). The thick line corresponds to (14).

2619

Fig. 6. Normalized Allan variance versus integration time for amplifier #B measured at several temperatures (18.2 C, 14.9 C, 10.2 C, 5.5 C, and 7.2 C). The solid lines in red (in online version) correspond to the theoretical model.

IV. THERMALLY ACTIVATED PROCESS TABLE III NOISE PARAMETERS OF THE MODEL USED IN FIGS. 4 AND 5. AMPLIFIER #B AT 18 C. THE OUTPUT VOLTAGE IS 376 V

The relaxation process, such as generation-recombination noise in semiconductors, is known to be a thermally activated process. The activation energy of the process can be evaluated from the Arrhenius plot according to the well-known relation (15)

By substituting (11) in (10), we found that (12) Likewise, by setting

in (9), we found that

(13) Lastly, the Allan variance of a relaxation process then derived by substituting (12) and (13) in (7)

is

(14) It can be easily verified that goes to 0 when goes to 0 and when . By adding (14) to (1), an analytical model of the Allan variance can be derived. Fig. 5 compares the extended theoretical model with the experimental data for amplifier #B measured at 18 C. One might note a very good agreement between the model and measurement. The different components of the model are also plotted separately. The thin lines correspond to the classical noise sources (white noise, flicker noise, and random walk noise), and the thick line is related to the Lorentzian noise given by (14). Table III reports the different noise parameters determined from both the PSD of the voltage fluctuations (Fig. 4) and Allan variance (Fig. 5). The values obtained in the frequency and time domains are in agreement, and a maximum deviation of 5% is found for the white noise components .

where represents the Boltzmann constant, is a constant corresponding to the capture cross section in the case of a trapping process, and is the temperature. Additional investigations have been undertaken on Amplifier #B for several temperature values. Fig. 6 depicts the variations of the normalized Allan variance (Allan variance divided by the square of the output voltage) to compare the different results because the output voltage varies due to changes in the gain and input noise temperature. It can be stated that the bulges are shifted toward higher integration time values when the temperature decreases from 18.2 C to 7.2 C. The theoretical model described in Section III has been used to fit the experimental data and to evaluate the different values of . These are found in the range of 2.4–30 s. The corresponding Arrhenius plot is reported in Fig. 7, along with a linear fit. The activation energy could be determined from the slope of the straight line, and we found a value of 0.62 eV, which may be due to defects and impurities in the semiconductor [18]. V. COMPARISON BETWEEN DIFFERENT AMPLIFIERS A comparison between two types of amplifiers is reported in this section. The data were collected at 38 C and 15 C. The Allan variance was divided by the square of the output voltage to facilitate the comparison. The normalization effect is shown in Fig. 8 for the small integration time values ( s for amplifier #A, and s for amplifier #B): it can be noted that the plots at 38 C and 15 C are very close. In general, amplifier #A presented the best performance in terms of stability, when compared with amplifier #B. It appears that the lowest residual phase-noise level measured on amplifier #B has a very low impact on the stability characteristics. Amplifier #A was used in

2620

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

TABLE IV NOISE PARAMETERS OF THE MODEL USED IN FIG. 8

Fig. 7. Arrhenius plot for amplifier #B. The solid line corresponds to a linear fit.

Cooling the devices increased the gain of the amplifiers and reduced their input noise temperatures. This led to an increase of 25% in the output voltage for both the amplifiers. In general, the minimum value of the Allan variance shifted toward higher integration time values (60 and 100 s for amplifiers #A and #B, respectively). With regard to amplifier #A, we observed an increase in the random walk noise component, and the linear drift disappeared. With respect to amplifier #B, the stability was strongly degraded due to the presence of the Lorentzian-type noise source. The minimum value of the normalized Allan variance increased by a factor of 2 at 15 C. Furthermore, it is also relevant to mention an increase in the noise component for both the amplifiers when the ambient temperature was reduced, as reported by others in cryogenic amplifiers [7], [8]. VI. CONCLUSION

Fig. 8. Normalized Allan variance versus integration time for amplifiers #A and #B measured at 38 C and 15 C (symbols). The solid lines correspond to the theoretical model.

a radiometer developed for long-term stability measurement of one-port devices [19], and excellent stability performance was reported for several months. Furthermore, a corner noise frequency below 1 mHz of the normalized gain fluctuation was reported. At 38 C, the normalized Allan variance presented a minimum value of around 3.5 10 at s for amplifier #A, and 10 at s for amplifier #B. The theoretical models are also plotted in Fig. 8, and the different noise parameters are reported in Table IV. For amplifier #A, the classical model given by (1) was used. An additional component was added to the model, which could be attributed to a linear drift in the time series. This component was found to be very weak for amplifier #B. This could be due to the fact that two cascaded amplifiers were used in device #B and that the total surface of the package was higher, when compared with amplifier #A, resulting in a higher value in the density of dissipated power for the LNA #A. The relaxation process given by (14) was added to (1) for modeling amplifier #B. A small impact of the excess noise source at 38 C could be noted because its noise level was close to the thermal noise.

The low-frequency noise sources and their impact on the stability of the microwave amplifiers have been examined in this paper. The underlying idea was to evaluate whether LNAs with specific characteristics featured particular stability properties. To the best of our knowledge, we have reported, for the first time in this context, the presence of a noise source with a Lorentzian spectrum. We have demonstrated that this excess noise source is due to a relaxation process that is thermally activated. We presume that traps in the semiconductors of active devices with very long time constants are probably at the origin of this additional noise source. A theoretical model has been developed to include a noise source with a relaxation process in the Allan variance analysis. Different amplifiers with different designs have been measured, modeled, and compared. ACKNOWLEDGMENT The authors thank Dr. O. Llopis, Laboratoire d’Analyse et d’Architecture des Systèmes (LAAS), Centre National de la Recherche Scientifique (CNRS), Toulouse, France, for phasenoise measurement. REFERENCES [1] E. J. Wollack, “High-electron-mobility-transistor gain stability and its design implications for wide band millimeter wave receivers,” Rev. Sci. Instrum., vol. 66, no. 8, pp. 4305–4312, Aug. 1995. [2] M. K. Sönmez, R. J. Trew, and C. P. Hearn, “Front-end topologies for phased array radiometry,” in Proc. 22th Eur. Microw Conf., Helsinki, Finland, 1992, pp. 1251–1256. [3] J. W. Kooi, G. Chattopadhyay, M. Tielman, T. G. Phillips, and R. Schieder, “Noise stability of SIS receivers,” Int. J. Infrared Millim. Waves, vol. 21, no. 5, pp. 689–716, 2000.

GONNEAU AND ESCOTTE: LOW-FREQUENCY NOISE SOURCES AND GAIN STABILITY IN MICROWAVE AMPLIFIERS FOR RADIOMETRY

[4] N. Jarosik, C. Barnes, C. L. Bennett, M. Halpern, G. Hinshaw, A. Kogut, M. Limon, S. S. Meyer, L. Page, D. N. Spergel, G. S. Tucker, J. L. Weiland, E. Wollack, and E. L. Wright, “First-year wilkinson microwave anisotropy probe (WMAP) observations: On-orbit radiometer characterization,” Astrophys. J. Suppl. Ser., vol. 148, no. 1, pp. 29–37, Sep. 2003. [5] M. Seiffert, A. Mennella, C. Burigana, N. Mandolesi, M. Bersanelli, noise and other systematic effects P. Meinhold, and P. Lubin, “ in the planck-LFI radiometers,” Astron. Astroph., vol. 391, no. 3, pp. 1185–1197, Sep. 2002. [6] J. Battat, R. Blundell, T. R. Hunter, R. Kimberk, P. S. Leiker, and C. Y. E. Tong, “Gain stabilization of a submillimeter SIS heterodyne receiver,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 389–395, Jan. 2005. [7] C. Risacher and V. Belitsky, “GaAs HEMT low-noise cryogenic amplifiers from -band to -band with 0.7-K/GHz noise temperature,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 3, pp. 96–98, Mar. 2003. [8] J. D. Gallego, I. Lopez-Fernandez, C. Diez, and A. Barcia, “Experimental results of gain fluctuations and noise in microwave low-noise cryogenic amplifiers,” Proc. SPIE, vol. 5470, pp. 402–413, 2004. [9] E. J. Wollack and M. W. Pospieszalski, “Characteristics of broadband InP millimeter-wave amplifiers for radiometry,” in IEEE MTT-S Int. Microw. Symp. Dig., 1998, pp. 669–672. [10] N. C. Jarosik, “Measurements of the low-frequency-gain fluctuations of a 30-GHz high-electron-mobility-transistor cryogenic amplifier,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 2, pp. 193–197, Feb. 1996. [11] C. Risacher and V. Belitsky, “Low noise cryogenic IF amplifiers for super heterodyne radio astronomy receivers,” in Proc. 13th Int. Space Terahertz Technol. Symp., Boston, MA, 2002, pp. 391–400. [12] R. Barillet, J. Viennet, P. Petit, and C. Audoin, “Circuit for temperature control,” J. Phys. E., Sci. Instrum., vol. 8, no. 7, pp. 544–545, Jul. 1975. [13] G. Cibiel, L. Escotte, and O. Llopis, “A study of the correlation between high-frequency noise and phase noise in low-noise silicon-based transistors,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 183–190, Jan. 2004. [14] D. W. Allan, “Statistics of atomic frequency standards,” Proc. IEEE, vol. 54, no. 2, pp. 221–230, Feb. 1966. [15] G. Rau, R. Schieder, and B. Vowinkel, “Characterization and measurement of radiometer stability,” in Proc. 14th Eur. Microw Conf., Liège, Belgium, 1984, pp. 248–253. [16] J. Rutman, “Characterization of phase and frequency instabilities in precision frequency sources: Fifteen years of progress,” Proc. IEEE, vol. 66, no. 9, pp. 1048–1075, Sep. 1978. [17] M. J. Buckingham, Noise in Electronic Devices and Systems. Chichester, U.K.: Ellis Horwood Ltd., 1983, pp. 33–33.

2621

[18] M. A. Iqbal and B. K. Jones, “A comparison of the trap properties and locations within GaAs field effect transistors measured under different bias conditions,” IEEE Trans. Electron Devices, vol. 45, no. 8, pp. 1663–1670, Aug. 1998. [19] E. L. de la Jarrige, L. Escotte, E. Gonneau, and J. M. Goutoule, “ -band radiometer design for long-term stability measurement of one-port devices,” Int. J. Microw. Wireless Technol., vol. 4, no. 1, pp. 119–126, Feb. 2012.

Eric Gonneau was born in Saint Pierre, Réunion Island, France, in 1965. He received the Ph.D. degree in signal processing from the Toulouse National Polytechnic Institute (INPT), Toulouse, France, in 1993. Since 1994, he has been an Assistant Professor of electronic engineering with Paul Sabatier Université, Toulouse, France. Until 2004, he was with the Laboratoire d’Acoustique de Métrologie et d’Instrumentation, where he specialized in sources localization using array processing and active noise reduction on multiple-input–output systems. Since 2011, he has been with the Laboratory of Simulation Instrumentation et Materiaux pour l’analyse Dosimetrique, where his current research interests are noise fluctuations and signal and multiresolution image processing. He was also an Associate Researcher with the Laboratoire d’Analyse et d’Architecture des Systèmes (LAAS), Centre National de la Recherche Scientifique (CNRS), Toulouse, France.

Laurent Escotte was born in Nouméa, France, in 1962. He received the Ph.D. degree in optic and microwave communications from the University of Limoges, Limoges, France, in 1988. Since 1989, he has been an Assistant Professor of electronic engineering with the Paul Sabatier Université, Toulouse, France. At the same time, he joined the Laboratoire d’Analyse et d’Architecture des Systèmes (LAAS), Centre National de la Recherche Scientifique (CNRS), Toulouse, France. Since 1999, he has been a Professor of electronic engineering with the Paul Sabatier Université. He has authored or coauthored over 60 technical papers and one book. His current research interests include noise characterization and modeling of active devices and circuits in the microwave and millimeter-wave frequency range.

2622

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

A 15–50-GHz Quasi-Optical Scalar Network Analyzer Scalable to Terahertz Frequencies Alex Grichener, Member, IEEE, and Gabriel M. Rebeiz, Fellow, IEEE

Abstract—A 15–50-GHz two-port quasi-optical scalar network analyzer consisting of a transmitter and receiver built in a planar technology is presented. The network analyzer is based on a Schottky-diode multiplier and mixer integrated inside a planar antenna and fed differentially by a coplanar waveguide transmission line. The antenna is placed on an extended hemispherical high-resistivity silicon substrate lens. The local oscillator signal is swept from 3 to 5 GHz and high-order harmonic mixing in both the up- and down- conversion mode is used to realize the RF bandwidth. The network analyzer has a dynamic range of 50 dB in a 1-kHz bandwidth, and was successfully used to measure frequency-selective surfaces with and GHz and a second-order bandpass response. Furthermore, the system was built with circuits and components for easy scaling to millimeter-wave frequencies, which is the primary motivation for this work. Index Terms—Lens antennas, microwave imaging, quasi-optical, Schottky diode mixers, slot antennas.

I. INTRODUCTION

P

LANAR-BASED network analyzers (both cabled and quasi-optical) operating at millimeter- and submillimeter-wave (or terahertz) frequencies offer an attractive advantage over the waveguide-based systems because they are smaller, lighter, and less expensive to build. Previously, sampling network analyzers have been demonstrated at millimeter-wave frequencies using monolithic nonlinear transmission lines (NLTLs) [1], [2]. The NLTL is used to generate pulse trains with picosecond transition times that are then detected using a sampling circuit, and the frequency information is obtained using a Fourier analysis. In this paper, we demonstrate a different approach for millimeter-wave network analysis that can also be implemented with planar circuits. The design uses high-order harmonic mixing to transmit and receive multiple RF frequencies at the same time (Fig. 1). The RF frequencies are prime harmonic multiples of the LO frequency and are each Manuscript received February 13, 2012; revised May 05, 2012; accepted May 08, 2012. Date of publication June 13, 2012; date of current version July 30, 2012. This work was supported by the California Institute of Telecommunications and Information Technology, CALIT2, University of California at San Diego under a grant, and by the Defense Advanced Research Projects Agency (DARPA) Terahertz (THz) Program under a subcontract from Teledyne Scientific. A. Grichener is with Agilent Technologies, Santa Rosa, CA 95403 USA (e-mail: [email protected]). G. M. Rebeiz is with the Department of Electrical and Computer Engineering, University of California at San Diego, La Jolla, CA 92093 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2201951

down-converted to independent IF channels in the receiver. Prime multiples are used to prevent aliasing where more than one RF signal falls onto the same IF bandwidth. The design is scalable to terahertz frequencies. The building blocks of a terahertz network analyzer—sources and receivers—have seen significant advancement in the past two decades. Continued progress in planar Schottky diode frequency multiplier technology is still enabling the best performing millimeter- and submillimeter-wave sources at room temperature in terms of efficiency, output power, and instantaneous bandwidth. These sources use microwave oscillators followed by amplifiers and frequency multipliers to provide continuous-wave (CW) power ranging from tens of milliwatts at 200 GHz to tens of microwatts at 1.9 THz at room temperature, with electronically tunable bandwidths in the 10%–15% range [3]. It is expected that even higher power levels will be achieved with next-generation driver monolithic microwave integrated circuit (MMIC) amplifiers delivering several watts of power at 100 GHz. More recently, output power greater than 1 mW across the 840–900-GHz band at room temperature has been demonstrated with two cascaded frequency triplers using in-phase power-combining techniques [4]. The availability of high-power sources in the 300–1200-GHz range also enables the development of submillimeter-wave heterodyne receivers at room temperature. When high sensitivity, high spectral resolution, long life, and a small form factor are required, heterodyne receivers based on the planar Schottky diode offer superior performance at submillimeter-wave frequencies [5]. Both sub-harmonic mixers and fundamental balanced mixers using planar Schottky diodes have been demonstrated for the 800–900-GHz band with conversion losses of around 10 dB and bandwidths of around 10% [6], [7]. For frequencies above 1.2 THz, far-infrared laser sources are typically used to provide the local oscillator (LO) signal in mixers and up-converters [8], [9]. In an all-planar transmitter and receiver designs (where the LO/RF feed lines are also planar), a significant challenge is overcoming potentially high RF and LO transmission-line losses. To minimize RF transmission-line losses in the receiver, we have adopted the approach of tightly integrating the diode inside a planar antenna, as has been done in previous work [10]–[13], and to minimize LO transmission-line losses, the LO frequency is set at a submultiple of the RF frequency. A good antenna at millimeter- and submillimeter-wave frequencies is the double-slot (DS) antenna, and when placed on a silicon lens produces high-quality Gaussian beams that result in efficient coupling to a quasi-optical system [14]. Another excellent wideband planar feed for the silicon lens is the sinuous antenna

0018-9480/$31.00 © 2012 IEEE

GRICHENER AND REBEIZ: 15–50-GHz QUASI-OPTICAL SCALAR NETWORK ANALYZER SCALABLE TO TERAHERTZ FREQUENCIES

2623

Fig. 1. (a) Simplified quasi-optical setup and schematic. (b) Transmitter and (c) receiver topologies.

[15]. A Schottky diode can be integrated inside the sinuous antenna and used as a detector up to 600 GHz [16]. This paper expands on prior work [17], [18] by demonstrating a scalar network analyzer using both the DS and sinuous antenna and by providing a deeper analysis and characterization of the system losses and the resulting dynamic range. The system is designed to operate up to 50 GHz and can be scaled to terahertz frequencies. Application areas of a quasi-optical terahertz network analyzer include fast measurements of terahertz receiver components (lenses, frequency-selective surface (FSS) structures, etc.), gas and molecular line spectroscopy, and tissue identification and detection [19], [20]. II. DESIGN Fig. 1(a) presents the quasi-optical scalar network analyzer. A two-lens quasi-optical system is designed to shape the waist of the radiated Gaussian beam in order to result in minimum path loss in the network analyzer [21]. The transmitter and receiver circuits shown inside the dashed boxes in Fig. 1(b) and (c) are realized using printed circuit boards (PCBs). Two external signal generators are used to provide the LO signals to the transmitter and to the receiver and can be phase-locked to each other. The receiver IF output is amplified using a low-noise amplifier and then detected and digitized with an external spectrum analyzer. In this way, the hardware is able to measure the magnitude of the transmission coefficient ( ) of a device-under-test (DUT) that is placed between the transmitter and receiver in the path of the RF transmission. A thru calibration is achieved by measuring the magnitude of the received power level with and without the DUT. The transmitter LO frequency (referred to as ) and the receiver LO frequency ( ) track each other while maintaining a constant frequency offset . The harmonics are radiated by the transmitter, pass by the DUT, and are received by the planar receiver where they mix with the harmonics and are down-converted to multiples of (Fig. 2). The two LO signals are swept from 3 to 5 GHz with a constant 10-MHz offset resulting in all 10-MHz harmonics available at the IF port. For

Fig. 2. Frequency-scanning scheme for the quasi-optical scalar network anaMHz). lyzer (

example, an IF of 30 MHz results from the mixing product of and , and an IF of 40 MHz results from the mixing product of and , as well as and . Therefore, in order to avoid multiple harmonics from interfering with each other at similar IF frequencies, only the prime mixing harmonics of and are tracked and measured in the IF spectrum. For an scan of 3–5 GHz, the fifth, seventh, and 11th harmonics result in a frequency scan of 15–25, 21–35, and 33–55 GHz, and a continuous coverage from 15 to 55 GHz. Fig. 3 presents the top-metal layout of the receiver PCB based on the DS antenna and the cross-sectional view. The antenna layer is fabricated on top of a 0.635-mm-thick RT/Duroid1 6010 substrate ( ), and a high-resistivity silicon lens ( ) with radius mm is fixed centrally on the bottom of the board. The planar antenna is placed at mm behind the hemispherical lens center and results in peak antenna directivity [14]. The dielectric lens eliminates the power loss to substrate modes and makes the pattern unidirectional into the lens with minimal power radiated to the back side. No matching-cap layer on the silicon lens is used. The receiver circuit is implemented differentially and external 180 hybrids are used for the LO and IF signals. The LO and IF signals are combined using a diplexer circuit [see Fig. 4(a)]. Ground-to-ground bridges, etched on the bottom metal and connected to the top metal with via-holes, are used to equalize the ground planes of the CPW line. The PCB is also designed to be placed inside the lens holder package on a metallic lip, and has a bottom ground plane covering the area that directly contacts the lip. The planar diplexer is designed to pass a 3–5-GHz LO signal from port 1 to port 2 and a 50–110 MHz IF signal from port 2 to port 3. The IF is coupled through a five-section low-pass 1Rogers

Corporation, Chandler, AZ.

2624

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 3. (a) Layout of receiver based on the DS antenna. (b) Cross-section view of receiver showing the silicon lens attached to the bottom side of the PCB with dimensions in millimeters.

filter designed with a corner frequency of 1.2 GHz and synthesized with alternating low (28 ) and high (108 ) impedance sections. An off-chip high-pass filter (HPF) is used to block the IF signal from coupling into port 1. The diplexer was simulated in Sonnet [22] and the resulting -parameters are plotted in Fig. 4(b) and (c). The simulation shows a resonance in at around 1.3 GHz because, at this frequency the transmission line connecting the T-junction to the external HPF approaches quarter-wavelength, and thus changes the HPF impedance from an open to a short. The resulting 1-dB IF bandwidth of the diplexer is 450 MHz and the LO insertion loss is 1 dB at 3–5 GHz. A. Antenna The scalar network analyzer was implemented with two types of planar antennas: DS and sinuous (Fig. 5). In the case of the DS antenna, the LO signal is fed to the diode through a pair of six-pole low-pass filters with a 1-dB corner frequency of 15 GHz. The filter -parameters were simulated in IE3D [23] and the resulting rejection at 30 GHz is 18 dB. In the case of the sinuous antenna, the LO signal is fed to the diode directly through the arms of the antenna. The sinuous antenna exhibits a natural low-pass filter response, passing the LO/IF frequencies and rejecting the RF frequencies at its external ports. The DS antenna is designed with , ( cm at 30 GHz), which results in a symmetrical pattern inside the lens and a wide impedance bandwidth. Details are available in [14]. The layout of the sinuous antenna is shown in Fig. 5(b), and is defined by the expression (1)

Fig. 4. (a) Diplexer layout showing top/bottom metal with via holes (dimensions in micrometers) and simulated -parameters of: (b) LO path and (c) IF path.

where are the cylindrical coordinates of the curve, is the inner radius of the th cell, is the scaling ratio for each successive cell (such that ), and and define the angular dimensions of each arm. The sinuous antenna shown in Fig. 5(b) is a basic four-arm design with each arm composed of 11 cells. The two arms connected to diode terminals radiate in

GRICHENER AND REBEIZ: 15–50-GHz QUASI-OPTICAL SCALAR NETWORK ANALYZER SCALABLE TO TERAHERTZ FREQUENCIES

2625

TABLE I MA4E2037 DIODE PARAMETERS

Fig. 6. (a) Thevenin equivalent-circuit model of the DS-based receiver. (b) Equivalent balanced and single-ended circuits.

Fig. 5. (a) Layout of DS antenna and input low-pass filters. (b) Layout of sinuous antenna (grey is slot and white is metal).

phase and thus both contribute to the same linear polarization. The other two arms are not utilized in this design and are terminated with a 100- resistor soldered to the back side of the PCB (with via-holes providing the connection to the top-side pads). The sinuous antenna supports a traveling wave that radiates efficiently when the length of a single cell, , is an odd multiple of one-half guided wavelength, , and the current at the end of the cell has reversed phase and direction relative to the start of the cell. Thus, the two sections of traveling-wave current combine coherently, and the cell radiates a linearly polarized field. The radius of the active cell is approximately . The length and radius of the 11th cell is illustrated as an example in Fig. 5(b). The antenna design results in a 4:1 bandwidth with a frequency range of 10–40 GHz, corresponding to a minimum guided wavelength of mm. This sets the minimum radius of the first cell as follows: mm. However, in order to make room for the diode, the first cell was partially filled in and this slightly lowers the maximum frequency of operation. The theoretical input impedance of a sinuous antenna is given by where [15]. However, recent work shows that the input impedance on a dielectric half-space exhibits log-periodic fluctuations around the theoretical value with oscillating between 50–160 and oscillating between [15]. In order to maximize directivity, both antennas are placed on an extended hemispherical lens near the elliptical position. The

directivity becomes diffraction limited and can be calculated using the standard equation, , where is the effective aperture area of the lens and is approximately 85% of the physical aperture area [14]. Based on this calculation, the directivity of the planar antenna on the extended hemispherical lens is expected to vary from 11 to 21 dB at 15–50 GHz. This position is best used for plane-wave coupling (maximum directivity) and not Gaussian-beam coupling. B. High- Harmonic Mixer and Multiplier Design A single-ended GaAs Schottky-diode (M/A-COM MA4E2037) is used as a high-order harmonic multiplier in the transmitter and a high-order harmonic mixer in the receiver. The key diode parameters are given in Table I. The ideality factor, , and the reverse saturation current, , were verified by curve fitting the calculated dc – curve to the measured. The figure-of-merit cutoff frequency ( ) is approximately 750 GHz. The diode forms the center conductor of the CPW line and is placed in series between the two slots [across port 1 in Fig. 5(a)] and between the two sinuous arms [across port 1 in Fig. 5(b)]. The Thevenin equivalent circuit model of a receiver based on the DS antenna is shown in Fig. 6(a). Each diode terminal sees an embedding impedance, , which includes a short section of CPW line in series with the antenna impedance ( for the DS antenna) in series with the impedance of the low-pass filter. Fig. 6(b) shows the equivalent single-ended circuit with the total diode embedding impedance equal to . Note that at low frequencies, the equivalent impedance seen by the diode is two 50- resistors in series, and therefore at the IF and LO frequencies. The DS antenna shown in Fig. 5(a) was simulated in IE3D on top of a silicon half-space. Port 1 was configured as a hori-

2626

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 7. Simulated diode embedding impedance inside the DS antenna ( plotted on a 100- Smith chart from 0 to 39 GHz.

)

zontal (in-plane) internal port and used to determine the diode embedding impedance, . The resulting impedance is plotted on a 100- Smith chart in Fig. 7 from 0 to 39 GHz. Note that does not include the effect of reflections at the silicon–air interface [14]. Harmonic-balance simulations were performed in ADS [24] using two different diode embedding impedances: , and . The 100- impedance was used because it is an approximation of the sinuous antenna input impedance and provides a baseline case to compare with the DS antenna (see Fig. 7). The simulated multiplier and mixer conversion gain as a function of the available LO power (for a 100- embedding impedance) are shown in Fig. 8(a) and (b), respectively. Simulations indicate that the minimum multiplier and mixer conversion loss is achieved at a LO power of 12 and 9 dBm, respectively. Fig. 9 presents the simulated conversion gain as a function of RF frequency, with the LO power fixed at the optimum value in both the multiplier and mixer. Simulations show that the conversion loss of the multiplier is 10–15 dB higher than the conversion loss of the mixer. The reason the simulated conversion loss shows significant variation over LO power and RF frequency is due to multiple conversion paths interfering with one another, as is the case in all th harmonic mixers [18], [27].

Fig. 8. Simulated: (a) multiplier conversion gain and (b) mixer conversion gain as a function of available LO power.

C. Mixer Noise and Dynamic Range Fig. 10(a) presents the schematic of the receiver and the associated noise figure and loss/gain of each element. The total noise figure of the mixer can be expressed by , where is the mixer conversion loss and includes all other mixer noise contributions at the IF frequency, such as shot noise, excess noise, and LO phase noise. The excess noise is due to trapping of electrons in the junction and increases at low frequencies (often having a profile). At high LO drive levels, the diode heats up, which can cause the excess noise to increase significantly due to velocity saturation of the carriers and carrier trapping [25]. The equivalent noise figure of the entire receiver at the antenna port is given by

(2)

Fig. 9. Simulated multiplier and mixer conversion gain as a function of RF frequency for two different diode embedding impedances. The LO power in the multiplier is fixed at 12 dBm and the LO power in the mixer is fixed at 9 dBm. The LO frequency is swept from 3 to 5 GHz.

where

(17 dB) is the spectrum analyzer noise figure, and (3.3 dB) and (40 dB) are the LNA noise figure and gain, respectively [with the IF losses included as shown in Fig. 10(a)]. The IF losses ( ) in the receiver are low ( 1.5 dB) and have little effect on the noise analysis. Since the spectrum analyzer noise figure is reduced by the high LNA gain, the receiver noise figure is . The noise power at the

GRICHENER AND REBEIZ: 15–50-GHz QUASI-OPTICAL SCALAR NETWORK ANALYZER SCALABLE TO TERAHERTZ FREQUENCIES

2627

TABLE II EXPECTED OUTPUT SIGNAL-TO-NOISE RATIO IN A 1-kHz IF BANDWIDTH (ALL LOSSES AND RATIOS EXPRESSED IN DECIBELS). AND VALUES ARE SHOWN IN FIG. 9

Fig. 10. (a) Schematic of receiver and its associated noise figure. (b) Measured mixer excess noise figure and conversion loss as a function of available LO power.

Fig. 11. Schematic of the quasi-optical scalar network analyzer showing all system losses.

output of the receiver is related to the input noise as and is given by

(3) Since , , and are all known quantities, (3) can be used to extract as a function of LO power from a measurement of [see Fig. 10(a) and (b)]. Since for an LO power dBm, the output noise power is

Fig. 12. (a) Top view of packaged receiver showing DS antenna with integrated diode. (b) Bottom view showing silicon lens pressed against bottom side of PCB.

where . The output signal-to-noise ratio can then be expressed in terms of the input signal-to-noise ratio as (6)

(4) and is used in all further analysis. Fig. 11 presents the signal path from the input of the scalar network analyzer to the output. The output signal power can be expressed as

(5)

The total loss and the measured excess noise figure of the mixer are listed in Table II for the three harmonic multiples (and their associated RF bandwidth) used in the network analysis scheme. The total loss includes the loss at the RF, LO, and IF (listed in Table II), as well as the conversion loss of the multiplier and mixer (plotted in Fig. 9). The calculated RF loss includes 2 0.4 dB of back-side radiated power loss of the silicon lens (2 because there are two silicon lenses), 2 1.8 dB of reflection loss at the silicon-air interface, 2 0.5 dB of Gaussian

2628

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 13. Measured patterns for DS antenna showing the co-polarization and cross-polarization components for both the - and -plane cuts at 18, 28, 34, and 40 GHz. Fig. 15. Schematic of sinuous or DS: (a) transmitter and (b) receiver test setups and (c) horn-based scalar network analyzer.

similar to that of silicon) is used at the interface of the lens and PCB to minimize air gaps.2 A. Antenna Measurements Antenna pattern measurements were done on a DS and sinuous antenna inside the packaged receiver by dc biasing the diode and using it as a video detector. Fig. 13 presents the measured co- and cross-polarized - and - plane patterns of the DS antenna from 18 to 40 GHz. The pattern directivity was extracted from the measurements with [26] Fig. 14. Simulated and measured directivity as a function of frequency for a DS antenna on a silicon lens.

coupling loss, and 2 0.1 dB of absorption loss inside the silicon lens. It is assumed that the input signal power is 16 dBm and the input noise power is 144 dBm (equivalent thermal noise power of a load in a 1-kHz bandwidth) resulting in a 160-dB input signal-to-noise ratio. The output signal-to-noise ratio is calculated using (6) and is shown in Table II for each . A dynamic range of 50 dB can be achieved up to 50 GHz in a 1-kHz IF bandwidth.

(7) and also calculated with (8) , was estimated to be 85% of where the effective aperture, the physical aperture. Both directivities are plotted in Fig. 14 for a DS antenna resonant at 30 GHz and good agreement is seen between the measured and calculated directivities. Similar measurements were obtained for the sinuous antenna (not shown for brevity). B. Conversion-Loss Measurements

III. MEASUREMENTS The packaged receiver is shown in Fig. 12 with a zoom-in on the central region. The LO and IF interface is done with flangemount SMA connectors. The silicon lens is held in place with nylon rods. A thin layer of dielectric grease (with a permittivity

The quasi-optical conversion-loss measurement setup is shown in Fig. 15. In the transmitter, an Agilent source (E8257D) connected to a 180 hybrid is used to pump the multiplier, and the radiated harmonics are received by a horn 2Dielectric grease consists of 78% (by weight) titanium dioxide (titania) and 22% petroleum jelly.

GRICHENER AND REBEIZ: 15–50-GHz QUASI-OPTICAL SCALAR NETWORK ANALYZER SCALABLE TO TERAHERTZ FREQUENCIES

2629

Fig. 17. Simulated and measured conversion gain for: (a) multiplier and (b) mixer as a function of RF frequency. The LO frequency is swept from 3 to 5 GHz.

(10)

Fig. 16. Simulated and measured conversion gain as a function of LO power. . (b) . (c) . (a)

antenna and measured with an Agilent spectrum analyzer (E4448A). Bias-Ts are used to provide a dc path to the diode so that it is able to self bias. In the receiver setup, one Agilent source provides the differential LO to the mixer and another source provides the RF. The resulting differential IF signal is amplified by an LNA and measured with an Agilent spectrum analyzer. Two external HPFs are used to block the IF signal from coupling into the LO path. Given the gains and losses of the system, the measured output signals can be expressed in terms of the input signals as (9)

where is the gain of the standard gain horn (20 dB at 30 GHz), , and is the RF loss of the antenna, which includes the back-side radiated power loss, absorption loss, and reflection loss of the silicon lens ( dB). Figs. 16 and 17 presents the measured and simulated conversion gain for three different LO harmonics as a function of available LO power and frequency. The measurement was done using the DS antenna-based transmitter/receiver and the simulation was performed using a harmonic-balance circuit based on Fig. 6 and the simulated embedding impedance of the DS antenna. Overall, the measurements show a similar structure versus power and frequency as the simulated results, although large discrepancies do exist at some power levels and frequency regions. The conversion loss of high-order harmonic mixers and multipliers is very sensitive to the diode embedding impedance, which has both a resistive and reactive component. The discrepancy between simulations and measurements is attributed in part to the limitations in accurately simulating the diode embedding impedance inside the DS antenna (for instance, the simulation does not account for reflections at the silicon–air interface). It

2630

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

TABLE III PARAMETERS FOR TWO-POLE FSS DESIGNS

Fig. 18. Measured down-converted IF power as a function of available RF power (both powers are referred to the diode terminals). The LO power in the mixer is fixed at 10 dBm.

Fig. 20. Photograph of quasi-optical scalar network analyzer setup with the FSS placed in between the transmitter and receiver.

of mixing products between the RF and all harmonics of the LO [18]. Fig. 18 presents the measured IF power referred to the diode terminals, calculated with , as a function of RF power available at the diode terminals, calculated with . As expected, a near-perfect linear relationship is measured between the diode RF input power and the diode IF output power, showing that the diode can handle 14 dBm without saturation due to the high LO power used (10 dBm). C. FSS Measurements

Fig. 19. (a) Layout of a single unit cell, which is arrayed to synthesize an FSS. (b) Cross section of a two-pole FSS. (c) Photograph showing top-metal of two FSS layers, which are fastened together (with an air gap) to make a two-pole FSS.

is also important to note that the conversion loss at a particular LO harmonic depends on the impedance presented to the diode at all the harmonics of the LO. This is because in a high-order harmonic mixer, the IF frequency of interest is the superposition

In order to characterize the performance of the quasi-optical scalar network analyzer, an FSS was designed and used as the DUT. The FSS sheet consists of a array of unit cells, each consisting of dual-polarized half-wavelength slots, as shown in Fig. 19(a). Two substrates are cascaded together, separated by an air gap, [see Fig. 19(b)], to result in a second-order filter response with sharper roll-off as compared to the single resonator. The substrate slightly loads the FSS resulting in a shorter slot length given by , where and . A two-pole FSS was designed at 20, 30, and 40 GHz. The infinite 2-D array of unit cells was synthesized in HFSS through the use of perfect electric conductor (PEC) and perfect magnetic conductor (PMC) walls around a single unit cell. The resulting three FSS designs are summarized in Table III. The quasi-optical scalar network analyzer was assembled as shown in Fig. 20 without the objective lenses for a simpler plane-wave test setup. The FSS was positioned halfway between the transmitter [see Fig. 15(a)] and receiver [see Fig. 15(b)],

GRICHENER AND REBEIZ: 15–50-GHz QUASI-OPTICAL SCALAR NETWORK ANALYZER SCALABLE TO TERAHERTZ FREQUENCIES

2631

FSS measurement for comparative purposes. At a distance of 0.5 m from the antenna, the FSS appears in the far-field of both the transmitter and receiver resulting in an RF wave with an equi-phase front at the surface of the FSS. The Friis transmission between two identical antenna apertures can be expressed as

(11) m, where and is from 34 to 24 dB at 15–50 GHz for is the effective area of the silicon lens. A calibration sample was also fabricated, which consisted of an identical FSS support fixture, but with empty space in place of the FSS. Two versions of the network analyzer were also assembled and characterized, one based on the DS antenna and the other based on the sinuous antenna. To achieve a calibrated measurement, the RF signal power was measured through the FSS (stored as variable ), and through the calibration sample (stored as variable ), resulting in . The measurement sequence consisted of sweeping the transmitter LO frequency, , and the receiver LO frequency, , from 3 to 5 GHz with a constant 10-MHz offset between the two. At each frequency step, IF signals were measured at 50, 70, and 110 MHz corresponding to the mixing products generated by 5 (15–25 GHz), 7 (21–35 GHz), and 11 (33–55 GHz) RF signals, respectively. The entire measurement sequence was automated with a computer. The measured and simulated of the two-pole FSSs are shown in Fig. 21. The quasi-optical scalar network analyzer and the horn measurements are in excellent agreement with each other and with the HFSS simulation. The measured insertion loss is 1 dB at the center frequency of each FSS. A measurement of the 30-GHz FSS [see Fig. 21(b)] shows the extent of the network analyzer’s dynamic range in the high-frequency portion of the spectrum. The DS-based network analyzer is able to measure close to 45 dB of rejection at 43 GHz. Overall, the DS- and the sinuous-based network analyzer result in similar performance, and no significant advantage is seen in using one design over the other. The reason for this is that, first, the directivity of the antenna is mostly determined by the size of the silicon lens and not by the exact planar antenna design. Second, although the sinuous antenna theoretically results in a more stable antenna input impedance over the RF bandwidth as compared to the DS antenna, the DS antennas has a very wideband impedance with a voltage standing wave ratio (VSWR) 2 at 20–40 GHz. IV. CONCLUSION

Fig. 21. Measured and simulated (b) 30, and (c) 40 GHz.

of two-pole FSS centered at: (a) 20,

which were spaced 1 m apart. A horn-based network analyzer was also assembled [see Fig. 15(c)] to provide a baseline

A wideband quasi-optical scalar network analyzer has been successfully demonstrated from 15 to 50 GHz. The network analyzer is based on a planar antenna with an integrated Schottkydiode mixer placed on an extended hemispherical silicon lens. In order to verify its performance, the network analyzer was used to measure two-pole FSSs centered at 20, 30, and 40 GHz, and the measured results are in excellent agreement with a hornbased system.

2632

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

The network analyzer is implemented using circuits that are extendable to terahertz frequencies. Scaling the system by a factor of 10 would result in an RF frequency range of 150–500 GHz and an LO frequency range of 30–50 GHz. A key advantage of the design is that transmission line losses at 150–500 GHz are minimized since the diode is integrated closely with the antenna. Prior work has successfully demonstrated planar receivers up to 760 GHz using discreet Schottky diodes integrated inside log-periodic antennas [11], [28]. A mixer integrated monolithically just outside a DS antenna was also demonstrated in a 200-GHz receiver [29], and a monolithic Schottky diode inside a DS antenna was demonstrated in a 600-GHz doubler [30]. Note that in a scaled system, Teflon objective lenses would be used to achieve higher lens-to-lens coupling. This may also induce standing waves in the system, but which can be reduced using matched lenses and an absorber. REFERENCES [1] R. Y. Yu, M. Reddy, J. Pusl, S. T. Allen, M. Case, and M. J. W. Rodwell, “Millimeter-wave on-wafer waveform and network measurements using active probes,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 4, pp. 721–729, Apr. 1995. [2] Y. Konishi, M. Kamegawa, M. Case, R. Yu, S. T. Allen, and M. J. W. Rodwell, “A broadband free-space millimeter-wave vector transmission measurement system,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 7, pp. 1131–1139, Jul. 1994. [3] J. S. Ward, G. Chattopadhyay, J. Gill, H. Javadi, C. Lee, R. Lin, A. Maestrini, F. Maiwald, I. Mehdi, E. Schlecht, and P. Siegel, “Tunable broadband frequency-multiplied terahertz sources,” in Infrared, Millimeter, Terahertz Waves Conf., Sep. 2008, pp. 1–3. [4] A. Maestrini, J. S. Ward, J. J. Gill, L. Choonsup, B. Thomas, R. H. Lin, G. Chattopadhyay, and I. Mehdi, “A frequency-multiplied source with more than 1 mW of power across the 840–900-GHz band,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 7, pp. 1925–1932, Jul. 2010. [5] T. W. Crowe, W. L. Bishop, D. W. Porterfield, J. L. Hesler, and R. M. Weikle, “Opening the terahertz window with integrated diode circuits,” IEEE J. Solid-State Circuits, vol. 40, no. 10, pp. 2104–2110, Oct. 2005. [6] B. Thomas, A. Maestrini, D. Matheson, I. Mehdi, and P. de Maagt, “Design of an 874 GHz biasable sub-harmonic mixer based on MMIC membrane planar Schottky diodes,” in Infrared, Millimeter, Terahertz Waves Conf., Sep. 2008, pp. 1–2. [7] B. Thomas, A. Maestrini, J. Gill, L. Choonsup, R. Lin, R. I. Mehdi, and P. de Maagt, “A broadband 835–900-GHz fundamental balanced mixer based on monolithic GaAs membrane Schottky diodes,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 7, pp. 1917–1924, Jul. 2010. [8] P. H. Siegel, R. P. Smith, M. C. Graidis, and S. C. Martin, “2.5-THz GaAs monolithic membrane-diode mixer,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 5, pp. 596–604, May 1999. [9] X. Haiyong, G. S. Schoenthal, J. L. Hesler, T. W. Crowe, and R. M. Weikle, “Nonohmic contact planar varactor frequency upconverters for terahertz applications,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 4, pp. 648–655, Apr. 2007. [10] A. R. Kerr, P. H. Siegel, and R. J. Mattauch, “A simple quasi-optical mixer for 100–120-GHz,” in IEEE MTT-S Int. Microw. Symp. Dig., 1977, pp. 96–98. [11] B. K. Kormanyos, P. H. Ostdiek, W. L. Bishop, T. W. Crowe, and G. M. Rebeiz, “A planar wideband 80–200-GHz subharmonic receiver,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 10, pp. 1730–1737, Oct. 1993. [12] S. S. Gearhart and G. M. Rebeiz, “A monolithic 250-GHz Schottkydiode receiver,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 12, pp. 2504–2511, Dec. 1994. [13] G. P. Gauthier, W. Y. Ali-Ahmad, T. P. Budka, D. F. Filipovic, and G. M. Rebeiz, “A uniplanar 90-GHz Schottky-diode millimeter-wave receiver,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 7, pp. 1669–1672, Jul. 1995. [14] D. F. Filipovic, S. S. Gearhart, and G. M. Rebeiz, “Double-slot antennas on extended-hemispherical and elliptical silicon dielectric lenses,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 10, pp. 1738–1749, Oct. 1993.

[15] J. M. Edwards, R. O’Brient, A. Lee, and G. M. Rebeiz, “Dual polarized sinuous antennas on extended hemispherical silicon lenses,” IEEE Trans. Antennas Propag., Dec. 2011, to be published. [16] L. Lei, J. L. Hesler, X. Haiyong, A. W. Lichtenberger, and R. M. Weikle, “A broadband quasi-optical terahertz detector utilizing a zero bias Schottky diode,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 9, pp. 504–506, Sep. 2010. [17] A. Grichener and G. M. Rebeiz, “A 20–40 GHz quasi-optical network analyzer,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2011, pp. 1–4. [18] A. Grichener, “Quasi-optical network analyzers and high-reliability RF MEMS switched capacitors,” Ph.D. dissertation, Dept. Elect. Comput. Eng., Univ. California at San Diego, La Jolla, 2011. [19] P. H. Siegel, “Terahertz technology,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 910–928, Mar. 2002. [20] P. H. Siegel, “Terahertz technology in biology and medicine,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 10, pp. 2438–2447, Oct. 2004. [21] P. F. Goldsmith, Quasioptical Systems: Gaussian Beam Quasi-optical Propogation and Applications. New York: Wiley, 1997. [22] Sonnet. ver. 12.52, Sonnet Softw. Inc., North Syracuse, NY, 2009. [23] IE3D. Mentor Graphics Inc., Wilsonville, OR, 2010. [24] Advanced Design System (ADS) 2009. Agilent Technol. Inc., Santa Clara, CA, 2009. [25] “Mixer and detector diodes,” Skyworks Solutions Inc., Woburn, MA, Appl. Note 200826, Rev. A. [26] C. A. Balanis, Antenna Theory Analysis and Design. New York: Wiley, 1997. [27] J. Hesler, D. Kurtz, and R. Feinsaugle, “The cause of conversion nulls for single-diode harmonic mixers,” IEEE Microw. Guided Wave Lett., vol. 9, no. 12, pp. 532–534, Dec. 1999. [28] S. S. Gearhart, J. L. Hesler, W. L. Bishop, T. W. Crowe, and G. M. Rebeiz, “A wideband 760-GHz planar integrated Schottky receiver,” IEEE Microw. Guided Wave Lett., vol. 3, no. 7, pp. 205–207, Jul. 1993. [29] Y. Yan, Y. B. Karandikar, S. E. Gunnarsson, B. M. Motlagh, S. Cherednichenko, I. Kallfass, A. Leuther, and H. Zirath, “Monolithically integrated 200-GHz double-slot antenna and resistive mixers in a GaAsmHEMT MMIC process,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 10, pp. 2494–2503, Oct. 2011. [30] M. Kim, B. H. Fujiwara, D. A. Humphrey, S. C. Martin, R. P. Smith, and P. H. Siegel, “A 600 GHz planar frequency multiplier feed on a silicon dielectric-filled parabola,” in GaAs IC Symp., Oct. 1997, pp. 287–290. Alex Grichener (S’04–M’12) received the B.S. degree from Tufts University, Boston, MA, in 2003, the M.S. degree from The University of Michigan at Ann Arbor, in 2005, and the Ph.D. degree from the University of California at San Diego (UCSD), La Jolla, in 2011, all in electrical engineering. While with UCSD, he was involved in RF microelectromechanical systems (MEMS) and quasi-optical network analyzer development. In 2011, he joined Agilent Technologies, Santa Rosa, CA, where he currently designs analog and microwave circuits and systems for next-generation microwave test and measurement instruments.

Gabriel M. Rebeiz (S’87–M’88–SM’93–F’97) received the Ph.D. degree from the California Institute of Technology, Pasadena. He is the currently the Wireless Communications Industry Chair Professor of electrical and computer engineering with the University of California at San Diego, La Jolla. Prior to this appointment, he was with The University of Michigan at Ann Arbor, from 1988 to 2004. He has contributed to planar millimeter-wave and terahertz antennas and imaging arrays from 1988 to 1996, and his group has optimized the dielectric-lens antennas, which is the most widely used antenna at millimeter-wave and terahertz frequencies. His group also developed 6–18and 40–50-GHz eight- and 16-element phased arrays on a single silicon chip, and the first millimeter-wave silicon passive imager chip at 85–105 GHz. His group also demonstrated high- RF microelectromechanical systems (MEMS) ) and the new angular-based RF MEMS tunable filters at 1–6 GHz ( capacitive and high-power high-reliability RF MEMS metal-contact switches.

GRICHENER AND REBEIZ: 15–50-GHz QUASI-OPTICAL SCALAR NETWORK ANALYZER SCALABLE TO TERAHERTZ FREQUENCIES

As a consultant, he helped develop the USM/ViaSat 24-GHz single-chip SiGe -, -, -, -, and automotive radar, phased arrays operating at -, -band for defense and commercial applications, the RFMD RF MEMS switch, and the Agilent RF MEMS switch. He is the Director of the UCSD/Defense Advanced Research Projects Agency (DARPA) Center on RF MEMS Reliability and Design Fundamentals. He has graduated 44 Ph.D. students and 16 post-doctoral fellows. He currently leads a group of 21 Ph.D. students and post-doctoral fellows in the area of millimeter-wave RF integrated circuits (RFICs), tunable microwaves circuits, RF MEMS, planar millimeter-wave antennas, and terahertz systems. He has authored or coauthored over 500 IEEE publications. He authored RF MEMS: THEORY, DESIGN AND TECHNOLOGY (Wiley, 2003). Prof. Rebeiz is a National Science Foundation (NSF) Presidential Young Investigator. He has been an associate editor for the IEEE TRANSACTIONS ON

2633

MICROWAVE THEORY AND TECHNIQUES. He has been a Distinguished Lecturer for the IEEE Microwave Theory and Techniques Society (IEEE MTT-S), IEEE Antennas and Propagation Society (AP-S), and IEEE Solid-State Circuits Society. He was the recipient of the URSI Koga Gold Medal, the 2003 IEEE MTT-S Distinguished Young Engineer, the IEEE MTT-S 2000 Microwave Prize, IEEE MTT-S 2010 Distinguished Educator Award, the 2011 IEEE AP-S John D. Kraus Antenna Award, the 1997–1998 Eta Kappa Nu Professor of the Year Award, the 1998 College of Engineering Teaching Award, the 1998 Amoco Teaching Award given to the best undergraduate teacher at The University of Michigan at Ann Arbor, and the 2008 Teacher of the Year Award of the Jacobs School of Engineering, UCSD. His students have been the recipient of 20 Best Paper Awards of the IEEE MTT-S, IEEE RFIC, and IEEE AP-S conferences.

2634

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Direct Baseband I-Q Regeneration Method for Five-Port Receivers Improving DC-Offset and Second-Order Intermodulation Distortion Rejection Cristina de la Morena-Álvarez-Palencia, Kaïs Mabrouk, Bernard Huyart, Amadou Mbaye, and Mateo Burgos-García

Abstract—Six-/five-port architecture has advantages compared with conventional receiver architectures, especially for high frequencies and high-data-rate applications. However, it requires two/one additional baseband outputs and a calibration process to recover the original signal. While this problem is resolved in conventional six-port configurations, a solution is needed for five-port architectures. We propose an I/Q regeneration method based on the use of a simple analog circuit, eliminating one baseband output. The structure of this circuit derives from a mathematical formulation, which is presented in this paper. The validity of the method has been experimentally proved in a five-port receiver prototype. In addition, its capacity to reduce the dc-offset and second-order intermodulation distortion has been demonstrated. Index Terms—Five-port, five-port calibration, receivers, reconfigurable architectures, six-port, zero-IF.

I. INTRODUCTION

S

Fig. 1. Five-port receiver: (a) Based on a five-port circuit and (b) based on a three-phase circuit.

Manuscript received September 28, 2011; revised April 19, 2012; accepted April 27, 2012. Date of publication June 13, 2012; date of current version July 30, 2012. This work was supported in part by the Institut Telecom, TELECOM ParisTech, in the framework of the “future et rupture DEMODU Program,” and by the Universidad Politécnica de Madrid under Grant IX Convocatoria de Ayudas del Consejo Social. C. de la Morena-Álvarez-Palencia and M. Burgos-García are with the Grupo de Microondas y Radar, Departamento de Señales, Sistemas y Radiocomunicaciones, Universidad Politécnica de Madrid, 28040 Madrid, Spain (e-mail: [email protected]; [email protected]). K. Mabrouk is with ESIGETEL (Ecole en Informatique et Télécommunications), 77210 Avon, France (e-mail: [email protected]). B. Huyart, and A. Mbaye are with the Départment Communications et Electronique, Institut Telecom, TELECOM ParisTech, 75016 Paris, France (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2199512

receivers, these limitations include dc-offset, noise, and second-order intermodulation distortion (IMD2). Regarding the low-IF configuration, the image frequency continues to be the main problem. Moreover, the trend towards high-data-rate services will require very large bandwidths, which become possible at high frequencies. However, I-Q mod/demodulators need a nearly perfect 90 phase shift between their I-Q paths, which cannot be guaranteed over a very broad bandwidth. Therefore, the use of zero-IF and low-IF architectures is limited by these devices. An alternative to the classical zero-IF and low-IF architectures is the six (five)-port architecture [4], [5], either with the use of a six-port circuit [6], a five-port circuit [7], or a threephase circuit [8]. The block diagrams of a five-port receiver using, respectively, a five-port circuit and a three-phase circuit are shown in Fig. 1(a) and (b). For a six-port receiver, the structure is like that shown in Fig. 1(a), but with one additional output port. The main characteristic of the six (five)-port architecture is its extremely large bandwidth, which involves multiband and multimode capabilities [6], [9]. Six (five)-port networks can operate at very high frequencies, being a serious alternative for millimeter-wave frequencies and high-data-rate applications [10]–[13]. Nevertheless, as it happens with the connoise, and IMD2 ventional zero-IF architecture, dc-offset,

UPERHETERODYNE architecture has been the classical radio frequency (RF) architecture in radio communications, due to its selectivity and sensitivity characteristics. However, superheterodyne transceivers are complex and expensive, and they require a large number of external components. On the contrary, zero-IF and low-IF architectures have important competitive advantages, such as simplicity, compact size, flexibility, reconfigurability, and high level of integration [1]–[3]. Compared with the superheterodyne architecture, a frequency conversion stage is eliminated, including the image-reject filter. In addition, principal operations are carried out in baseband, where low-cost integrated devices can be used. Nevertheless, these architectures have some limitations. In the case of zero-IF

0018-9480/$31.00 © 2012 IEEE

DE LA MORENA-ÁLVAREZ-PALENCIA et al.: DIRECT BASEBAND I-Q REGENERATION METHOD FOR FIVE-PORT RECEIVERS

are its drawbacks. In addition, a calibration process is required to recover the original I-Q signals, and more baseband outputs are needed (hence, for example, more low-pass filters, video amplifiers, and analog-to-digital converters). The reduction to four-port receivers has been reported in the literature [14], [15]. However, four-port receivers are limited to applications with low input signal levels. Otherwise, a complex calibration procedure is required to recover I/Q signals without distortion, since the relation between I/Q and output signals is nonlinear [14]. The typical six-port topology admits analog I/Q regeneration by means of a simple circuit, eliminating two baseband branches and the calibration process [10]–[12]. This is possible due to the particular characteristics of this six-port topology: the local oscillator (LO) and RF signals are combined with equal amplitudes, and relative phase shifts of 0, , , and rad. However, the habitual procedure in five-port receivers is to digitalize the three output signals and apply a calibration algorithm [7], [8]. Some first approaches of analog I/Q regeneration in five-port receivers used the above mentioned six-port topology with one port reduction [17]. From these particular five-port parameters, a formulation is derived and an analog circuit is proposed to recover the original I/Q components. However, the particular conditions from which the analog circuit is derived in [17] cannot be extended to a general fiveport network. For example, the design criterion of an optimal five-port network imposes relative phase shifts of 0 , 120 , and . In this paper, we propose a new, general, and simple method to recover the original I/Q signals in five-port architectures, without using any calibration process. It is based on the use of an analog I/Q regeneration circuit [16], whose structure is derived from a mathematical formulation, which is valid for any kind of five-port topology fulfilling simple necessary conditions. In fact, it will be demonstrated that the work presented in [17] responds to a particular case of the proposed method. The analog I/Q regeneration circuit can be easily integrated without adding complexity to the original circuit. The proposed method solves the above-mentioned six(five)-port architecture drawbacks, as it eliminates one baseband output and the calibration algorithm without any reduction in the operating frequency band. Moreover, the method allows not only to recover the original I/Q signals, but also to reduce the dc-offset and IMD2. Consequently, the dynamic range can be extended due to the lower output voltages, as a consequence of the dc-offset and IMD2 reduction. II. FUNDAMENTALS OF THE FIVE-PORT TECHNIQUE The principle of operation of the five-port receiver is based on the measurement of three independent powers, when the LO and RF signals are introduced into the remaining two ports. The original I/Q components can be regenerated from these three power observations and some calibration constants, depending on system response [4], [5]. The typical five-port receiver configuration is presented in Fig. 1(a). It is composed of a linear passive five-port circuit, where the RF and LO signals are combined using different relative phases. A square law device and a low-pass filter are located at each output. A variation to the typical five-port configuration is the three-phase circuit [8], shown in Fig. 1(b). The difference is that the three-phase receiver uses

2635

mixers instead of power detectors. Nevertheless, both architectures are based on the same operation principle, which is described in detail in [7], [18]. The output signal at port , , after the diode detection and ideal low-pass filtering, can be expressed as

(1) where I and Q are the in-phase and quadrature-phase modis the LO amplitude. and ulating signals, and are, respectively, the attenuation and phase shift of the five-port circuit from the LO port at the frequency frequency of the modulated signal (LO frequency), while and correspond to the RF port. is the second-order coefficient of the diode transfer charare composed acteristic at port . The output signals of the self-mixing of the LO, which is a dc component, the self-mixing of the modulated useful signal, and the wanted signal components. The self-mixing of the modulated signal comprises a dc component, , and a time variant component, , that is, (2) where . Then, (1) can be rewritten as (3) where (4) (5) (6) For the three output signals, the following system of equations can be written:

(7) The term represents the dc-offset of the output voltages, which can be removed by subtracting the average: . In that case, the previous system can be solved by means of a matrix inversion, leading to the well-known five-port demodulation equations (8) (9) , and are the so-called calibration where , , , , constants. Therefore, a system calibration is required to calculate these calibration constants and to recover the I/Q components of the original signal.

2636

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

III. DESCRIPTION OF THE I/Q REGENERATION METHOD The present I/Q regeneration method is based on the assumption that there is a symmetry axis in port 4 [16]. That means that the amplitudes of the output signals in ports 3 and 5 have to be equal, and their phase shifts with respect to the signal in port 4 have to be complementary. Therefore, the next two symmetry conditions have to be fulfilled. 1) Amplitude symmetry condition (10) 2) Phase symmetry condition (11) , which To simplify the expressions, we will choose will only cause a rotation of the constellation. This rotation can be easily compensated by an equalization process. Under amplitude and phase symmetry conditions, (7) reduces to

(12) Consequently, the calibration constants result in

Fig. 2. Structure of the analog I/Q regeneration circuit.

be easily performed by a low-cost operational-amplifier-based , circuit, such as that shown in Fig. 2. The output voltages , and , are injected into the input ports 1, 2 and 3, respectively. The first operational amplifier performs the subtraction of and . The other two amplifiers perform the sum of and , and the subtraction of twice the voltage divided by . This provides the and signals, which are equal to the original I/Q signals, excepting for the factors and , which are given by

(13)

(25)

(14)

(26)

(15)

The expression of the signals regenerated from the proposed technique can be obtained by substituting the values of the three output signals given by (3) into (25)–(26) to obtain

(16) (17) (18)

(27)

, and . where Substituting (13)–(18) into (8)–(9), we obtain

where (19) (20)

(28)

where (21) (29) (22) (30) (23)

(31)

(24) Note that the amplitude symmetry condition (10) involves is null, since is that the dc component in the Q-path equal to . The two expressions given by (19) and (20) can

The amplitude symmetry condition (10) involves that the , and are null. Therefore, the dc component and terms the rectified wave, , will not appear in the regenerated signal. If we consider, in addition to symmetry of ports

DE LA MORENA-ÁLVAREZ-PALENCIA et al.: DIRECT BASEBAND I-Q REGENERATION METHOD FOR FIVE-PORT RECEIVERS

2637

3 and 5, that the three outputs are amplitude balanced, that is, and , the expressions (19) and (20) are reduced to (32) (33) where (34) (35) In this case, , and are null, hence and will be also eliminated in the regenerated signal. In any case, the dc components could be eliminated from the recovered signals with analog high-pass filters or in the digital domain by simply removing the average. On the contrary, the , cannot be eliminated from , as rectified wave, it is a baseband term superposed on the desired signal. The rectified wave increases quadratically with the signal power and, therefore, produces degradation for high power levels. A. Orthogonality of the Regenerated I/Q Signals and

form a vector base relating the I/Q signals and signals. Therefore, the orthogonality of the signals is determined by the vector base orthogonality. This means that the scalar product of and must be zero: the

Fig. 3. Orthogonality degradation due to lack of symmetry.

symmetry. The degradation coming from lack of phase symmetry has been evaluated by introducing a phase error into port 5, that is, , , and , where represents the phase deviation from symmetry. To evaluate the degradation due to lack of amplitude, has been divided by a factor , which represents the amplitude error. The results are plotted in Fig. 3. The conclusion is that the orthogonality is more vulnerable to phase errors as separates from 90 . For example, a phase error leads to a maximum IQ phase imand , 2.3 for and balance of 5 for , and 0.5 for . Moreover, a IQ phase imbalance can be obtained with amplitude errors of 3 dB. B. Amplitude of the Regenerated I/Q Signals

(36) Assuming amplitude symmetry in ports 3 and 5 (10), the scalar product results in (37) which is equal to zero if (38) The above expression corresponds precisely to the phase symmetry condition (11). Consequently, the orthogonality of signals is ensured under the amplitude the received and phase symmetry conditions expressed in (10) and (11). This means that a symmetrical three-way RF circuit with respect to port 4 is needed, that is, with symmetry between ports 3 and 5. And most of the five-port circuits in the literature satisfy this condition. The orthogonality of the regenerated IQ signals does not depend on the value of , provided that the amplitude and phase symmetry conditions are fulfilled. Nonetheless, when the fiveport circuit response deviates from the symmetrical behavior, the selection of can be important. To illustrate this situation, we have simulated the orthogonality degradation due to lack of

and signals differ from the The regenerated original and signals by the factors and of (25)–(26), respectively. Moreover, and depend on as for (34)–(35). This means that, although the selection of does not have influence on the fulfillment of (11) and, therefore, in the orthogonality, the amplitude of the regenerated and signals will be different depending on . Let us consider, for simplicity, a fully symmetrical five-port circuit, that is, and . As it can be derived from (35), and rethe relation between the amplitudes of sponds to . Therefore, the I-Q amplitude imbalance will be determined by . Fig. 4 represents , , and as a function of , the values of considering the typical value of . As it can be seen, the original I/Q signals can be directly recovered from and if , and no amplitude imbalance is observed in this case. The amplitude imbalance increases as separates from 90 , so either analog or digital amplitude im, keeps balance correction is required. Around a constant value of 1, and is equal to , which presents a linear tendency. An analog amplitude compensation could be easily performed by means of a variable gain block in the I-channel, as the correction can be directly obtained from the received signal. Amplitude imbalance can be also digitally compensated. The work presented in [17] responds to the particular situation of a five-port circuit with , and the same amplitude response in the three ports. The authors extract the equa-

2638

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 5. Block diagram of the developed five-port receiver.

Fig. 4. Variation of

,

, and

where and are, respectively, the dc and time-variant components of the self-mixing of the adjacent channel. Now, the expression of will be

with .

tions describing the I/Q regeneration circuit behavior from these specific conditions, but they do not consider a general five-port junction. However, the design criterion of an optimal five-port network imposes . We have demonstrated that the proposed I/Q regeneration technique can be extended to any five-port structure, as long as it fulfills the amplitude and phase symmetry conditions just in ports 3 and 5 of (10)–(11). C. DC-Offset and IMD2 Suppression The quadratic response of the detectors represents a secondorder nonlinearity, which generates undesired baseband beats affecting the performance of direct conversion receivers [1], [19]. These undesired distortion terms are represented as and in (3). Moreover, in the presence of strong interfering signals, other undesirable distortion products can be generated. This situation occurs, for example, when an adjacent channel is located within the bandwidth of the receiver’s RF filter. In this case, the RF input signal would be

(42) where , and . On the one hand, the amplitude symmetry condition between ports 3 and 5 involves that the terms , and are null. Hence, no dc-offset and IMD2 will appear in the Q-path. On the other hand, if the same amplitude response is presented in port 4, , , and will be null, and the dc-offset and IMD2 terms will be also eliminated in the I-path. Another possible situation that results in the generation of undesirable baseband components is the following. Consider two strong signals and , separated in frequency an amount , less than the bandwidth of interest. When these signals are exposed to a second-order nonlinear behavior, a baseband beat is generated at Hz:

(43) (39) and represent, respecwhere tively, the frequency and the complex envelope of the adjacent channel signals. If is greater than the cutoff fresignals will be quency of the low-pass filter, the output

where , and and are the five-port and . circuit attenuations from the RF port at frequencies The same conclusions can be extracted in this case: the amplitude symmetry condition between ports 3 and 5 ensures distortion rejection in the signal; considering the same attenuation in port 4, the distortion can be also eliminated in the I-path. Consequently, the IMD2 and the dc-offset can be eliminated in the regenerated signals if there is the same attenuation in three output ports. IV. DESCRIPTION OF THE PROTOTYPE

(40) is the five-port circuit attenuation from the RF where . Therefore, not only the self-mixing of the LO and port at useful signals will appear, but also the self-mixing of the adjacent channels, which occupy twice the bandwidth of ) or . Proceeding as in Section II, (40) can rewritten as follows:

(41)

A five-port receiver prototype has been developed in order to validate the proposed regeneration method. The block diagram of the fabricated five-port receiver is shown in Fig. 5. It is composed of a five-port demodulator circuit and an analog regeneration circuit. The detailed description of the fiveregeneration circuits is presented below. port and A. Five-Port Circuit Fig. 6 illustrates a photograph of the fabricated five-port circuit, using microwave integrated circuits (MICs) technology. It is composed of a five-port junction and three power detectors. The five-port interferometer is a microstrip ring designed for a

DE LA MORENA-ÁLVAREZ-PALENCIA et al.: DIRECT BASEBAND I-Q REGENERATION METHOD FOR FIVE-PORT RECEIVERS

Fig. 6. Fabricated five-port circuit.

Fig. 7. Fabricated I/Q regeneration circuit.

2.1-GHz central frequency, using an FR4 substrate ( , 1.59 mm). The power detectors are implemented using the Agilent HSMS2850 Schottky diode. B. Analog I/Q Regeneration Circuit Fig. 7 shows the fabricated I/Q regeneration circuit, which responds to the configuration represented in Fig. 2, with . The values of and have been selected to achieve a voltage gain of 30. Therefore, in order to ensure equal and high load impedance at the three detectors outputs, a simple circuit composed of three followers has been added before the I/Q regeneration circuit. V. MEASUREMENT RESULTS This section presents the experimental validation of the proposed I/Q regeneration method, as well as the demodulation capacity of the developed five-port receiver. A. I/Q Phase and Amplitude Imbalance According to the theory presented in Section III-A, the orthogonality of the regenerated I/Q signals is ensured when both amplitude and phase symmetry conditions (10) and (11) are maintained. Consequently, the first step is to verify if the developed five-port circuit fulfills these symmetry conditions. Two sinusoidal signals with a 10-kHz frequency displacement are introduced into the RF and LO input ports. These signals come from two Agilent E8267D signal generators, which have been phase locked. The LO power level is fixed to 0 dBm, and the RF power to 35 dBm. The three output signals of the five-port circuit are introduced into the Agilent 54622A oscilloscope. Fig. 8 represents the measured amplitudes of the three output signals , and the measured phase

2639

Fig. 8. Measured amplitude and phase shift of the five-port circuit output sig35 dBm, 0 dBm, and 10 kHz. nals,

shifts of signals and with respect to signal ( , ). As it can be seen, the circuit keeps phase symmetry in a very large frequency range, from 0.5 to 2.5 GHz. However, the amplitude balance between ports 3 and 5 is only maintained around the central frequency, 2.1 GHz, as five-port rings typically cover less than 20% bandwidth. A 3.5-dB amplitude imbalance between ports 3 and 5 is observed from 0.5 to 2.5 GHz. Therefore, from Fig. 3, a maximum I/Q phase imbalance of 11.5 is foreseen. Next, the I/Q regeneration circuit is connected to the five-port circuit, and the received I/Q signals are introduced into the Agilent 54622A oscilloscope. The measured amplitudes and phase difference of the received I/Q signals are presented in Fig. 9. The simulated I/Q phase imbalance, calculated from the measured five-port circuit response (Fig. 8), is also plotted in Fig. 9. On the one hand, a constant phase difference around 90 is maintained from 0.5 to 2.3 GHz, although there is not amplitude symmetry in this frequency range. The maximum phase imbalance is 10 . Consequently, the proposed technique permits to increase the operating frequency of the five-port demodulator. On the other hand, as it was demonstrated in Section III-B, the relation between the amplitudes of the regenerated I/Q signals is given by the term . Therefore, the value of can be calculated from the measured I/Q amplitudes. The comparison between the calculated value of and the measured phase shift of the five-port circuit output signals is presented in Fig. 10. The system follows the theoretical behavior from 1.8 to 2.5 GHz, although there is not perfect amplitude symmetry between ports 3 and 5 (Fig. 8). B. DC-Offset and IMD2 Suppression As it was demonstrated in Section III-C, the IMD2 and the dc-offset can be eliminated in the received I/Q signals if there is the same attenuation in the three output ports. The first experiment to prove this affirmation will be carried out without the presence of adjacent channel signals, in order to evaluate just the dc-offset suppression performance. Two sinusoidal signals, coming from two Agilent E8267D generators and separated 10 kHz in frequency, are introduced into the RF and LO input ports. The RF power is 35 dBm. Since the I/Q regeneration circuit has a voltage gain of 30, the relation between the DC-voltage and the amplitude of the received signal will be evaluated. This relation will be firstly measured at the

2640

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 9. Measured amplitude and phase of the received I/Q signals, ( 35 dBm, 0 dBm, 10 kHz), and simulated I/Q phase difference.

Fig. 11. DC-offset suppression performance versus frequency,

Fig. 12. DC-offset suppression performance versus LO power,

0 dBm.

2.1 GHz.

Fig. 10. Comparison between the measured phase shift of the five-port circuit output signals and the value of calculated from the measured I/Q amplitudes.

three five-port circuit outputs, using the Agilent 54622A oscilloscope. It corresponds to the case of using a typical five-port receiver configuration, where the three outputs are directly digitalized. After, we will connect the analog I/Q regeneration circuit between the five-port circuit and the oscilloscope, and the relation will be measured at the I and Q outputs. Setting the LO power to 0 dBm and varying the frequency, the measured results are presented in Fig. 11. Effectively, the ratio dc-voltage/amplitude is lower in the I/Q signals for all the measured frequency range. This ratio approximates to zero around the central frequency of the five-port circuit, since there is amplitude symmetry and the dc-voltage tends to be null. Fig. 12 shows the ratios dc-voltage/amplitude as a function of the LO power, fixing a frequency of 2.1 GHz. Once again, the ratio is lower in the I/Q signals for all the values of . In addition, around the dc-offset is completely cancelled for values of 4 and 3 dBm. Second, the IMD2 suppression performance will be evaluated in the presence of strong interfering signals. We have considered the two situations expounded in Section III-C: one interfering adjacent channel signal and two interfering signals. In the first situation, both wanted and interfering signals are 100-kbps quaternary phase-shift keying (QPSK) modulated signals, located at 2.1 GHz and 2 GHz, respec3 dBm, and the wanted tively. The LO power is signal power is 39.8 dBm. The interference power

( ) will be swept from 30 to 10 dBm. First, we introduce the wanted signal into the input of the five-port circuit. Using a spectrum analyzer, we measure the power concentrated into the baseband signal bandwidth (0–50 kHz), which will be called useful signal power ( ). This power comprises the contribution of the desired signal, and its self-mixing. Next, we introduce the interfering signal and repeat the same measurement. In this case, the measured power corresponds to the self-mixing of the interfering signal ( ). The measured relation between and for different values of is shown in Fig. 13(a). The quadratic behavior of the self-mixing terms can be clearly appreciated in the curves corresponding to . The IMD2 reject improvement with respect to a conventional five-port receiver is evident. is lower than at the five-port circuit outputs, while the opposite situation happens when the I/Q regeneration circuit is used. The proposed five-port demodulator achieves an increment in the relation between 26 and 45 dB. In the second situation, two tones separated 200 kHz at 2 GHz are combined with the wanted signal, which is a tone separated 10 kHz from the 2.1 GHz. The LO power is 3 dBm, and the wanted signal power is 39.8 dBm. Now will be the power of the received tone at 10 kHz, and will be the power of the interferences beat at 200 is the kHz. Fig. 13(b) collects the measured results, where power of each interfering tone. In this case, the IMD2 term is linear with the power, as expected from (43). Once again, the improvement in the IMD2 reject is significant, around 15 dB better in the output I, and 23 dB in the output Q.

2641

DE LA MORENA-ÁLVAREZ-PALENCIA et al.: DIRECT BASEBAND I-Q REGENERATION METHOD FOR FIVE-PORT RECEIVERS

Fig. 15. Structure of the transmitted data burst.

Fig. 16. Received constellations

Fig. 13. IMD2 suppression performance versus adjacent channel power, (a) One interfering adjacent channel signal. (b) Two interfering signals.

35 dBm,

3 dBm.

.

Fig. 17. Measured EVM versus frequency, 35 dBm.

3 dBm,

Fig. 14. Test bench.

C. Demodulation Results In this section, we will verify the demodulation capacity of the developed five-port receiver. The test bench is shown in Fig. 14. Two Agilent E8267D signal generators are used as LO and RF QPSK-modulated signals. Both generators are phase locked. The I/Q output signals of the five-port demodulator are sampled by an acquisition card (PCI-6110E National Instruments), using an 800-kHz sampling frequency. The symbol rate is 100 kbps, thus the over-sampling ratio is . The format of the data burst is in conformity with Fig. 15. It consists of a training sequence (TS) and a data sequence. The TS is used to perform the symbol synchronization and the equalization process based on the minimum meansquare error zero forcing (MMSE) algorithm, which compensates the amplitude imbalance of the regenerated I/Q signals. The TS is composed of 13 symbols, which correspond to the

Fig. 18. Measured BER versus RF power of the QPSK modulated signal, 2.1 GHz, 3 dBm.

26 b of the GSM TSC1 training sequence. The data sequence is made up of 64 symbols (128 bits). Fig. 16 shows an example of the QPSK constellation diagrams recovered by our circuit at 1, 1.5, and 2 GHz, respectively. The represented constellations include all the acquired data (gray traces) and the recovered symbols after synchronization (black points). We observe correct equalization performance, since constellation diagrams are normalized and centered. In addition, the symbol synchronization is good, as the recovered symbols are located close to their ideal position. The variation of the error vector magnitude (EVM) with

2642

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

frequency is also presented in Fig. 17. The value of EVM is quite high, due to the slight rotation that can be observed in the constellations. This rotation comes from the difference between the real behavior of the circuits and the theoretical I/Q regeneration equations. However, it can be easily compensated in the digital domain. Furthermore, an EVM of 14% is enough to demodulate a QPSK signal without errors. In any case, the importance of these results is that they demonstrate the demodulation capacity of the system in a large frequency range, even if imperfect five-port symmetry is achieved. Finally, we have measured the sensitivity of our receiver, defined as the RF input power to ensure a bit error rate (BER) of 10 . Fig. 18 represents the BER versus the power of QPSK modulated signal. We obtain a sensitivity of 65.4 dBm for the developed five-port receiver, without using a low-noise amplifier. The sensitivity improves around 3 dB with respect to digital I/Q regeneration [7], as a consequence of the dc-offset cancellation. Furthermore, a BER improvement will be also observed when handing high power levels or in the presence of interfering signals, due to the IMD2 suppression.

VI. CONCLUSION This paper presents a direct baseband I/Q regeneration method applicable to five-port architectures. It is based on the property that the five-port circuit has an axis of symmetry, which can be easily satisfied by five-port and three-phase circuits. The validity of the method has been satisfactorily proved in an experimental five-port receiver. The proposed method overcomes some typical drawbacks of five-port receivers. On one hand, it eliminates one baseband output and the calibration algorithm without any reduction in the operating frequency band. On the other hand, it improves the dc-offset and IMD2 rejection, which are main problems in direct conversion architectures.

REFERENCES [1] B. Razavi, “Design considerations for direct-conversion receivers,” IEEE Trans. Circuits Syst., vol. 44, no. 6, pp. 428–435, Jun. 1997. [2] C. de la Morena–Álvarez–Palencia, M. Burgos–García, and D. Rodríguez–Aparicio, “Software defined radio technologies for emergency and professional wide band communications,” in IEEE Int. Carnahan Conf. Security Tech., San Jose, CA, 2010, pp. 357–363. [3] J. Crols and M. S. J. Steyaert, “Low-IF topologies for high-performance analog front ends of fully integrated receivers,” IEEE Trans. Circuits Syst. II, Analog Digit. Signal Process., vol. 45, no. 3, pp. 269–282, Mar. 1998. [4] G. F. Engen, “The six-port reflectometer an alternative network analyzer,” IEEE Trans. Microw. Theory Tech., vol. MTT-25, pp. 1075–1079, Dec. 1977. [5] T. Hentschel, “The six-port as a communications receiver,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 1039–1047, Mar. 2005. [6] C. de la Morena-Álvarez-Palencia and M. Burgos, “Four-octave sixport receiver and its calibration for broadband Communications and software defined radios,” Progr. Electromagn. Res., vol. 116, pp. 1–21, Apr. 2011. [7] G. Neveux, B. Huyart, and G. J. Rodriguez-Guisantes, “Wide-band RF receiver using the “five-port” technology,” IEEE Trans. Veh. Technol., vol. 53, no. 5, pp. 1441–1451, Sep. 2004.

[8] K. Mabrouk, F. Rangel, B. Huyart, and G. Neveux, “Architectural solution for second-order intermodulation intercept point improvement in direct down-conversion receivers,” IET Microw. Antennas Propag., vol. 4, no. 9, pp. 1377–1386, 2010. [9] C. Mohamed, A. Khy, and B. Huyart, “A (1–20 GHz broadband MMIC demodulator for low-IF receivers in multi-standard applications,” IEEE Microw. Theory Tech., vol. 57, no. 11, pp. 2318–2328, Nov. 2009. [10] S. O. Tatu, E. Moldovan, K. Wu, and R. G. Bosisio, “A new direct millimeter-wave six-port receiver,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2517–2522, Dec. 2001. [11] J. Östh, A. Serban, Owais, M. Karlsson, S. Gong, J. Haartsen, and P. Karlsson, “Six-port gigabit demodulator,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 1, pp. 125–131, Jan. 2010. [12] A. Koelpin, G. Vinci, B. Laemmle, D. Kissinger, and R. Weigel, “The six-port in modern society,” IEEE Microw. Mag., vol. 11, no. 7, pp. 35–43, Dec. 2010. [13] C.-H. Wang, H.-Y. Chang, P.-S. Wu, K.-Y. Lin, T.-W. Huang, H. Wang, and C. H. Chen, “A 60 GHz low-power six-port transceiver for gigabit software-defined transceiver applications,” in Proc. IEEE Int. Solid-State Circuits Conf., Feb. 11–15, 2007, pp. 192–596. [14] Y. Xu and R. G. Bosisio, “Four-port digital millimetric receiver (FP/ DMR),” Microw. Opt. Technol. Lett., vol. 22, pp. 350–354, Sep. 1999. [15] K. Haddadi, H. El Aabbaoui, C. Loyez, D. Glay, N. Rolland, and T. Lasri, “Wide-band 0.9 GHz to 4 GHz four-port receiver,” in Proc. IEEE Int. Conf. Electron. Circuits Syst., Nice, France, Dec. 2006, pp. 1316–1319. [16] K. Mabrouk and B. Huyart, “Circuit Analogique Pour le Calibrage Large Bande, la Suppression des Tensions Parasites dues aux DC-Offset et Produits d’Intermodulation IMD2 et Réduction d’un Convertisseur CAN Pour les Démodulateurs Zéro-IF ou Low-IF de Type Cinq-Port et Triphasé,” Patent FR2934934 (A1) – 2010-02-12, Feb. 12, 2012. [17] R. Mirzavand, A. Mohammadi, and A. Abdipour, “Low-cost implementation of broadband microwave receivers in Ka-band using multiport structures,” IET Microw. Antennas Propag., vol. 3, no. 3, pp. 483–491, 2009. [18] M. Mohajer, A. Mohammadi, and A. Abdipour, “Direct conversion receivers using multiport structures for software-defined radio systems,” IET Microw., Antennas Propag., vol. 1, no. 2, pp. 363–372, 2007. [19] E. E. Bautista, B. Bastani, and J. Heck, “A high IIP2 downconversion mixer using dynamic matching,” IEEE J. Solid-State Circuits, vol. 35, no. 12, pp. 1934–1941, Dec. 2000.

Cristina de la Morena-Álvarez-Palencia was born in Toledo, Spain, in 1982. She received the Telecommunication Engineering degree from the Universidad Politécnica de Madrid, Madrid, Spain, in 2007, where she is currently working toward the Ph.D. degree. Since April 2006, she has been with the Microwave and Radar Group, Department of Signals, Systems and Radiocommunications (SSR), Universidad Politécnica de Madrid, Madrid, Spain. Her research activities include high-frequency and microwave circuit design, with special interest in six-port reflectometers using hybrid technology and low-temperature co-fired ceramic (LTCC). She is also involved in radio-frequency subsystems and broadband digital receivers for advanced applications and software-defined radios.

Kaïs Mabrouk (S’08) was born in Montereau Fault-Yonne, France, in 1979. He received the B.S. degree in electrical and electronics engineering from the Tunis College of Sciences and Techniques, Tunis, Tunisia, in 2003, the M.S. degree in telecommunications engineering from the Pierre et Marie Curie University, Paris, France, in 2004, and the Ph.D. degree from the École Nationale Supérieure des Télécommunications—TELECOM ParisTech, Paris, France, in 2008. After an industrial experience at SAGEM Mobile, he joined Ecole en Informatique et Télmecommunications (ESIGETEL), Avon, France, in 2010, and he founded the ALTRONIC group. At ESIGETEL, he manages a research group (Loc’In) on indoor localization using wireless Technologies. He continues its research activities with Telecom ParisTech on transceiver design for telecommunications applications and multiple antenna systems.

DE LA MORENA-ÁLVAREZ-PALENCIA et al.: DIRECT BASEBAND I-Q REGENERATION METHOD FOR FIVE-PORT RECEIVERS

Bernard Huyart received the Electrical Engineer degree from the University of Lille (Polytech’Lille), Lille, France, in 1977, and the Ph.D. degree in physics from the Ecole Nationale Supérieure des Télécommunications (ENST), Paris, France, in 1986. In 1995, he earned the accreditation to supervise research from the University of Limoges, France. In 1978, he joined Telecom ParisTech, Paris, France, where he is currently a Full Professor and Head of the Radiofrequencies and Microwaves Group, which is associated with the Centre National de la Recherche Scientifique (CNRS) [Unité Mixte de Recherche (UMR) 5141]. His current research interests include microwave instrumentation, the design of mixers and six-port reflectometers in microwave monolithic integrated circuit (MMIC) or hybrid technology, noise and nonlinear devices measurement and modelization, and the applications of six-port techniques in telecommunications (estimation of direction-of-arrival (DOA) of RF signals and direct demodulation) and in radar systems.

2643

Amadou Mbaye was in born in Dakar, Senegal, in 1987. He received the Networks and Telecommunications Engineering degree from Ecole Nationale Supérieure de l’Electronique et de ses Applications (ENSEA), Cergy, France, in 2011. He is currently working toward the Ph.D. degree on signal processing for communication systems at Institut Telecom, TELECOM ParisTech, Paris, France. During his last internship as engineer student, he worked on spectrum aggregation by means of the tri-phase demodulator and the I/Q regeneration circuit presented in this paper.

Mateo Burgos-García received the Ingeniero de Telecomunicación and Ph. D. degrees from the Universidad Politécnica de Madrid, Madrid, Spain, in 1989 and 1994, respectively. Since September 1988, he has been with the Grupo de Microondas y Radar, Departamento de Señales, Sistemas y Radiocomunicaciones, Universidad Politécnica de Madrid, Madrid, Spain, where he is a Full Professor. His research activities include broadband digital receivers for spectrum surveillance and software-defined radios, broadband radars for low probability of interception and high resolution applications, millimeter-wave radars, and synthetic aperture radar signal processing.

2644

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Novel Modeling and Calibration Approach for Multiport Receivers Mitigating System Imperfections and Hardware Impairments Abul Hasan, Student Member, IEEE, and Mohamed Helaoui, Member, IEEE

Abstract—Factors limiting and degrading the performance of a multiport-based receiver system for wideband signals are modeled and a suitable calibration approach is proposed in this paper. The proposed calibration approach uses a new model for linearization of diode power detectors suitable for wideband real (modulated) wireless signals. To verify the proposed model and calibration procedure, a 2–18-GHz wideband six-port-based receiver system is set up and its performance is verified using wireless signals having different bandwidth and modulation schemes. The new calibration algorithm improved the error vector magnitude (EVM) of the receiver system from 7.9% to 1.6% for a 64-QAM signal with a bandwidth of 2 MHz and a data rate of 12 Mb/s. To show the usefulness of the model for real communication signals, wideband code division multiple access (WCDMA) and wireless local area network (WLAN) signals are received and EVM of 4.7% and 3.4% are reported for the WCDMA and the WLAN signals, respectively. Index Terms—Calibration, diode linearization, direct conversion receiver, memory effect, multiport, software-defined radio (SDR).

I. INTRODUCTION

T

HE importance of multiport-based receiver systems for software-defined radio (SDR) applications is highlighted by a surge in recent publications related to multiport systems [1]–[13]. A typical six-port-based receiver (SPR) system consists of a passive six-port linear junction, four ports of which are terminated with power detectors. One of the remaining two ports is used to feed the received RF signal, and an amplitude and phase stable local oscillator (LO) signal is applied to the other port, as shown in Fig. 1. SPR is an extension of the sixport-based reflectometry technique where the reflection coefficient ( ) of a device-under-test (DUT) is determined as a ratio of continuous wave (CW) reflected wave and CW excitation wave [14]. Behavior of the six-port wave-correlator circuit, as well as those of power detectors change under a wideband modulated signal operation. A real SPR system needs to be calibrated before use in an actual communication system. A number of calManuscript received November 23, 2011; revised May 07, 2012; accepted May 10, 2012. Date of publication June 19, 2012; date of current version July 30, 2012. This work was supported by the Alberta Innovates, Technology Futures (AITF), the Natural Sciences and Engineering Research Council of Canada (NSERC), and the Canada Research Chair (CRC) Program. The authors are with the Intelligent RF Radio Technology Laboratory (iRadio Lab), Department of Electrical and Computer Engineering, Schulich School of Engineering, University of Calgary, Calgary, AB, Canada T2N 1N4 (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2201742

ibration approaches have been proposed in the open literature [1], [2], [6], [10]–[12], [15]–[17], but none of these approaches takes into account all the actual limitations of SPR components and system when excited by a wideband modulated signal, such as the following. 1) Nonflat frequency response of the hybrids, power divider, connectors. 2) Unequal power divisions for the power dividers and hybrids, and deviation of phase differences from ideal 90 for the hybrids in the whole band of operation. 3) Memory effects displayed by the diode power detectors, as reported in [18] and [19] and in this paper. 4) Nonlinearities of the diode power detectors. In order to minimize the error in the demodulation process because of these system imperfections and bypass the calibration step, a recent approach for SPR consists of the design of quasi-ideal components used in the system [20]–[28] and limiting the operation of the whole receiver system to the square law region of the diode detectors (where output voltage from the power detector is proportional to the input microwave power). This architecture employing quasi-ideal system components is shown in Fig. 2. It consists of three quadrature hybrid couplers and a power divider all designed to match their ideal characteristics. Two voltage difference amplifiers are used to get back the baseband in-phase and quadrature components of the received signal, as explained in Section II. While this architecture is simpler since no calibration is required, two main factors make it not practical for real applications. First, quasi-ideal performance can be guaranteed only for a limited bandwidth around the center frequency for which the six-port was designed. A change in the carrier frequency will result in a shift in performance. Second, the square law region where the output voltage of a diode detector is proportional to the input RF power is quite limited and this restricts the dynamic range of the whole receiver system. In [18] and [19], and in this paper, it is observed that the behavior of diode power detectors change under a wideband modulated wireless signal operation as compared to CW input signal, and the assumption of voltage-power proportionality is invalid even in the square law region of CW operation. In this paper, all these system imperfections are acknowledged and a new formulation is deduced for SPR system employing diode power detectors. A suitable calibration approach based on the developed mathematical modeling is proposed that corrects the above-mentioned system impairments during the calibration process.

0018-9480/$31.00 © 2012 IEEE

HASAN AND HELAOUI: NOVEL MODELING AND CALIBRATION APPROACH FOR MULTIPORT RECEIVERS

2645

Fig. 1. Typical SPR system architecture.

Fig. 2. Typical SPR system architecture employing quasi-ideal system components.

This paper is organized as follows. Section II studies the limitations of the state-of-art technology and proposes a new modeling and calibration approach for the SPR systems. Section III explains the calibration procedure. Section IV provides the implementation details of an SPR system to validate the proposed calibration methodology. Section V discusses the observed results and compares them to the previously reported studies. II. BLACK-BOX MODELING OF A SIX-PORT RECEIVER A. Theory of Six-Port Receiver Fig. 1 shows the architecture of a typical SPR system. At the heart of an SPR system lies a wave-correlator circuit (or six-port junction), which is comprised of an equal-power divider ( ) and three quadrature hybrids ( , , ). Four ports (from PORT-3 to PORT-6) of this wave-correlator circuit are terminated with microwave power detectors ( ). One of the remaining two ports (PORT-2) is fed with the received RF signal having a complex envelop of to be decoded in terms of its in-phase ( ) and quadrature ( ) components. The other port (PORT-1) is supplied with an amplitude and phase stable LO signal. The power detected by

the diode detector , can be written in terms of the -parameters of the six-port junction, as follows in (1): (1) Here,

; , , and are phasor representations for microwave signals at the reference planes of PORT-2 and PORT-1, respectively. Power difference between any two output ports can be expressed in terms of LO signal, RF signal, and circuit parameters as follows in (2):

(2)

2646

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

For an SPR system architecture shown in Fig. 2, the four power detectors output voltages can be used to get back the ( ) from voltage difference ( ) under the following assumptions valid for the whole bandwidth of the signal. 1) The power detectors and ( and ) are operating within their square law regions, i.e., , ( , ) and their characteristics are identical, i.e., ( ), and the performance of the six-port wave-correlator circuit is ideal [conditions 2)–5)]. 2) ( ). 3) ( ). 4) and ( and ). 5) , ( , ). If the above conditions [i.e., 1)–5)] are satisfied, and if and are the gain of the differential amplifiers in the in-phase and quadrature component recovery path, respectively, then the estimated values of the in-phase and quadrature components in the received symbol are given by (3) as follows:

causes crosstalk between and , and its effect cannot be mitigated without calibration and further processing of the received symbols. B. Need for Modeling and Calibration To overcome the limitations posed by the system imperfections, as discussed in Section II-A, an SPR system must be modeled and calibrated before use in an actual communication environment. To develop a calibration method suitable for receiver systems, (1) is expanded and rewritten in a matrix form as follows in (4):

(4)

where

(3a) and (3b) We observe from (3) that the estimated and components are proportional to the voltage differences and , respectively. If the proportionality constants and are not equal for the whole bandwidth of the signal, it will result in gain and phase imbalance in the received symbols (compression/expansion and rotation in the received constellation points with respect to the transmitted constellation). This additional source of error may be caused due to nonidentical and nonflat gain of the difference amplifiers, different behaviors of the power detectors in their square law region, and nonidentical and nonflat frequency responses of the hybrids and the power divider in the whole frequency band of operation. Assumptions 1)–5) are very stringent to meet for any real system, especially when the bandwidth of operation is large. Let us analyze the behavior of an SPR system when these assumptions are not satisfied by a real implementation of the system concept. Failure of assumption 1) for the real implemented system causes nonlinear distortion and smearing in the constellation of received symbols because of nonlinearity and memory effect (frequency response) of the diode detectors. Failure of assumption 2) results in dc-offset and that of assumption 3) introduces additional smearing around a symbol point in the received constellation. If assumption 4) is not satisfied by the actual implementation of SPR system, it results in diminished peak-to-peak value for recovered and signals and can also cause gain imbalance in the received constellation. Though assumption 5) may be met by shifting the reference plane 1 (imaginary theoretical plane) at PORT-1 for the LO signal in some cases, effect of its failure is quite expensive for the overall system performance as demonstrated by the improved overall system performance in [1]. Failure of assumption 5)

Matrix in (4) is dependent only on the -parameters of the six-port junction and the LO signal. From (4), and can be written as a linear combination of by inverting as follows in (5): (5a) (5b) Here, and are the entries in the third and fourth rows of the matrix, respectively. These are constants for the SPR system dependent only on the -parameters of the six-port junction and the LO signal. A state-of-the-art calibration approach determines these calibration constants using a known training sequence and uses these determined calibration constants to receive an unknown RF signal. As explained, calibration constants and are dependent on the -parameters of the six-port junction, which are frequency-dependent parameters. An attempt has been made in [2] to model this frequency-dependent behavior of the calibration parameters. The bandwidth of the received signal is sub-divided into multiple sub-bands and separate calibration parameters are calculated for each of the individual sub-bands. The estimated and components for each sub-band are summed-up to get and components for the whole received RF signal. In order to get the optimum performance from a real SPR system, apart from the frequency-dependent behavior of the -parameters of the six-port junction, and in turn, the frequency-dependent behavior of calibration constants, other system imperfec-

HASAN AND HELAOUI: NOVEL MODELING AND CALIBRATION APPROACH FOR MULTIPORT RECEIVERS

2647

Fig. 3. Behavior of a diode power detector excited with a 64-QAM modulated signal having 1-MHz bandwidth and a CW excitation at a carrier frequency of 2.5 GHz.

Fig. 4. Measured and modeled behavior of a diode power detector excited with a 64-QAM modulated signal having 1-MHz bandwidth at a carrier frequency of 2.5 GHz.

tions must also be modeled and accounted for through a suitable calibration means.

TABLE I PERFORMANCE SUMMARY OF THE POWER DETECTOR MODEL

C. Diode Modeling and Linearization for Modulated Signal To increase the dynamic range of the receiver system, the diode power detectors must be operated beyond their square law regions. Even in their square law regions, the linear relationship of output voltage and input power is not valid when excited with a modulated wireless signal. Measured characteristic of a diode power detector when excited with a 64-QAM modulated signal having 1–MHz bandwidth and that with a CW excitation at a carrier frequency of 2.5 GHz are plotted and compared in Fig. 3. As observed, the nonlinearity and the memory effect displayed by the diode detectors must be modeled and calibrated to reduce the error in the detections of the received symbols. A number of models [29]–[31] have been developed for the diode detectors to capture their nonlinearity and extend their dynamic range by a linearization process under CW excitation. These models fail to capture the memory effects displayed by the detectors under a wideband modulated wireless signal operation. A new method to model and linearize the diode power detectors is proposed here. The output voltage of the diode detector is used to linearize its characteristic. Memory polynomial [32] has been used effectively to linearize the power amplifier (PA) and transmitter characteristics. The nonlinearity and memory effect for the diode detectors is modeled in this work using a modified memory polynomial. The equation governing this relationship between the input microwave power to the diode detector, , and the output voltage of the detector, , at any instant of time is modeled by (6) as follows: (6) Here, are the coefficients of the above memory polynomial, and and are nonlinearity order and memory depth for the diode detector , respectively. The measured and modeled behavior of the diode detector using the modeling approach developed here is shown in Fig. 4.

Fig. 5. Modeling frequency response of six-port junction.

Table I summarizes the performance metrics of this modeling approach for a 64-QAM signal having 1-MHz bandwidth at a carrier frequency of 2.5 GHz. D. Modeling Frequency Response of Six-Port Junction Frequency-dependent six-port junction behavior is modeled by an “ideal” six-port junction followed by an element approximating the frequency-dependent behavior of six-port junction, as shown in Fig. 5. The model of diode detectors as developed in (6) models the frequency-dependent behavior (memory effect) of the power detector; it will also model the combined frequency-dependent behavior of the element modeling six-port frequency response, as well as the diode power detector. Thus, the model of diode detectors, as developed in (6), accounts for the frequency-dependent behavior of the six-port junction, as well as the nonlinearities and the memory effects displayed by the diode detectors. In practice, the behavior of the commercially available diode detectors is not exactly identical due to the fabrication process variations. It is not practical to take out each detector from the receiver system for modeling and linearization or an extra step for linearization apart from system calibration may not be feasible in a practical case. Even if the modeling for diode detectors is done outside the system, it will not be optimum when put into the system. An in-situ model for the detectors is therefore

2648

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 6. Proposed model for an SPR system taking into account all the identified system impairments.

highly desired. In Section II-E, a black-box model for the whole SPR system is developed that will allow a single step calibration for all the limitations, as well linearization of all the diode detectors. In the black-box model and the calibration approach developed in Section II-E, linearization of the diode detectors is a part of the system calibration and both are combined to form a single step process rather than two as done for the linearization of diode detectors and the calibration of six-port in network analyzer applications [33]. E. Black-Box Model for Complete SPR System Models of the diode detectors and the six-port junction developed in (6) are applied to (5) to correct for diode nonlinearity, its memory effects, and the frequency response of the six-port junction, which the model in (5) failed to account for. The resulting expressions for the estimated values of the and components in this case can be written as follows in (7): (7a) (7b) Here, and are real constants for the receiver system depending on the LO signal, properties of the six-port junction, and the diode power detectors. For simpler expression and convenience, (7a) and (7b) can be combined to estimate the received symbol (8)

Equation (8) provides the definitive model of an SPR system, which relates the output voltages of the diode power detectors directly to the estimated transmitted symbol. Here, are complex constants for the overall receiver system to be determined using a suitable calibration means. These complex calibration constants are dependent on the properties of the six-port junction, diode detectors, and LO signal. The model for an SPR system, as developed in (8), is not restricted only to the square law region of the diode detectors. It opens up the limitations on the range of operation of diode detectors from their minimum sensitivity level to their maximum power-handling capability. Hence, this model increases the dynamic range of the whole receiver system. Diode detectors’ nonlinearities and their memory effects are part of the model, and thus the linearization step becomes part of the calibration process, as the model in (8) deals directly with the output voltages of the diode power detectors. The frequency response of the six-port junction is also a part of the model in (8). Problems of dc-offset, smearing of the received constellation points, crosstalk between and components, and the reduced peak-to-peak amplitudes of the and signals due to circuit imperfections are also resolved as the sources of these errors are part of the model in (8). The developed model in (8) for an SPR system is summarized in Fig. 6. Inputs to the model are four output voltages ( ) of the diode detectors and the output from the model is received symbol , an estimation of the original transmitted symbol . This developed model for the SPR system must be trained or calibrated before use in a real communication environment. We next describe the calibration procedure of an SPR system based on the model developed in this section.

HASAN AND HELAOUI: NOVEL MODELING AND CALIBRATION APPROACH FOR MULTIPORT RECEIVERS

III. CALIBRATION PROCEDURE The calibration process for the SPR system modeled in the way described in Section II involves determining the model parameters ; ; ; that relate the output voltages from diode power detectors straight to an estimate of the original transmitted symbol through (8). The calibration step makes use of a known training sequence inserted at the beginning of an actual test data burst to determine the calibration parameters. Though the characteristics of the diode detectors used in the SPR system are not exactly the same in practice, the nonlinearity order and memory depth for the four detectors are taken to be equal to simplify the calibration procedure. The variations in their characteristics will be captured by different calibration parameters corresponding to each diode detector. The system model after this simplification can be written as follows in (9): (9) Note that in (9), the nonlinearity order and memory depth are chosen to be the same for all the four diode detectors. Indeed, while the diodes may be slightly different, the order of magnitudes of their distortion and memory effect are about the same. In order to determine the calibration parameters, a string of known symbols is sent before sending an actual test data. If ; is the th known sent symbol and is the corresponding output voltage from the diode detector , , then a calibration equation for the SPR system can be written as in (10), shown at the bottom of this page. In (10), vector (of size ) is the calibration parameters vector determined during this calibration step. Equation (10) can be solved for using recursive least square (RLS) or any other mean square or least square algorithm. Once is calculated in this manner, it is used to estimate any received symbols using (10). To assess the performance of the calibration procedure, a performance metric in terms of error vector magnitude (EVM) is used to compare the estimated symbols with the original transmitted symbols. EVM between estimated symbols ( ) and the corresponding ideal transmitted symbols ( ) is defined [34] as follows in (11): (11)

2649

In order to determine the optimal calibration parameters, the memory depth and the nonlinearity order are varied and the corresponding EVMs are recorded. The pair having the least EVM is fixed for the system and the corresponding calibration parameters vector obtained in this communication environment is used to estimate all the future symbols. Once , , and are decided for the system, any future th received symbol is estimated using (10). IV. IMPLEMENTATION OF SPR SYSTEM The architecture of the SPR system is configured and implemented, as shown in Fig. 1. Implementation of the complete setup for the SPR system test bench is shown is Fig. 7. In the test-bench setup shown in Fig. 7, the baseband data is generated on a desktop PC in MATLAB. This baseband data is raised cosine filtered before passing to a modulation generator (AMIQ from Rhode & Schwarz GmbH & Co.). The modulated baseband data is then frequency up-converted to a desired carrier frequency using an SMIQ 03B vector signal generator from Rhode & Schwarz. The LO signal at the carrier frequency is supplied by a PSG CW signal generator (E8247C from Agilent Technologies). Voltages generated by the Schottky diode detectors are captured using two synchronized dual-channel vector signal analyzers (VSAs) (89600 series VSA from Agilent Technologies). The captured voltages are further processed in MATLAB to get an estimate of the received symbol, as explained in Section III. V. MEASUREMENT RESULTS In order to evaluate the performance of the proposed calibration procedure, 2500 random 64-QAM symbols are generated in MATLAB. The first 100 symbols are treated as a training sequence and are used to calibrate the SPR system. This test packet of 2500 symbols is passed through a raised cosine filter of roll-off factor 0.3 (up-sampled by 8) and a delay of three taps. This pulse-shaped signal is modulated and frequency up-converted to a desired carrier frequency to simulate an actual RF modulated received signal. This modulated passband data is fed to the RF port (PORT-2) of the SPR system. LO power is set to be 12 dBm and the mean RF power is set to be 7 dBm (peak power dBm). Voltages generated by the four power detectors are used to calibrate the whole SPR system, as explained in Section III. The calibrated system is used to receive the symbols in an actual test data burst. The measurement

(10a) where (10b) (10c)

2650

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 7. Complete test setup for wideband six-port based communication receiver.

Fig. 9. (top) Constellations and (bottom) spectrums of received and transmitted symbols for 64-QAM signals having 2-MHz bandwidths (12 Mb/s). Fig. 8. (top) Constellations and (bottom) spectrums of received and transmitted symbols for 64-QAM signals having 1-MHz bandwidths (6 Mb/s).

results obtained for 64-QAM signals at a carrier frequency of 2.5 GHz are shown in Figs. 8 and 9. Figs. 10 and 11 show the comparison of the constellation points of the transmitted and the received signals when the diode detectors are linearized using conventional CW linearization approach at a carrier frequency of 2.5 GHz and when the SPR system is calibrated according to the proposed approach. The

calibration of the SPR system is carried out using the conventional approach governed by (5). Here, only the power detectors nonlinearities are modeled using the CW approach at the carrier frequency and the detectors memory and frequency response of the six-port are not accounted for. Fig. 12 shows the demodulation results obtained for the WCDMA signal at carrier frequencies of 2.14 GHz. Fig. 13 shows the transmitted and the received components and spectrums for a WLAN signal at a carrier frequency of 2.4 GHz.

HASAN AND HELAOUI: NOVEL MODELING AND CALIBRATION APPROACH FOR MULTIPORT RECEIVERS

Fig. 10. Constellations of 64-QAM modulated transmitted and received symbols having 2-MHz bandwidths. (top) Conventional approach. (bottom) Proposed Approach.

Fig. 11. Constellations of 64-QAM modulated transmitted and received symbols having 4-MHz bandwidths. (top) Conventional approach. (bottom) Proposed approach.

The comparison results of the conventional two steps process of diode detector linearization and six-port calibration with proposed single-step wideband linearization and calibration for the SPR system is provided in Table II. The results obtained from the system for different types of signals at different carrier frequencies having different bandwidths are summarized in Table III.

2651

Fig. 12. Transmitted and received (top) quency spectrums of WCDMA signal.

components and (bottom) fre-

Fig. 13. Transmitted and received (top) quency spectrums of WLAN signal.

components and (bottom) fre-

TABLE II COMPARISON OF CONVENTIONAL AND PROPOSED CALIBRATION APPROACH

2652

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

model for the SPR system, which takes into account these limitations posed by the real systems, has been developed. An appropriate calibration method is proposed to correct these system impairments and the overall system performance is improved. Usefulness of the model and the calibration approach is verified by demodulating 64-QAM signals up to a 24-Mb/s bit rate. WCDMA and WLAN signals are demodulated and the obtained results are reported to show its usefulness for real communication systems. The proposed linearization and calibration algorithm allowed reducing the EVM from 7.93% to 1.6% and from 16.04% to 2.81% for 64-QAM signals having 2- and 4-MHz bandwidths, respectively, at a carrier frequency of 2.5 GHz.

TABLE III PERFORMANCE SUMMARY

TABLE IV COMPARISON WITH RECENT REPORTED STUDIES

REFERENCES

In all the test cases, satisfactory results are obtained and the comparison with the recent works is provided in Table IV. A. Measurement Limitations The diode power detectors used in the test setup have typical rise times of about 6–12 ns [35]. This limits the usable bandwidth of the power detector, and thus the bandwidth of the signal, which can be faithfully recovered with the SPR system test setup. The relationship between -dB bandwidth and rise time [36] can be approximated by (12) as follows: (12) 3- and 0.1-dB bandwidth of the used diode detector are limited to 29 and 4.4 MHz, respectively. When signals having very high bandwidths are demodulated with system using slow power detectors, it causes additional error in the EVM. This problem can be solved by using faster diode detectors having much smaller rise times for high bandwidth signals. Another limitation for the test setup, which restricts the data rate of the SPR system is the sampling frequency of VSAs used to capture analog voltages generated by the power detectors. If high data rates are targeted from the SPR system, then analog-to-digital (ADC) components having higher sampling rates must be used in the system. VI. CONCLUSION Effects of deviations of multiport receiver system components from their ideal behaviors have been studied, and a new

[1] J. Östh, A. Serban, Owais, M. Karlsson, S. Gong, J. Haartsen, and P. Karlsson, “Six-port gigabit demodulator,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 1, pp. 125–131, Jan. 2011. [2] C. de la Morena-Álvarez Palencia and M. Burgos-García, “Four-octave six-port receiver and its calibration for broadband communications and software defined radios,” Progr. Electromagn. Res., vol. 116, pp. 1–21, 2011. [3] A. Koelpin, G. Vinci, B. Laemmle, and R. Weigel, “The enhanced six-port receiver: A new concept for simultaneous data reception and direction of arrival detection,” in IEEE MTT-S Int. Microw. Symp. Dig., Baltimore, MD, Jun. 2011, pp. 1–4. [4] A. Koelpin, G. Vinci, B. Laemmle, D. Kissinger, and R. Weigel, “The six-port in modern society,” IEEE Microw. Mag., vol. 11, no. 7, pp. 35–43, Dec. 2010. [5] E. E. Djoumessi, S. O. Tatu, and K. Wu, “Frequency-agile dual-band direct conversion receiver for cognitive radio systems,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 1, pp. 87–94, Jan. 2010. [6] K. Haddadi, M. M. Wang, C. Loyez, D. Glay, and T. Lasri, “Four-port communication receiver with digital IQ-regeneration,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 1, pp. 58–60, Jan. 2010. [7] F. M. Ghannouchi and M. Abbas, The Six-Port Technique with Microwave and Wireless Applications. Boston, MA: Artech House, 2009. [8] J. Pérez-Dueñas, J. G. Wangüemert-Pérez, and I. Molina-Fernández, “Novel modulation scheme and six-port based RAKE receiver for DS-UWB,” IEEE Trans. Wireless Commun., vol. 8, no. 7, pp. 3628–3633, Jul. 2009. [9] R. G. Bosisio, Y. Y. Zhao, X. Y. Xu, S. Abielmona, E. Moldovan, Y. S. Xu, M. Bozzi, S. O. Tatu, C. Nerguizian, J. F. Frigon, C. Caloz, and K. Wu, “New wave radio,” IEEE Microw. Mag., vol. 9, no. 1, pp. 89–100, Feb. 2008. [10] K. Mabrouk, B. Huyart, and G. Neveux, “3-D aspect in the five-port technique for zero-IF receivers and a new blind calibration method,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 6, pp. 1389–1396, Jun. 2008. [11] P. Pérez-Lara, I. Molina-Fernández, J. G. Wangüemert-Pérez, and R. G. Bosisio, “Effects of hardware imperfection on six-port direct digital receivers calibrated with three and four signal standards,” in Proc. Inst. Elect. Eng.—Microw., Antennas, Propag., Apr. 2006, vol. 153, pp. 171–176. [12] A. Honda, K. Sakaguchi, J. Takada, and K. Araki, “Six-port direct conversion receiver: Novel calibration for multi-port nonlinear circuits,” IEICE Trans. Electron., vol. E87-C, no. 9, pp. 1532–1539, Sep. 2004. [13] J.-F. Luy, T. Mueller, T. Mack, and A. Terzis, “Configurable RF receiver architectures,” IEEE Microw. Mag., vol. 5, no. 1, pp. 75–82, Mar. 2004. [14] G. F. Engen, “A (historical) review of the six-port measurement technique,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 12, pp. 2414–2417, Dec. 1997. [15] M. Mailand and H.-J. Jentschel, “An effort reduced six-port direct conversion receiver and its calibration,” in IEEE Wireless Commun. Network Conf., Mar. 2005, pp. 568–572. [16] Y. Xu and R. G. Bosisio, “On the real-time calibration of six-port receivers (SPRs),” Microw. Opt. Technol. Lett., vol. 20, pp. 318–322, Mar. 1999.

HASAN AND HELAOUI: NOVEL MODELING AND CALIBRATION APPROACH FOR MULTIPORT RECEIVERS

[17] J. Li, R. G. Bosisio, and K. Wu, “Dual-tone calibration of six-port junction and its application to the six-port direct digital millimetric receiver,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 1, pp. 93–99, Jan. 1996. [18] H. Gomes, A. R. Testera, N. B. Carvalho, M. Fernández-Barciela, and K. A. Remley, “Diode power probe measurements of wireless signals,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 4, pp. 987–997, Apr. 2011. [19] H. Gomes, A. R. Testera, N. B. Carvalho, M. F. Barciela, and K. A. Remley, “The impact of long-term memory effects on diode power probes,” in IEEE MTT-S Int. Microw. Symp. Dig., Anaheim, CA, May 2010, pp. 596–599. [20] D. Hammou, N. Hakem, N. Kandil, E. Moldovan, and S. O. Tatu, “New -band MHMIC six-port architecture,” in 9th IEEE Int. New Circuits Syst. Conf., Bordeaux, France, Jun. 2011, pp. 422–425. [21] A. Serban, J. Östh, Owais, M. Karlsson, S. Gong, J. Haartsen, and P. Karlsson, “Six-port transceiver for 6–9 GHz ultrawideband systems,” Microw. Opt. Technol. Lett., vol. 52, no. 3, pp. 740–746, Mar. 2010. [22] C. De La Morena-Álvarez-Palencia, M. Burgos-García, and D. Rodríguez-Aparicio, “Three octave six-port network for a broadband software radio receiver,” in 40th Eur. Microw. Conf., Paris, France, Sep. 2010, pp. 1110–1113. [23] D. Hammou, E. Moldovan, K. Wu, and S. O. Tatu, “60 GHz MHMIC six-port model analysis,” Microw. Opt. Technol. Lett., vol. 52, no. 9, pp. 2104–2108, Sep. 2010. [24] S. M. Winter, A. Koelpin, and R. Weigel, “Six-port receiver analog front-end: Multilayer design and system simulation,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 55, no. 3, pp. 254–258, Mar. 2008. [25] Y. Zhao, J.-F. Frigon, K. Wu, and R. G. Bosisio, “Multi(six)-port impulse radio for ultra-wideband,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 4, pp. 1707–1712, Jun. 2006. [26] X. Xu, R. G. Bosisio, and K. Wu, “Analysis and implementation of sixport software-defined radio receiver platform,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 7, pp. 2937–2943, Jul. 2006. [27] X. Xu, R. G. Bosisio, and K. Wu, “A new six-port junction based on substrate integrated waveguide technology,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 7, pp. 2267–2273, Jul. 2005. [28] S. O. Tatu, E. Moldovan, K. Wu, R. G. Bosisio, and T. Denidni, -band analog front-end for software defined direct conversion “ receiver,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2768–2776, Sep. 2005. [29] C. A. Hoer, K. C. Roe, and C. M. Allred, “Measuring and minimizing diode detector nonlinearity,” IEEE Trans. Instrum. Meas., vol. IM-25, no. 4, pp. 324–329, Dec. 1976. [30] Z. Chen and B. Xu, “Linearization of diode detector characteristics,” in IEEE MTT-S Int. Microw. Symp. Dig., Palo Alto, CA, Jun. 1987, pp. 265–267. [31] F. Lan, C. Akyel, F. M. Ghannouchi, J. Gauthier, and S. Khouaja, “Sixport based on-line measurement system using special probe with conical open end to determine relative complex permittivity at radio and microwave frequencies,” in Proc. 16th IEEE Instrum. Meas. Technol. Conf., May 1999, vol. 1, pp. 42–47.

2653

[32] L. Ding, G. T. Zhou, D. R. Morgan, Z. Ma, J. S. Kenney, J. Kim, and C. R. Giardina, “A robust digital baseband predistorter constructed using memory polynomials,” IEEE Trans. Commun., vol. 52, no. 1, pp. 159–165, Jan. 2004. [33] F. M. Ghannouchi and R. G. Bosisio, “A wideband millimeter wave six-port reflectometer using four diode detectors calibrated without a power ratio standard,” IEEE Trans. Instrum. Meas., vol. 40, no. 6, pp. 1043–1046, Dec. 1991. [34] M. D. McKinley, K. A. Remley, M. Myslinski, J. S. Kenney, D. Schreurs, and B. Nauwelaers, “EVM calculation for broadband modulated signals,” in Proc. 64th ARFTG Conf. Dig., Dec. 2004, pp. 45–52. [35] “Agilent low barrier Schottky diode detectors,” Agilent Technol., Santa Clara, CA, 8472B datasheet, [rev. Oct. 2009]. [36] C. Mittermayer and A. Steininger, “On the determination of dynamic errors for rise time measurement with an oscilloscope,” IEEE Trans. Instrum. Meas., vol. 48, no. 6, pp. 1103–1107, Dec. 1999.

Abul Hasan (S’11) received the B.Tech. degree in electronics and communication engineering from the Indian Institute of Technology (IIT) Guwahati, India, in 2008, and is currently working toward the M.Sc. degree in electrical and computer engineering at the University of Calgary, Calgary, AB, Canada. He is currently with the Intelligent RF Radio Technology Laboratory (iRadio Lab), Department of Electrical and Computer Engineering, University of Calgary. Prior to joining the iRadio Lab, he was a Senior Hardware Design Engineer with Geodesic Ltd., Bangalore, India. His interests include the six-port technique and its applications, microwave and RF circuits and systems design, and signal processing for modern communication systems.

Mohamed Helaoui (S’06–M’09) received the M.Sc. degree in communications and information technology from the École Supérieure des Communications de Tunis, Tunis, Tunisia, in 2003, and the Ph.D. degree in electrical engineering from the University of Calgary, Calgary, AB, Canada, in 2008. He is currently an Assistant Professor with the Department of Electrical and Computer Engineering, University of Calgary. He has authored or coauthored over 60 publications. He has seven patents pending. His current research interests include digital signal processing, power efficiency enhancement for wireless transmitters, switching mode PAs, and advanced transceiver design for SAR and millimeter-wave applications. Dr. Helaoui is a member of the COMMTTAP Chapter, IEEE Southern Alberta Section.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Buried Object Characterization Using Ultra-Wideband Ground Penetrating Radar Lin Li, Adrian Eng-Choon Tan, Kashish Jhamb, and Karumudi Rambabu

Abstract—In this paper, a method to characterize buried nonmagnetic objects in ground using ultra-wideband (UWB) ground penetrating radar (GPR) is proposed. In this method, UWB pulses are radiated by the radar, while scattered signals from the ground with the buried object are received. The received signals are then post-processed to estimate the depth, thickness, and electrical properties of the buried object. A constant depth and thickness is enforced at all frequencies while the signals are processed to extract the buried object characteristics, resulting in more accurate estimations and reduced processing time. In addition, path loss due to the close proximity of the radar to the ground is compensated analytically. The applicability of the proposed method is validated with several planar objects and a boulder that we typically encounter in the construction industry. The proposed method can achieve sufficient reliability in estimating the permittivity of buried objects for the purpose of material identification. Incorporating the proposed method into the GPRs enhances their existing imaging ability by adding material identification capability. Index Terms—Buried object characterization, ground penetrating radar (GPR), nondestructive material evaluation, parameter estimation, ultra-wideband (UWB) radar.

I. INTRODUCTION

R

ESEARCH and development in the domain of ultra-wideband (UWB) technology [1], especially for target data collection, precision locating, and tracking applications, have been gaining interest in the recent years [2]. UWB technology is extensively used in ground penetrating radars (GPRs) [3]. A UWB GPR is a short-range radar that remotely senses and images objects that are buried in ground. It radiates a sequence of short electromagnetic pulses into the ground and measures the reflected signals that arise due to the difference in the electrical properties between the ground and the buried object. UWB GPRs have been applied extensively to detect the subsurface objects. In the construction industry, the radar has been used in locating buried pipes and utility installations [4]; investigating concrete structures to determine reinforcing bar locations, air voids, and the quality of concrete [5], and checking Manuscript received March 08, 2012; accepted April 03, 2012. L. Li, A. E.-C. Tan, and K. Rambabu are with the Department of Electrical and Computer Engineering, University of Alberta, Edmonton, AB, Canada T6G2V4 (e-mail: [email protected]). K. Jhamb was with the Department of Electrical and Computer Engineering, University of Alberta, Edmonton, AB, Canada T6G2V4. He is now with the Department of Electrical and Computer Engineering, ITM University, Gurgaon 122017, India. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2198235

the integrity of road and pavement subsurfaces [6]. They are also employed in detecting and locating potential buried hazards such as mine shafts and voids in the mining industry [7], analyzing the structure and formation of glaciers [8], uncovering forensic evidence including buried human remains and weapons [9], and investigating archaeological sites [10]. In this paper, we propose a method by using UWB GPR to estimate the buried object’s depth, thickness, and frequency-dependent electrical properties. Incorporating this method into the existing UWB GPR designs enhances their capability in object detection and classification. The advantage of such systems is the ability to image and identify the buried object by estimating its dielectric properties. In the literature, several methods for measuring the electrical properties of materials have been reported. These methods can be classified into transmission [11] and reflection [12] methods, two-terminal method [13], loaded transmission line method [14], closed cavity method [15], open resonator method [16], microwave free-space method [17], and stochastic cavity method [18]. A review of these methods can be found in [19]. Electrical properties of the object can be estimated by post-processing the received reflected signals and solving an inverse electromagnetic problem using different techniques [20]. However, due to the ill-posed nature of this inverse problem, the solution is inherently nonunique by virtue of the equivalence principle [21]. The most widely used method is the inverse scattering method, in which optimization is performed to determine the object parameters such as dielectric properties and dimensions [22]. Another popular method to determine the dielectric properties is the layer stripping method [6], in which reflections from different layers of the object are estimated, and subtracted from the received signal until all the reflections have been accounted. In recent years, electromagnetic simulation software, such as Ansoft HFSS and CST Microwave Studio, have been employed to estimate the dielectric properties of arbitrary shaped objects [23]. Optimization techniques are also being used extensively to solve the inverse problems. The concerns of these techniques are their speed, resource requirement, and convergence. To address the inverse problem, various numerical techniques have been proposed including the Newton–Raphson method [24], gradient descent optimization [23], genetic algorithm [25], and different variants of the sequential quadratic programming method [15], [26]. In our intended application, a monostatic UWB GPR is used to estimate the properties of buried objects that are encountered in the tunneling applications. Tunneling by the use of a tunnel boring machine is hampered by the buried objects such as buried pipes, rocks, boulders, or other man-made objects. Boulders in

0018-9480/$31.00 © 2012 IEEE

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

soil tunneling and in microtunneling have resulted in time and cost overruns. Current practices commonly rely on excavating a limited quantity of vertical bore-holes during site investigation or taking coring samples at the tunnel face in order to acquire geotechnical data and probe any obstacles, which are time consuming and expensive while failing to provide accurate and timely information as needed to characterize the boulders or man-made obstructions lying immediately ahead of the tunnel face. In this paper, we propose a method, using UWB GPR, to identify the buried objects in the soil. This model can also be used to probe a wall with different layers of construction materials. In this method, electromagnetic pulses are radiated onto the objects that are buried in the soil and the reflected signals are collected using monostatic UWB radar. Our proposed method is different from the existing methods in three ways. Firstly, the estimated real and imaginary permittivity profiles of the buried object are frequency dependent. Secondly, we have improved the parameter-estimation process by enforcing a constant object depth and thickness at all frequencies. Thirdly, path loss due to the close proximity of the UWB GPR to the ground has been compensated analytically. This paper is organized as follows. In Section II, the method to estimate buried object characteristics using the received reflected signals is described. The process involves matching the measured reflection coefficient with that from theoretical derivation. The depth, thickness, and electrical properties of the buried object are estimated based on the best fit between the measured and theoretical reflection coefficient. Section III describes the experimental setup and measurement procedure to validate the proposed method using several planar objects buried in sand and a boulder buried in nonuniform soil. Using the measured reflected signals in Section III, the estimated physical and electrical properties of the buried object are presented and verified in Section IV. II. THEORY A. Overview In this section, a free-space method to estimate the physical and electrical properties of a buried object is described. The measurements to characterize the buried object are performed above the ground, in which scattered signals from the ground with the buried object are captured by the receiving (Rx) antenna. The Rx antenna is placed beside the transmitting (Tx) antenna in a monostatic radar configuration. Both the Tx and Rx antennas are directional to maintain an illumination area that is smaller than the transverse area of the buried object. Therefore, the ground and buried object can be assumed to be horizontally layered, or 1-D, as shown in Fig. 1. In a real-construction environment, the ground (soil) continues after the buried object. However, in the laboratory experiments, it is very difficult to have continuous ground after the buried object. Hence, in this experiment, we have terminated the ground layer (cf. layer-4 in Fig. 1) with a free-space layer since the unwanted reflection at the end of layer-4 can be time gated. As shown in Fig. 1, the Tx antenna is radiating onto the multilayered ground and buried object. Vivaldi antennas [27] are used as Tx and Rx antennas for our experiments. The five layers

Fig. 1. Diagram showing a multilayered dielectric slab that models the ground and ); buried object thickdepths ( and ) and dielectric permittivity ( and dielectric permittivity being investigated by the Tx and Rx ness antennas of a UWB GPR.

of different materials are labeled as “1” to “5.” Regions 1 and 5 are free space, regions 2 and 4 are ground, while region 3 is the buried object. The Tx antenna is fed with a sub-nanosecond pulse, , radiating into free space in region 1. The radiated signal propagates onto the ground with a path loss, , and is scattered by the ground with the buried object. Part of the scattered signal propagates back to the Rx antenna with a path loss, , and is received by the Rx antenna as . is further analyzed to estimate the physical and electrical properties of the buried object. The source and received signals, and , are pulse shaped by Tx and Rx antennas, respectively. This pulse-shaping effect can be modeled by the transfer function of the antenna in Tx and Rx modes, and [28], [29]. The dielectric property of the ground ( and ) can be evaluated separately, and is a known quantity during the buried object measurement. Hence, the research problem is simplified as an estimation of the depth , thickness , and complex dielectric permittivity of the buried object. Due to practical reasons mentioned above, the depth of the ground after the buried object is also estimated. is the aggregate transfer function of the ground with the buried object, and will be derived in Section II-B. In addition, the derived will be used to obtain the measured reflection coefficient of the ground with the buried object. B. Measured Reflection Coefficient of the Ground With the Buried Object Although contains the desired information of the ground and the buried object, undesired information such as the source signal, antenna effect, antenna coupling, and other reflections due to nearby scatterers (e.g., nearby radar fixtures and mounting vehicle) are also embedded in it. Hence, the first step is to decouple all the undesired information from the received signal . To do that, two calibration measurements are conducted. First, the received signal of the radar, , is measured in free space without the presence of the ground and the buried object. Next, the received signal of the radar, , is recorded with a large thin metal sheet placed on top of the ground. is the total measured reflected signal that includes reflection from the ground with the object, Tx and Rx

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LI et al.: BURIED OBJECT CHARACTERIZATION USING UWB GPR

3

sion-line equations [30] can be applied to derive the reflection coefficient of a multisection transmission line. The parameters in Fig. 2 are related to that of Fig. 1 as follows: (7) (8) (9)

Fig. 2. Diagram showing the analogy between a multilayer plane wave propagation and a multisection transmission line.

antenna direct coupling, and reflections from nearby scatterers. accounts for antenna coupling and reflections due to nearby scatterers. After accounting for the undesired signals, the measured signals are (1) (2) and are the calibrated received signals due where to the ground with the buried object, and the large metal sheet, respectively, i.e., eliminating antenna coupling and reflections due to nearby scatterers. Based on the analysis described in [28] and [29], and can be decomposed as (cf. Fig. 1) (3) (4) and are the transfer functions of the Tx and Rx where antennas, respectively, and are the transfer functions of free space for the incident and reflected signals, respectively, and are the transfer functions of the ground with the buried object, and metal sheet, respectively, and “*” denotes convolution. By Fourier transform of (3) and (4), the following ratio can be derived: (5) where and are the Fourier transforms of and , respectively. Based on the observations in [28], and can be deemed as measured reflection coefficients of the ground with the buried object, and the metal sheet, respectively, (6) In (6), is replaced with since it represents the reflection due to the metal sheet, which is assumed to be perfect electrical conductor (PEC) [21].

(10) and are the propagation constant and characteristic impedance of the th section of the transmission line. and are related to the dielectric property of the th layer, as described in (7) and (8). is the input impedance looking into the th section from the th section. is related to the parameters of the multilayered dielectric, as described in (9). or in (7) and (8), while or in (9). is the theoretical reflection coefficient of the ground with the buried object. Since region 5 extends to infinity, . The other parameters in (7)–(10) are defined as follows: is the thickness of the th medium, is the relative permittivity of the th medium, is the speed of light in free space, and is the intrinsic impedance of free space. The relative permittivity of the th medium, is a complex number, and can be expressed as , where and are the real and imaginary parts of the material’s relative permittivity. In (10), a relationship between the reflection coefficient of the ground with the buried object, and their physical and electrical parameters is established. In addition, if there is no measurement noise and assumption of plane-wave propagation is met, theoretically derived in (10) can be equated to the measured in (6), and a simple solution can be found for the appropriate values of the buried object depth, thickness, and dielectric properties. However, due to practical reasons such as the presence of measurement noise, inhomogeneous ground material, surface roughness, and nonplanar wave propagation, it is often infeasible to equate with . Hence, parameter-estimation techniques such as the mean square error (MSE) method [6], [17], [31] are used. D. MSE Method to Estimate Buried Object Parameters The MSE method is an iterative algorithm that aims to find a set of physical and electrical parameters of the buried object by minimizing the absolute differences between the measured reflection coefficient, i.e., , and the theoretical reflection coefficient, i.e., . Let be the absolute difference between the measured and theoretical reflection coefficient for all possible combinations of physical and electrical properties

C. Theoretical Reflection Coefficient of the Ground With the Buried Object In this section, a theoretical reflection coefficient of the ground with the buried object is derived by using transmission-line analogy [30], as shown in Fig. 2. Each region in Fig. 1 is analogous to a section of the transmission line in Fig. 2. Using this analogy, instead of solving the electromagnetic scattering due to multiple discontinuities, standard transmis-

(11) where and are the depth and thickness of the buried object; is the thickness of the ground after the object that needs

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

to be estimated and are real and imaginary parts of the dielectric permittivity of the buried object ; is the frequency, and is the frequency step of the Fourier transform in (6). This estimation process involves finding a set of parameters that minimizes . Averaging is applied for reflection coefficient values centered at . In this work, we select to reduce fluctuations in the estimated . MSE methods reported in the existing literature perform parameter sweeps of the buried object depth, thickness, and dielectric properties for all measured frequencies of . In (11), parameter sweeps involve varying , , , , , and , resulting in a 6-D matrix of possible solutions. Direct application of the MSE method to estimate the buried object parameters in our research problem has faced several difficulties: Firstly, the estimated depth and thickness of the buried object in one measurement is not constant with respect to frequency. In addition, for a few cases, their values vary drastically, further affecting the accuracy of the estimated electrical properties. Secondly, the size of the solution domain in the MSE method increases exponentially with the increment of unknown parameters. Optimizing for depth and thickness of the buried object at every frequency is redundant and it unnecessarily slows down the process since these parameters are independent of frequency. A better approach to implement the MSE method is to enforce a constant depth and thickness for all frequencies in one measurement. This approach does not only avoid large fluctuations in the estimated parameters, it also significantly improves the processing speed of MSE. In this proposed approach, two MSE functions are defined instead of one. In the first MSE function , the dielectric property of the buried object is estimated for different object depths and thicknesses

(12) where is a 4-D matrix of , , , and . has a minimized value for and at each frequency. In the second MSE function , by using the minimized , object’s depth and thickness are estimated, (13) has a minimized value for , , and . Using the where minimized , , and in (13), and minimized and in (12), the physical and electrical parameters of the buried object are estimated. Implementing the proposed MSE provides two advantages over the existing methods [17], [31]. Firstly, fluctuations in the estimated are reduced. Secondly, the processing speed is improved. E. Analytical Path Loss Compensation GPRs operate very close to the ground to improve signal-tonoise ratio and to increase lateral resolution. Hence, the electromagnetic wave propagating onto the ground and to the buried object is mainly in the Fresnel region [21]. This method is unlike conventional free-space measurement methods, where the

Fig. 3. Diagram showing the: (a) original problem and (b) its equivalent reflected by an infinitely extended PEC is problem in which a source . observed at point

electromagnetic plane wave in the far-field region is used to estimate the properties of materials [17], [32], [33]. Analysis in (7)–(10) in modeling multilayered dielectric slabs assumes the incident wave on the slabs (cf. Fig. 1) is a plane wave. However, in UWB GPR, the incident wave is a spherical wave. This discrepancy will result in errors in estimating the buried object’s properties if path loss due to the spherical wave is not accounted. Calibration is the most commonly used method to account for the path loss [6]. However, an analytical method is proposed in this paper. In the proposed method, dielectric permittivity of the material is estimated by comparing the reflection from the PEC sheet and that from a slab at the same distance [cf. Fig. 3(a)]. Hence, if the slab is at a different distance from the PEC sheet, the reference plane of the PEC sheet needs to be translated to the new distance before the physical and electrical properties of the buried object are estimated. Translation of the PEC reference can be done as follows: Let a source signal be radiated by the in Fig. 3(a). At point , i.e., the Tx antenna aperture at location of the Rx antenna, reflected signal from the PEC sheet is recorded. Since it is assumed the size of the PEC sheet is larger than the illumination area of the Tx antenna, the PEC sheet is assumed infinitely extended [cf. Fig. 3(a)]. Hence, image theory at [21] can be applied to generate an image source [cf. Fig. 3(b)]. Signal contribution by , observed at , can be derived using Friis transmission equation [21], in which the received signal power is related to the image source’s signal power as (14) and , ; where is the distance between and are the gain of the Tx and Rx antennas, respectively, and is the free-space wavelength. If the PEC sheet is now placed at different distance, e.g., , received power can be similarly derived using (14). Hence, the relationship between and can be derived as (15)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LI et al.: BURIED OBJECT CHARACTERIZATION USING UWB GPR

5

Fig. 4. Measured peak-to-peak voltages ( ) of the received signals from an of received aluminum sheet (“x”) are compared with analytically modeled signal (solid line).

Fig. 6. Diagram describing the measurement setup to estimate the physical and electrical properties of buried objects.

angles as the waves penetrate into the ground. In addition, by applying Snell’s Law, (16) can be modified and simplified to (17)

Fig. 5. Diagram showing the spherical wave refraction when it is incident on the air-to-ground discontinuity.

where is the reflected signal when the PEC sheet is placed on the ground, and is the theoretical reflected signal when the PEC reference is in the ground, after compensating for the path loss due to spherical waves. and are similarly defined as and in (15). Hence, (18)

In terms of voltage, (15) can be rewritten as (16) where and are the received signals due to the PEC sheet reflection at distances and , respectively. To verify (16), received signals of an aluminum (assumed to be PEC) sheet placed at various distances from the UWB radar are recorded. Amplitude variations with respect to distance are compared with that of (16) in Fig. 4. It shows a good agreement between the proposed analytical model and measurements results. Although the analysis presented above is capable of predicting PEC sheet reflections at various distances in free space, it does not account for wave refraction due to incident spherical waves on the ground, as shown in Fig. 5. To estimate the path loss due to incident spherical waves in the ground, the PEC reflection on the ground surface needs to be translated to the interface between the ground and buried object. By inspection (cf. Fig. 5), we can compensate the effect of incident spherical wave refraction by incorporating the incident and refracted

is the path loss factor that needs to be multiplied where is defined as with (6) to compensate for the path loss. (19) Therefore, (6) can be rewritten as (20) denotes the path loss compensated reflection cowhere efficient of the ground with the buried object. III. MEASUREMENT PROCEDURE Experiments are conducted to estimate the buried object physical and electrical properties using the proposed method. Figs. 6 and 7 describe the measurement setup. Sand is chosen as the ground material because it is the most commonly used material in the construction industry.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 8. Measured Gaussian monocycle signal that is fed to the Tx antenna.

Fig. 9. Measured received signals are plotted to illustrate the measurement and calibration process of the UWB radar. Fig. 7. Photograph showing the measurement setup to estimate the physical and electrical properties of buried objects.

As shown in Fig. 6, a rectangular slab is buried in sand, which is contained by an open Styrofoam box. The box is placed 350 mm above floor level to provide sufficient time interval between the desired reflections from the sand with the buried slab, and the undesired reflections from the floor. Time gating is used to effectively remove the undesired reflections in the received signals. The UWB radar transmitter consists of a pulse generator, two impulse forming networks (IFNs) and a power amplifier (PA). The pulse generator (AVTECH AVP-3SA-C) generates a train of 10-V 50-ps rise-time steps at 1-MHz pulse repletion frequency. Two IFNs (PSPL 5210) shape the generated pulse to a first derivative Gaussian pulse. The pulse/signal is amplified by a PA (Hittite HMC659LC5) to a peak-to-peak voltage of 6.9 V (Fig. 8). The UWB radar receiver consists of a sampling oscilloscope (Agilent DCA 86100B), which samples the signal at 40 GS/s. Time averaging is performed on the received signals to improve the signal-to-noise ratio. As mentioned above, Vivaldi antennas are used as Tx and Rx antennas. The antennas are placed side by side, 80 mm apart, in a monostatic radar configuration. The distance between the antennas and the sand surface is 150 mm. The measurement procedure is as follows. First, is recorded in free space without the presence of the sand and

the buried slab. Next, is recorded when a large thin aluminum sheet (300 300 mm S/m) is placed on top of the sand. After that, is recorded for the sand with the buried slab. An example of the measured signals is shown in Fig. 9. Measured shows some energy of the transmitted pulse is directly coupled to the Rx antenna at 3.8 ns (Fig. 9: “A”). also shows a visible reflection (Fig. 9: “B”) from the floor at 7.8 ns, verifying the height of the antennas from the floor is 0.6 m. Additional reflection from the aluminum sheet is also visible in at 4.6 ns (Fig. 9: “C”). Furthermore, shows the scattered signals from the sand with the buried slab at 4.6 ns (Fig. 9: “D”). and are the calibrated received signals from the aluminum sheet and sand with the buried object, respectively. Notice that in these signals, antenna direct coupling has been removed. However, reflections from the floor are reintroduced in the procedure at 7.8 ns (Fig. 9: “E”). These reflections can be eliminated with time gating. Different construction materials are used to evaluate the performance of the UWB GPR. These materials are an acrylic slab (disc-shaped with diameter of 685 mm and thickness of 23.76 mm), a gypsum board (600 600 12.86 mm ), a marble tile (300 300 10.07 mm ), a Formica laminate sheet (300 300 3.22 mm ), and a granite tile (300 300 10.34 mm ). Only marble tile, Formica laminate sheet, and granite tile are buried in sand. The acrylic slab is too large in size, and gypsum board has values that are very close to sand.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LI et al.: BURIED OBJECT CHARACTERIZATION USING UWB GPR

Fig. 10. Estimated relative dielectric permittivity and loss tangent of sand are plotted in (a) and (b), respectively. Nine measurements, at various thicknesses, are conducted. Grey “x” indicates estimated values in different measurements, while solid line indicates average values.

To verify the estimated objects’ thicknesses, they are first measured with a vernier caliper. To validate the estimated values are first measured values of the buried objects, their in free space. For free-space estimation, similar measurements and signal-processing procedure are performed by restricting and to be zero. For further validation, estimated values of values the buried objects are also compared with measured in the existing literature, whenever it is possible. IV. MEASURED RESULTS Measurements for sand, marble tile, Formica laminate sheet, granite tile, acrylic slab, and gypsum board are performed to estimate their physical and electrical properties. In this section, results from these experiments are presented. The recovered electrical properties are described in terms of permittivity and loss tangent . A material’s loss tangent is related to its complex dielectric permittivity as . A. Sand Relative permittivity of sand is measured before the measurements of buried objects are conducted. Sand layer of three different thicknesses are formed in the Styrofoam box for measurements. For every layer thickness, three measurements are conducted to estimate the sand’s . Before every measurement, the sand surface is carefully re-leveled to minimize errors due to surface tilt and roughness. It is observed that the estimated values vary between 2.5 to 3.0 for different measurements [grey “x” in Fig. 10(a)] with no observable trends for different sand thicknesses. Thus, it could be concluded that the variations are due to imperfect leveling. The average estimated and values of sand are plotted as solid line in Fig. 10(a) and (b), showing values are almost constant, and values are negligibly small. Hence, the approximated electrical properties of sand are and in the frequency range of 3–8 GHz. For comparison, as reported in [34], of beach sand was measured as 2.56–2.61 in the frequency range of 0.1–1.8 GHz.

7

Fig. 11. (a) Magnitude and (b) phase of measured (solid line) and optimized (“x”) reflection coefficient of sand with the buried marble slab are plotted and compared, showing a good agreement between them.

B. Marble A marble tile is buried in the middle of a 100-mm-thick sand layer. of the marble tile is estimated with the following steps. Using the measured received signals and previously estimated of sand, in (20), is computed. Then, , a 6-D matrix, is formed. Applying the proposed two-stage MSE method, the physical and electrical properties of the buried marble tile are estimated. The optimized reflection coefficient is calculated using (10) and the estimated values. Fig. 11(a) and (b) compares the measured (solid line) and optimized (“x”) reflection coefficients of sand with the buried marble tile, showing a good agreement between them. As shown in Fig. 12(a), the estimated of the buried marble tile is 8.0–9.8. These values are comparable to [18], in which the estimated of a dry Tennessee marble sample was reported to be 8.8 at 300 MHz and 8.6 at 10 GHz. The estimated thickness is 9.0 mm, which is comparable to 10.07 mm when measured with a vernier caliper. To illustrate the improvement of the proposed path loss compensation method, the estimated and of the buried marble tile are plotted with (dashed line) and without (dotted line) path loss compensation in Fig. 12(a) and (b). These two sets of data are compared with the estimated and of the marble tile in free space [solid line, Fig. 12(a) and (b)]. Visual inspection of Fig. 12(a) and (b) indicates the path loss compensation significantly improves the accuracy of the estimated and . Numerically, the average value of marble’s and are 9.29 and 0.01 in free space. When the marble tile is buried in sand, without path loss compensation, its estimated and are 8.19 and 0.08. However, with path loss compensation, its estimated and are 9.00 and 0.002. To validate the proposed method, we use a dielectric probe (Agilent 85070E) to measure the permittivity of the same marble tile. The measured result with the dielectric probe is shown as crossings in Fig. 12. It can be seen the dielectric probe measurement result (8.63) is close to our estimated value (9.00).

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

Fig. 12. Estimated relative dielectric permittivity and loss tangent of marble tile are plotted in (a) and (b), respectively. Estimation based on free-space measurement is plotted as solid line. The measured result with dielectric probe is shown as crossings. Estimations of the buried marble tile with and without path loss compensation are plotted as dashed and dotted lines, respectively.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 14. Estimated relative dielectric permittivity and loss tangent of granite tile are plotted in (a) and (b), respectively. Estimation based on free-space measurement is plotted as a solid line, and estimations of the buried granite tile with path loss compensation is plotted as a dashed line.

granite tile is 7.1–11.9. These values are comparable to the reported values in [35], which estimated the of a dry granite sample to be 7.0 at 100 MHz. We are unable to perform dielectric probe measurements on the granite tile because it is inhomogeneous in which probe measurements at different locations yield different dielectric properties. The estimated thickness is 10.0 mm, which is comparable to vernier caliper measurement of 10.34 mm. E. Acrylic and Gypsum

Fig. 13. Estimated relative dielectric permittivity and loss tangent of Formica laminate sheet are plotted in (a) and (b), respectively. Estimation based on free-space measurement is plotted as a solid line, and estimations of the buried Formica laminate sheet with path loss compensation is plotted as a dashed line.

Acrylic slab and gypsum board are measured in free space. The estimated of acrylic slab [see Fig. 15(a)] is 2.7–3.0. This is comparable to [36], where it was reported that the measured value was between 2.48–2.51 in the frequency range of 2–18 GHz. The estimated of gypsum board [see Fig. 15(a)] is between 1.59–2.27. These values are validated by [37], where measured values of 2.41–2.60 were reported in the frequency range of 0–6 GHz. The dielectric probe measurement result of the gypsum board is shown as crossings in Fig. 15. It can be seen the dielectric probe measurement result (2.18) is close to our estimated value (2.00).

C. Formica Laminate Sheet

F. Boulder

A thin Formica laminate sheet is buried in the middle of a 100-mm-thick sand layer. As shown in Fig. 13(a), the estimated of the buried laminate sheet is 5.5–7.1. The authors are unable to find any reported dielectric measurement result of the same material. However, in [18], a field parallel Formica laminate was reported to have an of 4.5 at low frequencies ( 1 MHz), and about 3.4 at 10 GHz. The Formica laminate sheet is not measured with the dielectric probe because it is thinner than the minimum thickness requirement of the dielectric probe. The estimated thickness is 2.7 mm, which is comparable to 3.22 mm when measured with a vernier caliper.

To further demonstrate the applicability of the proposed method for tunnel construction applications, we have considered a boulder (as shown in Fig. 16) buried in tunnel soil. The measurements were conducted in an experimental setup that is close to the real-time tunnel construction environment. The soil is nonhomogeneous and contains pebbles of different sizes and shapes. The averaged electrical properties of the tunnel soil are and . The measured dielectric permittivity of the boulder in free space and in the soil are shown in Fig. 17. The average estimated permittivity of the boulder in free space is 3.14, which is comparable to the estimated value 3.10 in the soil. The image of the buried boulder is shown in Fig. 18. The boulder is buried under a 2.5-cm-thick soil, and it is extended into the soil up to 17 cm. The image shows the profile of cumulative reflected signal up to 13-cm depth.

D. Granite A granite tile is buried in the middle of a 100-mm-thick sand layer. As shown in Fig. 14(a), the estimated of the buried

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LI et al.: BURIED OBJECT CHARACTERIZATION USING UWB GPR

9

Fig. 18. Image of the buried boulder based on reflected signal profiles.

TABLE I TABLE COMPARING OBJECT THICKNESSES MEASURED WITH DIFFERENT METHODS (VERNIER CALIPER, FREE SPACE, AND BURIED IN SAND) Fig. 15. Estimated relative dielectric permittivity and loss tangent of acrylic slab (solid line) and gypsum board (dashed line) are plotted in (a) and (b), respectively. The dielectric probe measurement result of the gypsum board is shown as crossings.

Fig. 16. Typical boulder that can be encountered in tunnel construction projects.

9.0, 6.34, 10.78, 2.84, and 2.00, respectively. According to [18], the typical average dielectric constants of the above-mentioned materials are 9.0, 7.2, 10.5, 3.3, and 2.5, respectively. A good guess of the material identity can be made by matching them together. It shows our proposed method is capable of achieving sufficient accuracy in estimating the of buried objects for the purpose of object material identification. Table I summarizes the estimated thicknesses of all the objects using the proposed radar method and compares them with those of vernier caliper. The minimum size of the object that can be characterized using this method depends on the antenna gain and the distance between the antenna and object. The measurements were carried out using antennas with a gain of 8.5 dBi and the distance between the antenna and the object is 15 cm. Through the numerous experiments, we have concluded that the object size should be equal to or larger than the 3-dB illumination area of the antenna. This condition can be easily satisfied for all practical tunnel construction applications. Hence, the assumptions of the object such as infinite, planar, and parallel to the surface of the ground are not truly necessary. The measurement results validate the applicability of the proposed method for dielectric permittivity measurements of nonplanar object buried in nonuniform soil. V. CONCLUSION

Fig. 17. Estimated relative dielectric permittivity and loss tangent of the boulder are plotted in (a) and (b), respectively. Dielectric property estimations in free space and tunnel soil measurements are plotted as solid lines and dashed lines, respectively.

The estimated relative permittivity values of the marble, laminate, granite, acrylic, and gypsum with our proposed method are

In this paper, we have demonstrated the capability of the UWB radar in characterizing objects that are buried in ground. In the proposed method, the received time-domain signals of the radar are processed to estimate the depth, thickness, and frequency-dependent permittivity profiles of the buried object. In the parameter-estimation process, a constant object depth and thickness is enforced at all frequencies, resulting in a more accurate estimation of buried objects’ characteristics and a reduced processing time. In addition, path loss due to the close proximity

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

of the UWB radar to the ground has been compensated analytically. REFERENCES [1] K. Siwiak and D. McKeown, Ultra-Wideband Radio Technology. London, U.K.: Wiley, 2004, ch. 1. [2] M. Z. Win, D. Dardari, A. F. Molisch, W. Wiesbeck, and J. Zhang, “History and applications of UWB,” Proc. IEEE, vol. 92, no. 2, pp. 198–204, Feb. 2009. [3] C.-C. Chen, J. T. Johnson, M. Sato, and A. G. Yarovoy, IEEE Trans. Geosci, Remote Sens. (Special Issue), vol. 45, no. 8, Aug. 2007. [4] G. Borgioli, L. Capineri, P. Falorni, S. Matucci, and C. G. Windsor, “The detection of buried pipes from time-of-flight radar data,” IEEE Trans. Geosci. Remote Sens., vol. 46, no. 8, pp. 2254–2266, Aug. 2008. [5] T. Roackaway and J. A. Rivard, “Application of ground penetrating radar in the urban environment,” in 13th Int. Ground Penetrating Radar Conf., Lecce, Italy, Jun. 21–25, 2010, pp. 1–4. [6] U. Spagnolini, “Permittivity measurements of multilayered media with monostatic pulse radar,” IEEE Trans. Geosci. Remote Sens., vol. 35, no. 2, pp. 454–463, Mar. 1997. [7] J. Francke, “Applications of GPR in mineral resource evaluations,” in 13th Int. Ground Penetrating Radar Conf., Lecce, Italy, Jun. 21–25, 2010, pp. 1–5. [8] W. I. Linlor and G. R. Jiracek, “Electromagnetic reflection from multilayered snow models,” J. Glaciol., vol. 14, no. 72, pp. 501–515, 1975. [9] G. Grazzini, M. Pieraccini, F. Parrini, A. Spinetti, G. Macaluso, D. Dei, and C. Atzeni, “An ultra-wideband high-dynamic range GPR for detecting buried people after collapse of building,” in 13th Int. Ground Penetrating Radar Conf., Lecce, Italy, Jun. 21–25, 2010, pp. 1–6. [10] E. Utsi, “The shrine of Edward the Confessor: A study in multi-frequency GPR investigation,” in 13th Int. Ground Penetrating Radar Conf., Lecce, Italy, Jun. 21–25, 2010, pp. 1–7. [11] C. C. Courtney, “Time-domain measurement of the electromagnetic properties of materials,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 5, pp. 517–522, May 1998. [12] S. Bakhtiari, N. Qaddoumi, S. I. Ganchev, and R. Zoughi, “Microwave noncontact examination of disbond and thickness variation in stratified composite media,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 3, pp. 389–395, Mar. 1994. [13] A. M. Paz, S. Trabelsi, S. O. Nelson, and E. Thorin, “Measurement of the dielectric properties of sawdust between 0.5 and 15 GHz,” IEEE Trans. Instrum. Meas., to be published. [14] A. M. Nicholson and G. F. Ross, “Measurement of intrinsic properties of material by time-domain techniques,” IEEE Trans. Instrum. Meas., vol. IM-19, no. 4, pp. 377–382, Nov. 1970. [15] D. L. Faircloth, M. E. Baginski, and S. M. Wentworth, “Complex permittivity and permeability extraction for multilayered samples using -parameter waveguide measurements,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 3, pp. 1201–1209, Mar. 2006. [16] O. M. Bucci, G. Cortucci, G. Franceschetti, C. Savarese, and R. Tiberie, “Time-domain techniques for measuring the conductivity and permittivity spectrum of materials,” IEEE Trans. Instrum. Meas., vol. IM-21, no. 3, pp. 237–243, Aug. 1972. [17] D. K. Ghodgaonkar, V. V. Varadan, and V. K. Varadan, “A free-space method for measurement of dielectric constant and loss tangent at microwave frequencies,” IEEE Trans. Instrum. Meas., vol. 37, no. 3, pp. 789–793, Jun. 1989. [18] R. von Hippel, Dielectric Material and Applications. Cambridge, MA: MIT Press, 1954. [19] M. U. Asfar, J. R. Birch, and R. N. Clarke, “The measurement of the properties of materials,” Proc. IEEE, vol. 74, no. 1, pp. 183–199, Jan. 1986. [20] P. Chaturvedi and R. G. Plumb, “Electromagnetic imaging of underground targets using constraint optimization,” IEEE Trans. Geosci., Remote Sens., vol. 33, no. 3, pp. 551–561, May 1995. [21] C. A. Balanis, Antenna Theory Analysis and Design, 3rd ed. New York: Wiley, 2005. [22] M. Nashkash, Y. Huang, and M. T. C. Fang, “Application of the multilevel single-linkage method to one-dimensional electromagnetic inverse scattering problem,” IEEE Trans. Antennas Propag., vol. 47, no. 11, pp. 1658–1668, Nov. 1999. [23] M. E. R.-Perez, A. A.-Ortiz, J. M.-Cabrera, and A. D.-Morcillo, “Combined use of genetic algorithms and gradient descent optimization methods for accurate inverse permittivity measurement,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 2, pp. 615–624, Feb. 2006.

[24] M. Santra and K. U. Limaye, “Estimation of complex permittivity of arbitrary shape and size dielectric samples using cavity measurement technique at microwave frequencies,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 2, pp. 718–722, Feb. 2005. [25] T. Zwick, J. Haala, and W. Wiesbeck, “A genetic algorithm for evaluation of material parameters of compound multilayered structures,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 4, pp. 1180–1187, Apr. 2002. [26] A. Elhawil, G. Koers, L. Zhang, J. Stiens, and R. Vounckx, “Comparison between two optimization algorithms to compute the complex permittivity of dielectric multilayer structures using a free-space quasi-optical method at -band,” IET Sci. Meas. Technol., vol. 13, no. 1, pp. 13–21, Jan. 2009. [27] X. M. Qing and Z. N. Chen, Ultra-Wideband, Short-Pulse Electromagnetics 7, F. Sabath, E. L. Mokole, U. Schenk, and D. Nitsch, Eds. New York: Springer, 2007, pt. 3, pp. 354–362. [28] A. E.-C. Tan and M. Y.-W. Chia, “Measuring human body impulse response using UWB radar,” Electron. Lett., vol. 41, no. 21, pp. 1193–1194, Oct. 13, 2005. [29] K. Rambabu, A. E.-C. Tan, K. K.-M. Chan, and M. Y.-W. Chia, “Estimation of antenna effect on ultra-wideband pulse shape in transmission and reception,” IEEE Trans. Electromagn. Compat., vol. 51, no. 3, pp. 604–610, Aug. 2009. [30] R. Simon, J. R. Whinnery, and T. van Duzer, Fields and Waves in Communication Electronics, 3rd ed. New York: Wiley, 1994, ch. 6. [31] F. Sagnard and G. E. Zein, “in situ characterization of building materials for propagation modeling: Frequency and time responses,” IEEE Trans. Antennas Propag., vol. 53, no. 10, pp. 3166–3173, Oct. 2005. [32] F. A. M. Zaki, Z. Awang, N. H. Baba, A. S. Zoolfakar, R. A. Bakar, M. Zolkapli, and N. Fadzlina, “A free-space method for measurement of complex permittivity of double-layer dielectric materials at microwave frequencies,” in IEEE Student Res. Develop. Conf., Putrajaya, Malaysia, Dec. 13–14, 2010, pp. 13–14. [33] R. Grignon, M. N. Afsar, W. Yong, and S. Butt, “Microwave broadband free-space complex dielectric permittivity measurements on low loss solids,” in Proc. 20th IEEE Instrum. Meas. Technol. Conf., May 20–22, 2003, vol. 1, pp. 865–870. [34] C. Matzler, “Microwave permittivity of dry sand,” IEEE Trans. Geosci, Remote Sens., vol. 36, no. 1, pp. 317–319, Jan. 1998. [35] M. St. Amant, “Frequency and temperature dependence of dielectric properties of some common rocks,” M.Sc. dissertation, Dept. Geol. Geophys., MIT, Cambridge, MA, 1968, Appendix B. [36] E. J. Rothwell, “Extraction of the wideband dielectric properties of a material layer using measured natural frequencies,” IEEE Trans. Antennas Propag., vol. 58, no. 2, pp. 620–623, Feb. 2010. [37] C. A. Grosvenor, R. T. Johnk, J. Baker-Jarvis, M. D. Janezic, and B. Riddle, “Time-domain free-field measurements of the relative permittivity of building materials,” IEEE Trans. Instrum. Meas., vol. 58, no. 7, pp. 2275–2282, Jul. 2009.

Lin Li received the B.Eng. degree from Zhejiang University, Hangzhou, China, in 2004, the M.Sc. degree from City University of Hong Kong, Hong Kong, in 2008, and is currently working toward the M.Sc. degree in electrical and computer engineering at the University of Alberta, Edmonton, AB, Canada. His research interests include buried object characterization, time-domain analysis, UWB radar, UWB pulse scattering, and RF/microwave circuits.

Adrian Eng-Choon Tan received the B.Eng. and Ph.D. degrees from the National University of Singapore (NUS), Singapore, in 2002 and 2008, respectively. From 2008 to 2009, he was with the Institute for Infocomm Research (I R), as a Research Engineer. He is currently a Post-Doctoral Fellow with the University of Alberta, Edmonton, AB, Canada. His research interests include microwave circuits, time-domain analysis, and UWB transceiver systems. Mr. Tan was a recipient of an Agency for Science, Technology and Research (A-STAR) Graduate Scholarship.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LI et al.: BURIED OBJECT CHARACTERIZATION USING UWB GPR

Kashish Jhamb received the M.Sc. degree from the University of Alberta, Edmonton, AB, Canada, in 2011. He is currently an Assistant Professor with the Department of Electrical and Computer Engineering, ITM University, Gurgaon, India. His research interests include antennas, microwave circuits, and UWB transceiver systems. Mr. Jhamb was a recipient of a Queen Elizabeth Graduate Scholarship and an Alberta Graduate Research Scholarship.

11

Karumudi Rambabu received the Ph.D. degree in electrical and computer engineering from the University of Victoria, Victoria, BC, Canada, in 2005. From July 2005 to January 2007, he was a Research Member with the Institute for Infocomm Research (I R), Singapore. Since February 2007, he has been an Assistant Professor with the Department of Electrical and Computer Engineering, University of Alberta, Edmonton, AB, Canada. He has authored or coauthored more than 60 journal and conference papers. He served as an Associate Editor for the International Journal of Electronics and Communications. His current research interests include the design and development of miniaturized microwave and millimeter-wave components and systems. He is also involved in the design of UWB radar systems for imaging and security applications. Dr. Rambabu was the recipient of the Andy Farquharson Award for excellence in graduate student teaching from the University of Victoria (2003) and the Governor General’s Gold Medal for Ph.D. research (2005).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

2665

Localized Rapid Heating by Low-Power Solid-State Microwave Drill Yehuda Meir, Student Member, IEEE, and Eli Jerby, Member, IEEE

Abstract—This paper presents a theoretical and experimental study of a locally induced microwave-heating effect implemented by a low-power transistor-based microwave drill. A coupled thermal–electromagnetic model shows that the thermal-runaway instability can be excited also by relatively low microwave power, in the range 10-100 W, hence by solid-state sources rather than magnetrons. Local melting then occurs in a millimeter scale within seconds in various materials, such as glass, ceramics, basalts, and plastics. The experimental device employs an LDMOS transistor in an oscillator scheme, feeding a miniature microwave-drill applicator. The experimental results verify the rapid heating effect, similarly to the theoretical model. These findings may lead to various material-processing applications of local microwave heating implemented by solid-state devices, including local melting (for surface treatments, chemical reactions, joining, etc.), delicate drilling (e.g., of bones in orthopedic operations), local evaporation, ignition, and plasma ejection (e.g., in microwave-induced breakdown spectroscopy (MIBS) for material identification). Index Terms—Hotspots, laterally diffused metal–oxide semiconductor field-effect tranLDMOS-FET, microwave drills, microwave heating, thermal-runaway instabilities.

I. INTRODUCTION

T

HE self-focused heating effect caused by the microwave drill [1], [2] is attributed to the intentional thermal-runaway instability induced in the processed material. This effect occurs in materials in which the dielectric and thermal properties depend on the temperature [3]; thus, the hotspot is evolved rapidly up to the melting point. Further microwave irradiation may cause also evaporation and even plasma ejection from the hotspot [4]. The microwave-drill effect was demonstrated in various materials, including concrete, glass, ceramics, basalts, silicon, and bones [5]–[12]. Unlike the remote laser-based drill, the heat-affected zone (HAZ) of the near-field microwave drill is smaller than the electromagnetic (EM) wave wavelength (e.g., for 12 cm at 2.45 GHz, the molten region is typically 1-cm ). Similar near-field microwave-heating effects induced by open-end applicators were studied for various applications, including silicon heating [13] and doping [14], electrochemical Manuscript received February 13, 2012; revised March 15, 2012; accepted March 19, 2012. Date of publication June 11, 2012; date of current version July 30, 2012. This work was supported by the Israeli Science Foundation under Grant 1270/04 and Grant 1639/11. The authors are with the Faculty of Engineering, Tel-Aviv University, Ramat Aviv 69978, Israel (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2198233

processes in liquids [15]–[18], interstitial treatment [19], [20], and tissue heating [21] and ablation [22] for cancer treatment. The microwave-drill capabilities presented in the literature were demonstrated mostly by magnetron tubes of 600–900 W, as used in domestic microwave ovens. These require 4-kV power supplies, waveguide sections, and impedance-matching elements, which might be too cumbersome for portable machines or for delicate operations. Hence, using compact solid-state microwave sources, instead of magnetrons, in local-heating systems could reduce their size, weight, and operating voltage and improve their spectral characteristics, tunability, and controllability. A similar transition from vacuum to solid-state electronics was considered for volumetric (nonlocal) microwave heating [23], [24], yet most of the microwave-heating systems employ magnetrons. The emerging cellular network technology and the growing need for base-station transmitters advanced the development of 100-W RF transistors [25]. Consequently, gallium–nitride (GaN) [26], silicon–carbide (SiC) [27], and silicon-based laterally diffused metal–oxide semiconductor (LDMOS) [28] technologies became more relevant to microwave-heating applications as well. The solid-state microwave-drill feasibility for delicate operations has been introduced in several conferences [29], [30]. This paper comprehensively presents the discovery that, under proper conditions, the microwave-drill effect [1]–[3] may occur in much lower power than published before. The 600 W to the order of 10 W, reduction reported here, from is enabled by designing the miniaturized device in a form that concentrates the power density required for softening the drilled material in a smaller area. The consequent power reduction enables the microwave-drill advancement from magnetron- to transistor-based systems in various applications. This transition from vacuum to solid-state electronics (less common in microwave heating than other fields) could be revolutionary for the microwave-drill compactness, effectiveness, simplicity, and cost, and it opens also new scientific possibilities (e.g., miniature devices incorporated within synchrotrons in order to explore in situ localized microwave-heating effects [4]). The new solid-state implementation removes obstacles that impeded the proliferation of the microwave-drill technology in the former magnetron-based schemes, particularly for delicate applications (such as bone drilling in orthopedic operations [11]). To the best of the authors’ knowledge, this paper presents for the first time a methodological microwave-drill design and a comparison of the microwave-drill theory to experiments. The theoretical analysis confirms the microwave-drill feasibility in the new 10–100-W range, in agreement with experiments. A simple heuristic drillability factor is introduced and compared

0018-9480/$31.00 © 2012 IEEE

2666

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 1. Microwave-drill scheme consisting of a coaxial open-end applicator with a movable center electrode which penetrates into the softened hotspot.

with theory. New potential nondrilling applications of the transistor-based microwave drill, as a localized heater in free space, are proposed and demonstrated as well. II. THEORETICAL MODEL The theoretical model [3] is presented briefly for the sake of self-consistency in order to validate the feasibility of the new 10–100-W microwave-drill regime (note that the model was introduced before for 800-W systems, whereas performance degradation was indicated at 600 W due to lack of power). The new theoretical results are compared also with the drillability factor and with experimental results (presented in Sections III and IV). The locally induced thermal-runaway instability is simulated by a coupled EM-thermal model that takes into account the temperature dependence of the material’s properties and the varying geometry [3]. The model combines the EM-wave equation and the heat equation in an inhomogeneous medium. As illustrated in Fig. 1, the processed material situated in a metallic box is irradiated locally via a coaxial applicator. The coupled EM-wave and heat equations are presented in a two-time-scale approach by (1) (2) where is the electric vector component of the EM wave in the frequency domain, and and are its angular-frequency and free-space wave-number, respectively. The processed material is represented by , which is the relative magnetic permeability, , the complex relative dielectric permittivity, and , which is the electric conductivity. In the heat equation (2), is the local density of the processed material, and are its heat capacity and thermal conductivity, respectively, and is the slowly varying temperature. The material parameters , , , , and are considered as having known temperature dependencies. The distinction between the typical time scales of the EM wave propagation and the slower thermal evolution ( 1 ns versus 1 ms, respectively) allows the two-time scale approximation. Its validity is verified by the heuristic condition , where is the hotspot width and

Fig. 2. Temperature dependence of the dielectric-loss factor in Nylon, glass, and mullite [32]–[34].

is the wave period. The EM bandwidth is sufficiently narrow to neglect the permittivity’s frequency variation, hence the wave equation (1) is solved in the frequency domain while the heat equation (2) is computed in the slowly varying time domain. Equations (1) and (2) are coupled together by the local EM heating source (3) and by the consequent variations of the material parameters , , , and , with temperature [31]. As the temperature rises, the spatial variations in and modify the microwave radiation pattern, hence enabling the self-focusing effect. The dielectric loss-factor in materials such as Nylon (Ertalon-6), mullite, and soda-lime glass [32]–[34] tends to increase as the temperature rises, as shown in Fig. 2. Hence, a larger amount of thermal energy is dissipated locally, and, consequently, the temperature’s rising rate increases further. The hotspot is created by thermal-runaway instability which is ceased by a phase transition, namely by melting, evaporation, or breakdown of the material in the hotspot, forming liquid, gas, or plasma, respectively. The thermal-runaway instability [35] is known in volumetric microwave applicators as a cause of accidental damages to the processed material, thus it needs to be stabilized [36]. Here, this instability is initiated intentionally for the sake of local processing, hence it is defined here as an induced thermal-runaway instability. In order to achieve local melting and drilling by virtue of the induced thermal runaway effect, the energy concentrated by the self-focusing effect in the hotspot should be as dense as possible. However, in order to study the feasibility range of the transistor-based microwave-drill applicator, the power should be relatively low ( 100 W). Hence, the purpose of this study is to find the minimal input power that can still initiate the hotspot and enable the microwave drilling. The coupled EM-thermal equation [(1)–(3)] are solved here by COMSOL Multiphysics using a finite-difference time-domain (FDTD) algorithm for the heat equation and a finite-element frequency-domain solver for the EM equations. The solution of this nonlinear system is simplified by the two-time scale approximation and by the cylindrical symmetry of the device. In the numerical model, the outer box (Fig. 1) is a perfect electric conductor (PEC). The boundary conditions for the heat equation

MEIR AND JERBY: LOCALIZED RAPID HEATING BY LOW-POWER SOLID-STATE MICROWAVE DRILL

Fig. 3. Numerical simulation of the confined hotspot formed in a 1-mm-thick soda-lime glass plate irradiated by 80-W microwave power at 2.1 GHz. The results are shown after 17 s, when the glass reaches its softening temperature. (a) Electric-field vectorial distribution exceeding 3.5 10 V/m. (b) Normalized heat flux in the vicinity of the drill bit with a virtual origin marked by a black arrow. (c) Temperature distribution exceeding 930 K with the sharpened tip (the dashed line shows a nonoptimized configuration which fails to induce rapid local heating).

assume heat convection to the surrounding air and a negligible blackbody radiation from the surface. Fig. 3(a)–(c) shows simulation results of a 1-mm-thick sodalime glass plate heated locally by 80 W at 2.1 GHz. The glass softening temperature ( 930 K) is reached near the electrode in 17 s. The electric field profile inside the glass [the proportional vector plot in Fig. 3(a)] reveals the dominance of the axial electric field component (exceeding 3.5 10 V/m) in front of the electrode tip. The normalized heat flux vectors [Fig. 3(b)] reveal the heat origin in a focal point, 0.1 mm underneath the tip within the glass substrate; hence the heat is induced locally by the microwave radiation guided by the tip. The temperature distribution [Fig. 3(c)] shows the hotspot confinement in a 1-mm region, exceeding 930 K with the sharpened tip. The optimized electrode shape enables the material softening in the given area and power. For the sake of comparison, the dashed line in Fig. 3(c) shows another (nonoptimized) tip configuration that fails to induce rapid local heating in the same operating conditions. With respect to previous studies [1]–[3], the sharpened tip and its narrower diameter provide the power concentration required for the intentional thermal-runaway effect in the lower power. The temperature profile evolution during the localized microwave heating in the conditions of Fig. 3(a)–(c) is shown in Fig. 4(a). The hotspot diameter ( 1-2 mm ) is measured at different times by the full-width half-maximum (FWHM) values of the Gaussian-like curves. Fig. 4(b) shows the simulation results for the evolution of the peak temperature, the microwave reflection coefficient, and the heating rate, for 80 W. A 25% increase from 80 to 100 W shortens the time-to-melt (TTM) by 37%. The distinction between the initial inflation of the hotspot region and

2667

Fig. 4. Simulation results for a 1-mm-thick soda-lime glass plate heated locally by 80 W at 2.1 GHz (a) Temperature profile evolution. (b) Reflection coefficient, peak temperature, and heating rate evolved.

Fig. 5. TTM computed for Ertalon-6, soda-lime glass, and mullite at different microwave power levels and their corresponding drillability factors [see (4)].

its final shrinkage coincides with the heating rate changes from descending to ascending after 3 s. The drop in the reflection coefficient and the rapid rise of the peak temperature indicate the hotspot formation [compared below with the experimental results shown in Fig. 9(a)]. On the contrary, the heating rates obtained by nonoptimized electrode geometries are much slower. For example, the flat tip illustrated by the dashed line in Fig. 3(c) needs more than 80 s to reach thermal runaway [compared with 17 s for the optimized tip in Fig. 4(b)]. A simplified heuristic condition for the hotspot initiation is proposed as [30], where is the net microwave power absorbed in the hotspot region and is given by (4) In (4), is the difference between the melting and room temperatures, and is the corresponding difference in the rela-

2668

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 6. Calculated S-shaped curves for localized heating of mullite at different rates of input-power increase. The discrete power values indicate the microwave power involved on each curve.

Fig. 8. Experimental demonstration of the induced thermal runaway effect, hotspot formation, melting, and drilling in glass by 80-W microwave power applied locally to a 1-mm glass plate. The graph shows the temporal evolution of the temperature, the heating rate, and the microwave reflection coefficient. The inset shows a typical 1-mm hole made under these conditions.

Fig. 9. Measured TTM values and their exponential curve fit ( ) compared with theoretical results for 1-mm-thick plates. (a) Soda-lime glass. The insets show the hole made (right) and the removed glass chunk (left). (b) An Ertalon-6 plate. The inset shows the electrode inserted into the plate. Fig. 7. Experimental scheme of the transistor-based microwave-drill localized heater, consisting of an LDMOS amplifier with a positive feedback loop feeding a coaxial open-end applicator with a movable center electrode. The dotted lines denote signals sampled by a LabVIEW interface.

tive dielectric-loss factor. The parameter provides a rough estimate for the net minimal microwave power needed to initiate the induced thermal-runaway process, hence it is regarded as a drillability factor in the context of the microwave-drill operation. The condition can be satisfied in power levels of 10-100 W for a variety of practical materials, except transparent dielectrics such as pure alumina and sapphire. For the sake of comparison, the drilling operation was simulated numerically in 1-mm-thick slabs of mullite, glass, and Nylon. These materials differ significantly by their properties, hence the drillability factors characterizing them are 8.58, 0.92, and 0.05 W, respectively. Fig. 5 shows the TTM resulted from the simulation with respect to the microwave power applied. In

the power level of 100 W, the TTM is in the order of 0.1 s in Nylon, 10 s in glass, and 1 min in mullite, in accordance with their drillability factor values. The thermal-runaway instability in volumetric microwave heating is characterized by a bi-stable S-shaped curve of the temperature versus the electric field [37]. The temperature rise on the lower branch till it reaches the meta-stable region in which the thermal-runaway instability occurs hence it jumps to the steeper branch. A similar behavior is identified here in the locally induced thermal-runaway effect, as demonstrated in Fig. 6 for localized heating of mullite at different rates below the melting temperature. Besides the dielectric and thermal parameters included in the model, the microwave-drilling feasibility is affected also by the material’s thermal expansion and hardness properties. Hence, materials like sapphire and pure alumina are less adequate for

MEIR AND JERBY: LOCALIZED RAPID HEATING BY LOW-POWER SOLID-STATE MICROWAVE DRILL

Fig. 10. Temperature profile detected on the rear surface of a 1-mm glass plate by a thermal camera (FLIR E40) and its fit. The solid curves show the numerical 0.5 mm) and rear simulation result for the temperature profiles at the tip ( planes. The inset shows the FLIR image.

(a)

(b) Fig. 11. (a) Microwave drilling process in soda-lime glass enhanced by plasma ejection. The various stages are seen by the reflection coefficient variations, and visually by the side-view images in the insets. (b) Side view of the devitrification process and porosity formation in hot glass cooling down after the microwave is turned off. The dark region inside the glass shows the porosity expansion around the electrode.

microwave drilling per se, though they can be treated by plasma excitation as a pre-heating stage [4], [7] [e.g., as in Fig. 11(a)]. III. EXPERIMENTAL SETUP The experimental setup is illustrated in Fig. 7. It consists of a coaxial open-end applicator with a 1-mm movable electrode, fed by a solid-state amplifier with a positive feedback. The LDMOS-FET amplifier (Freescale MRF 6S21140 evaluation board) is tuned by the feedback loop to oscillate at 2.1 GHz. It can generate up to 140-W CW power, controlled by the

2669

and voltages. The transistor is protected from the microwave reflections by an isolator. The incident and reflected waves are detected by a reflectometer which consists of a directional coupler and Schottky diodes. The center electrode of the coaxial open-end applicator is moveable. Thus, its tip can be penetrated into the softened hotspot evolved in the substrate material. An infrared temperature sensor (Raytek CI3) measures the temperature at the bottom surface of the heated material during the process. A video camera captures images of the local heating process via a side aperture. The operating signals (marked by doted arrows in Fig. 7) are sampled by a LabVIEW interface card in a 100-sample/s rate. The data and video files are logged on a PC for further analyses. IV. EXPERIMENTAL RESULTS The feasibility of the localized microwave-heating effect is demonstrated here experimentally in the range 10–100 W using the solid-state apparatus shown in Fig. 7. Fig. 8 shows measurements of the temperature evolution and the microwave reflection during the local heating of a 1-mm-thick soda-lime glass plate by 80-W incident power. The heating rate reveals the turning point of the induced thermal runaway process ( 14 s) accompanied by an abrupt decrease in the microwave reflection due to the better absorption of the microwave energy by the hotter material. These resemble the theoretical results shown in Fig. 4(b), whereas the differences stem from practical reasons (e.g., the measured reflection includes the losses of the coaxial line between the oscillator and the applicator, and similarly the temperature measured at the bottom surface of the glass plate is delayed due to heat diffusion). The TTM parameter provides a unified measure for the induced thermal-runaway process in different materials as demonstrated theoretically in Fig. 5. Fig. 9(a) shows experimental results of 25 TTM measurements obtained in different microwave power levels in 1-mm-thick glass plates, as in Fig. 8. The incident power in the range 50–110 W was held fixed in each run. The TTM measurements with respect to power were fitted and compared with the theoretical results. The agreement between the experiments and theory is satisfying in the range 60–110 W with a difference. The deviation at 50 W is attributed to the parametric sensitivity in this limit, which is the minimal power level in which the thermal-runaway effect could be initiated in these conditions. Fig. 9(b) shows similar TTM experiments performed in Ertalon-6 slabs, which result in a similar descending dependence on the incident power. The experimental result agrees reasonably with the theory around 10 W, in which the TTM is 10 s, but deviates at higher power levels (note that the shorter TTM are less accurately measured). The tendencies observed experimentally in Fig. 9(a) and (b) resemble the theoretical results presented in Fig. 5. The molten hotspot is observed in a glass plate also via its rear surface. The temperature profile evolved is detected there by a thermal camera (FLIR E40) positioned as the temperature sensor in Fig. 7. The thermal image and the temperature profile observed at the melting point are shown in Fig. 10. The theoretically computed temperature profiles at the tip and rear

2670

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

Fig. 13. Mechanically assisted microwave drilling in glass. (a) Porous region is formed by the localized microwave impact. (b) Porous glass is removed by a slight mechanical grinding in order to deepen the hole.

Fig. 12. Spontaneously stepwise microwave drilling in a 4-mm-thick glass plate. Each step is initiated by a hotspot which enables the electrode penetration to a 2-mm depth. The progress is then delayed until another deeper hotspot is evolved and enables a further penetration. The inset shows a crosscut in the glass plate.

planes are shown for comparison. The difference between the curves demonstrates the thermal diffusion through the 1-mmthick glass plate. The hotspot confinement effect is clearly seen in Fig. 10. The microwave local heating effect, and in particular the drilling process, can be enhanced by a slight plasma ejection from the heated surface toward the electrode. After the initial stage of local microwave heating, the electrode is lifted for a few seconds in order to generate plasma [4], [7] which assists the local preheating and alleviates the next stage of the microwave-drilling process. The additional plasma stage is shown in Fig. 11(a) for microwave drilling of a 4-mm-thick glass plate by a graphite electrode at 80-W incident power. The various stages of the electrode manipulation and plasma excitation are seen by the reflected microwave power. After the microwave is turned off in Fig. 11(a), the molten glass cools down with the electrode inserted in it, as shown in Fig. 11(b). The region around the electrode begins to solidify into a porous glass, expanding from the drill bit outwards. The devitrification process is clearly seen by the growing dark region around the drill bit within the glass in a 2-s period. A stepwise microwave drilling process occurs in deeper insertions. Fig. 12 shows the effect in a 4-mm-thick glass plate subjected to 70-W input power. The stepwise progress under a modest mechanical force implies that two successive hotspots are formed during the process in two different depths, as indicated by the microwave reflection drops. The first hotspot enables the initial penetration, which could be continued further only after the second hotspot has formed underneath. The stepwise microwave drilling, with two steps of 2-mm each, provides also a rough measure of the hotspot depth, which coincides with the theoretical results. The porous region of 3-mm presented in Fig. 11(b) can be removed by a slight mechanical grinding in order to enlarge the hole. Fig. 13(a) shows an example of such porosity in a 4-mm-thick glass plate processed locally as in the first step in Fig. 12. Hence, the mechanically assisted microwave-drilling method consists of repeating stages of applying the microwaves again on the same spot, cooling it down and then removing the

Fig. 14. Stepwise mechanically assisted microwave drilling of a 7-mm-thick ceramic tile. (a) Initial dimple after a slight mechanical removal of the porous region caused by the localized microwaves. (b) Final hole obtained after four repetitive steps.

porous debris by a slight manual grinding. This results for instance in the 3-mm opening in the glass plate shown in Fig. 13. The removal of the soft porous debris is performed, for instance, by a slowly rotating reamer, either separated or integrated as a hollow reamer on the outer cylinder of the coaxial structure [2]. An intentional stepwise mechanically assisted drilling procedure was examined also on 7-mm-thick ceramic tiles (EURO collection EN14411). Through holes were drilled by applying a 100-W incident power to a 1-mm drill-bit in four repeating steps, as shown in Fig. 14(a) and (b). Each step includes the insertion of the drill bit into the substrate after the hotspot has formed (in a 10-s TTM) and then a slight mechanical grinding to remove the debris. The stepwise drilling process helps to avoid damages to the bulk that could happen during continuous drilling due to thermal and mechanical stresses [though it does not prevent some imperfections as seen in Fig. 14(b)]. The center electrode might be coated slightly by a glossy layer during the microwave-drill operation in materials such as silicates and ceramics. This fragile thin coat can be removed easily to renew the electrode. The optional plasma mode [as in Fig. 11(a)] may erode the electrode, hence it may need a replacement after approximately five to ten operations in this mode. Apart from drilling, the localized heating capabilities of the solid-state microwave-drill device may lead to a wide variety of applications and processes in different materials. Fig. 15(a) shows for instance melting and nailing of basalt by 100-W microwave power using a 1-mm drill bit. Fig. 15(b) shows indentation of basalt by moving the microwave drill bit over its surface in the required pattern (the letter A, in this case).

MEIR AND JERBY: LOCALIZED RAPID HEATING BY LOW-POWER SOLID-STATE MICROWAVE DRILL

Fig. 15. Basalt processing by localized 100-W microwaves. (a) Melting and nail insertion. (b) Surface indentation (the letter A) by successive runs.

V. DISCUSSION The theoretical and experimental results presented in this paper demonstrate the applicability of relatively low-power microwaves, in the range of 10–100 W available by solid-state devices at the -band, for localized heating and melting of materials like glass, ceramics, and basalts. A variety of new drilling experiments confirms the low-power microwave-drill feasibility, in agreement with the theoretical results. The microwave drillability factor (4), introduced to enable simple estimates, is confirmed by the theoretical model. The low-power microwave capabilities are demonstrated by a miniature microwave-drill device using an LDMOS-FET amplifier, imported from a cellular base-station application. The localized microwave-material interaction results in a hotspot evolved by self-focused thermal-runaway instability. This effect is characterized by rapid temperature rise accompanied by a steep increase in the microwave absorption, similarly to higher power drills and volumetric thermal-runaway instabilities. The microwave-drill operation in much lower input power than presented before [1]–[3] is enabled by optimizing the inner electrode geometry. The sharpened tip and the narrower diameter increase the concentration of the induced electric field (Fig. 3), and enable the intentional thermal-runaway effect in lower power, down to 10 W as well. This power reduction enables compact solid-state implementations and eases the technology proliferation for delicate applications (e.g., bone drilling in orthopedic operations [11] and various processes in the electronics industry [9], [13], [14]). The effectiveness of the low-power localized microwave interaction could be improved significantly by an adaptive impedance matching of the dynamically varying medium. The load impedance varies during the interaction from an open circuit to much lower impedance, within seconds. In the experiments presented here, the initial effective power was a relatively small portion ( ) of the total microwave power, and it increased with the hotspot formation (e.g., in Fig. 8). An adaptive impedance-matching system, as used in high-power heating systems [38], could either reduce the total power required to reach the same performance, or accelerate the heating process with the given power. Further improvement of the localized heating process could be achieved by localized plasma preheating as demonstrated in Fig. 11. The initial plasma stage extends the microwave-drill abilities further to hard-start materials, such as pure alumina and

2671

various metals [4]. It can be used also as a combustion igniter, e.g., for thermite reactions [39]. The microwave drilling operation also involves the mechanical function of the electrode as a means to penetrate into the hotspot and to deepen the hole. As with the larger microwave drills [2], [5], the miniature ones can be equipped with integrated mechanical elements (e.g., a rotating hollow reamer integrated with the outer coaxial conductor) to extend the microwave drilling and cutting capabilities. The feasibility of localized microwave heating to above 1000 C by low-voltage ( 30 V) all-solid-state devices opens possibilities for a variety of applications. To some extent, the compact localized microwave heater may provide a low-cost substitute for laser heating applications. One example, in addition to drilling, is the laser-induced breakdown spectroscopy technique for material identification. This can be applied as well by a localized microwave applicator instead of the laser [40]. Further technological developments expected in solid-state high-power microwave sources, including GaN and SiC technologies, and a better scientific understanding of localized microwave heating mechanisms, will lead essentially to a wide range of material-processing applications utilized by low-power localized microwaves. ACKNOWLEDGMENT The authors would like to thank O. Aktushev and G. Dvoretzki for their contributions. REFERENCES [1] E. Jerby, V. Dikhtyar, O. Aktushev, and U. Grosglick, “The microwave drill,” Science, vol. 298, pp. 587–589, 2002. [2] E. Jerby and V. Dikhtyar, “Method and Device for Drilling, Cutting, Nailing and Joining Solid Non-Conducting Materials using Microwave Radiation,” U.S. Patent 6 114 676, Sep. 5, 2000. [3] E. Jerby, O. Aktushev, and V. Dikhtyar, “Theoretical analysis of the microwave-drill near-field localized heating effect,” J. Appl. Phys., vol. 97, 2005, Art. ID 034909. [4] E. Jerby, A. Golts, Y. Shamir, S. Wonde, J. B. A. Mitchell, J. L. LeGarrec, T. Narayanan, M. Sztucki, D. Ashkenazi, and Z. Barkay, “Nanoparticle plasma ejected directly from solid copper by localized microwaves,” Appl. Phys. Lett., vol. 95, 2009, Art. ID 191501. [5] E. Jerby and V. Dikhtyar, “Drilling into hard non-conductive materials by localized microwave radiation,” in Proc. 8th Int. Conf. Microw. High-Frequency Heating, Bayreuth, Germany, Sep. 4–7, 2001, pp. 687–694. [6] E. Jerby, O. Aktushev, V. Dikhtyar, P. Livshits, A. Anaton, T. Yacoby, A. Flax, A. Inberg, and D. Armoni, “Microwave drill applications for concrete, glass and silicon,” in Proc. 4th World Congress Microw. Radio-Frequency Applications, Austin, TX, Nov. 4–7, 2004, pp. 156–165. [7] S. R. Wylie, A. I. Al-Shamma’a, and A. Shaw, “A microwave plasma drill,” in Proc. IET Conf. High Power RF Tech., London, U.K., 2009, pp. 1–3. [8] E. Jerby, V. Dikhtyar, and O. Aktushev, “Microwave drill for ceramics,” Amer. Ceramic Soc. Bull., vol. 82, pp. 35–37, 2003. [9] X. Wang, W. Liu, H. Zhang, S. Liu, and Z. Gan, “Application of microwave drilling to electronic ceramics machining,” in Proc. 7th Int. Conf. Electron. Packaging Technol., Shanghai, China, Aug. 26–29, 2006, Art. ID 4198945. [10] E. Jerby, V. Dikhtyar, and M. Einat, “Microwave melting and drilling of basalts,” in Proc. AIChE Annu. Meeting, Austin, TX, Nov. 4–7, 2004, p. 1673. [11] Y. Eshet, R. Mann, A. Anaton, T. Yacoby, A. Gefen, and E. Jerby, “Microwave drilling of bones,” IEEE Trans. Biomed. Eng., vol. 53, no. 6, pp. 1174–1182, Jun. 2006. [12] E. Jerby and A. M. Thompson, “Microwave drilling of ceramic thermal barrier coatings,” J. Amer. Ceram. Soc., vol. 87, pp. 308–310, 2004.

2672

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 8, AUGUST 2012

[13] R. Herskowits, P. Livshits, S. Stepanov, O. Aktushev, S. Ruschin, and E. Jerby, “Silicon heating by a microwave-drill applicator with optical interferometric thermometry,” Semicond. Sci. Technol., vol. 22, pp. 863–869, 2007. [14] P. Livshits, V. Dikhtyar, A. Inberg, A. Shahadi, and E. Jerby, “Local doping of silicon by a point-contact microwave applicator,” Microelectron. Eng., vol. 88, pp. 2831–2836, 2011. [15] F. Marken, Y. C. Tsai, B. A. Coles, S. L. Matthews, and R. G. Compton, “Microwave activation of electrochemical processes: Convection, thermal gradients and hot spot formation at the electrode solution interface,” New J. Chem., vol. 24, pp. 653–658, 2000. [16] L. J. Cutress, F. Marken, and R. G. Compton, “Microwave-assisted electroanalysis: A review,” Electroanalysis, vol. 21, pp. 113–123, 2009. [17] I. Longo and A. S. Ricci, “Chemical activation using an open-end coaxial applicator,” J. Microw. Power Electromagn. Energy, vol. 41, pp. 1–4, 2007. [18] G. B. Gentili, M. Linari, I. Longo, and A. S. Ricci, “A coaxial microwave applicator for direct heating of liquids filling chemical reactors,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 9, pp. 2268–2275, Sep. 2009. [19] T. Z. Wong and B. S. Trembly, “A theoretical model for input impedance of interstitial microwave antennas with choke,” Int. J. Rad. Oncol. Biol. Phys.., vol. 28, pp. 673–682, 1994. [20] I. Longo, G. B. Gentili, M. Cerretelli, and N. Tosoratti, “A coaxial antenna with miniaturized choke for minimally invasive interstitial heating,” IEEE Trans. Biomed. Eng., vol. 50, no. 1, pp. 82–88, Jan. 2003. [21] A. Copty, F. Sakran, M. Golosovsky, and D. Davidov, “Low-power near-field microwave applicator for localized heating of soft matter,” Appl. Phys. Lett., vol. 24, pp. 5109–5111, 2004. [22] C. L. Brace, “Microwave ablation technology: What every user should know,” Curr. Problem Diagnst. Radiol., vol. 38, pp. 61–67, 2009. [23] W. A. G. Voss, “Solid-state microwave oven development,” J. Microw. Power, vol. 21, pp. 188–189, 1986. [24] E. Schwartz, A. Anaton, D. Huppert, and E. Jerby, “Transistor-based miniature microwave heater,” in Proc. IMPI 40th Annu. Int. Microw. Symp., Boston, MA, 2006, pp. 246–249. [25] O. Hammi and F. M. Ghannouchi, “Comparative study of recent advances in power amplification devices and circuits for wireless communication infrastructure,” in Proc. 16th IEEE Int. Conf. Electron., Circuits, Syst., Tunisia, 2009, pp. 379–382. [26] H. Blanck, J. R. Thorpe, R. Behtash, J. Splettstöβer, P. Brückner, S. Heckmann, H. Jung, K. Riepe, F. Bourgeois, M. Hosch, D. Köhn, H. Stieglauer, D. Floriot, B. Lambert, L. Favede, Z. Ouarch, and M. Camiade, “Industrial GaN FET technology,” Int. J. Microw. Wireless Technol., vol. 2, pp. 21–32, 2010. [27] G. Chen, Z. Chen, S. Hai, P. Wu, Z. Li, and Z. Feng, “Microwave power of S-band 20 mm SiC MESFETs,” in Proc. IEEE Int. Conf. Electron Devices Solid-State Circuits, 2009, pp. 484–486. [28] O. Latry, P. Dherbécourta, K. Mourguesa, H. Maananeb, J. P. Sipmab, F. Cornub, P. Eudelineb, and M. Masmoudic, “A 5000 h RF life test on 330-W RF-LDMOS transistors for radars applications,” Microelectron. Reliabil., vol. 50, pp. 1574–1576, 2010. [29] O. Mela and E. Jerby, “Miniature transistor-based microwave drill,” in Proc. Global Congress Microw. Energy Applications, Otsu, Japan, 2008, pp. 443–446. [30] Y. Meir, A. Salzberg, and E. Jerby, “Hotspot induced by low-power microwave drill – Transistor-based localized heaters and their new applications,” in Proc. Ampere 13th Int. Conf., Toulouse, France, Sep. 5–8, 2011, pp. 201–204. [31] Y. Alpert and E. Jerby, “Coupled thermal-electromagnetic model for microwave heating of temperature-dependent dielectric media,” IEEE Trans. Plasma Science, vol. 27, no. 2, pp. 555–562, Apr. 1999.

[32] K. G. Ayappa, H. T. Davis, E. A. Davis, and J. Gordon, “Analysis of microwave heating of materials with temperature-dependent properties,” AIChE J., vol. 37, pp. 313–322, 2004. [33] B. G. McConnell, “A coupled heat transfer and electromagnetic model for simulating microwave heating of thin dielectric materials in a resonant cavity,” M.Sc. thesis, Dept. Mech. Eng., Virginia Poly. Inst., Blacksburg, VA, 1999. [34] U. Kolberg and H. Roemer, “Microwave heating of glass,” in Microwave: Theory and Application in Materials Processing V, D. E. Clark, J. G. P. Binner, and D. A. Lewis, Eds. Westerville, OH: Amer. Ceram. Soc., 2000, vol. 3, Ceramic Transactions, pp. 527–533. [35] G. A. Kriegsmann, “Thermal runaway in microwave heated ceramics: A 1-D model,” J. Appl. Phys., vol. 71, pp. 1960–1966, 1992. [36] X. Wu, J. R. Thomas, and W. A. Davis, “Control of thermal runaway in microwave resonant cavities,” J. Appl. Phys., vol. 92, pp. 3374–3380, 2002. [37] G. A. Kriegsmann, “Hot spot formation in microwave heated ceramic fibers,” IMA J. Appl. Math., vol. 59, pp. 123–148, 1997. [38] V. Bilik and J. Bezek, “Automatic impedance matching under high power complex signal conditions,” in Proc. IEEE 19th Int. Conf. Radioelektronika, Bratislava, Slovakia, Apr. 22–23, 2009, pp. 141–144. [39] Y. Meir and E. Jerby, “Thermite-powder ignition by electrically-coupled localized microwaves,” Combust. Flames, vol. 159, pp. 2474–2479, 2012. [40] Y. Meir and E. Jerby, “Breakdown spectroscopy induced by localized microwaves for material identification,” Microw. Opt. Technol. Lett., vol. 53, pp. 2281–2283, 2011.

Yehuda Meir (S’11) was born in Bat-Yam, Israel, in 1983. He received the B.Sc. and M.Sc. (cum laude) degrees in electrical electronic engineering from Tel Aviv University, Tel Aviv, Israel, in 2010 and 2011, respectively, where he is currently working toward the Ph.D. degree under the supervision of Prof. Eli Jerby at the Department of Physical Electronics. His current research interests include microwave localization, microwave-drill technology, thermite ignition, fireballs, and plasmoids in both scientific and technological aspects. Mr. Meir received the Best Poster Award at the 13th AMPERE International Conference on Microwave and High Frequency Heating, Toulouse, France, September 2011, for his study on rapid heating by localized microwaves. He was awarded the Colton Scholarship in 2012.

Eli Jerby (M’11) received the Ph.D. degree in electrical engineering from Tel Aviv University, Tel Aviv, Israel, in 1989. He was a Rothschild and Fulbright Post-Doctoral Fellow with the Research Laboratory of Electronics, Massachusetts Institute of Technology. Since his return to Tel Aviv University, Tel Aviv, Israel, in 1991, he has studied novel schemes of microwave radiation sources, microwave localization effects and their applications (e.g., microwave-drill invention), microwave-induced plasmas, plasmoids, and fireballs. He is currently a Professor with the Faculty of Engineering, Tel Aviv University.

Editor-in-Chief George E. Ponchak, Ph.D, FIEEE IEEE Transactions on Microwave Theory and Techniques Editorial Office c/o Mrs. Kim Tanger, Editorial Assistant Ohio Aerospace Institute 22800 Cedar Point Road Cleveland, Ohio 44142 E-mail: [email protected] or [email protected] Phone: 440-962-3023 Fax: 440-962-3057

Information for Authors The IEEE TRANSACTIONS on MICROWAVE THEORY and TECHNIQUES is published monthly with a focus on that part of engineering and theory associated with microwave/millimeter-wave technology and components, electronic devices, guided wave structures and theory, electromagnetic theory, and Radio Frequency Hybrid and Monolithic Integrated Circuits, including mixed-signal circuits, from a few 100 MHz to THz. I. Paper Submission in Electronic Form Authors need to visit the website http://www.mtt.org/transactions/34-author-information-transactions.html for the author instructions. To reduce time from submission to publication of papers, the editorial office accepts manuscripts only in electronic form as .pdf files and all communications with authors will be via email. The files must not be larger than 1MB and no *.zip files are accepted. Submissions should be submitted through the Manuscript Central site at: http://mc.manuscriptcentral.com/tmtt-ieee and use the templates provided under http://www.ieee.org/publications_standards/publications/authors/authors_journals.html (Template for all Transactions (except IEEE Transactions on Magnetics), two-column template; can also be requested from the editorial office). Figures, graphs and all other necessary information for reviewing the manuscript must be included in this file (as opposed to being attached to it as separate files) and placed at appropriate locations within the text rather than at the end: • • • • • • • • • •

Figures should be large enough to be easily readable on a computer screen and on paper when printed out. A photograph of any component or circuit presented must be included. If, at the decision of the Editor, the component or circuit can be fabricated, measured characteristics must be included. All papers with theoretical contributions must have independent verification with measurement-based validation strongly preferred. Instrument screen captures are not suitable for publication and the data should be replotted. The print version of the paper will be in black and white, but color figures may be used in the electronic version of the paper. Axes should be labeled with large lettering. Whenever possible, theory and corresponding experimental results should be printed on the same graph for easy comparison. Follow the Guidelines for Author-Supplied Electronic Text and Graphics available for download at the above website. The minimum paper length is 4 pages, excluding the authors’ photos and biographies. Short papers of three pages or less should be sent to the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. The font size is specified in the templates. TeX and LaTeX users must use scalable fonts rather than bitmapped fonts to allow easy reading of .pdf files on the computer screen. Note: Manuscripts that are related to material submitted to or published at conferences are considered only if the content is significantly updated or contains material of substantially complementary nature. Authors must reference all of their previous papers that are similar. Please attach .pdf files of previous papers and clearly state (on a separate page) the difference with respect to the current submission. Failure to disclose prior papers by the authors that are similar will be rejected. II. Final Submission Format After a manuscript has been accepted for publication, the author will be requested to provide an electronic copy of the final version of the manuscript in pdf format; Microsoft Word is the preferred format for this final submission, although TEX and LATEX formats are also acceptable. Note: Although we require a .pdf file of the manuscript for the review process, this format is not acceptable (neither is .ps) for the final submission. Some additional guidelines must, however, be followed for the submission of the final manuscript in electronic form: • Include all macros (/def) that are required to produce your manuscript (TEX and LATEX). • IEEE Transaction/Journal style dictates a 21-pica (3.5 inch) column width. If mathematical expressions are produced with this in mind, they are more aesthetically pleasing in the final version. • Figures and tables must be submitted as separate files in .ps, .eps, .doc or .tiff format III. Page Charge Papers will be reviewed for their technical merit, and decisions to publish will be made independently of an author’s ability to pay page charges. Page charges of $110 (U.S.) per printed page will be requested on papers of six printed pages or less. Overlength page charges of $200 per page are mandatory for each page in excess of six pages. If the author’s organization agrees to honor the total page charge, which includes the page charges on the first six pages plus the mandatory overlength charge, the author will receive 100 reprints. If the supporting organization honors only the mandatory charge, no free reprints will be sent.

Digital Object Identifier 10.1109/TMTT.2012.2210135

Digital Object Identifier 10.1109/TMTT.2012.2210132

Digital Object Identifier 10.1109/TMTT.2012.2210133

Digital Object Identifier 10.1109/TMTT.2012.2210338

EDITORIAL BOARD Editor-in-Chief: GEORGE E. PONCHAK Associate Editors: H. ZIRATH, W. VAN MOER, J.-S. RIEH, Q. XUE, L. ZHU, K. J. CHEN, M. YU, C.-W. TANG, J. PAPAPOLYMEROU, N. S. BARKER, C. D. SARRIS, C. FUMEAUX, D. HEO, B. BAKKALOGLU The following members reviewed papers during 2011

P. Aaen A. Abbaspour-Tamijani A. Abbosh D. Abbott A. Abdipour M. Abe M. Abegaonkar R. Abhari A. Abramowicz M. Acar L. Accatino R. Achar E. Ackerman J. Adam K. Agawa M. Ahmad H.-R. Ahn B. Ai M. Aikawa J. Aikio C. Aitchison M. Akaike T. Akin S. Aksoy I. Aksun A. Akyurtlu G. Ala L. Albasha A. Alexanian W. Ali-Ahmad F. Alimenti R. Allam K. Allen A. Alphones A. Alu A. Álvarez-Melcon A. Al-Zayed S. Amari H. Amasuga R. Amaya H. An D. Anagnostou M. Andersen K. Andersson M. Ando Y. Ando P. Andreani M. Andrés W. Andress K. Ang C. Angell I. Angelov Y. Antar G. Antonini H. Aoki V. Aparin F. Apollonio R. Araneo J. Archer F. Ares F. Ariaei T. Arima M. Armendariz L. Arnaut F. Arndt E. Artal H. Arthaber F. Aryanfar U. Arz M. Asai Y. Asano A. Asensio-Lopez K. Ashby H. Ashoka A. Atalar A. Atia S. Auster I. Awai A. Aydiner M. Ayza K. Azadet R. Azaro A. Babakhani P. Baccarelli M. Baginski I. Bahl S. Bajpai J. Baker-Jarvis B. Bakkaloglu M. Bakr A. Baladin C. Balanis S. Balasubramaniam J. Balbastre J. Ball P. Balsara Q. Balzano A. Banai S. Banba R. Bansal D. Barataud A. Barbosa F. Bardati I. Bardi J. Bardin A. Barel S. Barker F. Barnes J. Barr G. Bartolucci R. Bashirullan S. Bastioli A. Basu B. Bates R. Baxley Y. Bayram J.-B. Bégueret N. Behdad F. Belgacem H. Bell D. Belot J. Benedikt T. Berceli C. Berland M. Berroth G. Bertin E. Bertran A. Bessemoulin M. Beurden A. Bevilacqua A. Beyer M. Bialkowski

E. Biebl P. Bienstman S. Bila D. Blackham R. Blaikie M. Blank P. Blockley P. Blondy P. Blount D. Boccoli G. Boeck L. Boglione R. Boix G. Bonaguide F. Bonani G. Bonmassar O. Boos B. Borges V. Boria-Esbert O. Boric-Lubecke A. Borji S. Borm J. Bornemann W. Bosch R. Bosisio H. Boss G. Botta N. Boulejfen S. Boumaiza J. Bouny C. Boyd C. Bozler M. Bozzi R. Bradley D. Braess N. Braithwaite M. Brandolini G. Branner T. Brazil J. Breitbarth M. Bressan K. Breuer B. Bridges D. Bridges J. Brinkhoff E. Brown S. Brozovich E. Bryerton D. Budimir G. Burdge P. Burghignoli N. Buris C. C. Galup-Montoro B. Cabon P. Cabral L. Cabria C. Caloz C. Camacho-Peñalosa V. Camarchia E. Camargo R. Cameron M. Camiade C. Campbell M. Campovecchio F. Canavero A. Cangellaris A. Cantoni C. Cao F. Capolino F. Cappelluti G. Carchon J. Carmo K. Carr F. Carrez R. Carrillo-Ramirez P. Carro R. Carter N. Carvalho P. Casas R. Castello J. Catala M. Cavagnaro R. Caverly D. Cavigia J. Cazaux M. Celuch Z. Cendes D. Chadha M. Chae S. Chakraborty C. Chan C. Chang H. Chang K. Chang S. Chang T. Chang W. Chang E. Channabasappa H. Chapell W. Chappell C. Charles M. Chatras I. Chatterjee G. Chattopadhyay S. Chaudhuri S. Chebolu A. Cheldavi A. Chen C. Chen H. Chen J. Chen K. Chen M. Chen N. Chen S. Chen Y. Chen Z. Chen Z.-N. Chen H. Cheng K. Cheng M. Cheng Y. Cheng C. Cheon C. Chi M. Chia Y. Chiang J. Chiao A. Chin K. Chin H. Chiou Y. Chiou C. Chiu

H. Chiu A. Chizh C. Cho K. Cho T. Cho A. Choffrut C. Choi J. Choi W. Choi C. Chong M. Chongcheawchamnan C. Chou D. Choudhury E. Chow Y. Chow C. Christodoulou C. Christopoulos Q. Chu T. Chu H. Chuang M. Chuang Y. Chun S. Chung Y. Chung D. Chye A. Cidronali T. Cisco C. Cismaru O. Civi S. Clavijo M. Clénet D. Cogan P. Colantonio M. Cole J. Coleman J. Collantes R. Collin C. Collins B. Colpitts R. Compton G. Conciauro M. Condon D. Consonni A. Constanzo M. Converse K. Cools F. Cooray I. Corbella A. Costanzo S. Cotton C. Courtney G. Coutts J. Cowles J. Craninckx C. Crespo-Cadenas J. Cressler S. Cripps T. Crowe J. Cruz T. Cui E. Cullens T. Cunha W. Curtice J. Dabrowski W. Dai G. Dambrine P. Dankov F. Danneville I. Darwazeh A. Darwish N. Das M. Davidovich L. Davis D. Dawn J. Dawson H. Dayal F. De Flaviis D. De Zutter B. Deal A. Dearn J. Deen M. Dehan C. Dehollain C. Deibele G. Dejean M. DeLisio N. Deltimple S. Demir V. Demir J. Deng A. Dengi T. Denidni W. DeRaedt H. Deshpande Y. Deval R. Dey T. Dhaene L. Diaz A. Diaz-Morcillo L. Ding M. Dionigi C. Diskus A. Djordjevi T. Djordjevic J. Dobrowolski H. Dogan S. Donati X. Dong A. Dounavis P. Draxler R. Drayton A. Dreher J. Drewniak J. Duchamp A. Duffy L. Dunleavy J. Dunsmore S. Durden L. Dussopt C. Duvanaud J. East J. Ebel K. Eccleston I. Ederra R. Egri I. Ehrenberg N. Ehsan T. Eibert H. Eisele W. Eisenstadt G. Eleftheriades

F. Ellinger G. Ellis T. Ellis M. El-Nozahi M. Elsbury S. Elschner M. El-Shenawee T. Enoki K. Entesari L. Epp I. Erdin O. Ergul T. Eriksson C. Ernst D. Erricolo I. Eshrah M. Essaaidi H. Esteban C. Eswarappa W. Eyssa A. Ezzeddine C. Fager M. Fahmi Y. Fan D. Fang M. Farina A. Fathy M. Faulkner P. Fay A. Fazzi E. Fear P. Fedorenko D. Feld Y. Feng A. Feresidis A. Fernandez T. Fernandez M. Fernández-Barciela M. Ferndahl F. Fernez P. Ferrari E. Ferre-Pikal A. Ferrero M. Ferriss H. Fetterman J. Fiedziuszko S. Fiedziuszko G. Fikioris J. Fikioris I. Filanovsky F. Filicori D. Filipovic R. Fletcher B. Floyd H. Foltz N. Fong B. Fornberg F. Fortes K. Foster P. Foster P. Franzon A. Frappe J. Freire M. Freire A. Freundorfer F. Frezza I. Frigyes R. Frye J. Fu O. Fu R. Fujimoto O. Fujiwara C. Fumeaux C. Furse V. Fusco D. Gabbay E. Gad M. Gadringer N. Gagnon J. Gajadharsing A. Gala C. Galbraith B. Galwas J. Gambini A. Gameiro O. Gandhi B. Gao J. Gao S. Gao C. Gaquiere H. Garbe J. Garcia M. Garcia P. Garcia-Ducar F. Garcia-Vidal K. Gard P. Gardner P. Garland P. Gaudo J. Gautier S. Gedney B. Geelen F. Gekat B. Geller R. Genov A. Georgiadis N. Georgieva J. Gerdes W. Gerhard S. Gevorgian H. Ghali M. Ghanevati F. Ghannouchi K. Gharaibeh R. Gharpurey G. Ghione M. Ghovanloo F. Giannini A. Gibson I. Gil P. Gilabert B. Gimeno D. Ginste A. Goacher E. Godshalk A. Goel C. Goldsmith M. Golio M. Golosovsky R. Gómez-García A. Goncharenko X. Gong

R. Gonzalo S. Goodnick S. Gopalsami A. Gopinath A. Görür K. Gosalia M. Gouker K. Goverdhanam W. Grabherr J. Graffeuil L. Gragnani J. Grahn J. Grajal V. Granatstein A. Grbic A. Grebennikov I. Gresham A. Griol D. Grischowsky S. Grivet-Talocia E. Grossman S. Gruszczynski T. Grzegorczyk S. Guenneau T. Guerrero S. Gunnarsson J. Guo Y. Guo C. Gupta M. Gupta R. Gupta R. Gutmann W. Gwarek R. Habash S. Hadjiloucas D. Haemmerich M. Hagmann S. Hagness A. Halappa P. Hale D. Ham E. Hamidi O. Hammi H. Han T. Hancock A. Hanke G. Hanson Y. Hao Z. Hao R. Harjani L. Harle H. Harris P. Harrison O. Hartin J. Hasch H. Hashemi K. Hashimoto J. Haslett G. Hau S. Hauptmann L. Hayden L. He Y. He R. Heath E. Hegazi G. Hegazi S. Heinen W. Heinrich G. Heiter M. Hella R. Henderson F. Henkel B. Henning D. Heo K. Herrick F. Herzel J. Hesler J. Hesthaven K. Hettak H. Heuermann P. Heydari A. Hietala A. Higgins A. Hirata J. Hirokawa M. Ho K. Hoffmann R. Hoffmann E. Holzman V. Hombach J. Hong S. Hong W. Hong K. Honjo G. Hopkins Y. Horii J. Horng T.-S. Horng J. Horton K. Hosoya M. Hotta J. Hoversten J. Howard M. Høyerby H. Hsieh L. Hsieh C. Hsu H. Hsu J. Hsu C. Hsue R. Hu C. Huang F. Huang H. Huang P. Huang T. Huang J. Hubert W. Huei A. Hülsmann A. Hung C. Hung J. Hung I. Hunter I. Huynen H. Hwang J. Hwang K. Hwang R. Hwang G. Iannaccone K. Ikossi M. Isaksson T. Ishizaki

Digital Object Identifier 10.1109/TMTT.2012.2210136

S. Islam M. Ito K. Itoh T. Itoh Y. Itoh A. Ittipiboon F. Ivanek D. Iverson M. Iwamoto D. Jablonski D. Jachowski C. Jackson D. Jackson R. Jackson A. Jacob K. Jacobs S. Jacobsen D. Jaeger J. Jaeger S. Jagannathan N. Jain G. James M. Janezic S. Jang M. Jankovic D. Jansen L. Jansson H. Jantunen H. Jardon-Aguilar J. Jargon N. Jarosik B. Jarry P. Jarry A. Jastrzebski B. Jemison W. Jemison S. Jeng A. Jenkins S. Jeon D. Jeong J. Jeong Y. Jeong A. Jerng T. Jerse T. Jiang X. Jiang G. Jianjun D. Jiao J. Jin J. M. Jin J. Joe T. Johnson B. Jokanovic U. Jordan K. Joshin J. Joubert S. Jung T. Kaho S. Kanamaluru K. Kanaya S. Kang P. Kangaslahti B. Kapilevich I. Karanasiou M. Karim T. Kataoka A. Katz R. Kaul R. Kaunisto T. Kawai S. Kawasaki M. Kazimierczuk L. Kempel P. Kenington P. Kennedy A. Kerr D. Kettle A. Khalil W. Khalil S. Khang A. Khanifar A. Khanna R. Khazaka J. Khoja S. Kiaei J. Kiang B. Kim C. Kim D. Kim H. Kim I. Kim J. Kim S. Kim T. Kim W. Kim N. Kinayman R. King N. Kinzie S. Kirchoefer A. Kirilenko M. Kishihara T. Kitazawa J. Kitchen T. Klapwijk E. Klumperink D. Klymyshyn L. Knockaert R. Knoechel M. Koch K. Koh N. Kolias J. Komiak A. Komijani G. Kompa A. Konanur A. Konczykowska H. Kondoh B. Kopp B. Kormanyos J. Korvink P. Kosmas Y. Kotsuka S. Koziel A. Kozyrev V. Krishnamurthy H. Krishnaswamy C. Krowne J. Krupka D. Kryger H. Ku H. Kubo A. Kucar A. Kucharski

C. Kudsia A. Kudymov D. Kuester B. Kuhn W. Kuhn T. Kuki A. Kumar J. Kuno C. Kuo J.-T. Kuo H. Kurebayashi F. Kuroki L. Kushner S. Kusunoki D. Kuylenstierna Y. Kwon G. Kyriacou A. Lacaita J. Lamb P. Lampariello U. Langmann T. Larsen L. Larson J. Laskar C. Lau K. Lau A. Lauer D. Lautru P. Lavrador A. Lavrinenko A. Lazaro G. Lazzi R. Lech B. Lee C.-H. Lee C. Lee H. Lee J. Lee J.-H. Lee K. Lee R. Lee S. Lee T. Lee Y. Lee D. Leenaerts Z. Lei G. Leizerovich K. Leong Y. Leong R. Leoni C. Ler G. Leuzzi B. Levitas R. Levy C. Li L. Li M. Li X. Li L. Lianming C. Liao S. Liao D. Lie E. Lima E. Limiti F. Lin J. Lin K. Lin T. Lin Y. Lin S. Lindenmeier A. Lindner F. Ling D. Linkhart P. Linnér D. Linten D. Linton D. Lippens F. Little V. Litvinov C. Liu H. Liu J. Liu K. Liu Q. Liu S. Liu Y. Liu Z. Liu A. Llewandowski O. Llopis I. Lo L. Locht A. Loke K. Lonngren T. Lopetegi N. Lopez U. Lott G. Lovat D. Lovelace Z. Low C. Lu L. Lu S. Lu Y. Lu V. Lubecke S. Lucyszyn D. Ludwig N. Luhmann M. Lui J. Luy G. Lyons A. M. Niknejad K. Ma T.-G. Ma Z. Ma S. Maas P. Maccarini G. Macchiarella J. Machac B. Machiels M. Madihian A. Madjar G. Magerl S. Magierowski R. Mahmoudi I. Maio F. Maiwald A. Majedi H. Majedi M. Majewski M. Makimoto R. Makinen D. Malocha J. Manges

R. Mansour D. Manstretta J. Mao S. Mao F. Maradei A. Margomenos D. Markovic E. Márquez-Segura J. Martens F. Martin E. Martini K. Maruhashi J. Marzo D. Masotti A. Massa G. Massa F. Mastri J. Mateu A. Matsushima M. Mattes G. Matthaei K. Mayaram M. Mayer U. Mayer W. Mayer J. Mazeau S. Mazumder A. Mazzanti G. Mazzarella K. McCarthy G. McDonald I. McGregor M. McKinley J. McLean D. McQuiddy A. Mediano F. Medina M. Megahed I. Mehdi K. Mehrany A. Melcon R. Melville F. Mena D. Mencarelli C. Meng R. Menozzi W. Menzel P. Mercier B. Merkl F. Mesa R. Metaxas A. Metzger P. Meyer P. Mezzanotte E. Michielsen A. Mickelson D. Miller P. Millot J. Mingo F. Miranda D. Mirshekar A. Mirzaei S. Mitilineos R. Miyamoto K. Mizuno J. Modelski W. Moer M. Moghaddam A. Mohammadi S. Mohammadi A. Mohammadian P. Mohseni E. Moldovan M. Mollazadeh M. Mongiardo P. Monteiro J. Montejo-Garai G. Montoro J. Monzó-Cabrera J. Morente T. Morf D. Morgan M. Morgan A. Morini A. Morris J. Morsey A. Mortazawi M. Moussa M. Mrozowski Q. Mu J.-E. Mueller J. Muldavin K. Murata S.-S. Myoung M. Myslinski B. Nabet V. Nair K. Naishadham Y. Nakasha M. Nakatsugawa M. Nakhla J.-C. Nallatamby I. Nam S. Nam J. Nanzer T. Narhi A. Nashashibi A. Natarajan J. Nath A. Navarrini J. Navarro J. Nebus R. Negra J. Neilson B. Nelson P. Nepa A. Neri H. Newman G. Ng D. Ngo E. Ngoya C. Nguyen E. Nicol A. Nicolet S. Nicolson E. Niehenke M. Nielsen K. Nikita P. Nikitin N. Nikolova M. Nisenoff K. Nishikawa T. Nishino

G. Niu B. Noori C. Nordquist B. Notaros K. Noujeim D. Novak I. Novak G. Nusinovich K. O I. Obeid J. Obregon R. O’Dea M. O’Droma M. Odyniec J.-E. Oh T. Ohira E. Öjefors H. Okazaki V. Okhmatovski A. Oki M. Okumura G. Olbrich S. Olson F. Olyslager A. Omar K. Onodera B.-L. Ooi S. Ootaka H. Oraizi G. Orengo A. Orlandi R. Orta J. Ortega-Gonzalez S. Ortiz S. Otaka B. Otis K. Ozdemir T. Ozdemir O. Ozlem P. Paco R. Paknys S. Pal Y. Palaskas D. Palmer S. Pamarti G.-W. Pan S.-K. Pan A. Panariello K. Pance J. Papapolymerou S. Parisi C.-S. Park E. Park J.-S. Park M.-J. Park S. Park W. Park A. Parker T. Parker D. Pasquet M. Pastorino H. Pau S. Paulotto A. Pavio D. Pavlidis W. Pearson J.-C. Pedro S. Peik S. Pellerano G. Pelosi M. Pelosi D. Pelz R. Pengelly J. Pereda F. Pereira A. Perennec B. Perlman D. Peroulis L. Perregrini K. Per-Simon M. Persson M. Petelin A. Peterson A. Petosa O. Peverini U. Pfeiffer A.-V. Pham J. Phillips H. Pickett M. Pieraccini L. Pierantoni B. Pillans S. Pinel Z. Ping M. Pirola S. Pisa G. Pisano D. Pissoort D. Plant C. Plett J. Plumridge C. Pobanz A. Poddar F. Podevin R. Pogorzelski G. Ponchak A. Poon D. Popovic Z. Popovic J. Portilla M. Pospieszalski A. Pothier K. Pourvoyeur J. Powell H. Powen R. Prabhu L. Pradell S. Prasad D. Prather A. Priou S. Pruvost Y. Qian R. Qiang J. Qiu T. Quach X. Quan R. Quay C. Queck C. Quendo R. Quéré F. Quesada F. Raab V. Radisic

M. Raffetto A. Raffo T. Rahkonen R. Raich A. Raisanen O. Ramahi M. Ramdani R. Ranson P. Rantakari L. Ranzani P. Ratajczak H. Rategh C. Rauscher J. Rautio T. Rautio B. Rawat J. Rayas-Sanchez G. Rebeiz J. Rebollar M. Reddy J. Reid R. Reid J. Reina-Tosina S. Reising B. Rembold K. Remley R. Renaut S. Rengarajan D. Resca P. Reynaert S. Reynolds A. Rezazadeh E. Rezek S. Ricci A. Riddle L. Rienzo D. Ritter E. Rius J. Rizk V. Rizzoli M. Roberg I. Robertson P. Roblin A. Roden C. Rodenbeck W. Rodriguez F. Rodriguez-Morales M. Rodwell A. Rofougaran R. Rogers H. Rogier U. Rohde V. Rokhlin Y. Rolain J.-M. Rollin R. Romanofsky S. Romisch G. Romo Y. Rong D. Rönnow D. Root N. Rorsman M. Rosario L. Roselli A. Rosen U. Rosenberg M. Rosker T. Roste F. Rotella E. Rothwell R. Rotman P. Rovati J. Roy L. Roy M. Roy T. Rozzi T. Rubaek J. Rubio D. Rudolph M. Rudolph A. Ruehli C. Ruppel A. Rydberg J. Ryynänen C. Saavedra F. Sabath K. Sachse B. Sadler N. Safari A. Safarian A. Safavi-Naeini A. Safwat P. Saha K. Saito I. Sakagami S. Sakhnenko T. Samaras J. Sambles C. Samori A. Sanada J. Sanchez S. Sancho K. Sano A. Santarelli H. Santos S. Sanyal K. Sarabandi T. Sarkar C. Sarris H. Sato P. Saunier M. Sawan H. Sayadian A. Sayeed W. Scanlon E. Schamiloglu J. Schellenberg M. Schindler E. Schlecht E. Schmidhammer L.-P. Schmidt S. Schmidt D. Schmitt F.-J. Schmueckle J. Schoebel D. Schreurs D. Schrijver A. Schuchinsky P. Schuh L. Schulwitz K. Schünemann J. Schutt-Aine

J. Scott F. Sechi K. Sellal V. Semenov E. Semouchkina K.-S. Seo J. Sercu A. Serebryannikov J. Sevic O. Sevimli F. Seyfert L. Shafai A. Shameli O. Shanaa Z. Shao I. Shapir A. Sharma S. Sharma J. Sharp D. Sheen T. Shen Z. Shen Y. Shestopalov J. Shi Y.-Q. Shi H. Shigematsu Y. Shih H. Shin S. Shin S.-H. Shin N. Shino W. Shiroma S. Shitov K. Shu D. Shyroki D. Sievenpiper C. Silva D. Silveira M. Silveirinha K. Silvonen W. Simbuerger G. Simin R. Simons C. Simovsky J. Simpson V. Simulik D. Simunic H. Singh D. Sinnott Z. Sipus C. Siviero H. Sjöland M. Slazar-Palma R. Sloan P. Smith C. Snowden R. V. Snyder M. Sobhy A. Sodagar N. Sokal K. Solbach J. Sombrin Y.-K. Song R. Sorrentino A. Soury E. Sovero J. Sowers R. Sperlich B. Spielman K. Stadius P. Staecker D. Staiculescu D. Stancil A. Stancu A. Stanitzki S. Stapleton J. Staudinger P. Stauffer B. Stec D. Steenson P. Steenson M. Steer G. Stegmayer J. Stenarson B. Stengel K. Stephan C. Stevens N. Stevens M. Steyaert J. Stiens I. Stievano S. Stitzer M. Straayer B. Strassner A. Street W. Struble M. Stubbs M. Stuchly B. Stupfel A. Suárez G. Subramanyam T. Sudo N. Suematsu T. Suetsugu C. Sullivan F. Sullivan A. Sulyman N. Sun S. Sun X. Sun R. Sutton K. Suzuki J. Svacina M. Swaminathan D. Swanson B. Szendrenyi W. Tabbara A. Taflove Y. Tajima T. Takagi M. Takahashi I. Takenaka T. Takenaka V. Talanov S. Talisa K.-W. Tam B. Tan E. Tan J. Tan T. Tanaka C.-W. Tang W.-C. Tang

X.-H. Tang T. Taris R. Tascone P. Tasker J. Taub J. Tauritz V. Tavares S. Taylor D. Teeter R. Temkin M. Tentzeris V. Teppati J.-P. Teyssier N. Thakor H. Thal J. Tham M. Thumm M. Tiebout E. Tiiliharju M.-R. Tofighi P. Tognolatti T. Toifl T. Tokumitsu A. Tombak A. Topa E. Topsakal H. Torres-Silva G. Town S. Tretyakov R. Trew P. Troyk C. Trueman A. Truitt C.-M. Tsai Z.-M. Tsai J. Tsalamengas C.-H. Tseng T. Tsiboukis J. Tsui M. Tsutsumi S. H.-L. Tu W.-H. Tu N. Tufillaro V. Turin G. Twomey C.-K. Tzuang T. Ueda V. Urick K. U-Yen N. Uzunoglu T. Vähä-Heikkilä R. Vahldieck A. Valdovinos G. Vandenbosch K. Vanhille D. Vanhoenacker-Janvier G. Vannini L. Vardapetyan G. Vasilescu C. Vaucher J. Vaz L. Vegni G. Vendelin S. Verdeyme M. Vérez A. Verma J. Verspecht P. Vial H.-O. Vickes A. Victor L. Vietzorreck C. Vittoria S. Vitusevich R. Voelker S. Voinigescu J. Volakis A. Vorst M. Vossiek M. Vouvakis B. Vowinkel L. Vreede K. Vryssas C. Wagner B. Waldmann P. Waldow A. Walker P. Wambacq S. Wane B.-Z. Wang C. Wang C.-F. Wang C.-J. Wang E. Wang F. Wang H. Wang J. Wang K.-C. Wang N. Wang X. Wang Y. Wang Y.-H. Wang Z.-G. Wang C. Ward J. Ward W. Wattanapanitch J. Webb D. Webster R. Webster S. Wedge J. Weem X. Wei D. Weide R. Weigel R. Weikle C. Weil T. Weiland D. Weile S. Weinreb M. Weiss S. Weiss T. Weller C. Wen G. Wen S. Wentworth D. Wentzloff R. Wenzel J. Whelehan J. Whitaker J. White J. Wiart M. Wickert

A. Wiesbauer J. Wight D. Willems B. Willemsen D. Williams A. Williamson J. Wilson J. Wiltse T. Winkel K. Wise D. Wisell M. Wolf E. Wollack G. Wollenberg F. Wong K. Wong M. Wong S. Wong K. Woo J. Wood G. Woods D. Woolard C. Wu J.-M. Wu K.-L. Wu K. Wu L. Wu R.-B. Wu T. Wu T.-L. Wu R. Wylde T. Wysocki M. Xia S. Xiang J. Xiao Y. Xiao C. Xie J. Xu S. Xu Q. Xue M. Yagoub T. Yakabe A. Yakovlev K. Yamamoto K. Yamauchi W. Yan C.-L. Yang F. Yang N. Yang X. Yang Y. Yang Z. Yang F. Yanovsky H.-W. Yao J. Yao A. Yarovoy Y. Yashchyshyn K. Yashiro K. Yasumoto J. Yau S. Ye J. Yeh K.-S. Yeo S.-P. Yeo K.-W. Yeom L.-K. Yeung W.-Y. Yin X.-S. Yin S. Yngvesson D. Yongsheng D. Yoo H.-J. Yoo J.-G. Yook E. Yoon J.-B. Yoon R. York S. Yoshikado A. Young B. Young D. Young P. Young W. Young H.-K. Yu M. Yu P. Yu R. Yu W. Yu Y. Yu M. Yuan M. Yuce S.-W. Yun F. Zabini J. Zaeytijd K. Zaki P. Zampardi J. Zapata L. Zappelli C. Zelley P. Zhai C. Zhang F. Zhang G. Zhang H. Zhang J. Zhang N. Zhang Q.-J. Zhang R. Zhang Y. Zhang A.-P. Zhao Y.-J. Zhao Y. Zhao Y. Zheng Q. Zhiguo H. Zhou A. Zhu L. Zhu N.-H. Zhu X. Zhu J. Zhuang H. Zirath