APRIL 2012 
IEEE MTT-V060-I04 (2012-04) [60, 4 ed.]

Citation preview

IEEE TRANSACTIONS ON

MICROWAVE THEORY AND TECHNIQUES A PUBLICATION OF THE IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY

rm .~.· ·.

MTT-S

APRIL 2012

VOLUME 60

NUMBER 4

IETMAB

(ISSN 0018-9480)

PAPERS

Theory and Numerical Methods Gyrotropy and Nonreciprocity of Graphene for Microwave Applications . . . . . . . . . . . . . . . . . . . . . . D. L. Sounas and C. Caloz Nonperiodic Perturbations in Periodic RF Structures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . V. Jabotinski, D. Chernin, K. T. Nguyen, T. M. Antonsen, Jr., and B. Levush Parallel Frequency-Domain Simulation of Hyperspectral Waveforms in Nonlinear Power Amplifiers With Memory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . G. Stantchev, D. Chernin, T. Antonsen, Jr., and B. Levush Passive Components and Circuits A Broadband and Vialess Vertical Microstrip-to-Microstrip Transition . . . . . . . . . . . . . . . . . . . . . . . . . . . . X. Huang and K.-L. Wu A Dual-Band Coupled-Line Coupler With an Arbitrary Coupling Coefficient . . . . . . . . . . X. Wang, W.-Y. Yin, and K.-L. Wu A Generalized Dual-Band Wilkinson Power Divider With Parallel L,C, and R Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . X. Wang, I. Sakagami, K. Takahashi, and S. Okamura Synthesis of Vertical Interdigital Filters Using Multilayered Technologies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Périgaud, S. Bila, S. Verdeyme, D. Baillargeat, and D. Kaminsky Microstrip Bandpass Filters With Various Resonators Using Connected- and Edge-Coupling Mechanisms and Their Applications to Dual-Band Filters and Diplexers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S.-C. Lin and T.-L. Jong Design and Analysis of a Tri-Band Dual-Mode Chip Filter for 60-, 77-, and 100-GHz Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C.-L. Yang, M.-C. Chiang, H.-C. Chiu, and Y.-C. Chiang WR1.5 Silicon Micromachined Waveguide Components and Active Circuit Integration Methodology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . K. M. K. H. Leong, K. Hennig, C. Zhang, R. N. Elmadjian, Z. Zhou, B. S. Gorospe, P. P. Chang-Chien, V. Radisic, and W. R. Deal A Systematic Design Procedure of Classical Dual-Mode Circular Waveguide Filters Using an Equivalent Distributed Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . S. Cogollos, M. Brumos, V. E. Boria, C. Vicente, J. Gil, B. Gimeno, and M. Guglielmi Theoretical Design and Analysis for TE20 –TE10 Rectangular Waveguide Mode Converters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Q. Zhang, C.-W. Yuan, and L. Liu Ring-Resonator-Inspired Power Recycling Scheme for Gain-Enhanced Distributed Amplifier-Based CRLH-Transmission Line Leaky Wave Antennas . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C.-T. M. Wu, Y. Dong, J. S. Sun, and T. Itoh

901 915 930 938 945 952 965 975 989 998 1006 1018 1027

(Contents Continued on Back Cover)

♦.IEEE

(Contents Continued from Front Cover) Electron Devices and Device Modeling AlGaN/GaN HEMT With Distributed Gate for Channel Temperature Reduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. M. Darwish, H. A. Hung, and A. A. Ibrahim Hybrid and Monolithic RF Integrated Circuits Intrinsically Switched Varactor-Tuned Filters and Filter Banks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. C. Guyette Analysis of a New 33–58-GHz Doubly Balanced Drain Mixer in 90-nm CMOS Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . H.-Y. Yang, J.-H. Tsai, T.-W. Huang, and H. Wang Wideband LNA Using Active Inductor With Multiple Feed-Forward Noise Reduction Paths . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M. Moezzi and M. Sharif Bakhtiar Design-in-Reliable Millimeter-Wave Power Amplifiers in a 65-nm CMOS Process . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . T. Quémerais, L. Moquillon, J.-M. Fournier, P. Benech, and V. Huard A Waveform-Dependent Phase-Noise Analysis for Edge-Combining DLL Frequency Multipliers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . F.-R. Liao and S.-S. Lu 802.11a Compliant Spatial Diversity Receiver IC in 0.25- m BiCMOS . . . . . . . . . . . M. Wickert, U. Mayer, and F. Ellinger 9-GHz Wideband CMOS RX and TX Front-Ends for Universal Radio Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S. K. Hampel, O. Schmitz, M. Tiebout, K. Mertens, and I. Rolfes A 24-GHz CMOS UWB Radar Transmitter With Compressed Pulses . . . . . . . . . . . J. Yang, G. Pyo, C.-Y. Kim, and S. Hong Instrumentation and Measurement Techniques Experimental Characterization of Chiral Uniaxial Bianisotropic Composites at Microwave Frequencies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . F. Bayatpur, A. V. Amirkhizi, and S. Nemat-Nasser Reproduction of the Effects of an Arbitrary Radiated Field by Ground Current Injection . . . . . . . . . . . . . . . . . . . . P. S. Crovetti Simulation and Measurement of the -Parameters of Obstacles in Periodic Waveguides . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M. Navarro-Tapia, J. Esteban, J. E. Varela, and C. Camacho-Peñalosa

1038 1044 1057 1069 1079 1086 1097 1105 1117

1126 1136 1146

RF Applications and Systems Low-Cost 60-GHz Smart Antenna Receiver Subsystem Based on Substrate Integrated Waveguide Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . F. F. He, K. Wu, W. Hong, L. Han, and X.-P. Chen Digital Predistortion Using a Vector-Switched Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . S. Afsardoost, T. Eriksson, and C. Fager Quadrature Amplitude Modulated Backscatter in Passive and Semipassive UHF RFID Systems . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S. J. Thomas, E. Wheeler, J. Teizer, and M. S. Reynolds

1156 1166

Information for Authors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

1183

1175

CALLS FOR PAPERS

Special Issue on Biomedical Applications of RF/Microwave Technologies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

1184

IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY

The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society upon payment of the annual Society membership fee of $17.00, plus an annual subscription fee of $23.00 per year for electronic media only or $46.00 per year for electronic and print media. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only. ADMINISTRATIVE COMMITTEE N. KOLIAS, President A. ABUNJAILEH S. BARBIN L. BOGLIONE

M. GUPTA President Elect

T. BRAZIL W. CHAPPELL

G. LYONS, Secretary

N. KOLIAS S. KOUL

M. GOUKER K. ITOH

T. LEE M. MADIHIAN

Honorary Life Members

T. ITOH A. A. OLINER

W. CHAPPELL, Treasurer

A. MORTAZAWI V. NAIR

Distinguished Lecturers

P. STAECKER K. TOMIYASU

J-C. CHIAO M. GUPTA

S. KOUL L. PIERANTONI

D. PASQUET G. PONCHAK D. SCHREURS

R. SORRENTINO B. SZENDRENYI R. WEIGEL

D. SCHREURS J. WOOD

K. WU Q. XUE

Past Presidents

R. SNYDER (2011) S. M. EL-GHAZALY (2010) B. PERLMAN (2009)

MTT-S Chapter Chairs Albuquerque: H. J. WAGNON Atlanta: K. NAISHADHAM Austria: A. SPRINGER Baltimore: J. SCHOFIELD Bangalore/India: K. VINOY Beijing: Z. FENG Belarus: A. GUSINSKY Benelux: G. VANDENBOSCH Boston: J. MULDAVIN Bombay/India: M. KADU Brasilia: J. BEZERRA/ M. VINICIUS ALVES NUNES Buenaventura: M. QUDDUS Bulgaria: K. ASPARUHOVA Canada, Atlantic: Z. CHEN Cedar Rapids/Central Iowa: M. ROY Central Indiana: C. FULTON Central & South Italy: L. TARRICONE Central No. Carolina: M. HUGHES Central Texas: J. PRUITT Chengdu: Z. NEI Chicago: D. ERRICOLO Cleveland: M. SCARDELLETTI Columbus: D. PSYCHOUDAKIS Connecticut: C. BLAIR Croatia: D. BONEFACIC Czech/Slovakia: J. DRINOVSKY Dallas: C. SANABRIA Dayton: A. TERZUOLI

Editor-In-Chief GEORGE E. PONCHAK NASA Glenn Research Center Cleveland, OH USA Editorial Assistant KIM TANGER OAI USA

Delhi/India: S. KOUL Delhi/India, Amity Univ: J. P. GODARA Delhi/India, ABES Eng. College: S. MITTAL Delhi/India, IIT Delhi: M. S. PARIHAR Delhi/India, Jamia Millia Islamia: A. KHAN Delhi/India, Rajasthan Tech. Univ.: P. K. SHARMA Delhi/India, Swami Keshvanand Inst.: A. S. POONIA Denver: M. JANEZIC Eastern No. Carolina: T. NICHOLS Egypt: E. HASHEESH Finland: A. LUUKANEN Florida West Coast: J. WANG Foothills: F. FREYNE France: P. EUDELINE Germany: G. BOECK Greece: R. MAKRI Gujarat/India: R. J. SHARMA Harbin: Q. WU Hawaii: R. MIYAMOTO Hong Kong: Q. XUE Houston: S. A. LONG Houston, College Station: G. H. HUFF Hungary: L. NAGY Huntsville: E. GREGORIAN Hyderabad: L. MERUGU India/Calcutta: D. GUHA

India: D. BHATNAGER Indonesia: E. T. RAHARDO Israel: S. AUSTER Japan: K. HONJO Kansai: I. AWAI Kitchener-Waterloo: R. R. MANSOUR Lebanon: E. NASSAR Lithuania: V. URBANAVICIUS Long Island/New York: J. COLOTTI Los Angeles, Coastal: V. RADISIC Los Angeles, Metro/San Fernando: T. CISCO Macau: K. W. TAM Madras/India: S. SALIVAHANAN Malaysia: M. ESA Malaysia, Penang: B. L. LIM Melbourne: R. BOTSFORD Milwaukee: S. G. JOSHI Mohawk Valley: E. P. RATAZZI Monterrey/Mexico: R. M. RODRIGUEZ-DAGNINO Morocco: M. ESSAAIDI Montreal: K. WU Morocco: M. ESSAAIDI Nagoya: T. OHIRA Nanjing: W. XUN Nanjing/Southeast Univ: W. HONG New Hampshire: D. SHERWOOD New Jersey Coast: J. SINSKY New South Wales: K. ESSELLE New Zealand: A. WILLIAMSON North Italy: G. VECCHI North Jersey: K. DIXIT

Northern Australia: J. MAZIERSKA Northern Canada: M. DANESHMAND Northern Nevada: B. S. RAWAT Norway: K. M. GJERTSEN Orange County: H. J. DE LOS SANTOS Oregon: K. MAYS Orlando: S. EBADI Ottawa: Q. YE Philadelphia: Q. VASSEUR Phoenix: S. ROCKWELL Poland: J. PIOTROWSKI Portugal: N. BORGES DE CARVALHO Princeton/Central Jersey: A. KATZ Queensland: A. ROBINSON Rio de Janeiro: J. R. BERGMANN Rochester: G. PETTIS Romania: G. LOJEWSKI Russia, Moscow: V. A. KALOSHIN Russia, Nizhny-Novgorad: G. L. PAKHOMOV Russia, Novosibirsk: A. YAROSLAVTSEV Russia, Saratov/Penza: N. M. RYSKIN Russia, Saint Petersburg: S. P. ZUBKO Russia, Tomsk: R. V. MESCHERIAKOV San Diego: J. TWOMEY Santa Clara Valley/San Francisco: N. SHAMS Seattle: L. CAI Seoul: C. SEO Serbia and Montenegro: B. MILOVANOVIA Shanghai: J. MAO Singapore: M. ONG LING CHUEN South Africa: R. GESCHKE

South Australia: C. FUMEAUX South Brazil: J. R. BERGMANN Southeastern Michigan: T. OZDEMIR Southern Alberta: E. FEAR Spain: J. I. ALONSO Springfield: P. R. SIQUEIRA Sri Lanka: V. R. HERATH Sweden: A. RYDBERG Switzerland: M. MATTES Syracuse: H. P. PARTAL Taegu: Y.-H. JEONG Tainan: T. HORNG Taipei: C.-S. LU Thailand: P. AKKARAEKTHALIN Toronto: G. V. ELEFTHERIADES Tucson: H. XIN Tunisia: A. GHARSALLAH Turkey: B. SAKA Twin Cities: M. J. GAWRONSKI UK/RI: A. REZAZADEH Ukraine, Kiev: Y. PROKOPENKO Ukraine, Rep. of Georgia: G. GHVEDASHVILI Ukraine, Vinnitsya: V. M. DUBOVOY Ukraine, West: I. IVASENKO Uttar Pradesh/India: N. ALAM Utter Pradesh/India: R. C. AGARWAL Vancouver: S. MCCLAIN Venezuela: J. B. PENA Victoria: K. GHORBANI Virginia Mountain: T. A. WINSLOW Washington DC/Northern Virginia: M. NUESLEIN Winnipeg: V. OKHMATOVSKI Xian: X. SHI

Associate Editors HERBERT ZIRATH Chalmers Univ. Technol. Goteborg, Sweden WENDY VAN MOER Vrije Universiteit Brussel Brussels JAE-SUNG RIEH Korea Univ. Seoul, Korea QUAN XUE City Univ. Hong Kong Hong Kong LEI ZHU Nanyang Technol. Univ. Singapore

KEVIN J. CHEN Hong Kong Univ. Sci. Technol. Hong Kong MING YU COM DEV Cambridge, ON, Canada CHIN-WEN TANG Nat. Chung Cheng Univ. Taiwan JOHN PAPAPOLYMEROU Georgia Inst. Technol. Atlanta, GA USA

J. WOOD, Editor-in-Chief, IEEE Microwave Magazine C. TZUANG, Editor-in-Chief, IEEE Microwave and Wireless Component Letters

N. SCOTT BARKER Univ. Virginia Charlottesville, VA USA COSTAS D. SARRIS Univ. Toronto. Toronto, ON, Canada CHRISTOPHE FUMEAUX The Univ. Adelaide Adelaide, South Australia, Australia DEUKHYOUN HEO Washington State Univ. Pullman, WA USA BERTAN BAKKALOGLU Arizona State Univ. Tempe, AZ USA

P. H. SIEGEL, Editor-in-Chief, IEEE Trans. Terahertz Science and Technology T. LEE, Web Master

IEEE Officers MICHAEL R. LIGHTNER, Vice President, Educational Activities DAVID A. HODGES, Vice President, Publication Services and Products HOWARD E. MICHEL, Vice President, Member and Geographic Activities STEVE M. MILLS, President, Standards Association FREDERICK C. MINTZER, Vice President, Technical Activities JAMES M. HOWARD, President, IEEE-USA

GORDON W. DAY, President PETER W. STAECKER, President-Elect CELIA L. DESMOND, Secretary HAROLD L. FLESCHER, Treasurer MOSHE KAM, Past President

PETER N. CLOUT, Director, Division IV—Electromagnetics and Radiation

IEEE Executive Staff DR. E. JAMES PRENDERGAST, Executive Director & Chief Operating Officer THOMAS SIEGERT, Business Administration MATTHEW LOEB, Corporate Activities DOUGLAS GORHAM, Educational Activities EILEEN LACH, General Counsel & Corporate Compliance BETSY DAVIS, SPHR, Human Resources CHRIS BRANTLEY, IEEE-USA

ALEXANDER PASIK, Information Technology PATRICK MAHONEY, Marketing CECELIA JANKOWSKI, Member and Geographic Activities ANTHONY DURNIAK, Publications Activities JUDITH GORMAN, Standards Activities MARY WARD-CALLAN, Technical Activities

IEEE Periodicals Transactions/Journals Department Staff Director: FRAN ZAPPULLA Editorial Director: DAWN MELLEY Production Director: PETER M. TUOHY Managing Editor: MONA MITTRA Senior Editor: CHRISTINA M. REZES

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, Piscataway, NJ 08854-4141. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $157.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee indicated in the code at the bottom of the first page is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, Piscataway, NJ 08854-4141. Copyright © 2012 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE, 445 Hoes Lane, Piscataway, NJ 08854-4141. GST Registration No. 125634188. CPC Sales Agreement #40013087. Return undeliverable Canada addresses to: Pitney Bowes IMEX, P.O. Box 4332, Stanton Rd., Toronto, ON M5W 3J4, Canada. IEEE prohibits discrimination, harassment and bullying. For more information visit http://www.ieee.org/nondiscrimination. Printed in U.S.A.

Digital Object Identifier 10.1109/TMTT.2012.2192352

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

901

Gyrotropy and Nonreciprocity of Graphene for Microwave Applications Dimitrios L. Sounas, Member, IEEE, and Christophe Caloz, Fellow, IEEE

Abstract—This paper investigates the potential of graphene nonreciprocal gyrotropy for microwave applications. First, the problem of a plane wave obliquely impinging on a graphene sheet is analyzed to provide physical insight into the fundamentals of graphene gyrotropy. It is found that graphene rotates the polarization of any plane wave impinging on it. The rotation angle is larger for -polarized oblique waves than for normally incident waves and increases as the angle of incidence increases. waves. A general transmission matrix The opposite holds for model is then developed for an arbitrary cylindrical waveguide and for a graphene sheet inside such a waveguide. This model is next applied to a circular cylindrical waveguide loaded with one mode. or several graphene sheets and excited in its dominant Although the rotation angle from a single graphene sheet is quite high at high chemical potential, the corresponding transmission level is small due to the poor matching associated with the high density of the sheet. This fact prohibits the cascading of graphene sheets with high chemical potential as an approach to increase the amount of rotation. However, by decreasing the chemical potential, graphene may be well matched to waveguide modes, and therefore a large number of graphene sheets (ten in this study) may be used to produce a significant amount of rotation with relatively low insertion loss. Index Terms—Faraday rotation, graphene, nonreciprocal components.

I. INTRODUCTION

G

RAPHENE, the fundamental constituent of graphite, is a one-atom-thick 2-D arrangement of carbon atoms arranged according to a honeycomb lattice [1]. Although its properties were theoretically studied back in 1948 [2], it was only in 2004 that the first few graphene flakes with dimensions up to a few m were produced, through graphite exfoliation [3]. Since this experimental achievement, graphene has been spurred huge interest in the chemistry, physics, and engineering communities, primarily toward the realization of novel electronic nanodevices, such as super high-speed transistors [4] and highly linear frequency multipliers [5], to name a few. Today, graphene sheets with dimensions of up to 30 cm can be fabricated [6], opening possibilities for microwave and millimeter-wave applications. Manuscript received July 05, 2011; revised December 12, 2011; accepted December 13, 2011. Date of publication January 17, 2012; date of current version April 04, 2012. This paper is an expanded paper from the IEEE International Microwave Symposium, June 5–10, 2011, Baltimore, MD. The authors are with the Department of Electrical Engineering, École Polytechnique de Montréal, Montréal, QC, Canada H2T 1J3 (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2182205

The gapless and linear electronic band-structure of graphene, originating from its special atomic structure, endows it with unique properties [7]. Specifically, the linear energy-momentum dispersion relation, being similar to the dispersion relation of photons in free space, makes electrons in graphene behave like zero mass particles. As a result, graphene may exhibit extremely high mobilities, up to 500 000 cm Vs [8]. In addition, the gapless nature of the graphene band-structure gives rise to a unique field ambipolarity, namely the inversion of the type of charge carriers, from electrons to holes and reciprocally, under reversal of an externally applied electrostatic potential [3]. These properties have already been aggressively exploited in the realization of high-speed electronic devices [4], [5]. In contrast, the benefits of these properties for electromagnetic waves have received little attention, except for a few studies on surface wave propagation along a graphene sheet [9], [10], probably due to the too small size (much smaller than the wavelength) of the graphene samples available until recently. The dramatically increased size of the graphene samples available today has changed the situation, and the interest for the electromagnetic properties of graphene has been gradually increasing. Among the several studies on the electromagnetic behavior of graphene [11], [12], it has been recently shown, theoretically [13], [14] and experimentally [15] that magnetically biased graphene possesses giant gyrotropic properties at microwave and optical frequencies. This paper investigates the possibility of exploiting the gyrotropic properties of graphene in microwave applications. As many gyrotropic microwave devices are based on circular waveguides, it considers the problem of a circular waveguide loaded with one or more graphene sheets perpendicular to its axis, and provides an exact solution for it. The paper is an expansion of [14], which studies normal plane wave incidence on an infinite graphene sheet and provides a mode matching solution to the problem of a circular waveguide loaded with a single graphene sheet. The expansion includes the following elements. First, the paper infers the tensorial form of graphene conductivity from a simple model for the cyclotron motion of a single electron in the presence of an electric field and a static magnetic field (Section II). The exact quantum and the approximate Drude expressions for the conductivity tensor elements are provided and the validity range of each is briefly discussed. Second, the paper solves the problem of oblique plane wave incidence on an infinite graphene sheet in free space (Section III). This problem provides deep physical insight into the gyrotropic properties of graphene and constitutes the foundation for the understanding of graphene-waveguide geometries. Third, a generalized transmission matrix model is

0018-9480/$31.00 © 2012 IEEE

902

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

where the proportionality coefficients and are the longitudinal (along the -direction) and the transverse (along the direction perpendicular to ) conductivities, respectively, which depend on the material’s electronic properties, the frequency of , and . Similarly, in the case , depicted in Fig. 1(b), (2)

Fig. 1. Motion of an electron on graphene in an electric field and a static magnetic field. The electron, initially accelerated in the direction of the electric field, is deflected in the direction perpendicular to this field, due to the static magnetic field. Two electric current components, parallel and perpendicular to the electric field, are subsequently generated. (a) Case of the electric field along the -axis. (b) Case of the electric field along the -axis.

developed for a cylindrical waveguide of an arbitrary cross section and for a graphene sheet inside it (Section IV). Finally, the model is applied to the particular case of a circular cylindrical waveguide loaded with an arbitrary number of graphene sheets. (Section V). The results show that significant polarization rotation angles with relatively high transmission can be achieved by tuning the number of graphene sheets and the conduction properties of each of them. An time dependence is assumed throughout this paper.

assuming that graphene exhibits the same properties in all its directions. Equations (1) and (2) can be combined in the single expression (3) via the tensorial conductivity (4) where (5a) (5b) Closed-form expressions for and have been obtained in [16], through a quantum mechanical analysis involving the Kubo formula [17], as

II. CONDUCTIVITY MODEL OF GRAPHENE Due to its gapless electronic band-structure, graphene is essentially metallic. Therefore, conductivity is the most appropriate parameter to characterize its electromagnetic properties. Moreover, graphene, due to its mono-atomic thickness, is essentially a 2-D material. It must consequently be modeled by a surface conductivity, which relates the surface current to the tangential electric field in the graphene plane. This section provides a brief description of graphene conductivity under a static magnetic bias field for a good understanding of the following sections. Consider a graphene sheet biased with a static magnetic field perpendicular to its plane. Due this field, graphene exhibits anisotropy. The anisotropic form of its conductivity may be deduced by inspecting the motion of an electron under an electric field in the graphene plane, as illustrated in Fig. 1. For simplicity, assume that the electron is initially at rest. Consider first the case , depicted in Fig. 1(a). An electric force is exerted on the electron and accelerates it in the direction. As the electron moves, it feels a Lorentz force in the -direction, which deflects it toward the -direction. As a result of the combined effect of the two forces, two current components are generated, along the and -directions, taking into account that the electric current direction is opposite to the electron’s velocity. Neglecting nonlinear effects, which would occur at high , the relation between the current and the field may thus be written (1)

(6a)

(6b) where m/s is the Fermi velocity (the group velocity of electrons in the graphene lattice), are the Landau energy levels, is the Landau energy scale, is a phenomenological scattering rate, is the Fermi–Dirac distribution, and is the chemical potential or Fermi level, i.e., the energy at which .

SOUNAS AND CALOZ: GYROTROPY AND NONRECIPROCITY OF GRAPHENE FOR MICROWAVE APPLICATIONS

Fig. 2. Energy-momentum dispersion diagram of graphene in the presence of a static magnetic field. The linear dispersion relation of graphene (blue curve in online version) is quantized as a result of the magnetic field (black dots) due to Landau quantization. The red arrows represent the allowed intraband (right-hand side) and interband (left-hand side) electron transitions between the coincides energy levels. A photon can be absorbed by graphene if its energy with the energy of any of the allowed electron transitions. The probability of an electron transition depends on the probability of the initial level to be full and the probability of the final level to be empty. The probability of a level with to be full is given by the Fermi–Dirac distribution (orange energy curve in online version). The electron transitions with the highest probabilities . are those crossing the chemical potential , where

A graphical representation of (6) is provided in Fig. 2. Each of the summation terms in (6) corresponds to an allowed electron transition between two Landau energy levels. Two types of electron transitions exist: intraband transitions, which involve levels in the same band (the levels and in the conduction band, and the levels and in the valence band), and interband transitions, which involve levels in different bands (the levels and , and the levels and ). The energy required for a transition between the levels and is . The probability of such a transition is proportional to the difference between the probability of the initial level being full and the probability of the final level being empty. The transitions with the highest probabilities are those crossing , emphasized by thicker arrows in Fig. 2. Therefore, assuming that lies between the levels and , the interband transitions with the lowest energy are the ones that involve the and levels or the and levels. As a result, interband transitions occur essentially at frequencies . Although in (6) was implied constant, in reality it depends on the energy of electrons. Specifically, scattering of an electron increases as its energy increases. This means that the different terms in (6), corresponding to transitions between different energy levels, have different . However, since the most significant terms in (6) are those corresponding to transitions crossing the Fermi level, it is usually a good approximation to consider as constant and equal to its value for the strongest transition. Assume now that . For the magnetic field value of 1 T, used in this paper, eV, and the condition is thus largely satisfied if eV. Since and , then . This condition has two consequences. First, since for , resulting in . In most practical situations

903

Fig. 3. Graphene conductivity versus frequency computed from the exact expressions (6) (circles) and from the approximate expressions (7) (lines) for cm Vs, cm , T, and K.

eV, so that PHz. Therefore, all the results of this paper are derived by including only the intraband terms in (6). Second, the transition energies, and consequently the transition frequencies, between the Landau levels around 1 lie very close to each other since for . Combining this with the fact that the transitions between the levels around are the strongest ones, it can be shown that and follow the Drude model form [16] (7a) (7b) where (8) is the scattering is the dc conductivity of graphene, time, and is the cyclotron frequency. The latter corresponds to the difference between the levels and

(9) For the

values used in this paper, also implies ( eV at 300 K), and (8) subsequently simplifies to , where is the carrier density and is the mobility. Fig. 3 plots and versus frequency from (6) and (7) for T, cm Vs, cm , and K, corresponding to values widely used throughout this paper. Excellent agreement between the two models is observed. 1The

levels with

.

904

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

(11) (12) and The reflected and transmitted field amplitudes, can be found as functions of the incident field amplitude through the boundary conditions

,

(13a) (13b)

Fig. 4. Oblique incidence of a plane wave on a graphene sheet in free space . The polarization of the incident wave is biased with a static magnetic field rotated in the left-handed direction (assuming operation below ) with respect as it passes through graphene. to

where subscript denotes the transverse component (perpendicular to the -axis) of the field. These boundary conditions mathematically express the modeling of graphene as a 2-D material with a surface current density . As shown in the Appendix, the transverse components of a plane wave with wave vector satisfy the condition (14)

Note that the previously described conductivity model is valid only under the assumption of current versus electric field linearity. However, as shown in [18], the linear band-structure of graphene induces unusually strong current-field nonlinearity. Taking into account radiation decay, which is the primary decay factor in the problems investigated herein, the electric field in V/cm beyond which nonlinear effects become significant is [18], which would correspond to V/cm for the parameters used in this paper. This value is well above the air electrical breakdown limit, and therefore it may be safely asserted that nonlinear effects will be negligible. However, for smaller , in the order of 10 cm , decreases to around 300 V/cm. In this case, new frequency components would be generated as the wave passes through graphene and the conductivity model described above would not be valid anymore. Instead, Maxwell equations would have to be solved simultaneously with the equation of motion for electrons in the plane of graphene. III. GRAPHENE IN FREE SPACE Having determined an electromagnetic model for graphene, we now proceed to the investigation of plane wave incidence on a magnetically biased graphene sheet in free space. Although this problem is relatively simple, it provides a deep physical insight into the gyrotropic properties of graphene. The conclusions of this section will be used in Section V for the physical interpretation of the more practical configuration comprising one or multiple graphene sheets in a circular cylindrical waveguide. A. Plane Wave Incidence

where (15) Dot pre-multiplying (13b) by

and using (14), we get (16)

Solving (13a) for yields

and substituting the result into (16) then (17)

leading to the transmission dyadic (18) for transmission from medium 1 toward medium 2. A similar analysis can be performed for transmission from medium 2 toward medium 1 by substituting with in (10) and (15), which yields (19) As will be shown later for the case of normal incidence, this condition is equivalent to nonreciprocity. B. Particular Case of Normal Incidence ,

In the particular case of a normally incident plane wave and from (15). Then, (18) takes the form (20)

Consider a graphene sheet at the plane and biased with a static magnetic field . A plane wave impinges on the sheet from medium 1 toward medium 2 under an angle with respect to the -axis, as shown in Fig. 4. If and are the and components of the wave vector, respectively, the incident, the reflected and the transmitted electric fields are written as (10)

. The first term within where brackets in (20) corresponds to the component of the transmitted electric field that is co-polarized with the incident field. On the other hand, the second term within brackets in (20) corresponds to the component of the transmitted electric field that is cross-polarized with the incident field since for any vector . As may be seen in Fig. 3, and are real at frequencies well below the cyclotron resonance. Therefore, the

SOUNAS AND CALOZ: GYROTROPY AND NONRECIPROCITY OF GRAPHENE FOR MICROWAVE APPLICATIONS

905

Fig. 6. Reversal of the direction of cyclotron rotation resulting from reversal of the type of charge carriers. (a) Case of electrons carriers. (b) Case of hole carriers, where the transverse current has been reversed due to the reversal of the charge sign.

Fig. 5. Rotation angle (color plot in online version) [(21)] and transmission and for a normally incident amplitude (contour plot) [(20)] versus plane wave on a graphene sheet in free space for the parameters s( cm Vs for cm ), GHz, and K.

aforementioned co- and cross-polarized transmitted field components have the same phase, and the total transmitted field is linearly polarized with a polarization rotated by an angle (21) with respect to the incident field. The polarization rotation direction is left handed with respect to the -axis, as implied by the negative sign in front of the cross-polarized term in (20). Fig. 5 presents the rotation angle and the transmission amplitude versus and for a graphene sheet with s( cm Vs for cm ) at 30 GHz and K [8]. It is clear from (21) with (7) that depends on both and , indicating the existence of two degrees of freedom for controlling the amount of rotation in graphene. The following comments are in order regarding the -dependence. As , , for any value of . This is because the conductivity of graphene is then very small (a very small number of electrons exist in the conduction band) so that its interaction with electromagnetic waves is negligible, which is consistent with the fact that the transmission amplitude tends to unity as . As increases, also increases, up to a specific value, which depends on . As increases beyond this value, decreases, and eventually tends to 0 for very large , where the conductivity is very large and graphene behaves like a perfect electric conductor. As for the -dependence, the following comments can be made. The angle does not increase monotonically with at fixed , but increases monotonically as increases along curves of constant transmission amplitude. In the range of such that , increases linearly with , whereas in a range of such that , decreases with , as may be easily verified from (21) with (7) for and , respectively. The physical explanation of this behavior has to do with the evolution of cyclotron orbits with , whose ellipticity depends on a complex relation between the cyclotron period and the scattering time [19].

Fig. 7. Rotation angle, computed by (21), and axial ratio, computed from (20) plane wave on a and [21], versus frequency for a normally incident cm Vs , graphene sheet in free space, for the parameters cm ( s and eV), T, and K.

A unique property of gyrotropy in graphene is that controls also the direction of rotation, in addition to the amount of rotation, as illustrated in Fig. 6. Assume an initial positive , corresponding to a partially filled conduction band, and therefore to electron conduction. This situation is depicted in Fig. 6(a). Inverting , which is achieved by inverting an external electrostatic potential, depletes the conduction band and part of the valence band from electrons, leading to hole conduction. Since holes have an opposite charge than electrons, they produce an opposite transverse current, as shown in Fig. 6(b). Therefore, changes sign and, from (21), the wave polarization rotates in the opposite direction. This effect has a great practical interest since it provides an easy way to invert the direction of rotation, typically via a simple electrode [1]. This is much more convenient than having to invert a static magnetic field, as in the case of conventional gyrotropic media such as ferrites [20]. Fig. 7 presents and the axial ratio of the transmitted wave polarization versus frequency for cm Vs, cm ( s, eV), T, and K. It is observed that polarization rotation in graphene is an extremely broadband phenomenon below the cyclotron resonance, where the rotation angle is constant over the entire microwave range. Furthermore, is much larger below than above , a phenomenon attributed to the much larger ratio below than above , as seen in 3. On the other hand, the axial ratio is quite high (over 10 dB) far from , meaning that

906

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 8. Nonreciprocity in graphene. A wave normally impinging on graphene from medium 1 to medium 2 and transmitted back to medium 1 undergoes a total rotation angle of , where is the rotation angle for a single pass through graphene.

the transmitted wave is essentially linearly polarized. The minimum of the axial ratio close to can be understood as follows. Decomposing the incident linearly polarized wave into two circularly polarized (CP) waves with equal amplitudes and opposite handedness, one sees that the CP wave with the same handedness as the electron cyclotron motion interacts more with the material than the CP wave with the opposite handedness at (resonance). This induces an asymmetry in the amplitudes of the two CP transmitted waves, which increases the ellipticity of the polarization, and hence reduces the axial ratio. It was shown in the general case of oblique incidence that [see (19)]. In the case of normal incidence, this equality means that the wave polarization always rotates in the same direction with respect to the -axis, irrespectively to the propagation direction. In fact, what determines the rotation direction is the direction of , which has been taken here to coincide with the -direction. The physical consequence of this fact is that the system is nonreciprocal. In order to demonstrate this statement, consider a plane wave normally impinging on graphene from medium 1 toward medium 2, as shown in Fig. 8. The wave polarization is then rotated by an angle in the left-handed direction with respect to the magnetic field as the wave passes through the graphene sheet. Assume now that we reverse the propagation direction of that wave so that it now impinges on graphene from medium 2 toward medium 1. The polarization is still rotated by an angle in the left-handed direction with respect to the -axis since . Therefore, the polarization of the wave transmitted from medium 1 to medium 2 and then back from medium 2 to medium 1 has undergone an overall rotation of , which is a manifestation of nonreciprocity. C. Particular Case of Oblique Incidence for

and

Fig. 9. Wave vector and electric field components of an wave, propagating along the -axis, which forms an angle the -axis.

where pedances of the tensor (4) reads

and

polarized plane with respect to

and are the wave impolarized waves, respectively, and the

(23) Inserting (22) and (23) into (18) yields

(24) where . It has to be emphasized that relates only the transverse components of the transmitted and incident fields. Consider first the case of an -polarized incident wave. The electric field then lies in the -plane and it is parallel to the axis, which forms an angle with the -axis, as shown in Fig. 9. Assuming that the electric field is of unit amplitude, its transverse component in the unprimed coordinate system (of graphene) is . The transverse electric field of the transmitted wave is then found by operating (24) on , which yields

(25) The component of the transmitted field is found from Gauss law, , as . Therefore, the total transmitted field is

Waves

Let us now focus on two particular cases of oblique incidence, the (TM) and (TE) polarized waves with respect to the -axis. Without any loss of generality, let us set . The matrix formalism is most convenient to particularize (18) to these cases. In this formalism, the tensor (15) reads (22)

(26) with reference to Fig. 9. Since since the transmitted angle is equal to the incident angle, the rotation angle is then found from the ratio between the cross-polarized

SOUNAS AND CALOZ: GYROTROPY AND NONRECIPROCITY OF GRAPHENE FOR MICROWAVE APPLICATIONS

(or ) component and the co-polarized (or transmitted field as

907

) component of the

(27) In the -polarization case, the electric field has a single component parallel to the -axis. Assuming an incident electric field of unit amplitude, i.e., , the transverse transmitted electric field is found by operating (24) on , which yields (28) Determining the -component through Gauss law as in the -polarization case, the total transmitted electric field is again given by (26). Taking into account that the co- and cross-polarized components of the transmitted field are along the - and -axes, respectively, the rotation angle is found as

(29) Fig. 10 plots and the transmission amplitude versus and for and polarized waves obliquely incident on a graphene sheet with s under an angle of 45 at 30 GHz and K. Although the responses for the and waves, plotted at Fig. 10(a) and (b), respectively, are qualitatively similar to the response for normal incidence in Fig. 5, they are quantitatively different. Specifically, for the wave, is smaller than in the normal incidence case, while for the wave, is smaller than in the normal incidence case. Fig. 11 shows the variations of the rotation angle and of the transmission amplitude versus the angle of incidence for both the and waves. For waves, decreases and the transmission amplitude increases with increasing , while the opposite holds for waves. This behavior may explained as follows. For an wave (Fig. 9), the component of the electric field parallel to graphene progressively decreases as increases, and the field becomes completely perpendicular to the sheet as . Therefore, according to (3), the interaction between the wave and graphene is progressively reduced and eventually disappears. Consequently, progressively decreases and the transmission magnitude progressively increases since graphene becomes progressively invisible to the wave. For an wave, the electric field is completely parallel to graphene for all ’s, and therefore graphene always interacts with the wave. However, the amount of interaction depends on the angle of incidence. As increases, the density of incident wavefronts (i.e., the number of incident wavefronts per unit area) interacting with graphene

Fig. 10. Rotation angle (color plot in online version), computed by (29) for waves and by (27) for waves, and transmission amplitude (contour plot), computed by (26) with (25) for waves and with (28) for waves, versus and for obliquely incident plane waves on a graphene sheet in s( cm Vs for free space, for the parameters cm ), GHz, and K. (a) polarization case. polarization case. (b)

at each instant increases. As a result, mission amplitude decreases.

increases and the trans-

IV. GRAPHENE IN A CYLINDRICAL WAVEGUIDE The problem of plane wave incidence on a magnetically biased graphene sheet in free space analyzed in Section III provided a physical insight into the nonreciprocal gyrotropic properties of graphene. A more practical structure that may exploit these properties is a cylindrical waveguide loaded with one or multiple graphene sheets perpendicular to its axis. In the case of a single-layer discontinuity, mode matching is an ideal approach to use for analyzing such a problem. However, in the case of multiple discontinuities, this technique is impractical. For this reason, we develop here a -port transmission matrix model [22] for an arbitrary number of graphene sheets in a waveguide environment. This model reduces the treatment of multiple cascaded discontinuities to a simple matrix multiplication. This model will be used in Section V for the analysis of a circular waveguide loaded with an arbitrary number of graphene sheets.

908

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

is the inner product of two arbitrary vector functions and defined in . The transverse magnetic eigenfunctions are related to the electric ones through (35) The mode amplitudes are given by

and

appearing in (30)

(36a) (36b) Fig. 11. Rotation angle, computed by (29) for waves and by (27) for waves, and transmission amplitude, computed by (26) with (25) for waves and with (28) for waves, versus the angle of incidence , for the parameters cm Vs , cm ( s and eV), T, GHz, and K.

A. Transmission Matrix Model for a Cylindrical Waveguide Consider a cylindrical waveguide of axis and of arbitrary cross section . The transverse electric and magnetic fields in the waveguide may be expressed as linear superpositions of the transverse fields of the waveguide modes as [23]

where, for simplicity, the superscripts and have been omitted. In these equations, the plus and minus signs denote propagation in the - and -directions, respectively, is the mode propagation number and represents the characteristic impedances of the modes. Consider now a waveguide section of length . If the beginning of the section lies in the plane, (36) yield [24]

(37) Equation (37) may be written for all ’s in the compact matrix form

(30a) (30b) (38) where where and are the transverse electric and magnetic eigenfunctions, respectively, of the th mode, and and are the corresponding amplitudes. The transverse electric eigenfunction are expressed as

.. .

(39a)

.. .

(31a) (31b) where and tions of the equations

are scalar potentials, which are solu-

.. .

.. .

..

.

.. .

.. .

..

(39b) .

The orthogonal matrix in the right-hand side of (38) is the -port transmission matrix of the waveguide section. In the definitions of , , , and , the modes are assumed to precede the modes for later convenience.

(32a) (32b) where is the boundary of . The transverse electric eigenfunctions obey to the orthogonality conditions

B. Transmission Matrix Model for Graphene plane Consider now a graphene sheet placed in the inside the waveguide and biased with a static magnetic field . The boundary conditions in the graphene plane read

(33a)

(40a)

(33b)

(40b)

where Inserting (30a) into (40a) and applying (33), we get (34) (41)

SOUNAS AND CALOZ: GYROTROPY AND NONRECIPROCITY OF GRAPHENE FOR MICROWAVE APPLICATIONS

Similarly, inserting (30) into (40b), using (35) and applying (33) yields

909

Applying Stoke’s theorem to (49), we get (50) Similarly, (51)

(42a) because The term

. is given by

(42b) Equations (41) and (42) can be conveniently written in the matrix form

(52) Inserting (31) into (52), using the identity and the Green’s first identity,

(43a) (43b) (53)

where (44a)

However,

, and therefore, (54)

(44b) Equations (43a) and (43b) may be combined into the single equation

Solving (32) for yields

and substituting the result into (54)

(55)

(45) where and are the unit and zero matrices, respectively. The orthogonal matrix in the right-hand side of (45) is the transmission matrix for the graphene sheet in the waveguide. Substitution of (4) into (44) yields (46) where

Multiplying the conjugate of (32a) by and (32b) by , and then taking the difference between the resulting equations, we get (56) Applying the Green’s second identity to (56) and taking into account that , (57)

(47a)

Solving this equation for the surface integral and substituting the result into (54) yields (47b) Considering that

(58)

for any vector , Finally,

is automatically found as

(48) Inserting (31a) into (48) and using the identities and yields (49)

(59)

910

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

of the sheet. Specifically, the mode generates the modes with the potential functions

and

(63a)

(63b)

Fig. 12. Circular cylindrical waveguide loaded with an arbitrary number of graphene sheets perpendicular to its axis. The sheets are biased with a static . The polarization of an incident mode is rotated by magnetic field graphene.

V. CIRCULAR CYLINDRICAL WAVEGUIDE CASE The azimuthally symmetric structure of circular cylindrical waveguides makes them the most suitable waveguides for applications based on Faraday rotation, such as isolators and circulators [20]. In such a waveguide, any mode can be rotated by an arbitrary angle without having its propagation characteristics affected. In this section, the general cylindrical waveguide analysis of the previous section is applied to the particular case of a circular cylindrical waveguide loaded with one or more graphene sheets perpendicular to its axis. The structure is depicted in Fig. 12 for graphene sheets. In this figure, the waveguide is assumed to be excited in its dominant mode polarized along the -direction. A. Excitation and Conductivity Matrix

respectively. In (63), and are the th roots of and , respectively, and and . Therefore, the problem of incidence of the mode on graphene can be decomposed into two separate problems, the incidence of a mode and the incidence of a mode. The elements of the matrix, which is needed for the calculation of the conductivity matrix according to (46), are found by inserting (63) into (51) and (58), which yields (64a)

(64b)

for the

case and (65a) (65b)

for the

case.

B. Single Graphene Sheet

The potential function corresponding to an -polarized mode reads [25] (60)

Consider now a circular cylindrical waveguide loaded with a single graphene sheet. The transmission matrix through graphene for the excitation can be found by the standard to scattering matrix conversion formula [24] (66)

where is the first root of and is the radius of the waveguide. Since

, where

mode can be written as a superposition of the -polarized the and modes associated with the potential functions

, , and are the where graphene transmission matrix elements and is the graphene conductivity matrix in the excitation case. The first column of corresponds to the transmission amplitudes for all the modes upon excitation. Assuming mono-modal operation for the waveguide, only the dominant mode propagates. Its transmission amplitude is

(62a)

(67)

(61)

i.e., the element of . The transmitted field for excitation with the mode is then (62b) (68) respectively. As each of these modes impinges on graphene, scattered modes with the same azimuthal dependence are generated, as required by the phase matching condition at both sides

Fig. 13 plots with radius s,

and versus frequency for a waveguide cm and a graphene sheet with eV, T, and K over the

SOUNAS AND CALOZ: GYROTROPY AND NONRECIPROCITY OF GRAPHENE FOR MICROWAVE APPLICATIONS

Fig. 13. and versus frequency computed by (67) for a waveguide cm and a graphene sheet with s, with radius eV, T, and K over the frequency range between the cutoff frequencies of the dominant and the second mode.

frequency range between the cutoff frequencies of the dominant and the second mode. It clearly appears that and have essentially the same amplitude, which allows us to factor them out of (68) so as to obtain (69) where

and with . Considering that is proportional to , the term within brackets in (69) is proportional to rotated by the angle in the left-handed direction with respect to the -axis. Therefore, the rotation angle of the mode is (70)

An approximate closed-form expression for the rotation angle can be obtained by neglecting all the higher order modes in then takes the scalar form and subsequently in . (71) where . Substituting (71) into (66) yields the approximate transmission factor (72) Since is a scalar, it is equal to assuming unit excitation. The approximate rotation angle is then (73) The frequency dependence of the rotation angle and the transmission amplitude for a waveguide, computed exactly by (70) and (66) and approximately by (73) and (72), are plotted for a waveguide with cm and a graphene sheet with s, eV, T, and K in

911

Fig. 14. Frequency dependence of the rotation angle and the transmission amplitude for a waveguide, computed exactly by (70) and (66) and approximately cm and a graphene sheet with by (73) and (72) for a waveguide with s, eV, T, and K.

Fig. 14. In the same figure, the rotation angle and the transmission amplitude for normal incidence in free space, computed by (21) and (20), are also provided for comparison. The approximate expression (73) appears to be quite accurate. Fig. 14 shows that the rotation angle and transmission amplitude decrease and increase as frequency increases, respectively. This may be understood by noting that decreases as frequency increases in (73) and (72). At the mode cutoff frequency, and the rotation angle takes its maximum value (74) This behavior of in the waveguide environment is similar to that of an obliquely incident mode in free space, as expected considering that any waveguide mode can be decomposed into plane waves propagating under an angle with respect to the axis of the waveguide. An important difference between an mode in the waveguide and an plane wave in the free space is that as the frequency increases the rotation angle for the former tends to a value smaller than the rotation angle for normal incidence in free space. As revealed by (73), this phenomenon is due to the factor, which accounts for the transverse geometrical characteristics of the waveguide mode. A physical explanation can be given as follows. As the wave impinges on graphene, it produces a transverse current component, which is responsible for the polarization rotation. However, this current does not perfectly match the transverse pattern of the mode, and therefore only partially contributes to the transmitted field amplitude, thus providing a smaller rotation angle than in free space. C. Multiple Graphene Sheets Although the rotation angle provided by a single graphene sheet is huge considering the one-atom 0.34-nm thickness of graphene, it may be insufficient for practical applications. Therefore, we investigate the possibility of increasing this angle by using multiple sheets.

912

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

with a significant increase in the transmission amplitude (from 0.3 in the two-sheet case to 0.75 in the ten-sheet case). Notice that in the low case, the transmission amplitude for a single sheet is very high, more than 0.95, implying a good matching. Furthermore, the bandwidth of this configuration is enhanced with respect to the case of two graphene sheets. VI. CONCLUSIONS

Fig. 15. Frequency dependence of the rotation angle and the transmission amplitude for a waveguide, computed by (70) and (66) for a waveguide with cm and two graphene sheets with s, eV, T, and K and mm.

Fig. 16. Frequency dependence of the rotation angle and the transmission amplitude for a waveguide, computed by (70) and (66) for a waveguide with cm and multiple graphene sheets with s, eV, T, and K and mm.

Fig. 15 shows the rotation angle and the transmission amplitude for the case of two graphene sheets with s, eV, T, K, and a distance mm between them. The case of the single sheet case is also provided for comparison. Although the rotation angle increases by an amount of 6° in the two-sheet case, the transmission amplitude becomes very low (maximum value 0.3). This fact prohibits the introduction of additional sheets for higher rotation. The explanation of the very low transmission amplitude lies on the fact that for eV graphene is a very dense material (a huge number of electrons exist in the conduction band) so that it cannot be well matched to the wave impinging on it. In order to improve the matching, and subsequently, the transmission amplitude, a smaller must be used. This has been done in Fig. 16, which presents the rotation angle and the transmission amplitude for ten graphene sheets with s, eV, T, and K, and a distance mm between adjacent sheets. The case of the single-sheet case is also provided for comparison. A higher rotation angle (more than 30°) than in the two-sheet case is achieved

The problem of a circular cylindrical waveguide loaded with one or several graphene sheets has been investigated analytically via a generalized transmission matrix formulation. Graphene has been shown to significantly rotate the polarization of the incident dominant mode. The rotation angle is maximum at the cutoff frequency, decreases progressively as frequency increases, and tends to nonzero value at high frequencies. Considering that any waveguide mode consists of plane waves propagating obliquely with respect to the waveguide axis, the aforementioned behavior is qualitatively similar to that of an obliquely incident -polarized plane wave on graphene in free space. However, the asymptotic value of the rotation angle in the waveguide case is smaller than in the free space case, a fact attributed to the nonuniform transverse field amplitude of the mode. The problem of multiple graphene sheets, achieving larger rotation angles, has then been investigated. The transmission characteristics of the multiple-sheet waveguide configuration strongly depends on the number of graphene sheets and their carrier density. Although they individually provide large rotation angles, so as to lead to very large overall rotation angles, high-density sheets suffer from high transmission losses due to poor matching to the incident waves. This problem can be overcome by decreasing the carrier density of the sheets and increasing their number so as to maintain the same amount of rotation. The results presented in this paper show fundamental principles. The designs have not been optimized. Therefore, the performance of the structures presented herein for a specific value of the biasing magnetic field is worse than in existing ferrite-based devices. However, the large number of degrees of freedom (number of graphene sheets, distance between them, chemical potential of each graphene sheet, magnetic field) available suggest that much higher performance results may be achievable. Design procedures are currently being elaborated. Due to their extremely broadband response and their unique electric potential tunability, graphene-based gyrotropic waveguiding structures might find disruptive applications in microwave nonreciprocal components. Finally, the experimental validation of the proposed structures is feasible with existing fabrication technologies. Graphene growth techniques can indeed produce large graphene samples in the order of cm [26], [27], which is sufficient to entirely cover the waveguide cross section. Our team has already developed a technique for fabrication and transfer of graphene onto different substrates [28], [29] and a successful RF characterization of the first samples has already been performed [30]. The extracted conductivity values suggest that measurable rotation angles, in the order of several degrees, can be expected. Prototypes of the graphene-waveguide structures have already been fabricated and experiments are in progress.

SOUNAS AND CALOZ: GYROTROPY AND NONRECIPROCITY OF GRAPHENE FOR MICROWAVE APPLICATIONS

APPENDIX For a plane wave operator can be substituted by

and , the and Maxwell equations read

(75a) (75b) Equation (75a) and (75b) can be separated into their transverse parts

(76a) (76b) and their longitudinal parts

(77a) (77b) with respect to the -axis [23]. Solving (77a) for tuting the result into (76b), we get

and substi-

(78) Similarly, solving (77b) for (76a), we get

and substituting the result into

(79)

REFERENCES [1] A. K. Geim and K. S. Novoselov, “The rise of graphene,” Nature Mater., vol. 6, pp. 183–191, 2007. [2] P. R. Wallace, “The band theory of graphite,” Phys. Rev., vol. 71, no. 9, pp. 622–634, May 1947. [3] K. S. Novoselov, A. K. Geim, S. V. Morozov, D. Jiang, Y. Zhang, S. V. Dubonos, I. V. Grigorieva, and A. A. Firsov, “Electric field effect in atomically thin carbon films,” Science, vol. 306, pp. 666–669, 2004. [4] Y.-M. Lin, K. A. Jenkins, A. Valdes-Garcia, J. P. Small, D. B. Farmer, and P. Avouris, “Operation of graphene transistors at gigahertz frequencies,” Nano Lett., vol. 9, pp. 422–426, 2009. [5] H. Wang, D. Nezich, J. Kong, and T. Palacios, “Graphene frequency multipliers,” IEEE Electron Device Lett., vol. 30, no. 5, pp. 547–549, May 2009. [6] K. S. Kim, Y. Zhao, H. Jang, S. Y. Lee, J. M. Kim, K. S. Kim, J.-H. Ahn, P. Kim, J.-Y. Choi, and B. H. Hong, “Large-scale pattern growth of graphene films for stretchable transparent electrodes,” Nature, vol. 457, pp. 706–710, 2009. [7] A. H. C. Neto, F. Guinea, N. M. R. Peres, K. S. Novoselov, and A. K. Geim, “The electronic properties of graphene,” Rev. Mod. Phys., vol. 81, pp. 109–162, 2009. [8] A. S. Mayorov, R. V. Gorbachev, S. V. Morozov, L. Britnell, R. Jalil, L. A. Ponomarenko, P. Blake, K. S. Novoselov, K. Watanabe, T. Taniguchi, and A. K. Geim, “Micrometer-scale ballistic transport in encapsulated graphene at room temperature,” Nano Lett., vol. 11, no. 6, pp. 2396–2399, 2011. [9] S. A. Mikhailov and K. Ziegler, “New electromagnetic mode in graphene,” Phys. Rev. Lett., vol. 99, 2007, Art. ID 016803.

913

[10] G. W. Hanson, “Dyadic Green’s functions for an anisotropic, non-local model of biased graphene,” IEEE Trans. Antennas Propag., vol. 56, no. 3, pp. 747–757, Mar. 2008. [11] A. Vakil and N. Engheta, “Transformation optics using graphene,” Science, vol. 332, no. 6035, pp. 1291–1294, 2011. [12] A. Y. Nikitin, F. Guinea, F. J. Garcia-Vidal, and L. Martin-Moreno, “Fields radiated by a nanoemitter in a graphene sheet,” Phys. Rev. B, Condens. Matter, vol. 84, 2011, Art. ID 195556. [13] D. L. Sounas and C. Caloz, “Electromagnetic non-reciprocity and gyrotropy of graphene,” Appl. Phys. Lett., vol. 98, 2011, Art. ID 021911. [14] D. L. Sounas and C. Caloz, “Gyrotropy and non-reciprocity of graphene for microwave applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Baltimore, MD, Jun. 2011, pp. 1–4. [15] I. Crassee, J. Levallois, A. L. Walter, M. Ostler, A. Bostwick, E. Rotenberg, T. Seyller, D. van der Marel, and A. B. Kuzmenko, “Giant Faraday rotation in single- and multilayer graphene,” Nature Phys., vol. 7, pp. 48–51, 2011. [16] V. P. Gusynin, S. G. Sharapov, and J. P. Carbotte, “On the universal ac optical background in graphene,” New J. Phys., vol. 11, 2009, Art. ID 095013. [17] R. Kubo, “Statistical-mechanical theory of irreversible processes. i. general theory and simple applications to magnetic and conduction problems,” J. Phys. Soc. Japan, vol. 12, no. 6, pp. 570–586, 1957. [18] S. A. Mikhailov and K. Ziegler, “Nonlinear electromagnetic response of graphene: Frequency multiplication and the self-consistent-field effects,” J. Phys., Condens. Matter, vol. 20, 2008, Art. ID 384204. [19] C. Kittel, Introduction to Solid State Physics. New York: Wiley, 2005. [20] B. Lax and K. J. Button, Microwave Ferrites and Ferrimagnetics. New York: McGraw-Hill, 1962. [21] C. Balanis, Antenna Theory. New York: Wiley, 1997. [22] P. R. Clayton, Analysis of Multiconductor Transmission Lines. New York: Wiley, 2008. [23] L. B. Felsen and N. Marcuvitz, Radiation and Scattering of Waves. Piscataway, NJ: IEEE Press, 1994. [24] D. M. Pozar, Microwave Engineering. New York: Wiley, 2009. [25] R. E. Collin, Field Theory of Guided Waves. New York: IEEE Press, 1990. [26] K. V. Emtsev, A. Bostwick, K. Horn, J. Jobst, G. L. Kellogg, L. Ley, J. L. McChesney, T. Ohta, S. A. Reshanov, J. Röhrl, E. Rotenberg, A. K. Schmid, D. Waldmann, H. B. Weber, and T. Seyller, “Towards wafer-size graphene layers by atmospheric pressure graphitization of silicon carbide,” Nature Mater., vol. 8, pp. 203–207, 2009. [27] S. Bae, H. Kim, Y. Lee, X. Xu, J.-S. Park, Y. Zheng, J. Balakrishnan, T. Lei, H. R. Kim, Y. I. Song, Y.-J. Kim, K. S. Kim, B. Özyilmaz, J.-H. Ahn, B. H. Hong, and S. Iijima, “Roll-to-roll production of 30-inch graphene films for transparent electrodes,” Nature Nanotechnol., vol. 5, pp. 574–578, 2010. [28] W. Strupinski, K. Grodecki, A. Wysmolek, R. Stepniewski, T. Szkopek, P. E. Gaskell, A. Gruneis, D. Haberer, R. Bozek, J. Krupka, and J. M. Baranowsk, “Graphene epitaxy by chemical vapor deposition on SiC,” Nano Lett., vol. 11, pp. 1786–1791, 2011. [29] A. Guermounea, T. Charia, F. Popescua, S. S. Sabrid, J. Guillemetted, H. S. Skulason, T. Szkopek, and M. Siaz, “Chemical vapor deposition synthesis of graphene on copper with methanol, ethanol, and propanol precursors,” Carbon, vol. 49, pp. 4204–4210, 2011. [30] H. S. Skulason, H. V. Nguyen, A. Guermoune, V. Sridharan, M. Siaj, C. Caloz, and T. Szkopek, “110 GHz measurement of large-area graphene integrated in low-loss microwave structures,” Appl. Phys. Lett., vol. 99, 2011, Art. ID 153504.

Dimitrios L. Sounas (M’11) was born in Thessaloniki, Greece, in September 1981. He received the Diploma degree in electrical and computer engineering and Ph.D. degree from the Aristotle University of Thessaloniki (AUTH), Thessaloniki, Greece, in 2004 and 2009, respectively. In August 2010, he joined the École Polytechnique of Montréal, Montréal, QC, Canada, as a Post-Doctoral Fellow. His research interests include analytical and numerical techniques in electromagnetics, metamaterials, and graphenebased structures.

914

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Christophe Caloz (S’00–A’00–M’03–SM’06–F’10) received the Diplôme d’Ingénieur en Électricité and Ph.D. degree from the École Polytechnique Fédérale de Lausanne (EPFL), Lausanne, Switzerland, in 1995 and 2000, respectively. From 2001 to 2004, he was a Postdoctoral Research Engineer with the Microwave Electronics Laboratory, University of California at Los Angeles (UCLA). In June 2004, he joined the École Polytechnique of Montréal, Montréal, QC, Canada, where he is currently a Full Professor, a member of the Poly-Grames Microwave Research Center, and the Holder of a Canada Research Chair (CRC). He has authored or coauthored over 360 technical conference, letter and journal papers, three books, and eight book chapter. He is a member of the Editorial Board of the International Journal of Numerical

Modelling (IJNM), the International Journal of RF and Microwave ComputerAided Engineering (RFMiCAE), the International Journal of Antennas and Propagation (IJAP), and the journal Metamaterials of the Metamorphose Network of Excellence. He holds several patents. His research interests include all fields of theoretical, computational, and technological electromagnetics engineering with a strong emphasis on emergent and multidisciplinary topics. Dr. Caloz is a member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Technical Committees MTT-15 (Microwave Field Theory) and MTT-25 (RF Nanotechnology). He is a speaker of the MTT-15 Speaker Bureau, and the chair of the Commission D (Electronics and Photonics) of the Canadian Union de Radio Science Internationale (URSI). He was the recipient of several awards, including UCLA Chancellor’s Award for Post-Doctoral Research in 2004 and the IEEE MTT-S Outstanding Young Engineer Award in 2007.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

915

Nonperiodic Perturbations in Periodic RF Structures Vadim Jabotinski, Member, IEEE, David Chernin, Khanh T. Nguyen, Member, IEEE, Thomas M. Antonsen, Jr., Fellow, IEEE, and Baruch Levush, Fellow, IEEE

Abstract—This paper presents analysis of the effects of nonperiodic perturbations in periodic RF structures. Small departures from periodicity change the phase shift experienced by a wave as it traverses an imperfect cell. Cell imperfections may be introduced intentionally or due to fabrication errors or material properties variations. The cumulative effect produces distortions of the fields. If the errors are large enough, the structure modes become localized. This is the first demonstration of field localization in a periodic RF structure. Mechanisms, theory, and analytical relations describing the field distortion and localization phenomena are discussed. The results are demonstrated in periodic structures being investigated for submillimeter wave extended interaction klystrons. In an ancillary result, we discover a fundamental limitation of the finite element as well as other mesh-based codes when applied to long periodic structures. Index Terms—Distortion, field, localization, periodic structure, perturbations, phase errors, spatial harmonics. Fig. 1. Schematic cutaway view of an example extended interaction klystron cavity. The ladder consists of periodically placed slots in a metal sheet. The slots form interaction gaps for the electron beam.

I. INTRODUCTION

T

HE theory of perfectly periodic RF structures has been extensively developed over many years, motivated largely by the numerous applications of periodic structures, such as filters, antennas, linacs, and slow and standing wave circuits [1]–[3]. Of course the practical realization of periodic structures is never perfect due to fabrication tolerances and variations in material properties from cell to cell. Variations in the cells may also be intentionally introduced in order to tailor the structure properties for a specific application. In the perfectly periodic case, the geometry and composition of a single unit cell determines the dispersive properties of a sequence of identical cells; these properties are also affected by the characteristics of the terminations of a finite length structure.

Manuscript received December 09, 2011; accepted December 27, 2011. Date of publication February 23, 2012; date of current version April 04, 2012. This work was supported by the Office of Naval Research and Defense Advanced Research Projects Agency under Contract HR0011-09-0063. V. Jabotinski and K. T. Nguyen are with Beam-Wave Research, Bethesda, MD 20814 USA, and also with the Naval Research Laboratory, Washington, DC 20375 USA (e-mail: [email protected]; [email protected]). D. Chernin is with the Science Applications International Corporation, McLean, VA 22102 USA, and also with the Naval Research Laboratory, Washington, DC 20375 USA (e-mail: [email protected]). T. M. Antonsen, Jr. is with the Institute for Research in Electronics and Applied Physics, University of Maryland at College Park, College Park, MD 20742 USA, and also with the Naval Research Laboratory, Washington, DC 20375 USA (e-mail: [email protected]). B. Levush is with the Naval Research Laboratory, Washington, DC 20375 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2184138

In this paper, we discuss the effects of nonperiodic perturbations to explain how they affect the wave propagation in imperfectly periodic waveguiding structures. We have observed in extensive modeling and simulation studies of “imperfect” or “nearly periodic” structures that relatively small dimensional variations may cause large spatial distortion of the electromagnetic field; in particular, we have found that the cumulative effect of many small imperfections can produce localization of the fields. Cumulative effects of the structure errors result in field distortion and localization, as discussed in Sections II–V. Section II presents results of the modeling studies of nonperiodic perturbations that demonstrate the effects of the field distortion and localization and describe the modes of the electromagnetic field in RF structures that are nearly, but not perfectly periodic. Some of these findings were discussed in our recent talk [4]. Section III discusses mechanisms, theory, and analysis of the field distortion and localization phenomena. In Section IV we also illustrate a problem caused by the use of nonperiodic grids in finite element simulations of a periodic structure. II. EFFECTS OF DISORDER PERTURBATIONS A. Field Distortion and Localization in Periodic Structures With Random Dimensional Variations Example periodic waveguiding structure of a cavity used in certain types of an extended interaction klystron, EIK, for the terahertz frequencies is shown in Fig. 1. The cavity essentially consists of a series of interaction gaps in the ladder and beam tunnel for an electron beam to propagate through. The beam energy is chosen so that the electrons travel with approximately the phase velocity of the electromagnetic wave supported by

U.S. Government work not protected by U.S. copyright.

916

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 2. Field distortion and localization in the 17-cell EIK cavity at -point obtained for different values of the variation coefficient of the gapwidths.

Fig. 3. Model geometry of the EIK periodic structure. The period length (gap-to-gap distance) is 133.5 m. Cross-sectional view to the right shows the -frequency and is width of the interaction gap. This width determines the the most critical structure property to the errors.

the circuit, thereby ensuring an efficient interaction between the beam and the cavity mode. One common choice for cavity mode is the -mode for which the structure electric field reaches its maxima inside each gap. The frequency of the -mode is largely determined by the gapwidth (long direction transverse to the beam tunnel). It is consequently important to consider the effects of perturbations in the gapwidths from cell to cell, e.g., due to fabrication tolerances, on the cavity mode fields and frequencies. The field profiles in Fig. 2 demonstrate impact of variations in the gapwidth on the EIK cavity eigenfields at -point. The model geometry is presented in Fig. 3. To carry out the analysis we have randomly generated realizations for the gapwidths assuming Gaussian distribution with the mean value or m and the variable variance with the corresponding variation coefficient defined as a ratio . “Realization” here is a set of the gapwidths that populate the structure and subscript refers to the structure property being considered on variations such as the gapwidth in the present discussion. We then calculated the structure fields using the 3-D finite element electromagnetic simulation code ANALYST [5]. We observe that the 0.1% m gapwidth variations cause distinct field distortion as compared to the perfect

Fig. 4. Effect of gapwidth realizations at 1% variation coefficient of the gapm. widths, which corresponds to standard deviation

Fig. 5. 3-D with, Fig. 4.

-field magnitude plots for the structure without, , and , perturbations corresponding to the field profiles displayed in

structure with and larger variations result in localization. We call “field distortion” the changes in the structure field pattern due to perturbations, for example, variations in the gapwidth. “Localization” is a stronger degree of the distortion when the field is dumped as a function of axial distance within the structure. We will show that the field distortion and localization are caused by different physical mechanisms and are described by different equations. Distorted field patterns like those in Fig. 2 may greatly reduce the interaction efficiency between the electron beam and the cavity fields. Correspondingly, the implications for fabrication tolerances for these micro-fabricated structures are significant. Different realizations of the structure gapwidths produce similar degree of field distortion as seen in Fig. 4 with the corresponding 3-D -field plots shown in Fig. 5. It is noteworthy that although the localization position may vary for different realizations it tends to cluster toward the structure edges. The effect of the errors on the structure dispersive properties is shown in Fig. 6. We find that the greatest changes occur at highly nonlinear portions of the dispersion characteristic, circled areas in Fig. 6. As seen in Fig. 7 variations in the gapwidth result in the shift of the -frequency that is also quite significant.

JABOTINSKI et al.: NONPERIODIC PERTURBATIONS IN PERIODIC RF STRUCTURES

917

Fig. 8. Strong localization as operating point , frequency branch (2) in Fig. 6, is in the nonlinear region of the dispersion characteristic.

that are coefficients in the power series expansion for the frequency shift versus the phase deviation around (2)

Fig. 6. Dispersion diagram of the 17-cell structure considered for operation -point at 673.4 GHz. The slope of the beam Doppler line correnear the sponds to the beam electrons in the structure accelerated to the energy of 24.6 keV, at which the velocity of the beam electrons equals to the phase velocity of mode at 673.4 GHz as needed for the beam to wave interthe considered action to occur. Circled areas show highly nonlinear portions of the dispersion characteristic that are most sensitive to the dimensional variations.

Fig. 7. Effect of the gapwidth variations on the -frequency. Vertical dotted lines show the scatter range in the eigenfrequency values obtained for different realizations of a given variation coefficient , varied from 0 to 2%, which corm. The solid blue line (in online version) shows the responds to average frequency calculated by averaging over all realizations for each value of the variation coefficient.

The following (1) shows analytical solution for such frequency shift . The derivation details are in Section III

(1)

where is the eigenfrequency sensitivity to perturbation, , is the perturbing property (gapwidth in the present consideration), is the operating point phase advance angle, and and are the characteristics of the dispersion at the -point

In case of we consider the dispersion is highly nonlinear as opposed to the linear character at . The top two expressions in (1) describe the frequency shift in case of the highly nonlinear dispersion, circled areas in Fig. 6, and the last formula is for the near to linear dispersion, which can take place approximately in the middle of a passband. The , , and values needed to calculate the frequency shift can be obtained from the eigensolutions of the perfect structure. For the example structure shown in Fig. 3 these values for the vicinity of the -point at 673.4 GHz are as follows: GHz m, GHz rad, GHz rad . Then (1) describes the linear frequency decrease with the gapwidth variations, which is in full agreement with the computer simulations shown in Fig. 7. Equation (1) as well as its general form derived in Section III is applicable to any types of RF circuits at any operating point on the dispersion curve. The effects of the structure dispersive properties such as nonlinear or linear dispersion character are illustrated in Figs. 8 and 9. For the -point at 673.4 GHz where the dispersion is highly nonlinear GHz rad GHz rad one can notice strong localization at 2.42- m gapwidth variation, , Fig. 8. Furthermore for another point on the dispersion characteristic, for instance, the -point at 683 GHz where the dispersion has both linear and nonlinear term GHz rad GHz rad the same 2.42- m gapwidth variation tends to produce considerably smaller distortion of the structure field as seen in Fig. 9. Fig. 10 shows changes in the structure at the –point at 673.4 GHz due to gapwidth variations. The values provide an important quantitative measure of how significantly the field distortion impacts the beam-wave interaction and thus the klystron performance characteristics such as the output power, gain, and efficiency. Here is the shunt impedance, is the quality factor, and is defined as follows:

918

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 9. Considerably reduced field distortion vs. the -point as operating point , frequency branch (2) in Fig. 6, is in the mixed region where the dispersion characteristic has both linear and nonlinear term.

Fig. 10. Gapwidth variations cause significant degradation in because of the field distortion and localization (Figs. 2 and 8) which consequently affects the beam-wave interaction and the cavity performance characteristics such as the output power, gain, and efficiency.

Fig. 11. Model geometry of an example (top) linac type periodic structure, (middle) dispersion diagram, and (bottom) field profiles for the perfect, , and perturbed structure with 1% gapwidth variations.

where is the axial component of the electric field along the structure axis such as plotted in Figs. 2 and 8, is the structure length, is the time, and is the stored energy. Below in Figs. 11 and 12 are two more examples for periodic structures other than the EIK type in Fig. 3. For comparison purpose the structure models use the same realization of the gapwidths as the above EIK. The examples demonstrate effects of perturbations on the field distortion and localization and the dispersive properties. A periodic structure considered in Fig. 11 can be a base for standing-wave and slow-wave linacs or bandpass waveguide filters. A folded periodic structure shown in Fig. 12 can be a base for RF amplifiers and coherent microwave sources. The results are scalable that is if the structure dimensions to scale up by some factor, all the effects and properties such as dispersion, field patterns, distortion, and localization shown in Figs. 11 and 12 occur at the frequencies scaled down correspondingly by the same factor. III. MECHANISMS OF FIELD DISTORTION AND LOCALIZATION Based on the modeling studies we can consider that, in general, nonperiodic perturbations, e.g., dimensional/size errors, cause the phase variations that in turn affect the structure electromagnetic field resulting in the field distortion and localization. Diagrams in Fig. 13 illustrate the concept of how the disorder perturbations give rise to the phase errors . The perturbations cause corresponding spread in the resonant frequency . When projected onto the dispersion characteristic, the frequency spread results in the phase advance angle variation, with

Fig. 12. Model geometry of (top) an example folded type periodic structure, (middle) dispersion diagram, and (bottom) field profiles for the perfect, , and perturbed structure with 1% gapwidth variations.

variance , which is the source for the structure phase errors. For the near to -point operation, where the dispersion is highly nonlinear, the perturbations produce the largest phase error, which also gets the probability distribution nonsymmetrical. In contrast, if operating in the linear dispersion region, the

JABOTINSKI et al.: NONPERIODIC PERTURBATIONS IN PERIODIC RF STRUCTURES

919

Consider next a group of consecutive cells of a perfectly periodic structure, where can be any finite number. If we consider this group of cells to be the period of the structure, we can write the wavenumber of the th spatial harmonic as (5) If the cells are not identical, we conjecture that the effective wavenumber of the th spatial harmonic is still given by (5), with the following substitutions: (6) (7) where ,

is the phase shift produced by cell number of length is the cell number at , and is the structure length, . From (6) and (7) we obtain (8)

Fig. 13. Effect of perturbations on the phase errors in periodic waveguiding structures.

phase error is much smaller and maintains the probability distribution symmetrical, similar to the original dimensional error distribution. In addition, the perturbations may affect the entire dispersion characteristic (upper right graph in Fig. 13) due to frequency shifts that have been modeled and shown in Figs. 5 and 6 and (1) above. In the following text we describe how the departures from periodicity effect on the structure electromagnetic field and the eigenfrequencies.

or in terms of average values (9) where and are the average phase shift and length for one cell over the axial distance incorporating the cells. We now introduce the deviations in phase and cell length from their central values and (the phase shift and length of the perfect cell), respectively, (10) (11)

A. Electromagnetic Field in Structures With Perturbations Effective Wavenumber in Nearly Periodic Structures

Then using (9)–(11) find the wavenumber substitution for (1)

Floquet’s theorem expresses the electromagnetic field of an eigenmode in an infinite perfectly periodic RF structure as a sum over an infinite number of spatial harmonics. For example, if we consider a generic RF structure that is periodic with period in axial coordinate , the electric field of a mode oscillating at angular frequency may be written as a function of cylindrical coordinates and time as

or

(12)

(3) where is the spatial harmonic amplitude and gitudinal wavenumber of spatial harmonic

is the lon-

(4) where is the fundamental mode wavenumber, , and is the phase shift per cell, which depends on the angular frequency , satisfying .

(13) where rating

is the cumulative phase error over the path incorpocells (14) (15)

and is the wavenumber of the perfect structure. These two quantities and fully characterize the departures from perfect periodicity in our theory. It is worthwhile to note that the average cell length commonly will approach its central value for long structures and therefore since the cells length typically has symmetrical distribution around its arithmetic mean value.

920

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

1) Spatial Modulation of the Field by Phase Errors: Structures consisting of a finite number of nearly identical cells support standing waves consisting of a superposition of forward and backward traveling waves. In a case in which there is no dissipation on the circuit, obtain the axial field on axis by solving the Maxwell’s equations and using the Floquet’s series (3) with the effective wavenumber from (13)

(16) are the expansion harmonic coefficients. Presence of where in (16) indicates that the cumulative phase error produces spatial modulation of the structure field. In particular, for the and operating modes (14) yields

the cumulative phase error while the second term becomes negligible. For a finite length structure, the central limit theorem adds the second term to (18) that takes into consideration “slow” statistical convergence to the average. This second term may become dominating at the smaller numbers and the greater phase error variations . The first term describes field distortion, which occurs over larger number of the structure cells. The second term describes field localization, which explains the rapid drop in the structure field magnitude from the peak to almost zero levels over smaller number of cells. Since the second term introduces the random coefficient and thus uncertainty, we shall consider the obtained then cumulative phase error from (18) with the reference to a certain probability. Presenting the cumulative phase error as a sum of two terms (18) and specifying these terms is one of the major findings of the presented theory. C. Field Distortion Using (2) express the phase error through the perturbation, e.g., dimensional error, Appendix I (21)

. (17) Equation (17) explicitly shows that for the and operating modes, the departures from perfect periodicity result in spatial, or axial, modulation of the field, following to the common factor of .

where and . Then obtain the cumulative phase error due to distortion as described by the first term in (18) and determined by (19) in the form (22) where

B. Cumulative Phase Error Cumulative phase error determines a degree to which perturbations affect the structure electromagnetic field. The cumulative phase error is a random function given by a sum of random variables of phase errors of individual cells (14). The cumulative phase error is the key function to study the properties on the disorder effects. Applying the central limit theorem [6], present in the form

(23) , , , and is a non-zero/infinity function that can be chosen arbitrary for convenience. For example

(18)

and then

, is the standard normal random Here variable coefficient, and and are the phase error arithmetic mean and variance, defined as follows:

linear dispersion region mixed dispersion nonlinear dispersion (band edge).

(19)

(24)

(20) and the phase error quadratic mean

Note that here (25)

Note that representation (18) is valid for any distribution of the phase error, which has an existing variance. In the case of an infinite structure, , the first term in sum (18) describes

For the above determined values, and are two disorder invariants that fully describe the distortion process. These invariants in turn are determined by the parameters and . Referring to a physical content, presents a measure of the disorder, characterizes the degree of perturbations relative

JABOTINSKI et al.: NONPERIODIC PERTURBATIONS IN PERIODIC RF STRUCTURES

921

Fig. 15. Cumulative phase error due to distortion. Dot marker refers to the conm (1%) varisidered -point at 673.4 GHz displayed in Fig. 6 for . ations in the gapwidth. Two curves correspond to different values of Fig. 14. Universal function for the cumulative phase error due to distortion.

to the wave group velocity, and relates to the structure susceptibility to the perturbations. Using power series expansions of integral (23) from Appendix II obtain asymptotic estimates for non-linear dispersion larger (26) near-to-linear dispersion . smaller Asymptotic estimates (26) allow evaluation for the structure length in the extreme cases of nonlinear and near to linear dispersion so to keep and thereby the field decrease due to distortion within a given tolerance level . In particular, for the and operating modes with the respect of the spatial harmonics series expansions (17) obtain

Fig. 16. Structure length limitation due to distortion. Two curves correspond . to different values of

nonlinear dispersion near-to-linear dispersion. (27) For the -point at 673.4 GHz for the structure in Fig. 3, m and , (27) yields the length , which is consistent with the computed field profiles in Fig. 2. Fig. 14 displays a universal function that describes the cumulative phase error (22) due to distortion in the , invariants. For numerical integration we used a transformed form of (23) shown in Appendix III to avoid singularities. The next plots in Figs. 15 and 16 are extracted from the universal function and explicitly show the cumulative phase error and the structure half-length, respectively, the major characteristics of distortion. The zero phase error in Fig. 15 and singularity points in Fig. 16 correspond to a transition position between the nonlinear and linear regions on the dispersion curve where the expected phase error vanishes (zero of the universal function in Fig. 14 at ) as opposed to the nonzero variance . We observe also that at linear dispersion the phase error and thus the field distortion are reduced to zero. Fig. 17 shows the disorder values for the example structure in Fig. 3 determined for the modes in the frequency branch (2) at

Fig. 17. Disorder invariant calculated for the structure modes in the frequency branch (2). The structure model and its dispersion diagram are given in the above Figs. 3 and 6, respectively.

m. The results show the modes including those with closer to 1.846, which are to have the reduced field distortion as described by the first term in (18). However the total cumulative phase error (18) can remain significant because of the nonzero variance that gives rise to the field localization described by the second term in (18) and discussed in Sections IV and V. D. Field Localization Write (18) in the form (28)

922

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 18. Variation coefficient of the phase error.

where the phase error variation coefficient (29) For the phase error quadratic mean (21)

Fig. 19. Localization length. Dot marker refers to the considered -operating point at 673.4 GHz (Fig. 6) for the example structure shown in Fig. 3 at m variations in the gapwidth. The derived localization length is consistent with the computed field profiles shown in Fig. 4.

, obtain from (20) and

(30) where

(31) Then determine the ratio (32)

Fig. 19 displays localization length calculated from (35). It shows stronger localization at larger phase errors both and and predicts the localization threshold. We define the localization threshold is at the localization length . For the localization weakens toward distortion. For the localization length becomes comparable with the structure period and shorter, which changes the localization regime to multiple localization, when more than one localization clusters are formed over few structure periods. From (35) find a criterion for the localization threshold using and substituting by and by , where a mark indicates the corresponding threshold values (37)

with the asymptotic limits nonlinear dispersion, larger linear dispersion, smaller

(33) .

For the extreme cases of nonlinear and near to linear dispersion, (37) leads to the following derived requirements for the multiple localization to occur

In these notations the phase error variation coefficient (34) It is plotted in Fig. 18. The singularity points correspond to the zeros of the universal function shown in Fig. 14. 1) Localization Length: Obtain the localization length from (28) using , to cover the interval and designating as (35) Taking into account the random nature of respect of the probability for use in (35)

obtain with the (36)

where is the probability that the localization length is not greater than that given by (35) with (36) and the designates the inverse error function.

nonlinear dispersion

(38)

near-to-linear dispersion

(39)

For the example structure shown in Fig. 3 with the dispersion properties given in Fig. 6 and the selected -operating point at 673.4 GHz, (38) yields the multiple localization condition GHz rad at while the actual value is greater, GHz rad . This comparison illustrates that in order to satisfy the multiple localization constraints one of the possibilities for such prospective structure would be to have much narrower passband, e.g., at the higher frequency branches, as compared to the present example. 2) Contribution of Localization Into the Total Perturbation Effect: Second term in parenthesis in (28) describes the localization contribution to the cumulative phase error relative to

JABOTINSKI et al.: NONPERIODIC PERTURBATIONS IN PERIODIC RF STRUCTURES

923

Fig. 20. Distortion over spatial modulation wavelength.

Fig. 21. Total ladder impedance calculated directly, solid line, and from models and . (44), dashed line, and (47), almost perfect fit at

the distortion contribution. Introducing the spatial modulation wavelength and substituting by obtain from (28)

where

is the normalization coefficient selected to satisfy (45)

(40) where is the spatial modulation wavelength due to both distortion and localization and is due to distortion only the wavelength at . From here the ratio describing contribution of the localization into the total effect of perturbations is as follows distortion localization. (41) Using

that is (46) The more accurate model considers a ladder with series impedances separating the parallel impedances. The parallel impedances correspond to the interaction gaps and series impedances represent the total effect of the coupling cavities and beam tunnel. Then present the weight function in a general form

from (36) obtain

(47) (42)

is the probability that the localization contribution into Here the total perturbations effect will be not smaller than that given by (40). Fig. 20 illustrates the results calculated at and with the respect of the correlation factor . 3) Correlation: The correlation occurs due to coupling between the structure cells and results in additional increase in the phase error variations and thereby causes stronger localization. We shall consider the cell wave admittances for the phase error calculations, Appendix IV. The admittance for the cell number in the structure of cells with the respect of coupling can be determined by a linear combination (43) is the admittance weight function that shows what where fraction of the cell admittance is coupled to cell . Here the cells and are called analyzing and contributing, respectively. For the simplest model of a periodic coupled structure such as a ladder of parallel impedances the weight function obviously yields (44)

where the normalization coefficient (48) and

and

are the variable parameters. Conceptually , where is the infinite ladder impedance, and takes into account the finite number of cells. In particular case of this model (47) reproduces model (44). Fig. 21 shows the impedance calculated for the ladder with directly and using models (44) and (47). The dashed line shows the model (44) data that also correspond to model (47) at and . Besides, if optimized model (47) gives almost perfect fit at and . In further analysis we will apply model (47) with and , i.e., model (44), and then consider effects due to other possible values of and such as those in Fig. 21. The weight function plots calculated with and for different axial positions for 17-cell ladder are shown in Fig. 22. As the weight function is determined, the phase error of the cell with the respect of coupling is given by a linear combination (49)

924

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 22. Weight function of 17-cell ladder.

Fig. 23. Correlation factor for different weight function parameters.

Then the cumulative phase error becomes instead of (14)

where angle brackets defined as, e.g., for

denote the expected value operator

(50) and applying the central limit theorem obtain instead of (18)

With the respect of (49) find for the first term in (54) (55)

(51) where and are the phase error arithmetic mean and variance with the respect of coupling defined as follows:

(53)

and For the second term in (54) the combinations of can have non-zero contribution into the average, which is described by the correlation coefficient for linear regression and with the account that all cells, if without correlation, have the same phase error standard deviation , the correlation coefficient is given by a ratio

and the phase error quadratic mean with the respect of coupling

(56)

(52)

This relation is valid for Gaussian distribution. For other distribution types it can remain an estimate. Using (55) and correlation coefficient (56) find from (54) the correlation factor From (49) and (52) (57) For the considered weight function model from (57) obtain . Fig. 23 shows how parameters and effect on the correlation factor. For example, for model (47) with optimized parameters and , .

that with the respect of (45) becomes and and thereThe latter shows that coupling does not change fore has no effect on distortion. Coupling however effects on and localization. From (53) obtain

(54)

E. Frequency Change For the axial mode resonances the cumulative phase shift over the structure axis should contain integer number of the cavity mode half-wavelengths in order to satisfy the boundary conditions for the structure with the perfect electric conductor on the end-walls. That yields (58)

JABOTINSKI et al.: NONPERIODIC PERTURBATIONS IN PERIODIC RF STRUCTURES

where is the cell phase shift, which we consider as a function of the mode frequency and variable property , i.e., (59) Introducing the property perturbation

from its central value

(60) write the Taylor series expansion for the phase shift (59)

(61) is the phase shift by a non-perturbed cell at frewhere quency . From (58) and (61) obtain

(62) where

are the -order central moments of

(63) , the In a common case of the symmetrical distribution of moments . Considering small perturbations obtain from (62) for further analysis

(64) Writing (2) in the form (65) obtain auxiliary expressions

(66) Then using Appendix V find (67) From (64)–(67) obtain equation for the structure eigenfredue to the quency , which is shifted from its ideal value perturbations . (68) Several particular solutions of this (68) for the band edge and modes as well as for the intermediate operating modes are presented in (1).

925

IV. LIMITATION OF THE FINITE-ELEMENT METHOD FOR LONG PERIODIC STRUCTURES We have found during the course of this study that when analyzing multicell either periodic or nearly periodic structures using a finite-element code, artificial distortions of the field are produced by changes in the structure of the computational grid from cell to cell, that is, we have found that a nonperiodic grid introduces unphysical departures from periodicity in the calculation. Fig. 24 illustrates the problem. We have computed the field profile for the -mode for the perfect 17-period structure of a type shown in Fig. 3 but with the closed ends configuration, namely, the structure has the perfect electric conductor terminations/walls positioned at the midplanes of the first and last interaction gaps. For such closed structure we can determine from the basic theory and symmetry considerations, the on-axis field pattern as a reference and then compare it with the computer simulation results. First, we have used a 3-D finite element code to compute the field using two different grids. The first grid contained approximately 16 000 tetrahedrons per period and about 12 grid points per period along the axis; the second, finer grid contained approximately 20 000 tetrahedrons per period and about 15 grid points per period along the axis. The “perfect” structure has a known analytical solution. Fig. 24 (middle) shows how the numerical solution approaches the analytical solution as the grid becomes denser. We attribute the difference between the simulation and analytical results to the meshing process. The generation of the mesh does not take into account the periodicity of the structure and thereby introduces a degree of numerical nonperiodicity, which produces an artificial disorder, resulting in phase errors and distortion of the field. The phase error and the resulting spatial modulation, which causes the distortion, displayed in Fig. 24 (bottom) have been obtained from (17) by comparing the reference and the finite element code computed field with 20 000 grid cells per structure period. We assumed parametric linear approximation for the phase error along the structure axis. Using thus obtained the phase error with optimized parameters, (17) gives perfect fit to the computed field profiles, which validates the theory and the suggested linear model for the mesh-introduced numerical phase perturbations. Utilizing this technique we can further determine the phase error for the periodic structure with perturbations shown in Fig. 3, which has open ends configuration with the electron beam tunnel terminations. The derived and computed electric field and phase error for the structure -mode at 672.5 GHz and gapwidth varations m are shown in Fig. 25. We calculated the total phase error by superimposing the phase errors arising from three sources that constitute the total phase error and are as follows: the finite element mesh, impedance mismatched structure ends terminations, and perturbations in the structure periodicity. The mesh-introduced phase error shown in Fig. 25 is what we have calculated and present in Fig. 24. In similar manner we have determined the

926

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 25. Derived and computed (top) on-axis electric field and (bottom) phase error determined for the example 17-period perturbed structure shown in Fig. 3 -operating mode at 672.5 GHz and with variations in the gapwidth for the m . Phase errors that constitute the total phase error are shown (bottom) including the errors due to the finite element mesh, the electron beam tunnel terminations, and the perturbed structure cells. For the latter the phase error of one structure cell of the 17 total is displayed. The field profiles (top) show good fit of the results obtained from the theory (blue in online version) and computer simulations (red in online version).

Fig. 24. Model geometry of (top) the closed periodic structure , (middle) the on-axis field patterns, and (bottom) the cumulative phase error. On-axis electric field (middle) of the “perfect” 17-cell cavity versus distance has been computed by a finite element code (blue in online version) and from the basic theory (red in online version). The blue dashed (in online version) and solid lines represent numerical results obtained with approximately 16 000 and 20 000 grid cells per structure period, respectively. The cumulative phase error due to mesh-introduced perturbations (bottom) has been obtained from (17) using the above reference and finite element code computed field profiles.

phase error due to electron beam tunnel terminations shown in Fig. 25 (bottom). To find the phase error contribution from each cell of the 17-period perturbed structure we used the parametric model based on (47) and determined the model parameters from the individual eigensolutions obtained separately for each cell. With the obtained so total phase error, (17) approximately describes the structure on-axis electric field. To improve the accuracy, which is constrained by the selected cell model parameters, we performed optimization of the model parameters. This resulted in the derived electric field profile shown in Fig. 25 that closely fits to the field obtained from the finite element code simulations.

In simulations of the structures with a large number of cells the mesh-introduced numerical perturbations can become strong enough and produce artificial localization of the fields. The described type of error is of unique nature. It is numerically dominating over other conventional errors due to iteration cycles especially for the operating conditions near the band edge frequencies. Increasing the grid density in this case will not sufficiently reduce the numerical perturbations introduced to the structure periodicity but will substantially increase the computational domain size, which furthermore has inherent physical limitations imposed in particular by the computer memory size. In the considered example, Fig. 24, the 20 000 grid cells per period is near the maximum allowable for stable simulations using high-performance parallel computing clusters. In general the same kind of errors should persist in any other types of mesh-based codes. This fundamental limitation of the mesh-based codes can be overcome by appropriate modifications of the mesh algorithms. V. CONCLUSION An analysis of the effects of nonperiodic perturbations in periodic RF structures has been presented. The electromagnetic field in imperfectly periodic RF structures has been described

JABOTINSKI et al.: NONPERIODIC PERTURBATIONS IN PERIODIC RF STRUCTURES

taking into consideration that small departures from periodicity change the phase shift experienced by a wave as it traverses an imperfect cell. It was shown that the cumulative phase error produces spatial modulation of the field, which causes the field distortion. Larger perturbations lead to stronger field distortion and result in localization. This is the first demonstration of field localization in a periodic RF structure. The physical mechanisms of field distortion and localization have been suggested and discussed including methods for finding the cumulative phase error and taking into account that over shorter lengths inside the structures the averaging of perturbations occurs slower that largely explains the localization phenomena. Presented theory provides analytical relations describing the field distortion and localization including the localization length. Application examples of the numerical simulation techniques for analysis of the multicell coupled cavity structures have been considered. In an ancillary result a fundamental limitation of finite element and other mesh-based codes when applied to long periodic structures has been discovered and discussed. The presented theory and approach utilizing in particular the central limit theorem can be common for describing many physical phenomena that are random by nature, such as the charged and neutral particles transport in accelerators, space, plasma, atmosphere, solid state, and continuous medium, electromagnetic wave propagation, radiation-to-matter interaction, and variety of thermodynamic systems. Because of randomness such systems when changing from one state (initial) to another (final) undergo what we can consider as transient states. In the initial and final states the systems follow the physical mechanisms that obey the statistical laws. In the transient states where, e.g., time, space, or number of particles/cells is limited the same systems exhibit different behavior because they do not satisfy yet the conditions to converge to the statistical laws. In these views, we have described the localization and distortion of the electromagnetic modes in the periodic RF structures with perturbations. APPENDIX I

927

that yields (A1.2) where

and

. From (2), (A1.3)

which in combination with (2) gives (A1.4) From (A1.2) and (A1.4) obtain for (21) (A1.5)

APPENDIX II Integral for the Field Distortion Phase Error: Split the integration path in (23) in two intervals as follows: (A2.1) and

where

. Then obtain power series expansions for the integrals as shown in (A2.2) and (A2.3) at the bottom of this page. Here and are the lower and the upper incomplete gamma-functions, respectively. Integral is dominating for linear dispersion with smaller and integral is dominating for nonlinear dispersion with larger . The obtained expansions are necessary for asymptotic estimates of the cumulative phase error and the structure length evaluation as shown in (26) and (27). APPENDIX III Avoiding Singularity in Numerical Integration of Integrating (23) by parts write

:

caused Phase Error Due to Perturbation: Phase error by perturbation for the structure cell number can be determined by (A1.1)

(A3.1)

(A2.2)

(A2.3)

928

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Since the first term vanishes obtain in the form that does not contain singularities and ready for numerical integration (A3.3)

APPENDIX IV Admittance Weight Function for Phase Error Calculation: The cell number phase error

where , is the cell wavenumber, and . Introducing the wave admittance for the cell and for the unaltered cell write

where

REFERENCES [1] A. S. Gilmour Jr., Principles of Traveling Wave Tubes. Boston, MA: Artech House, 1994. [2] B. Levush, T. M. Antonsen Jr., A. Bromborsky, W. R. Lou, and Y. Carmel, “Theory of relativistic backward-wave oscillators with end reflections,” IEEE Trans. Plasma Sci., vol. 20, no. 3, pp. 263–280, Jun. 1992. [3] W. Main, Y. Carrnel, K. Ogura, J. Weaver, G. S. Nusinovich, S. Kobayashi, J. P. Tate, J. Rodgers, A. Bromborsky, S. Watanabe, M. R. Amin, K. Minami, W. W. Destler, and V. Granatstein, “Electromagnetic properties of open and closed overmoded slow-wave resonators for interaction with relativistic electron beams,” IEEE Trans. Plasma Sci., vol. 22, no. 5, pp. 566–577, Oct. 1994. [4] V. Jabotinski, D. Chernin, T. Antonsen, and B. Levush, “Non-periodic perturbations in periodic RF structures,” in IEEE MTT-S Microw. Symp. Dig., Jun. 5–10, 2011, pp. 1–4. [5] “3D FEM analysis software for solving complex problems,” Microw. J., vol. 52, pp. 104–104, Jul. 2009. [6] A. A. Borovkov, Probability Theory. Boca Raton, FL: CRC, 1999.

is the magnetic constant. Then obtain

Since the phase error is proportional to the admittance error if the admittance weight function can be used for phase error calculations. In more general case of variations in the cell periods, , the admittance weight function is still applicable either approximately or with the corresponding selection of the structure model (47) parameters and . APPENDIX V Second Derivative of the Cell Phase Shift With the Respect to Perturbations: Write the first derivative

Then the second derivative can be obtained in the form

Since in the majority of cases for small perturbations and obtain

ACKNOWLEDGMENT The authors appreciate the many helpful discussions with J. Calame of NRL and R. Dobbs and A. Roitman of CPI/Canada. This work was approved for public release, distribution unlimited. The views expressed are those of the author and do not reflect the official policy or position of the Department of Defense or the U.S. Government.

Vadim Jabotinski (M’11) received the M.S. degree in radio-physics and electronics from the Dnepropetrovsk State University, Dnepropetrovsk, Ukraine, in 1983. He joined the Research Center for Directed Energy Sources, Dnepropetrovsk, Ukraine, where in 1995 he became Head of the Electron Beam Processes Section and worked on the theory and applications of particle beams, accelerators, and microwave and radiation sources in collaboration with the Budker Institute of Nuclear Physics of Russian Academy of Sciences in Novosibirsk and the departments of RF and solid-state physics at the Dnepropetrovsk State University. In 1996, he joined the Institute for Materials and Advanced Processes, University of Idaho, Moscow, to pursue his research on the physics of radiation-to-matter interaction and theory of power particle beams. From 2001 to 2008, he was a Senior Scientist at FM Technologies, Chantilly, VA, where he developed new approaches for high and low energy particle accelerators. Since 2009, he is with Beam-Wave Research as a Senior Scientist and also with the Vacuum Electronics Branch, U.S. Naval Research Laboratory, Washington, DC, where he works on the theory and modeling of the terahertz waveguiding structures, beam-wave interaction, and new concepts for electron beam sources and vacuum electronics. His research interests include the theory and new phenomena in electromagnetism, RF physics, and particle beams, computational methods for waveguiding structures and submillimeter-wave radiation sources. Mr. Jabotinski is a member of the American Physical Society and the Minerals, Metals and Materials Society.

David Chernin received the A.B. and Ph.D. degrees in applied mathematics from Harvard University, Cambridge, MA, in 1971 and 1976, respectively. From 1976 to 1978, he was a member of the Institute for Advanced Study, Princeton, NJ, where he worked on problems in magnetic confinement fusion. From 1978 to 1981, he was Senior Scientist at Maxwell Laboratories, San Diego, CA, where he worked on the design and analysis of excimer lasers and high power X-ray sources. Since 1984 he has been at the Science Applications International Corporation, McLean, VA, where he has conducted research on the theory and simulation of beam-wave interactions in particle accelerators, and on the design, simulation, and analysis of vacuum electron devices in collaboration with the Vacuum Electronics Branch, Naval Research Laboratory, Washington, DC. From 2005 to 2008, he served as Chief Scientist for SAIC’s Technology and Advanced Systems business unit. Dr. Chernin is a member of the American Physical Society.

JABOTINSKI et al.: NONPERIODIC PERTURBATIONS IN PERIODIC RF STRUCTURES

Khanh T. Nguyen (M’07) received the B.S. degree in physics and mathematics, M.S. degree in mathematics, and M.S. and Ph.D. degrees in nuclear science from The University of Michigan at Ann Arbor in 1978, 1979, 1980, and 1983, respectively. His Ph.D. research topic was a stability study of the ELMO bumpy torus fusion device. He then joined the Department of Research and Technology, Naval Surface Warfare Center, White Oak, MD, where he was the Lead Theorist for the charged particle beam propagation experimental program. In 1989, he was with the office of Mission Research Corporation (MRC), Washington, DC, as a Senior Scientist, and later became the leader of the Electromagnetic Applications Group. At MRC, his research efforts were in the areas of charged particle beam propagation, vacuum electronics, compact accelerator development, X-ray and -ray simulators, and high-power microwave sources development. In 1994, he became the founder and President of Beam-Wave Research Inc. where his principal research interests include the design, modeling, and demonstration of vacuum electronic devices that span the microwave to terahertz frequency bands.

Thomas M. Antonsen, Jr. (M’87–SM’02–F’12) was born in Hackensack, NJ, in 1950. He received the Bachelor’s degree in electrical engineering and Master’s and Ph.D. degrees from Cornell University, Ithaca, NY, in 1973, 1976, and 1977, respectively. He was a National Research Council Post-Doctoral Fellow at the Naval Research Laboratory from 1976 to 1977, and a Research Scientist at the Research Laboratory of Electronics, Massachusetts Institute of Technology, Cambridge, from 1977 to 1980. In 1980, he moved to the University of Maryland at College Park, where he joined the faculty of the departments of Electrical Engineering and Physics in 1984. He is currently Professor of physics and electrical and computer engineering. He has held visiting appointments at the Institute for Theoretical Physics, University of California at Santa Barbara; the Ecole Polytechnique Federale de Lausanne, Switzerland, and the Institute de Physique Theorique, Ecole Polytechnique, Palaiseau, France. He served as the acting Director of the Institute for Plasma Research, University of Maryland at College Park from 1998 to 2000. His research interests include the theory of magnetically confined plasmas, the theory and design of high power sources of coherent radiation, nonlinear dynamics in fluids, and the theory of the interaction of intense laser pulses and plasmas. He is the author

929

and coauthor of over 300 journal articles and coauthor of the book Principles of Free-Electron Lasers (Chapman & Hall, 1992; 2nd ed 1996). He has served on the editorial board of Physical Review Letters, The Physics of Fluids, and Comments on Plasma Physics. Prof. Antonsen was selected as a Fellow of the Division of Plasma Physics, American Physical Society in 1986. He is currently Chair of the Division of Plasma Physics of the American Physical Society. In 1999, he was a corecipient of the Robert L. Woods Award for Excellence in Vacuum Electronics Technology, and in 2003 he received the IEEE Plasma Science and Applications Award. In 2004, he received the Outstanding Faculty Research Award of the Clark School of Engineering.

Baruch Levush (M’88–SM’90–F’01) received the M.Sc. degree in physics from Latvian University, Riga, Latvia, in 1972, and the Ph.D. in plasma physics from Tel-Aviv University, Tel-Aviv, Israel, in 1981. In 1985, he joined the Institute for Plasma Research at the University of Maryland at College Park, where his research focused on the physics of coherent radiation sources and the design of high-power microwave sources, such as gyrotrons, relativistic backward wave oscillators and free electron lasers. In 1995, he joined the Naval Research Laboratory (NRL), Washington, DC, as the Head of the Theory and Design Section of the Vacuum Electronics Branch, Electronics Science and Technology Division (ES&TD). In 2003, he became a Head of the Vacuum Electronics Branch, which is the principal center for vacuum electronics research and development in the U.S. Department of Defense. In 2010 he has been appointed as the Acting Superintendent of the ES&TD,NRL. He is currently an IEEE Nuclear Plasma Science Society Distinguished Lecturer. He is the coauthor of more than 180 refereed journal articles and of numerous talks and presentations at the international conferences and meetings. Dr. Levush was the recipient of numerous awards, including the Robert L. Woods Award of the U.S. Department of Defense (DOD) for his role in the successful development of a 10-kW average power, -band gyro-klystron in 1999, the R&D100 Award for MICHELLE in 2006, a 3-D Charge-Particle-Beam Optics software tool, the International Vacuum Electronics Conference Award for Excellence in Vacuum Electronics and the NRL’s E.O. Hulburt Annual Science Award in 2007, the Secretary of the Navy Captain Robert Dexter Conrad Award and the IEEE Electron Device Society’s J. J. Ebers Award in 2009.

930

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Parallel Frequency-Domain Simulation of Hyperspectral Waveforms in Nonlinear Power Amplifiers With Memory George Stantchev, Member, IEEE, David Chernin, Thomas Antonsen, Jr., Fellow, IEEE, and Baruch Levush, Fellow, IEEE

Abstract—We present a parallelization framework prototype for efficient physics-based computer simulation of hyperspectral timedependent waveforms (i.e., wideband with a large number of frequency components) in nonlinear power amplifiers with memory. It relies on an adaptive algorithm for signal splitting and splicing in the time domain and uses a well-established pseudospectral multifrequency large-signal code, CHRISTINE, as its underlying simulation engine. Included in the model, and calculated from firstprinciples, are memory effects, such as dispersion and wave reflections. We validate our approach on a specific class of hyperspectral waveforms and study the effect of modifying a set of critical preprocessing parameters on the fidelity and the performance characteristics of the simulation. Index Terms—Bandlimited extrapolation, frequency-domain methods, high-power amplifiers (HPAs), memory effects, multifrequency, nonlinear, parallel processing, simulation, wideband.

I. INTRODUCTION

M

ANY MODERN telecommunications, radar, and electronic warfare applications require the amplification of hyperspectral waveforms generated, for instance, from digital-to-analog conversion of long symbol sequences, or via specialized frequency modulation. Traveling-wave tube amplifiers (TWTAs) are employed in a large number of these systems, due to their high efficiency and broad bandwidth. Accurate computer modeling and simulation of hyperspectral waveform amplification is of great importance to the design process of such devices because it allows for a more realistic reproduction of the amplifier’s operational characteristics (as opposed to, say, single-tone testing). Manuscript received August 01, 2011; revised December 31, 2011; accepted January 05, 2012. Date of publication February 29, 2012; date of current version April 04, 2012. This work was supported by the Office of Naval Research (ONR). G. Stantchev was with the Science Applications International Corporation, McLean, VA 22102 USA. He is now with the Naval Research Laboratory (NRL), Washington, DC 20375 USA (e-mail: [email protected]). D. Chernin is with the Science Applications International Corporation, McLean, VA 22102 USA (e-mail: [email protected]). T. Antonsen, Jr. is with the Science Applications International Corporation, McLean, VA 22102 USA, and also with the Department of Electrical Engineering and the Department of Physics, University of Maryland at College Park, College Park, MD 20742 USA (e-mail: [email protected]). B. Levush is with the Naval Research Laboratory (NRL), Washington, DC 20375 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2185946

Distortion and memory effects associated with nonlinear high-power amplifiers (HPAs), whether solid-state power amplifiers (SSPAs) or TWTAs, present a challenging problem in the presence of digitally modulated waveforms due to the inherently wider bandwidths needed for high-information throughputs. One approach to the simulation of such effects is based largely on system-level analysis of the amplification device and subsequent extraction of behavioral models that represent its functional characteristics. Behavioral modeling of power amplifiers has been studied extensively, primarily in the context of SSPAs (see, for instance, [2], and [3] for a comprehensive survey), but also for TWTAs ([4], [5]) An alternative approach, especially suitable for TWTAs, relies upon physics-based modeling, which helps to provide, from first-principles, a more accurate description of the nonlinear amplifier’s device characteristics. This is the approach that we have adopted in our framework, which is built upon CHRISTINE [1], a multifrequency parametric large-signal pseudospectral code for helix and coupled-cavity TWTAs that has been validated extensively through experiments at research laboratories and in industry. It operates on a baseband representation of a multitone signal and has the capability to accurately model various phenomena such as intermodulation, cross-modulation, and discrete reflections in the device structure. Simulations with CHRISTINE, while very efficient for a small number of frequencies, become increasingly time consuming as that number increases. Since runtimes scale at least quadratically with the number of frequencies, it becomes impractical to run the current version of CHRISTINE on waveforms with more than 1000 spectral components. Resolving memory effects, such as wave reflections, results in runtime scaling that is cubic with the number of frequencies, and makes the simulation of a waveform with even a few hundred frequencies unfeasible. To mitigate the prohibitive computational cost of working with large numbers of frequencies, we have developed a method for time-domain waveform decomposition, which allows us to leverage the high throughput power of modern parallel processing architectures and use our physics-based simulation code with only minimal modification to perform simulations with hyperspectral digitally modulated waveforms [6]. The method is based on splitting the discrete sequence representation of the waveform into a set of overlapping subsequences, each of which is used as input to an independently running instance of CHRISTINE. Certain pre- and post-processing

0018-9480/$31.00 © 2012 IEEE

STANTCHEV et al.: PARALLEL FREQUENCY-DOMAIN SIMULATION OF HYPERSPECTRAL WAVEFORMS

operations are applied to ensure preservation of the original signal’s frequency- and time-domain structure. After a simulation run, the resulting set of output time-domain sequences is spliced together via a causality preserving sample-culling algorithm. We emphasize that such a parallel processing technique is independent of the underlying physics-based code, and therefore it is also applicable to a broader context of HPA simulations where frequency-domain methods are employed. In fact, even if a physics-based simulator is not available, which is often the case with SSPAs, a suitable harmonic balance or envelope-transient harmonic-balance [3] method could be used instead, as long as memory effects are properly resolved. This paper is organized as follows. Section II gives an outline of the split-splice algorithm, as described in [6]. Section III discusses details of the algorithm and references recent enhancements with respect to the spectrum-matching pre-processing step. Section IV provides error and performance analysis of simulation results.

931

Fig. 1. Gain curves for different values of the reflection coefficient, assumed here to be frequency independent, at the end of the interaction region in a helix TWT.

II. SPLIT-SPLICE ALGORITHM OVERVIEW We refer to a periodic waveform as hyperspectral if the number of its frequency components (Fourier modes) is large (hundreds and beyond), and if it has a wide bandwidth relative to the operational bandwidth of the specific amplifier. Note that in [6] such waveforms were referred to as “complex,” however, the latter term is being deprecated due to its potential ambiguity. We split a given hyperspectral waveform by partitioning its discrete time-domain representation into subsequences whose length is chosen heuristically. In particular, the length should be small enough to make simulation of a single subsequence feasible. On the other hand, it should be sufficiently large to be commensurable with the amplifier’s memory time scale. In the case of TWTAs, the main sources of memory effects are internal reflections due to impedance mismatches in the device structure. In the time domain, reflections cause wave packet aliasing resulting in regenerative amplification. The average round-trip time of a wave packet is given by

Fig. 2. Memory gap in the neighborhood of a partition point

The amount of overlap is signal specific and also depends on the characteristics of the amplifier; for instance, in the case of a digitally modulated signal, it should exceed the “symbol memory time,” i.e., the amount of time it takes for the residual effects of a single symbol to dissipate sufficiently. More specifically, suppose a given baseband periodic waveform with period is represented by a sequence of uniformly spaced time samples such that

where is the length of the device’s interaction space, and is the wave packet’s group velocity. The characteristic memory time of a helix TWTA is typically several times larger than the value of , and scales proportionally with the magnitude of the device structure’s reflection coefficients. For instance, the amplifier used in our simulations ns, and ns. In the frequency domain, reflections manifest themselves as gain ripples due to the frequency-dependent phase delay of reflected modes. The gain ripple period is inversely proportional to while the gain ripple amplitude depends on the values of the reflection coefficients (see Fig. 1).

where denotes the smallest integer larger than (the ceiling function). When , the formula for reduces to , which is precisely the number of disjoint subsequences of size .

A. Splitting With Overlap

B. Spectrum-Matching Augmentation

Since splitting creates “memory gaps” in the neighborhood of partition points (see Fig. 2), pairs of adjacent subsequences are selected so they overlap by a certain number of elements.

A nontrivial problem arising in this context is that splitting changes the frequency-domain structure of the signal. For instance, a subsequence of a bandlimited waveform, in general,

The set is split into subsequences of length with points of cyclic overlap, as shown in Fig. 3 (cf. [6]). The splitting parameters , , and determine as follows:

932

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 3. Splitting with cyclic overlap: any two adjacent subsequences have overlapping elements. Due to periodicity, the end of last subsequence overlaps with the beginning of the first one, possibly by a different number of elements .

Fig. 5. Spectrum-matching augmentation: filling in the blanks. A subsequence of length is augmented in the time domain by extrapolating with samples, subject to a given spectral constraint.

where for some starting index , is extended with a priori unknown samples so that the discrete bandwidth of the augmented sequence

has bandwidth-to-length ratio that of the full signal. This implies Fig. 4. Frequency spectrum of a split subsequence typically does not conform to the spectrum of the original signal.

has frequency content outside the original waveform’s bandwidth, which would give rise to spurious artifacts in an amplifier with a frequency-dependent transfer function (see Fig. 4). We address this issue by augmenting each subsequence to one whose bandwidth conforms optimally to the unsplit signal’s bandwidth and whose length is sufficiently small to ensure feasible simulation times. We refer to this operation as spectrummatching augmentation; it generalizes the notion of “periodic augmentation” discussed in [6] in the sense that, ultimately, there may be spectral characteristics other than bandwidth that the process of augmentation attempt to conform to. More precisely, we observe that the time samples of the given periodic waveform can be interpreted as a discrete -bandlimited periodic signal of length , i.e., as a finite sequence of complex numbers

such that all, but coefficients

, of its discrete Fourier transform (DFT)

are identically zero (cf. [7]). For the sake of simplicity, assume that these are the coefficients for which (the case of low-pass digitally modulated waveforms). After splitting, each subsequence in the partition

as close as possible to (1)

(compare [6, eq. (1)]). By adapting the minimum-dimension noniterative extrapolation method of [8], we cast the determination of the unknown values to the problem of solving a system of linear equations, taking into account the bandwidth constraint (1). Intuitively, the process of spectrum-matching augmentation of a subsequence amounts to filling in the gap between copies of the subsequence that have been placed sample points apart, using the information from the given time-domain samples and the band-limiting assumptions in the frequency domain (see Fig. 5). C. Algorithm Outline Using the above notation, the basic steps of the split-splice algorithm can be described as follows: given a periodic bandlimited waveform , represented by a sequence of discrete uniform samples, . Step 1) Split into subsequences with overlap, as discussed in Section II-A. Step 2) Augment each subsequence by extrapolating with additional samples, for some fixed , chosen subject to the spectrum matching criteria outlined at the end of Section II-B. Step 3) Use each periodically augmented subsequence as input for the TWT simulation code on a separate processor Step 4) After completion, restrict each of the resulting output sequences to its first elements (the remaining elements are auxiliary output). Step 5) Splice the output sequences by removing redundant elements within the overlap regions.

STANTCHEV et al.: PARALLEL FREQUENCY-DOMAIN SIMULATION OF HYPERSPECTRAL WAVEFORMS

933

Alternatively, in the time domain, the unknown augmentation samples satisfy the linear system of constraint equations (3) where are the coefficients of an submatrix of . In order for either of these the forward DFT matrix systems to have a unique solution, it is necessary to have more equations than variables, thus, (4) which follows directly from (2), or equivalently from (3),

Fig. 6. Illustration of the split-splice algorithm. Dark stripes (blue in online version) indicate overlap regions; light boxes (red in online version) mark augmentation regions.

In an amplifier with memory, causality requires that Step 5) above be carried out by discarding the elements of the antecedent output subsequence at each overlap. A flowchart diagram representing the split-splice algorithm is shown in Fig. 6.

A. Bounds on the Augmentation Length Parameter is by construction

where is the discrete bandwidth defined in (1), and the number of zero components is equal to . To simplify notation, let be the total length of the augmented sequence, and let denote the total number of nonzero Fourier components. The band-limited extrapolation problem affords a dual formulation: in the frequency domain, the unknown Fourier coefficients of the augmented subsequence can be obtained expressing each known time sample as a Fourier sum and solving the resulting system of linear equations (2) matrix with elements The inverse DFT matrix

is a submatrix of the

(5) The proof of this assertion is more technical and is deferred to the Appendix. Combining (4) and (5) with the expression for from (1) implies upper and lower bounds for the augmentation parameter (6) where is the bandwidth-to-length ratio of the unsplit signal. For instance, if is a 32-element subsequence of a waveform of length and discrete bandwidth , the lower and upper bounds for are 9 and 123, respectively.

III. ALGORITHM SPECIFICS

Since an augmented subsequence -bandlimited, its DFT has the form

Furthermore, to ensure that despite being overdetermined, the linear systems given by (2), or equivalently by (3), is consistent, it is necessary that

B. Dual Formulation of the Augmentation Problem Instead of solving the linear system defined in (2) or (3) directly, we use the equivalent matrix equations defined in [8, sec. “Minimum Dimension Formulation,” Th. 3 and 4]. These reduce the problem to an system in terms of the unknown time-domain augmentation samples, or to an system in terms of the unknown spectral components, depending on which formulation we choose to apply. In [6], we discuss the latter case and we observe that the quality of the augmentation solution, as measured by the reduction of residual out-of-band power, increases with . At the same time, for larger values of , the system matrix tends to become extremely ill conditioned, reducing the numerical accuracy of the matrix inversion algorithm. Thus, choosing an appropriate within the bounds specified in (6) is a matter of heuristic determination, specific to the particular waveform. Another important consideration is the conformity of the frequency grid between the split and unsplit signals: ideally, the smaller one should be a subset of the larger one (for instance, choosing signal and subsequence lengths that are powers of 2 accomplishes this). Fig. 7 shows the dependence of the augmented subsequence’s out-of-band power spectrum on the augmentation parameter (cf. [6]). For this particular case, we determined that choosing ensures a sufficiently

934

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

TABLE I RESULTS FROM A SIMULATION OF HYPERSPECTRAL WAVEFORMS WITH REFLECTIONS FOR DIFFERENT NUMBER OF MODES. IN THIS CASE, , , , AND FOR EACH AS DISCUSSED IN SECTION II-A)

Fig. 7. Spectrum-matching augmentation: the effect of the augmentation length parameter on the quality of the bandwidth approximation. For larger values of , the out-of-band power spectrum decreases. The full signal (red in online version) has a length of 8192; the augmented subsequences have a . length of

good approximation of the unsplit signal’s spectrum for subsequences of length . Choosing to work with the time-domain formulation, on one hand, has the benefit of dealing with typically smaller system matrices. It also ensures complete time-domain match between the split and the unsplit waveforms over each unaugmented subsequence, which is important for optimal preservation of the waveform’s memory. On the other hand, the presence of out-of-band spectrum, albeit very small, deteriorates the convergence of the iterative nonlinear fixed point solver used to calculate wave reflections in CHRISTINE. Thus, we opted for the frequency-domain formulation whereby the out-of-band spectrum is kept identically zero at the expense of introducing small perturbation in the time-domain waveform, whose magnitude is inversely related to the value of . Considerations regarding the optimal choice for similar to the time-domain formulation apply in this case as well. Unless indicated otherwise, all simulations discussed in this paper have been carried out using the frequency-domain formulation. IV. RESULTS AND ANALYSIS A. Performance When resolving reflections, CHRISTINE’s runtime scales , and therefore, the expected speedup factor would be like approximately

(7) where is the length of the unsplit signal and is the length of the augmented subsequences (cf. Section II-B). Note that, in theory, this estimate is not affected by the number of processors since the instances of the code that run in parallel are completely independent. In practice, however, if the number of subsequences in the partition exceeds the number of processors available for simultaneous execution, the expected speedup is reduced by a factor of . If reflections are excluded from the model, CHRISTINE’s runtime scales quadratically with

Fig. 8. Point-wise differences between the unsplit signal and the for different values of the overlap parameter . split-spliced output signal is the RRMS error functional defined in (8)

and thus the expected speedup factor would be approximately with and as above. Performance comparison between simulations of unsplit and split signals for various number of modes is illustrated on Table I (compare [6]). The data for serial execution of the unsplit signal for mode numbers of 512 and above are extrapolated from the first three cases due to the prohibitively long simulation runtimes of the respective unsplit signals. In each case, the unsplit signal is simulated on a serial processor of the same type as the ones used in the parallel simulation of the split signal. Note that the observed speedup factor is slightly larger than the anticipated speedup implied by (7); this is primarily due to the extra execution time overhead for larger signals, coming from increased array sizes and the resulting increase in CPU cache misses. Also, it is important to emphasize that the results in the table are obtained at fixed overlap length , and therefore, at constant relative error (see Section IV-B). Runtimes shown in Table I do not include times spent for preprocessing and postprocessing, such as splitting, spectrum-matching augmentation, unsplitting, etc., since the latter are negligible compared to the simulation time by several orders of magnitude. B. Relative Root-Mean-Square (RRMS) Error Comparison between the split and unsplit output signal demonstrates that away from partition points they are well matched. Small differences emerge for the duration of several sampling intervals on the right side of each point due to the residual memory effects that have persisted past the eight-point overlap interval. Fig. 8 illustrates the effect of the overlap parameter : increasing from 8 to 12 to 16 decreases the amplitude of the spikes and leads to better matching with the output

STANTCHEV et al.: PARALLEL FREQUENCY-DOMAIN SIMULATION OF HYPERSPECTRAL WAVEFORMS

935

be the polar representations of and , respectively. The magnitude of their difference satisfies (9) . In the following paragraphs, the funcwhere tional dependence of a quantity on the input power parameter is denoted by parentheses, e.g., , etc. In the smallsignal regime,

Fig. 9. RRMS error as a function of input power for varying reflection coefficient parameter.

and, therefore, of the unsplit signal simulation. In this example, the sampling rate is 1 ns, and the estimated memory time ns (cf. Section II), thus an overlap of samples still translates into considerable residual correlation among adjacent output subsequences. Ultimately, a larger overlap reduces the correlation to marginal levels and results in better resolution of memory effects, but also in larger number of subsequences. Note that, in theory, the computational complexity of the algorithm does not depend on the overlap parameter, which is also the case in practice as long as there is a sufficient number of available processors (see discussion in Section IV-A) Also shown in Fig. 8 are the values of the RRMS error , which quantifies the deviation of the split-spliced output signal from the unsplit one, relative to the signal’s magnitude

(8) where and are the discrete samples of the unsplit and the split-spliced output signals and , respectively. C. Power Scaling of RRMS Error As input power is increased the RRMS error stays approximately constant for small signals and grows for powers close to saturation, as shown in Fig. 9. When reflections are included in the model, the RRMS error is larger, proportional to the value of the reflection parameter. Note that in the small-signal regime, signal amplitudes scale like the square root of input power

and thus the observed behavior of the RRMS error should be anticipated due to the cancellation of any real multiplicative factor simultaneously present in the numerator and the denominator of (8). On the other hand, this is only the case when the phase shifts induced by the amplifier on each mode, for the split and the unsplit signal, respectively, are commensurable. More precisely, for some fixed , let and

(10) In case

is independent of ,

and consequently, the RRMS error is approximately constant

As input power approaches saturation (around 10 dBm, see Fig. 9), variations in the RRMS error start to occur due to increased dependence of on , even while the amplitudes are still proportional to . For large signals (20 dBm and beyond), nonlinear effects start to dominate and the RRMSE increases accordingly with values for the reflection and the nonreflection case converging. It is also important to observe that simulation of hyperspectral waveforms is typically performed for the purposes of device characterization. In this context, the relevant comparison is between the respective characteristic functionals evaluated at the split-spliced and the unsplit output waveforms. For instance, the error vector magnitudes (EVMs) of the split-spliced and the unsplit output waveforms discussed in this section remain very close regardless of input power, i.e., the quantity

for suitably defined EVM (see, for instance, [9]) is approximately constant for small signals, as shown on Fig. 10. Preliminary results indicate similar patterns with respect to other multitone distortion metrics such as noise-power ratio and signal-tonoise-ratio. Thus, the split-splice algorithm can be expected to achieve high-fidelity reproduction of an amplifier’s behavior in the presence of digitally modulated hyperspectral waveforms, although further investigation is necessary to qualify the scope of this conjecture.

936

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 10. Difference in EVM values of the unsplit and split-spliced waveforms for a range of input powers is approximately constant in the small-signal regime.

V. CONCLUSIONS AND FUTURE WORK We have presented a framework for efficient physics-based computer simulation of hyperspectral time-dependent waveforms in nonlinear amplifiers with memory. It uses overlapped input splitting and causality driven output splicing in the time domain for parallel processing and resolution of memory effects. To ensure preservation of the original signal’s spectral characteristics, it also relies on spectrum-matching augmentation of the split subsequences with heuristically defined parameters based on discrete band-limited signal reconstruction techniques. In this paper, we have discussed specific aspects of the main techniques used for waveform preprocessing in our split-splice algorithm. We have derived lower and upper bounds (6) on the augmentation parameter that determine the existence and the uniqueness of the solution of the associated linear system. We also define a relevant error metric (8) and provide a detailed quantitative analysis of simulation results with respect to that metric. As future work, we envision further analysis of the RRMS error power scaling patterns and their relation with the amplifier’s nonlinearities. We also intend to extend the evaluation comparison discussed in the last paragraph of Section IV-B to other device characterization metrics such as noise-power ratio, signal-to-noise ration, etc. We plan to investigate the possibility of achieving better matching between input waveforms both in the frequency and time domains and to quantify the effect of a possible match improvement on the RRMS error. This is closely related to the problem of estimating how much the associated amplifier map distorts distances between waveforms subject to various frequency- and time-domain constraints, depending on input power and other critical device parameters (see illustration in Fig. 11). It is also important to consider other classes of waveforms whose spectral characteristics may not be expressed simply in terms of band-limiting. For instance, at this point, it is an open question how to deal with frequency-modulated signals, such as chirps, since it is not clear what the relevant matching criteria

Fig. 11. Pair of -tight signal segments in the complex plane transformed by to a pair of segments that are distance apart. During the amplifier map , while the transition to large-signal regime, may remain proportional to . RRMS error varies due to changes in the scaling of

should be and how to express them in terms of sets of algebraic constraints. APPENDIX A. Lower Bound on the Number of Augmentation Samples Here, we show that for the linear system (3) to be consistent, it is necessary that

where and are as discussed in Section III. The same condition applies to linear system (2) and can be proved analogously. In matrix form, (2) can be written as .. . .. .

..

.

.. . .. .

where denotes the submatrix of constructed by selecting rows 1 through and all columns from . A solution is therefore a vector of length with fixed components that belongs to the null space of . Since a DFT matrix is, by definition, of Vandermonde type, any principal submatrix of , and hence, of , has full rank. This means that has dimension precisely , and therefore to represent an arbitrary vector in it, at least free parameters (coordinates with respect to some fixed basis) are needed. Thus, for a generic subsequence , it is necessary that in order to find a set of , , such that belongs to . If is strictly greater than such a set may not be unique, and thus linear system (2) may admit more than one solution. Note that this does not contradict (5) since the latter is only a necessary,

STANTCHEV et al.: PARALLEL FREQUENCY-DOMAIN SIMULATION OF HYPERSPECTRAL WAVEFORMS

but not a sufficient condition for uniqueness. On the other hand, it may be possible that for some special subsequence with extra intrinsic redundancy, fewer than parameters may suffice to extend to a consistent solution; however, for the purposes of our algorithm, this does not represent any meaningful benefit and we are not considering it as an option.

937

Applications International Corporation, McLean, VA, where he has conducted research on the theory and simulation of beam–wave interactions in particle accelerators, and on the design, simulation, and analysis of vacuum electron devices in collaboration with the Vacuum Electronics Branch, Naval Research Laboratory, Washington, DC. From 2005 to 2008, he was Chief Scientist for the Technology and Advanced Systems Business Unit, the Science Applications International Corporation (SAIC). Dr. Chernin is a member of the American Physical Society.

REFERENCES [1] T. Antonsen, Jr. and B. Levush, “CHRISTINE: A multifrequency parametric simulation code for traveling-wave tube amplifiers,” Naval Res. Lab., Washington, DC, Tech. Rep. NRL-FR-9845, May 1997. [Online]. Available: http://en.scientificcommons.org/17118314 [2] Simulation of Communication Systems: Modeling, Methodology and Techniques, M. C. Jeruchim, P. Balaban, and K. S. Shanmugan, Eds. Norwell, MA: Kluwer, 2000. [3] D. Schreurs, M. O’Droma, A. A. Goacher, and M. Gadringer, RF Power Amplifier Behavioral Modeling. New York: Cambridge Univ. Press, 2009. [4] C. Clark, G. Chrisikos, M. Muha, A. Moulthrop, and C. Silva, “Timedomain envelope measurement technique with application to wideband power amplifier modeling,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 2531–2540, Dec. 1998. [5] C. Silva, A. Moulthrop, M. Muha, and C. Clark, “Application of polyspectral techniques to nonlinear modeling and compensation,” in IEEE MTT-S Int. Microw. Symp. Dig., 2001, vol. 1, pp. 13–16. [6] G. Stantchev, D. Chernin, T. Antonsen, Jr., and B. Levush, “Accelerated simulation of complex waveforms in nonlinear amplifiers with memory,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2011, pp. 1–4. [7] K. Groechenig, “A discrete theory of irregular sampling,” Linear Algebra and Its Appl., vol. 193, pp. 129–150, 1993. [8] P. J. S. G. Ferreira, “Iterative and noniterative recovery of missing samples for 1-D band-limited signals,” in Nonuniform Sampling: Theory and Practice, F. Marvasti, Ed. New York: Kluwer, 2001, pp. 235–282. [9] K. Gharaibeh, K. Gard, and M. Steer, “Accurate estimation of digital communication system metrics—SNR, EVM and in a nonlinear amplifier environment,” in 64th ARFTG Microw. Meas. Conf., Dec. 2004, pp. 41–44. George Stantchev (M’10) received the Ph.D. degree in applied mathematics and scientific computation from the University of Maryland at College Park, in 2003. From 2003 to 2004, he was a Postdoctoral Researcher with the Institute for Physical Sciences and Technology (IPST), University of Maryland at College Park. From 2004 to 2009, he was a Research Associate with the Center for Multiscale Plasma Dynamics, University of Maryland at College Park, where he was involved with high-performance computational algorithms for gyrokinetic plasma simulation and visualization. From 2009 to 2012, he was a Research Scientist with the Science Applications International Corporation (SAIC), in collaboration with the Vacuum Electronics Branch, Naval Research Laboratory, Washington, DC. In 2012, he joined the Naval Research Laboratory, as a Computational Scientist. His current research focuses on modeling, simulation, and analysis of vacuum electronic devices, high-performance computing, signal processing, and computational electromagnetics. Dr. Stantchev is a member of the Society for Industrial and Applied Mathematics (SIAM).

David Chernin received the AB and Ph.D. degrees in applied mathematics from Harvard University, Cambridge, MA, in 1971 and 1976, respectively. From 1976 to 1978, he was a member of the Institute for Advanced Study, Princeton, NJ, where he was involved with problems in magnetic confinement fusion. From 1978 to 1981, he was a Senior Scientist with Maxwell Laboratories, San Diego, CA, where he was involved with the design and analysis of excimer lasers and high-power X-ray sources. Since 1984, he has been with the Science

Thomas Antonsen, Jr. (F’11) was born in Hackensack, NJ, in 1950. He received the Bachelor’s degree in electrical engineering and Master’s and Ph.D. degrees from Cornell University, Ithaca, NY, in 1973, 1976, and 1977, respectively. From 1976 to 1977, he was a National Research Council Post Doctoral Fellow with the Naval Research Laboratory. From 1977 to 1980, he was a Research Scientist with the Research Laboratory of Electronics, Massachusetts Institute of Technology (MIT). In 1980, he joined the University of Maryland at College Park, where, in 1984, he joined the faculty of the Department of Electrical Engineering and Department of Physics. He is currently a Professor of physics and electrical and computer engineering . He has held visiting appointments with the Institute for Theoretical Physics, University of California at Santa Barbara (UCSB), Ecole Polytechnique Federale de Lausanne, Lausanne, Switzerland, and the Institute de Physique Theorique, Ecole Polytechnique, Palaiseau, France. From 1998 to 2000, he was the Acting Director of the Institute for Plasma Research, University of Maryland at College Park. He has authored or coauthored over 300 journal papers. He coauthored Principles of Free-electron Lasers (Chapman & Hall, 1992). He has been a member of the Editorial Board of Physical Review Letters, The Physics of Fluids, and Comments on Plasma Physics. His research interests include the theory of magnetically confined plasmas, the theory and design of high-power sources of coherent radiation, nonlinear dynamics in fluids, and the theory of the interaction of intense laser pulses and plasmas. Prof. Antonsen was selected as a Fellow of the Division of Plasma Physics, American Physical Society in 1986. He is currently chair of the Division of Plasma Physics, American Physical Society. He was a corecipient of the 1999 Robert L. Woods Award for Excellence in Vacuum Electronics Technology. He was the recipient of the 2003 IEEE Plasma Science and Applications Award and the 2004 Outstanding Faculty Research Award of the Clark School of Engineering.

Baruch Levush (F’01) received the M.Sc degree in physics from Latvian University, Riga, Latvia, in 1972, and the Ph.D. degree in plasma physics from Tel-Aviv University, Tel-Aviv, Israel, 1981. In 1985, he joined the Institute for Plasma Research, University of Maryland at College Park, where his research focused on the physics of coherent radiation sources and the design of high-power microwave sources such as gyrotrons, relativistic backward-wave oscillators, and free electron lasers. In 1995, he joined Naval Research Laboratory (NRL), Washington, DC, as the Head of the Theory and Design Section, Vacuum Electronics Branch, Electronics Science and Technology Division (ES&TD). In 2003, he became a Head of the Vacuum Electronics Branch, which is the principal center for vacuum electronics research and development of the U.S. Department of Defense. In 2010, he was appointed Acting Superintendent of the ES&TD, NRL. He has coauthored over 170 refereed journal papers numerous talks and presentations at international conferences and meetings. Dr. Levush is an IEEE Nuclear Plasma Science Society Distinguished Lecturer. He was the recipient of many awards, including the Robert L. Woods Award of the U.S. Department of Defense (DOD) for his role in the successful -band gyro-klystron (1999), the development of a 10-kW average power Research and Development Award for MICHELLE (2006), a 3-D charge-particle-beam optics software tool, the International Vacuum Electronics Conference Award for Excellence in Vacuum Electronics (2007), the NRL’s E. O. Hulburt Annual Science Award (2007), the Secretary of the Navy Captain Robert Dexter Conrad Award (2009), and IEEE Electron Device Society’s J. J. Ebers Award (2009).

938

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

A Broadband and Vialess Vertical Microstrip-to-Microstrip Transition Xiaobo Huang and Ke-Li Wu, Fellow, IEEE

Abstract—A novel, broadband, vialess, and vertical microstrip-to-microstrip transition is proposed in this paper. The transition consists of two open-circuited microstrip resonators and a U-shaped resonant-slot on the common ground plane. A physics-based equivalent-circuit model is developed for interpreting its working mechanism and facilitating the design process. The transition is analogous to a three-pole resonator filter. Based on the equivalent-circuit model, the coupling coefficients of the physical circuit can be calculated from the group delay information of two segregated electromagnetic models. To effectively control the couplings, a modified configuration is also proposed. A prototype transition is designed using the proposed design formulas. The fabricated circuit is measured to validate the proposed transition and the equivalent-circuit model. Good agreement is obtained between not only the measured and the simulated performance, but also the designed and the extracted-circuit model. In addition to the wide bandwidth, the features of vialess and easy fabrication make the novel transition very attractive for system-on-package applications. Index Terms—Broadband, equivalent circuit, microstrip transitions, multilayer circuits.

I. INTRODUCTION

W

IRELESS communication systems operating at microwave and millimeter-wave frequencies have been widely used for gigabit/second-rate data transmission of a point-to-point or a point-to-multipoint last-mile solution of wireless local area networks. The fast growing market for such a system drives the increasing demands of highly integrated subsystems and modules for the high-frequency bands. Similar to the integration technologies for RF frequency bands, due to the design flexibility, low manufacturing cost, and suitability for high-volume production 3-D multilayer integration technologies, such as wafer-level silicon-based heterogeneous chip technologies, silicon through-vias (TSVs), and vertical interconnection using low-temperature co-fired ceramic (LTCC), have drawn a great deal of attention from the industry for millimeter-wave and terahertz applications [1], [2].

Manuscript received July 19, 2011; revised January 10, 2012; accepted January 12, 2012. Date of publication February 24, 2012; date of current version April 04, 2012. This work was supported by the Research Grants Council of the Hong Kong Special Administrative Region, China under Grant 2150647. X. Huang was with the Department of Electronic Engineering, The Chinese University of Hong Kong, Shatin, Hong Kong. He is now with the China Research and Development Center, Comba Telecommunication Systems, Guangzhou 510530, China (e-mail: [email protected]). K.-L. Wu is with the Department of Electronic Engineering, The Chinese University of Hong Kong, Shatin, Hong Kong (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2185945

Fig. 1. Conventional configurations of microstrip-to-microstrip transitions. (a) Transition using a via-hole. (b) Aperture-coupled transition. (c) Cavity-coupled transition.

The large-scale integration of functional modules in a system-on-package (SOP) or a system-on-chip (SOC) module usually requires many vertical interconnections between multiple passive and active circuits and monolithic microwave integrated circuits (MMICs) built on different layers. In order to achieve a good electric performance, the interconnections should provide a very low insertion loss over a broad frequency bandwidth. Furthermore, the interconnection structures need to be easily fabricated. Traditionally, vertical via structures, shown in Fig. 1(a), are most commonly used in a 3-D integrated architecture [3]–[5]. However, a via-hole is a low-pass circuit and exhibits unwanted parasitic effects at high frequencies. Moreover, via-holes are not compatible with lithography-based etching processsing for silicon-carrier-based millimeter-wave circuits. Enhanced bandwidth is obtained from the aperture-coupled transitions, as shown in Fig. 1(b), by changing the shape of the aperture or microstrip terminal [6]–[8]. These works are basically two-pole bandpass circuits. Moreover, no physically clear design guidelines are given for engineers to follow in these studies. Cavity-coupled transitions illustrated in Fig. 1(c) are proposed for transferring signals through several substrate layers [9]–[12]. As a matter of fact, the cavity can be regarded as an aperture in a thick common ground plane. Compared to the aperture- coupled transitions, the cavity-coupled type has a relatively narrower bandwidth due to only one cavity resonator between the two microstrip lines. On the other hand, the cavity has via-walls and the manufacturing difficulties are inevitable in via forming for high-frequency applications. In this study, a novel vialess configuration of a microstripto-microstrip transition for vertical interconnection is presented. The transition performs as a three-pole band-pass filter, which

0018-9480/$31.00 © 2012 IEEE

HUANG AND WU: BROADBAND AND VIALESS VERTICAL MICROSTRIP-TO-MICROSTRIP TRANSITION

939

Fig. 2. Basic physical structure of the proposed transition.

creates three reflection zeros in the passband. An equivalent-circuit model is developed for interpreting the working mechanism of the proposed transition. The equivalent-circuit model reveals that the coupling coefficients of a physical transition circuit can be extracted by the group-delay information of two segregated sub-circuits. An optimal circuit model with realizable coupling coefficients can very well predict the electric performance and provide the design objectives for an accurate electromagnetic (EM) design. For further increasing the operating bandwidth, a modified structure of the transition is also presented and investigated to achieve the optimal bandwidth. For demonstrative purposes, a prototype of the proposed transition is designed at microwave band and fabricated to validate the equivalent-circuit model and the design formulas. Good agreement of the EM simulated and the measured results is obtained, which validates the proposed transition and illustrates the deterministic design procedure.

II. DESCRIPTION OF THE PROPOSED TRANSITION Fig. 2 shows an exploded conceptual view of the basic structure of the proposed microstrip-to-microstrip transition. A more developed structure will be discussed in Section V for practical implementation. The transition consists of two open-circuited microstrip quarter-wavelength resonators, one of which is printed on the top of the upper substrate, the other, formed at the bottom of the lower substrate, and finally, a half wavelength U-shaped slotline resonator is etched on the common ground plane. The microstrip resonators are placed perpendicularly to the middle section of the U-shaped slotline. The coupling between them can be controlled by the width of the slotline and an offset displacement from the center. The open ends are deliberately placed approximately away from the middle section to create an open-circuited resonator. In general, the couplings between the input/output microstrip lines and the resonators are created by the discontinuity on the ground plane. In addition, the difference between the characteristic impedance of the input/output microstrip line and that of the open-circuited quarter-wavelength transmission line can also control the couplings. Therefore, based on the coupling arrangement, the operational principle of the transition can be well explained by the concept of a three-pole band-pass filter having

Fig. 3. Equivalent-circuit model of the proposed transition.

three reflection zeros in the passband. As compared to the published vialess microstrip-to-microstrip transitions of prior art, the proposed transition potentially provides a wider bandwidth. III. WORKING PRINCIPLE AND EQUIVALENT-CIRCUIT MODEL In order to illustrate the working mechanism of the transition, an equivalent-circuit model using transmission lines and ideal transformers is proposed in Fig. 3. The circuit model comprises four transformers, two open-circuited quarter-wavelength resonators and two shunt short-circuited quarter-wavelength resonators. The transformers in the circuit represent the EM couplings between the input/output transmission lines and the resonators. The U-shaped slot is represented by two parallel short-circuited quarter-wavelength slotline resonators. Specifiand represent the couplings between cally, transformers the U-shaped slotline resonator and the microstrip lines, while characterize the couplings between the and transformers resonators and the input and open-circuited microstrip the output microstrip lines, respectively. A. Coupling Coefficient for Open-Circuit Resonators In the equivalent-circuit model shown in Fig. 3, there are four interlaced resonators: two open-circuited quarter-wavelength microstrip line resonators and a pair of shunt short-circuited slotline quarter-wavelength resonators. Having appropriately determined the dimensions of the four resonators for a given center frequency, designing the physical dimensions of a transition for realizing the four required coupling transformers, namely, , , , and will be critical. It is known that an open-circuited quarter-wavelength microstrip line can be approximated by a series resonator circuit. Therefore, the coupling circuit of the input microstrip line and a open-circuited resonator, as depicted in Fig. 4(a), can be represented by the lumped-element circuit of Fig. 4(b). Note that by definition of an ideal transformer with turns ratio , there is , where is the resistance looking toward the transformer from the resonator and is the source

940

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 4. (a) Coupling circuit between a transmission line and an open-circuited resonator by an ideal transformer. (b) Corresponding lumped element circuit model of (a).

resistance, or the characteristic impedance of the input microstrip line in the physical model. Therefore, the external of the series resonator circuit of Fig. 4(b) at the resonant frequency is (1) refers to the coupling coefficient or where the notation . For the quarter-wavelength open-circuited resonant circuit in Fig. 4(a), where , in the vicinity of resonant frequency , the input impedance of the resonator

Fig. 5. (a) Coupling circuit between a transmission line and a pair of short-cirresonators by an ideal transformer. (b) Corresponding lumped cuited shunt element circuit model of (a).

More specifically,

(7)

where . It can be found that at resonance the group delay of the reflection coefficient is (8) The above relation is not new and is valid for any single resonator circuit [13]. It is reexamined here for illustrative purposes. It can be seen that once is obtained from (8), the coupling coefficient can be determined by (5). B. Coupling Coefficient for U-Shaped Slotline Resonator

(2) whereas its counterpart in the equivalent circuit of Fig. 4(b) series resonator can be expressed by looking into the (3) Comparison of (2) to (3) leads to the inductance of the equivalent circuit (4) Thus, (1) for the external

reduces to (5)

That is to say, if the external for the circuit in Fig. 4(a) can be measured from reflection coefficient , for a set of predefined and , the coupling coefficient can then be extracted by (5). By examining Fig. 4 and using the definition of an ideal transformer, the reflection coefficient looking at the input port of the microstrip line is given by and

(6)

At resonant frequency, the open-circuited quarter-wavelength resonators will short-circuit transformers 2 and 4 and the couplings and become isolated, where the notation refers to the coupling coefficient or . Thus, the coupling circuit between the microstrip line and the half-wavelength slotline resonator can be represented by an ideal transformer coupled to a pair of shunt short-circuited slotline resonators of length , as shown in Fig. 5(a), whose input admittance is given by (9) Since a short-circuited quarter-wavelength transmission line circuit, the physical circan be approximated by a shunt cuit of a transmission-line coupled half-wavelength U-shaped slotline resonator, which is abstracted by Fig. 5(a), can be represented by the lumped-element circuit of Fig. 5(b). By a similar procedure as that for finding coupling coefficient , it is straightforward to find that for the circuit of Fig. 5(b), (10) and that the group delay of the reflection coefficient is related to the external by (8). From the above discussion, it can be seen that the U-shaped slot is an optimal geometry that is most pertinent to the circuit model, very convenient in controlling the couplings and small in footprint.

HUANG AND WU: BROADBAND AND VIALESS VERTICAL MICROSTRIP-TO-MICROSTRIP TRANSITION

Fig. 6. Top view of the microstrip-to-microstrip transition.

IV. DESIGN GUIDELINES Fig. 6 shows the top view of the proposed microstrip- to-microstrip transition, in which the related dimensional variables are also defined. The Zeland IE3D and Agilent ADS simulation software are used for EM simulation of the physical structure and circuit-model simulation of the proposed circuit model, respectively, in this study. The substrate used in this study is Rogers Duroid 5880 with dielectric constant of 2.22 and a thickness of 0.508 mm. The loss tangent of the material is 0.0009 and the thickness of the cladding copper is 0.017 mm. The design process starts with an optimal circuit model for the frequency band of interest, which can be obtained by a circuit-level simulator such as ADS with specified characteristic impedances and initial electrical lengths of the resonator stubs. Here, the characteristic impedances include those of I/O transmission lines and those of transmission line composing the resonators in the physical model; optimal electrical lengths determine the resonators at appropriate resonance frequencies. Due to the simplicity of the equivalent-circuit model, it is a trivial task to optimize the circuit model that operates in the desired frequency bandwidth. The optimized circuit model should provide all the realizable coupling coefficients and serves as the coarse model in a space-mapping-like design process for the final physical model [14]. Usually a 50- microstrip line printed on the substrate is used for the I/O port and open-circuited quarter-wavelength resonators in the physical model. The total length of the U-shaped slotline resonator is designed to be a half guided wavelength. Changing the width of the slotline not only changes its characteristic impedance, but also affects its couplings to the I/O transmission lines. Fig. 7(a) and (b) shows the physical models for calculating coupling coefficients and in the equivalent circuit of Fig. 3, respectively. Since at resonance the open-circuited quarter-wavelength resonator is nearly short circuited at the point extending to the U slotline resonator, in the model for calculating and , the microstrip line crossing-over the U slotline will be short-circuited to ground, as shown in Fig. 7(a). It can be seen from Fig. 7 that when calculating the coupling or , the U slotline resonator must be detuned so that no energy is divided. As the dominant factor for creating couplings and is the discontinuity of the slotline that divides the microstrip line and quarter-wavelength open-circuited resonator, one only needs to consider a short section of the slotline without taking the whole

941

Fig. 7. Models for calculating the coupling coefficients. (a) For calculating or . (b) For calculating or .

U-shaped slotline resonator and the lower level microstrip line into consideration. In the proposed manner, all the coupling coefficients can be determined one by one independently by using the group-delay information of each corresponding EM model at the center frequency. The initial dimensions of a transition can be obtained by the process given above. The final dimensions will be determined by a few steps of manual tuning using the concept of space-mapping optimization technique, which are: 1) EM simulation response of an unoptimized transition (fine model) is curve fitted by the response of corresponding circuit model described by Fig. 2 (coarse model); 2) the extracted-circuit model is compared to the optimized circuit model (golden template) for obtaining the error vector; and 3) a new EM simulation will be conducted using the updated fine model according to the error vector. The process will be repeated for a few numbers of iteration. Once the extracted-circuit model is close enough to the golden template, an optimized transition design is achieved. V. BANDWIDTH ENHANCEMENT AND SIZE REDUCTION In order to investigate the relationship between the couplings and the bandwidth, a parametric study based on the equivalent circuit shown in Fig. 3 is conducted. Fig. 8 shows the simulated return losses of the optimized circuit model with the variation of coupling coefficients and . For simplicity, the characteristic impedances at the two ports are 50 and the electric length of each quarter-wavelength resonators is 90 at the center frequency of 7 GHz. Considering the symmetry of the circuit model, it is assumed that and . It can be observed from Fig. 8 that as decreases while increases, the bandwidth will be enhanced greatly. Although the proposed configuration provides three reflection zeros within the passband, the operating bandwidth of the physical model is limited by the U-shaped slot on the ground plane. As mentioned above, it is difficult for a single discontinuity to control all the required couplings. Therefore, a modified structure, as shown in Fig. 9, is proposed for a better control of couplings so that the bandwidth can be increased as broad as possible. It is noted that two stepped discontinuities are inserted between the I/O port and the open-circuited quarter-wavelength resonator. In principle, having the highand low-impedance line section decreases the coupling that controls the power into the open-circuited quarter-wavelength resonators, while changing the width of slotline can increase the coupling coefficient that directs the signal to the U-shaped

942

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 8. Simulated responses of the circuit model with

and

Fig. 10. Relation between the coupling coefficient

and the width of slotline.

Fig. 11. Relation between the coupling coefficient high-impedance line.

and the width of inserted

as parameters.

Fig. 9. Top view of the modified structure.

slotline resonator. Fig. 10 illustrates the relation between the coupling coefficient and the width of slotline. Fig. 11 shows the relation between the coupling and the width of the high-impedance line. It is observed that the coupling can be effectively reduced in this manner. On the other hand, the low-impedance discontinuity, as it provides a positive electrical length to the open-circuited quarter-wavelength resonator, makes the modified structure of the transition more compact, as compared to the original structure shown in Fig. 2. Fig. 12 shows the variation of resonance frequencies of the open-circuited quarter-wavelength resonator versus the width of the low-impedance line. It can be seen that using the modified structure can reduce the size of transition by about 18%. Thus, when determining the physical length of the resonator, this size reduction effect needs to be taken into consideration. By the way, during the determination of the coupling coefficients in the physical model, the corresponding stepped-impedance lines should be included in the EM model.

Fig. 12. Resonant frequency versus the width of the low-impedance line of the modified open-circuited quarter-wavelength resonator.

TABLE I DIMENSIONS OF THE TRANSITION DESIGN EXAMPLE

VI. EXPERIMENTAL VERIFICATION By following the design procedure outlined in Section IV, one can easily design a circuit model of a transition provided that the characteristic impedances of each transmission-line resonator are given. As an example, the design procedure of a vertical microstrip-to-microstrip transition, as shown in Fig. 9, is employed here, where a 50- microstrip line is used for both microstrip line and open-circuited quarter-wavelength resonator. The U-shaped slotline has a characteristic impedance of 127 that is designed by the closed-form formulas given in [15].

The final designed physical dimensions and the circuit model parameters of the transition are summarized in Tables I and II, respectively. Fig. 13 shows the simulated magnitudes of the -parameters of the transition by the equivalent-circuit model and the EM designed model. The simulated results indicate that the transition has a more than 100% bandwidth for the

HUANG AND WU: BROADBAND AND VIALESS VERTICAL MICROSTRIP-TO-MICROSTRIP TRANSITION

943

TABLE II COMPARISON OF THE DESIGNED AND THE EXTRACTED-CIRCUIT MODEL PARAMETERS

Fig. 15. Measured and simulated magnitudes of the reflection coefficients of the transition.

Fig. 13. Simulated results of the equivalent-circuit model and the EM model of a microstrip-to-microstrip transition.

Fig. 16. Measured and simulated magnitudes of the transmission coeffiicients of the transition prototype.

TABLE III DIMENSIONS OF THE TRANSITION DESIGN EXAMPLE

Fig. 14. Photograph of the prototype of a microstrip-to-microstrip transition.

return loss of better than 15 dB across the band with respect to the center frequency of 7.5 GHz. To verify the proposed circuit model and the design procedure, the final EM designed responses are curve fitted for extracting the corresponding circuit parameters according to the model proposed in Fig. 2. The extracted-circuit model parameters are also listed in Table II for comparative purpose. It is noted that agreement between the designed and the extracted coupling coefficients is excellent. The discrepancy in the resonance frequencies of the quarter-wavelength resonators is caused by the parasitic effects of the open end of the resonator and the parasitic effect of the bends of the U-shaped resonator. This verification demonstrates that proposed circuit model is fully competent for practical engineering designs.

In order to experimentally characterize the performance, a prototype of the designed transition is fabricated. The photograph of the prototype is shown in Fig. 14. The measurement is conducted by using an R&S ZVA67 vector network analyzer. Consequently, the measured and EM simulated magnitudes of the reflection and transmission coefficients are superimposed in Figs. 15 and 16, respectively. A very broad impedance-matching bandwidth of 3.1–11.56 GHz for a return loss less than 10 dB is achieved. The measured lowest insertion loss at the frequency of 7 GHz is about 0.25 dB. The measured results have demonstrated the excellent performance of the proposed transition. To show the wide bandwidth feature of the proposed transition, as listed in Table III, its electric properties are compared

944

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

with those of some published representative microstrip-to-microstrip vertical vialess transitions in the similar frequency band. It should be noted that the bandwidth of a transition largely depends on the specified return-loss requirement and that the insertion loss strongly depends on the material used. VII. CONCLUSION A vialess, broadband, and vertical microstrip-to-microstrip transition using a U-shaped slot on their common ground plane has been presented in this paper. In contrast to conventional transition structures, the proposed transition provides three reflection zeros in the passband with low insertion loss over a very broad bandwidth. The working mechanism of the transition is interpreted by the concept of a three-pole resonator filter. An equivalent-circuit model of the transition is also developed as a coarse model for engineering design. Based on the equivalent circuit, design formula for the transition are also developed and demonstrated, with which the coupling coefficients can be determined by using group-delay information of two segregated simple EM models. In the end, a prototype transition is EM designed according to the proposed design equation and procedure and is fabricated to validate the proposed transition. It has been demonstrated that by following the design procedure, one can design a proposed broadband microstrip-to-microstrip transition in a deterministic way; and that the EM designed responses approach to the desired ones of the optimal circuit model very well. The EM simulated results indicate that a more than 100% bandwidth for the return loss of better than 15 dB across the band can be achieved. It can be foreseen that the proposed transition is particularly useful for broadband applications in the millimeter-wave frequency band and is highly suitable for multilayer wafer-level integration technologies, where making vias is difficult. REFERENCES [1] S. M. Hu, L. Wang, Y.-Z. Xiong, T. G. Lim, B. Zhang, J. L. Shi, and X. J. Yuan, “TSV technology for millimeter-wave and terahertz design and applications,” IEEE Trans. Compon., Packag., Manuf. Technol., vol. 1, no. 2, pp. 12–21, Feb. 2011. [2] J.-H. Lee, G. Dejean, S. Sarkar, S. Pinel, K. Lim, J. Papapolymerou, J. Laskar, and M. M. Tentzeris, “Highly integrated mllimeter-wave passive components using 3-D LTCC system-on-package (SOP) technology,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 6, pp. 12–21, Jun. 2005. [3] M. Daneshmand, R. R. Mansour, P. Mousavi, S. Choi, B. Yassini, A. Zybura, and M. Yu, “Integrated interconnect networks for RF switch matrix applications,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 12–21, Jan. 2005. [4] R. Valois, D. Baillargeat, S. Verdyme, M. Lahti, and T. Jaakola, “High performances of shielded LTCC vertical transitions from DC up to 50 GHz,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 6, pp. 2026–2032, Jun. 2005. [5] F. Casares-Miranda, C. Viereck, C. Camacho-Penãlosa, and C. Caloz, “Vertical microstrip transition for multilayer microwave circuits with decoupled passive and active layers,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 7, pp. 401–403, Jul. 2006. [6] C. Chen, M. Tsai, and G. Alexopoulos, “Optimization of aperture transitions for multi-port microstrip circuits,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 12, pp. 2457–2465, Dec. 1996.

[7] L. Zhu and K. Wu, “Ultra broadband vertical transition for multilayer integrated circuits,” IEEE Microw. Guided Wave Lett., vol. 9, no. 11, pp. 453–455, Nov. 1999. [8] M. Abbosh, “Ultra wideband vertical microstrip-microstrip transition,” IET Microw. Antennas Propag., vol. 1, no. 5, pp. 968–972, Oct. 2007. [9] M. Tran and T. Itoh, “Analysis of microstrip lines coupled through an arbitrarily shaped aperture in a thick common ground plane,” in IEEE MTT-S Int. Microw. Symp. Dig., Atlanta, GA, 1993, vol. 3, pp. 819–822. [10] Lafond, M. Himdi, J. Daniel, and N. Haese-Rolland, “Microstrip/thick-slot/microstrip transitions in millimeter waves,” Microw. Opt. Technol. Lett., vol. 34, no. 2, pp. 100–103, Jul. 2002. [11] T. Swierczynski, D. McNamara, and M. Clenet, “Via-walled cavities as vertical transitions in multilayer millimeter-wave circuits,” Electron. Lett., vol. 39, no. 25, pp. 1829–1831, Dec. 2003. [12] Li, J. Cheng, and C. Lai, “Designs for broadband microstrip vertical transitions using cavity couplers,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 464–472, Jan. 2006. [13] J. S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001, sec. 8.4. [14] J. W. Bandler, R. M. Biernacki, S. H. Chen, R. H. Hemmers, and K. Madsen, “Electromagnetic optimization exploiting aggressive space mapping,” IEEE Trans. Microw. Theory Tech., vol. 43, no. Dec., pp. 2874–2882, Dec. 1995. [15] R. Garg, P. Bhartia, I. Bahl, and A. Ittipaboon, Microstrip Antenna Design Handbook. Norwood, MA: Artech House, 2001, pp. 786–789. Xiaobo Huang was born in Jiangsu, China, in 1983. He received the B.Eng. and M.Eng. degrees in electronic and optical engineering from the Nanjing University of Science and Technology, Nanjing, China, in 2005 and 2007, respectively, and the Ph.D. degree in electronic engineering from The Chinese University of Hong Kong, Shatin, Hong Kong, in 2011. Since 2011, he has been a Research Engineer with the China Research and Development Center, Comba Telecommunication Systems, Guangzhou, China, where he is involved with LTE smart antennas. His current research interests include passive microwave and millimeter-wave circuits, antennas and filters for communication systems, and LTCC-based modules for wireless communications.

Ke-Li Wu (M’90–SM’96–F’11) received the B.S. and M.Eng. degrees from the Nanjing University of Science and Technology, Nanjing, China, in 1982 and 1985, respectively, and the Ph.D. degree from Laval University, Quebec, QC, Canada, in 1989. From 1989 to 1993, he was with the Communications Research Laboratory, McMaster University, as a Research Engineer and a Group Manager. In March 1993, he joined the Corporate Research and Development Division, COM DEV International, where he was a Principal Member of Technical Staff. Since October 1999, he has been with The Chinese University of Hong Kong, Shatin, Hong Kong, where he is a Professor and the Director of the Radiofrequency Radiation Research Laboratory (R3L). He has authored or coauthored numerous publications in the areas of EM modeling and microwave and antenna engineering. His current research interests include partial element equivalent circuit (PEEC) and DPEC EM modeling of high-speed circuits, RF and microwave passive circuits and systems, synthesis theory and practices of microwave filters, antennas for wireless terminals, LTCC-based multichip modules (MCMs), and RF identification (RFID) technologies. Dr. Wu is a member of IEEE MTT-8 Subcommittee (Filters and Passive Components) and is a Technical Program Committee (TPC) member for many international conferences including the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS). He was an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (2006–2009). He was the recipient of the 1998 COM DEV Achievement Award for the development of exact EM design software of microwave filters and multiplexers and the 2008 Asia–Pacific Microwave Conference Prize.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

945

A Dual-Band Coupled-Line Coupler With an Arbitrary Coupling Coefficient Xi Wang, Wen-Yan Yin, Senior Member, IEEE, and Ke-Li Wu, Fellow, IEEE

Abstract—A novel dual-band coupled-line coupler with an arbitrary coupling coefficient is proposed and investigated in this paper. The coupler has a simple structure and can realize a wide range of the same coupling coefficient over two designated frequencies. The mathematical design formula is also developed, which not only provides a straightforward design procedure, but also reveals the attractive features of the proposed coupler, including: 1) the same coupling coefficient in the dual frequency bands; 2) the sole dependence of a coupling coefficient on the ratio of the impedances of even and odd modes; and 3) a large range of the ratio of the dual frequencies. Two prototypes of the proposed couplers, one operating at 2.4/5.8 GHz with a 10-dB coupling coefficient and the other working at 0.9/1.8 GHz with a 15-dB coupling coefficient, have been designed, fabricated, and measured. The measured results demonstrate that the bandwidths for the 2.4- and 5.8-GHz bands are 22% and 19.8%, respectively, and that for the 0.9- and 1.8-GHz bands are 28.4% and 15.8%, respectively. Good correlation between the measured results and those of the theoretically designed justifies the proposed coupler circuit and the design theory. Index Terms—Arbitrary coupling coefficient, coupled-line coupler, dual band.

I. INTRODUCTION

W

ITH THE increasing demands on coexistence of multiple wireless communication systems in one outdoor unit, such as global system for mobile communications (GSM), Universal Mobile Telecommunications System (UMTS), and future long-term evolution (LTE) systems, more and more dualband or even multiple-band RF and microwave subsystems are required for sharing the resources, reducing system complicity, and cutting down the cost as much as possible. To this end,

Manuscript received October 15, 2011; revised January 08, 2012; accepted January 10, 2012. Date of publication February 20, 2012; date of current version April 04, 2012.This work was supported by the Research Grants Council of the Hong Kong Special Administrative Region under Grant 2150647. This work was supported in part by the National Basic Research Program of China under Grant 2009CB320204. X. Wang is with the Department of Electronic Engineering, The Chinese University of Hong Kong, Shatin, Hong Kong, and also with the School of Electronic Information and Electrical Engineering, Shanghai Jiao Tong University, Shanghai 200240, China (e-mail: [email protected]). W.-Y. Yin is with the Center for Microwave and RF Technologies, Shanghai Jiao Tong University, Shanghai 200240, China, and also with the Center for Optical and Electromagnetic Research, State Key Laboraotory of Modern Optical Instrumentation, Zhejiang University, Hangzhou 310058, China (e-mail: [email protected]; [email protected]). K.-L. Wu is with the Department of Electronic Engineering, The Chinese University of Hong Kong, Shatin, Hong Kong (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2185949

much research has been devoted to various dual-band RF passive components, such as dual-band antennas [1], [2], filters [3], [4], power dividers [5], [6], and branch-line couplers [7]–[14]. Regarding dual-band couplers, a lot of attention has been paid to a dual-band branch-line coupler, which can be used in balanced amplifiers, mixers, phase shifters, and antenna arrays. For example, a branch-line dual-band coupler using left-handed transmission lines was proposed in 2003 [7]. In [8], additional open- or short-circuit stubs tapped to the end of each branch line were introduced for designing a dual-band branch-line coupler with simple and analytical design equations. A stub tapped to the center of each branch line [9], [10] can also realize a dual-band coupler. Cross branches, which can provide additional freedom, were utilized to design dual-band couplers in [11]. In [12], a novel 3-dB dual-band branch-line coupler was introduced with a simple port extension. All of the above-mentioned research was based on branchline couplers or rat-race couplers with a 3-dB coupling coefficient. In practice, different coupling coefficients are required for different system requirements. For example, a small amount of signal needs to be coupled to a monitoring circuit at an antenna feeding port. In this direction, a dual-band branch-line coupler and a rat-race coupler with arbitrary couplings were proposed [13] with limited coupling coefficients due to a limited realizable high-impedance value. For example, the characteristic impedance of the shunt branch lines needs to be 500 to realize a 20-dB coupling coefficient. To decrease the coupling, [14] used a coupled line instead of a transmission line in a branch-line coupler. In this study, the bandwidths of the sample coupler are only 8.1% and 1.91% at the two designated frequencies, respectively. Most recently, a 3-dB dual-band coupled-line coupler has been proposed in [15], which is relatively compact and requires a relatively smaller footprint to implement as compared to a branch-line coupler. In this paper, a dual-band coupled-line coupler with an arbitrary coupling coefficient and a large range of frequency ratios is proposed. The coupler configuration consists of three pairs of coupled lines with the same coupling coefficient in the dual frequencies. It has a wider bandwidth than that of a branch-line coupler and a greater flexibility in controlling the coupling coefficient. The proposed coupler can be easily realized by a double-sided printed circuit board (PCB). One of the attractive attributes that is worthwhile to mention is, similar to a conventional coupled-line coupler, a different coupling coefficient can be realized by controlling the ratio of the impedances of even and odd modes of the coupled lines. Additionally, the phase difference between the two output ports almost remains a constant 90° in a very wideband range.

0018-9480/$31.00 © 2012 IEEE

946

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 1. Schematic diagram of a symmetrical coupler network.

This paper is organized as follows. In Section II, the matching and isolation conditions of a symmetrical four-port network is analyzed first, which is the foundation for designing a coupledline coupler. The configuration of the proposed dual-band coupler and its design theory are then introduced, followed by the discussion on the achievable frequency ratios based on a realizable impedance of the coupled line using an ordinary PCB fabrication process. Section III shows the simulated and measured results of two prototypes of the proposed dual-band coupler with different coupling coefficients and different frequency ratios, of which one operates at 2.4/5.8 GHz with 10-dB coupling coefficient, and the other operates at 0.9/1.8 GHz with 15-dB coupling coefficient. The measured results agree well with the simulated ones.

Fig. 2. Schematic diagram of the proposed dual-band coupler.

For a reciprocal and lossless two-port network, and are pure real, and and are pure imaginary. Clearly, (1d) leads to (3a) (3b) To satisfy (1a), one can find that

II. THEORY

(4)

A. Matching and Isolation Conditions

Equations (3b) and (4) can be rewritten as

For a general coupled-line coupler, as shown in Fig. 1, the -parameters can be expressed in terms of its corresponding even- and odd-mode half-circuits by

(5a) (5b)

(1a) (1b)

Equations (3a) and (5) are the simultaneous matching and isolation conditions of a symmetric four-port coupler network.

(1c)

B. Proposed Coupler Circuit and Its Design Formula

(1d) where

(2a)

(2b)

(2c)

(2d)

The schematic diagram of the proposed dual-band coupledline coupler is shown in Fig. 2 with port terminated by the impedance of . The coupler consists of three pairs of coupled lines with the same electrical length . The coupled lines on the two sides are assumed to be identical. The corresponding even- and odd-mode half-circuits of the coupler are presented in Fig. 3 in terms of even- and odd-mode characteristic impedances , , , and of coupled line Sections I and II, respectively. The elements of matrices for evenand odd-mode half-circuits can be found as

(6a) (6b) (6c) (7a)

is the coupling of the coupler, , , , and , where and are the elements of matrices of evenand odd-mode half-circuits, respectively. and

(7b) (7c)

WANG et al.: DUAL-BAND COUPLED-LINE COUPLER WITH ARBITRARY COUPLING COEFFICIENT

947

It can be proven that if

(13) then (9) becomes (14) By defining a new parameter Fig. 3. Even- and odd-mode half-circuits. (a) Even mode. (b) Odd mode.

such that

(15)

As expected, and are pure real, and and are pure imaginary. From the matching and isolation conditions (3a) and (5), the following equations can be obtained:

(8) (9) Since the dependence of in (9) is governed by function , for any values of , , , , and , there are two solutions for variable in each cycle. If and are the first two solutions of (9) and and are the corresponding frequencies. For a quasi-dispersion-free coupled line, there are (10a) (10b) or (10c)

Obviously, two conclusions can be drawn at this point, which , , , , and are: 1) for a given set of values of , the two operating frequencies and are uniquely determined and 2) at the arithmetic average frequency of and , the electrical length equals to . These two conclusions set the basic design rule of how to control the dual frequencies of the proposed coupler. Furthermore, with the help of (3a) and (5), parameters of and of the coupler can be expressed as

for where the matching and isolation condition (8) has been employed, and of the proposed dual-band coupler are reduced to (16a) (16b) Equation (16) justifies that parameter is the coupling coefficient of the coupler. In other words, the proposed dual-band coupled-line coupler satisfies the same electric properties at its dual operating frequencies as those of the conventional singleband coupled-line coupler. Having revealed by (16), the parameter represents the coupling of the proposed coupler and is determined by the ratio only. The larger the ratio is, the larger coupling coefficient can be achieved. The design procedure of the coupler can be summarized as follows. 1) For given two designated frequencies, using (10) to determine , and , where or . 2) For a required coupling coefficient , and can be obtained using (13) and (15), or more specifically

(17a)

(17b) 3) and are determined by (8) and (14). For a practical application, the required coupled transmission lines must be realizable. An equivalent characteristic impedance of a pair of edge-coupled microstrip coupled lines that is approximately equal to the characteristic impedance of the half-circuit of the even or odd mode of the coupled line when the coupling is very weak can be defined by

(11)

(18)

(12)

It can be seen from (17) and (18) that and , the equivalent characteristic impedances for coupled line Sections I and II, respectively, are a constant if the dual operating frequencies are given. Since and are only the functions of , if the termination is given, the limitation of a realizable

948

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 4. Normalized equivalent characteristic impedances of coupled-line sections 1 and 2 versus frequency ratio.

Fig. 5. Coupling coefficient of the proposed coupler versus the ratio of .

coupled line mainly depends on the separation of the dual frequencies. Fig. 4 shows the normalized equivalent characteristic impedances of and to versus frequency ratio of the dual frequencies. It can be observed that equivalent characteristic impedances of and can be easily realized in a wide range of frequency ratios. Fig. 5 shows the coupling coefficient versus the impedance ratio of . Theoretically speaking, to realize a 3-dB coupler, the ratio needs to be 5.83, which is not easy to achieve by a double-sided PCB board. Some auxiliary approaches can be applied to increase the coupling of a coupled line, e.g., a pair of coupled line with an aperture opened on the ground plane was proposed to achieve a large ratio of by a double-sided PCB [16]. Therefore, the proposed coupled-line coupler can realize an arbitrary coupling coefficient using a double-sided PCB board. III. DESIGN EXAMPLES Unlike a branch-line coupler, the phase difference of a coupled-line coupler remains constant in and out of the operating frequency band. This property is retained in the proposed dualband coupled-line coupler. Fig. 6 shows the theoretic responses of ideal proposed dual-band coupled-line couplers with coupling coefficients of 3, 10, and 20 dB at dual operating frequencies of 1 and 3 GHz. The electrical parameters of the circuit model can be found by using (10), (17), and (18) with set to 50 . The 1-dB ripple is set in defining the bandwidth. For the 3-dB coupler design, the designed parameters

Fig. 6. Frequency response and phase difference of ideal circuits of the proposed dual-band coupled-line coupler (operating at 1 and 3 GHz) for a: (a) 3-dB coupler, (b) 10-dB coupler, and (c) 20-dB coupler.

Fig. 7. Typical layout of the proposed dual-band coupler. TABLE I DIMENSIONS OF THE TWO PROTOTYPE COUPLERS (UNIT: MILLIMETERS)

are for the

and 10-dB coupler design, ; and for the

; and 20-dB coupler design,

WANG et al.: DUAL-BAND COUPLED-LINE COUPLER WITH ARBITRARY COUPLING COEFFICIENT

949

Fig. 8. EM simulated, schematic circuit, and measured results of the 15-dB and . prototype coupler operating at 0.9/1.8 GHz. (a) Magnitude of and . (c) Phase difference between the two output (b) Magnitude of ports. (d) Photograph of the prototype coupler on a double-sided PCB board.

and . Obviously, the phase difference between the two output ports remains nearly constant in a wideband range. The fractional bandwidths

Fig. 9. EM simulated, schematic circuit, and measured results of the 10-dB and . (b) Magcoupler operating at 2.4/5.8 GHz. (a) Magnitude of and . (c) Phase difference between the two output ports. nitude of (d) Photograph of the prototype coupler.

950

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

can be calculated under the conditions that dB, dB, dB, and , where is the designated coupling coefficient. For the 3-dB coupler, the fractional bandwidths are about 54% and 18% at the two designated frequencies, respectively. For the 10-dB coupler, the fractional bandwidths are about 41% and 13.7%, respectively. For the 20-dB coupler, the fractional bandwidths are about 39% and 13%, respectively. It can be observed that the fractional bandwidths can be as wide as more than 13% at the second operating frequency even with a small coupling coefficient. For verification purposes, two prototype couplers were designed, fabricated using a double-sided Duroid substrate with dielectric constant of 2.33 and thickness of 1.575 mm, and tested with Agilent E5071A. A representative layout of the prototype couplers is illustrated in Fig. 7. The first prototype was designed for the coupling coefficient of 15 dB. The two operating frequencies are 0.9 and 1.8 GHz. The second prototype is a 10-dB coupler operating at 2.4 and 5.8 GHz. The dimensions of the two prototype couplers are given in Table I. All the EM simulations were done by IE3D [17]. Fig. 8 shows the frequency responses of the 15-dB prototype coupler operating at 0.9/1.8 GHz. Due to the poor manufacturing quality of the in-house prototyping facility, the measured operating frequencies slightly shift to about 0.95/1.9 GHz and the coupling coefficient is a little bit larger than the designed value. The bandwidths at the two operating frequencies, in accordance with that dB, dB, dB, and 15 0.5 dB at the lower and higher operating frequencies, respectively, and are 28.4% and 15.8%, respectively. As seen from Fig. 8(c), the phase difference between the two output ports remains almost constant in a wide frequency range. Similar performance for the 10-dB prototype coupler operating at 2.4 and 5.8 GHz is shown in Fig. 9. The bandwidths at the two operating frequencies with respect to dB, dB, dB, and , 22%, and 19.8%, respectively. It should be mentioned that the EM simulated responses do not perfectly match to those of the ideal schematic circuit models at the higher frequency band due to the unequal evenand odd-mode velocities in microstrip coupled lines and dispersion effect of the T-junction discontinuous. A better way to reduce this effect is to use TEM transmission lines.

following the proposed design procedure and using a commercial EM simulator, fabricated and measured. Good correlation between the measured results and those of the designed verifies the circuit principle and the theoretic design formula. REFERENCES [1] K.-P. Yang and K.-L. Wong, “Dual-band circularly-polarized square microstrip antenna,” IEEE Trans. Antennas Propag., vol. 49, no. 3, pp. 377–382, Mar. 2001. [2] Y. Ding and K. K. Leung, “Dual-band circularly polarized dual-slot antenna with a dielectric cover,” IEEE Trans. Antennas Propag., vol. 57, no. 12, pp. 3757–3764, Dec. 2009. [3] L.-C. Tsai and C.-W. Hsue, “Dual-band bandpass filters using equallength coupled-serial-shunted lines and -transform technique,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1111–1117, Apr. 2004. [4] S. Luo, L. Zhu, and S. Sun, “A dual-band ring-resonator bandpass filter based on two pairs of degenerate modes,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 12, pp. 3427–3432, Dec. 2010. [5] K.-K. M. Cheng and C. Law, “A novel approach to the design and implementation of dual-band power divider,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 2, pp. 487–492, Feb. 2008. [6] Y. Wu, Y. Liu, and Q. Xue, “An analytical approach for a novel coupled-line dual-band Wilkinson power divider,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 2, pp. 286–294, Feb. 2011. [7] I.-H. Lin, C. Caloz, and T. Itoh, “A branch-line coupler with two arbitrary operating frequencies using left-handed transmission lines,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, vol. 1, pp. 325–328. [8] K.-K. M. Cheng and F.-L. Wong, “A novel approach to the design and implementation of dual-band compact planar 90 branch-line coupler,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 11, pp. 2458–2463, Nov. 2004. [9] H. Zhang and K. J. Chen, “A stub tapped branch-line coupler for dualband operations,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 2, pp. 106–108, Feb. 2007. [10] M.-J. Park, “Dual-band, unequal length branch-line coupler with center-tapped stubs,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 10, Oct. 2009. [11] M.-J. Park and B. Lee, “Dual-band, cross coupled branch line coupler,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 10, pp. 6y55–6y57, Oct. 2005. [12] H. Kim, B. Lee, and M.-J. Park, “Dual-band branch-line coupler with port extensions,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 3, pp. 651–655, Mar. 2010. [13] C.-L. Hsu, J.-T. Kuo, and C.-W. Chang, “Miniaturized dual-band hybrid couplers with arbitrary power division ratios,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 1, pp. 149–156, Jan. 2009. [14] C.-L. Hsu, “Dual-band branch line coupler with large power division ratios,” in Proc. Asia–Pacific Microw. Conf., Dec. 2009, pp. 1–4. [15] L. K. Yeung, “A compact dual-band 90 coupler with coupled-line sections,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 9, pp. 2227–2232, Sep. 2011. [16] K. S. Ang Leong, Y. C. Leong, and C. H. Lee, “Multisection impedance-transforming coupled-line baluns,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 536–541, Feb. 2003. [17] IE3D Simulator. Zeland Softw. Inc., Fremont, CA, 1997.

IV. CONCLUSION In this paper, a novel planar dual-band coupled-line coupler has been proposed. The coupler can provide an arbitrary coupling coefficient at dual frequency bands with wider bandwidths as compared to its branch-line coupler counterpart. The coupler configuration is simple and only consists of three pairs of coupled lines at the middle frequency of the two designated dual operating frequencies. The mathematical design formula is developed, which provides a straightforward analytic design procedure. Two prototype dual-band couplers with different coupling coefficients and frequency ratios have been designed by

Xi Wang was born in Chongqing, China, in 1984. He received the B.Eng. and M.Eng. degrees from the University of Electronic Science and Technology of China, Chengdu, China, in 2006 and 2009, respectively, and is currently working toward the Ph.D. degree at Shanghai Jiao Tong University, Shanghai, China. From 2009 to 2010, he was with the Center for Microwave and RF Technologies, Shanghai Jiao Tong University. Since December 2010, he has been with the Department of Electronic Engineering, The Chinese University of Hong Kong, Shatin, Hong Kong, as a Research Assistant. His current research interests include passive RF and microwave circuits and filters for wireless applications.

WANG et al.: DUAL-BAND COUPLED-LINE COUPLER WITH ARBITRARY COUPLING COEFFICIENT

Wen-Yan Yin (M’99–SM’01) received the M.Sc. degree in electromagnetic fields and microwave techniques from Xidian University, Xi’an, China, in 1989, and the Ph.D. degree in electrical engineering from Xi’an Jiao Tong University, Xi’an, China, in 1994. From 1993 to 1996, he was an Associate Professor with the Department of Electronic Engineering, Northwestern Polytechnic University, Xi’an, China. From 1996 to 1998, he was a Research Fellow with the Department of Electrical Engineering, Duisburg University, Duisburg, Germany, granted by the Alexander von Humboldt-Stiftung, Bonn, Germany. Since December 1998, he has been with Department of Electrical Engineering, National University of Singapore (NUS), Singapore, as a Research Fellow. In March 2002, he joined the Temasek Laboratories, NUS, as a Research Scientist and a Project Leader. Since April 2005, he has been a Professor with the School of Electronic Information and Electrical Engineering, Shanghai Jiao Tong University, Shanghai, China. In January 2009, he joined the National State Key Laboratory of Modern Optical Instrumentation, Zhejiang University, Hangzhou, China, as a “Qiu Shi” Chair Professor. He has authored or coauthored over 190 international journal papers, one international book, and 17 book chapters. His main research interests include passive and active RF and millimeter-wave device and circuit modeling, ultra-wideband interconnects and signal integrity, nanoelectronics, electromagnetic compatibility (EMC) and electromagnetic protection, and computational multiphysics and applications. Dr. Yin is an IEEE EMC Society Distinguished Lecturer from 2011 to 2012. He was the recipient of the Best Paper Award of the 2008 Asia–Pacific Symposium on Electromagnetic Compatibility and the 19th International Zurich Symposium, Singapore.

951

Ke-Li Wu (M’90–SM’96–F’11) received the B.S. and M.Eng. degrees from the Nanjing University of Science and Technology, Nanjing, China, in 1982 and 1985, respectively, and the Ph.D. degree from Laval University, Quebec, QC, Canada, in 1989. From 1989 to 1993, he was with the Communications Research Laboratory, McMaster University, as a Research Engineer and a Group Manager. In March 1993, he joined the Corporate R&D Division, COM DEV International, where he was a Principal Member of Technical Staff. Since October 1999, he has been with The Chinese University of Hong Kong, Hong Kong, where he is a Professor and the Director of the Radiaofrequency Radiation Research Laboratory (R3L). He has authored or coauthored numerous publications in the areas of electromagnetic (EM) modeling and microwave and antenna engineering. His current research interests include PEEC and DPEC electromagnetic modeling of high-speed circuits, RF and microwave passive circuits and systems, synthesis theory and practices of microwave filters, antennas for wireless terminals, low-temperature co-fired ceramic (LTCC)-based multichip modules (MCMs), and RF identification (RFID) technologies. Dr. Wu is a member of IEEE MTT-8 Subcommittee (Filters and Passive Components). He has served as a Technical Program Committee (TPC) member for many international conferences including the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS). He was an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (2006–2009). He was the recipient of the 1998 COM DEV Achievement Award for the development of exact EM design software of microwave filters and multiplexers and the 2008 Asia–Pacific Microwave Conference Prize.

952

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

A Generalized Dual-Band Wilkinson Power Divider With Parallel and Components Xiaolong Wang, Student Member, IEEE, Iwata Sakagami, Member, IEEE, Kensaku Takahashi, Student Member, IEEE, and Shingo Okamura, Student Member, IEEE

Abstract—A generalized model of a two-way dual-band Wilkinson power divider (WPD) with a parallel LC circuit at midpoints of two-segment transformers is proposed and compared with that of a conventional two-way dual-band WPD with a parallel LC circuit at the ends of two-segment transformers. The sum of power reflected at an output port and power transmitted to an isolation port from another isolation port in the proposed divider is smaller than that in the conventional divider. Therefore, wide bandwidths for , , and can be expected for proposed dividers. In the case of equal power division, frequency characteristics of return loss at output ports and isolation of the proposed divider are wider than those of the convention one. The resonant frequencies of LC circuits in the proposed divider and a conventional divider are equal; however, the inductance used in the proposed divider is always smaller than that in the conventional divider. Design charts and calculated bandwidths as a function of frequency ratio from 1 to 7 are presented. In experiments, two symmetrical and two asymmetrical circuits were fabricated. The experimental results showed good agreement with theoretical results.

Fig. 1. Generalized dual-band WPD with a parallel LC circuit.

Index Terms—Arbitrary power division, dual band, parallel LC, Wilkinson. Fig. 2. Generalized model of a conventional dual-band WPD with a parallel RLC circuit [8], [10].

I. INTRODUCTION

M

ANY TYPES of Wilkinson power dividers (WPDs) have been investigated for wireless communication systems because their planar structure, input and output port matching, and isolation are important features in a microwave circuit design [1]–[4]. Recently, multiband communication systems have become highlighted and many dual-band WPDs using open/short-circuited stubs [5]–[7], lumped-element components [8]–[10], or only resistors [11]–[14] have been presented. An unequal-power-division two-way single-band WPD was first presented by Parad and Moynihan in 1965 [15], and the output terminal conditions were and , respectively, where stands for the characteristic impedance of a reference line and represents a power division ratio. These terminal conditions were utilized in [16] and [17], while generalized WPDs that are not restricted by the above terminal conditions have been discussed in [10], [14], and [18]–[20]. An un-

Manuscript received November 17, 2011; accepted December 18, 2011. Date of publication February 15, 2012; date of current version April 04, 2012. This work was supported by the VLSI Design and Education Center (VDEC) and by the University of Tokyo in collaboration with Agilent Technologies Japan Ltd. The authors are with the Graduate School of Science and Engineering, University of Toyama, Toyama 930-8555, Japan (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2184298

equal power divider with a simple layout was also presented in [21]. In this paper, a generalized WPD with lumped elements that enables dual-band and unequal power division is newly presented, as shown in Fig. 1, and is compared with a previously reported dual-band WPD shown in Fig. 2. It is shown that the proposed divider, without degrading input reflection and power division characteristics, has advantages in bandwidths of reflection and isolation characteristics at output terminals and in selection of inductance values. II. DESIGN EQUATIONS In Figs. 1 and 2, , , and are terminal loads, and ( ) are characteristic impedances of transmission lines of electrical length , and denotes an absorption resistor. In Fig. 1, an LC circuit is placed at the midpoints of two-segment transformers. For dual-band operation, let and be the lower and upper band frequencies, respectively, and let and be the electrical lengths corresponding to the two frequencies. We then have following relationships:

0018-9480/$31.00 © 2012 IEEE

(1)

WANG et al.: GENERALIZED DUAL-BAND WPD WITH PARALLEL

AND

COMPONENTS

953

Fig. 3. Even-mode equivalent circuits for proposed and conventional circuits. (a) Upper part. (b) Lower part.

where is the frequency ratio of and , and is a positive integer. According to [8], and are defined as follows:

Fig. 4. Odd-mode equivalent circuits for the proposed divider. (a) Upper part (b-side). (b) lower part (c-side).

(3)

Since terminals of ports 1 and 2 in Fig. 3(a) are connected to a two-segment transformer, the following conditions are required for dual-band operation [22]:

(4)

(12)

A general expression about the range of frequency ratio has already been presented in [8]. In this paper, only the cases of and are discussed,

(13)

(2) From (1),

Next, average frequency

for

(5)

for

(6)

(14) (15) where

is defined by

(16) (7)

The power ratio

at frequencies

and

is defined by (8)

A. Even-Mode Analysis Fig. 3 shows even-mode equivalent circuits. When port 1 is excited, since voltages at ports 2 and 3 must be equal, (9) times the All of the parameters of the upper circuit are corresponding parameters of the lower circuit and the voltage distributions on the upper part are identical to those on the lower part. Under these conditions, no current flows through the lumped elements [18]. The lumped elements , , and can be omitted in the even-mode excitation. is equal to a parallel connection of and under the conditions of (10) and (11) [14], [18] (10) (11)

B. Odd-Mode Analysis for Proposed Circuit Fig. 4(a) and (b) shows equivalent circuits for an odd-mode excitation. Assume voltage sources of and to ports 2 and 3, respectively, under the conditions of (9), (14), (15), and (17)–(19) (17) (18) (19)

Equal, but opposite current distributions can then be obtained. This means that no current flows into the terminal resistor at port 1 in the odd-mode propagation. When the even-mode voltage sources applied to ports 2 and 3 are and , respectively, the current in the lower impedance equivalent circuit is times that of the higher impedance equivalent circuit and the current entering is the sum of both currents because . Circuit responses for a voltage applied to port 3 (or port 2) can be given by superposition of even and odd modes, and the two circuits shown in Fig. 4(a) and (b) can be connected to form the

954

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

circuit shown in Fig. 1 without changing the current distribution for both modes, and we have (20) (21) (22) ( , , and ) are input impedances In Fig. 4(a), looking to the left, and is an admittance of the LC circuit. They are written as follows: (23)

Fig. 5. Upper part of an odd-mode equivalent circuit of the conventional divider.

With procedures similar to those described in Section II-B and from matching conditions at port 2, we have

(24)

(35)

(25)

(36) (37)

where and Assuming at output port 2, we have

(26)

(38)

for input match

In Fig. 5, holds for the same reason as that described in Section II-B, and therefore,

(27)

(39)

. at

and

(28) From

in (4), we have

III. COMPARISON BETWEEN PROPOSED AND CONVENTIONAL DIVIDERS

. Using (18)–(21), (29) (30)

Relationships of reactance elements in Figs. 1 and 4(a) are shown in (29) and (30), respectively. Since under the conditions of (29) and (30),

A. Capacitances and Inductances From (18), (20), (30), and (38), (40) From (19), (21), (29), and (37),

(31)

(41)

The reflection coefficient looking to the left from port 2 is (32) where

and

.

C. Odd-Mode Analysis for a Conventional Circuit The upper part of the odd-mode equivalent circuit for Fig. 2 , , and ) are input impedances is shown in Fig. 5. ( looking to the left and (33) (34) where

.

and . In general, the From (40) and (41), use of low inductance inductors is preferable in designing microwave circuits to avoid stray capacitances and a large area occupied by inductors such as spiral inductors. B. Resonant Frequency From (40) and (41) and the relationships in (18)–(21), (42) where From

is a resonant angular frequency. and , (43)

WANG et al.: GENERALIZED DUAL-BAND WPD WITH PARALLEL

From (43) and

AND

COMPONENTS

955

In the range of and holds. Considering (53)–(55),

,

,

(44) since

.

since

(56)

. Thus, (45) Frequency responses of the dividers shown in Figs. 1 and 2 must be equal at . C. Sum of Reflected and Transmitted Powers Applying (40) and (41) to (24) and (33), (46) Under the condition of (46), the denominators of (26) and is defined as (34) are equal. The notation (47) Reflection coefficients shown in (32) and (39) can then be rewritten as (48) (49) In general, -parameters at output ports are given by (50)–(52) (see the Appendix) (50) (51) (52) and are reflection coefficients for the even- and where odd-mode equivalent circuits, respectively. Let and be -parameters of proposed and conventional dividers (53) (54) Under the condition of ,

or (55)

In the Case of : because , and , and for

for for

. .

for

Similarly, (57) When output port 2 of the proposed power divider is excited, the sum of reflected power at port 2 and transmitted power to port 3 is smaller than that in the case of a conventional power divider in the vicinities of lower and upper frequencies and since is included in the range of and is included in the range of . Therefore, we can expect wideband frequency responses in reflections at output ports and isolation characteristics without degrading power division characteristics , , , and and reflections because these parameters are determined from the even-mode equivalent circuits that are common to both proposed and conventional dividers. In the Case of : From (6), . From (1), . The electrical length corresponds to . The range of limits the lower frequency to and the upper frequency to . The range of lower frequencies corresponds to , and therefore, . In the vicinity of , holds. The range of upper frequencies corresponds to , and therefore, and . Similar to the case of , we have (55)–(57) in the vicinities of and . IV. DESIGN CHARTS, BANDWIDTHS, AND FREQUENCY RESPONSES Concrete description for the results shown in Section II and III with respect to lumped element values, bandwidths, and frequency responses is presented in this section. A. In the Case of Equal Power Division Equal terminal loads and power ratio are assumed in Section IV-A. Using (12)–(16), characteristic impedances, and ( ), were calculated as a function of frequency ratio and are shown in Fig. 6, where the curves in the ranges of and correspond to the cases of and , respectively. In calculating inductances and capacitances, either or is needed in (29) and (30) and in (37) and (38) since and in (29), (30), (37), and (38) are functions of . The lumpedelement values are inversely proportional to the lower frequency . Fig. 7 shows calculated capacitances and inductances under the condition of GHz. Using characteristic impedances and lumped element values shown in Figs. 6 and 7, frequency characteristics for both proposed and conventional WPDs can be calculated, and then 20-dB bandwidths of , , and can be determined

956

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 6. Design chart for characteristic impedances.

Fig. 7. Design chart for lumped elements

and

GHz .

Fig. 8. BWRs calculated from

directly from the frequency characteristics. The 20-dB bandwidth of the lower band is defined as , where and are band-edge frequencies at 20-dB return loss and at 20-dB isolation of the lower band. Similarly, the bandwidth of the upper band is defined as . and are not necessarily equal because capacitors and inductors are used. Furthermore, in this paper, using the average frequency , bandwidth ratios (BWRs) for the lower and upper bands are defined as (58) (59) From (29), (30), (37), and (38), (60) (61) (62) (63) where

. Furthermore, for for

(64) (65)

20-dB bandwidths. (a) L-BWR. (b) U-BWR.

Since (60)–(65) are determined by normalized frequency and frequency ratio , -parameters , shown in (50)–(52), are functions of , , , , , , and , where , , and are terminal resistances normalized by . Even if all of the impedances shown in Figs. 1 and 2 are normalized by , and seen in (50)–(52) are the same. In the case of equal power division, and . If is given, is determined by (5) and (6), and then (66) is a function of and its solutions give and ( ) (66) The L- and U-BWRs were drawn in Fig. 8(a) and (b), respectively, using the solutions of (66) in the sense of a bisection method with a desired ending tolerance [23]. Ideal normalized frequency responses based on circuit theory are shown in Figs. 9 and 10, where , and stand for normalized lower, resonant and upper frequencies, respectively. In Fig. 9, (55), (56), and (57) hold in the ranges of and . In Fig. 10, as explained in Section III, and are limited to and , and (55)–(57) hold in the range of and that include and . The values shown in Table I and the values in the column of the 20-dB bandwidth in Table II are theoretical values for the case of , GHz, and GHz, which correspond to frequency characteristics shown in Fig. 9. Values

WANG et al.: GENERALIZED DUAL-BAND WPD WITH PARALLEL

AND

Fig. 9. Ideal frequency characteristics under the conditions of , and , where , , , and (a) For the conventional WPD. (b) For the proposed WPD.

,

COMPONENTS

.

957

Fig. 10. Ideal frequency characteristics under the conditions of , and , where , , , and conventional WPD. (b) For the proposed WPD.

, . (a) For the

TABLE I DESIGN DATA FOR EQUAL POWER DIVISION

of L-BWR and U-BWR in Table II are common for arbitrary value of under the condition of . Design data and bandwidths for , GHz, and GHz, which correspond to frequency characteristics shown in Fig. 10, are shown in Tables III and IV, respectively. B. In the Case of Unequal Power Division As an example of unequal power division, terminal loads , , and power ratio are assumed. In the same manner as that described in Section IV-A, characteristic impedances as a function of and capacitances and inductances calculated under the condition of GHz were drawn in Figs. 11 and 12. Using these characteristic impedances and lumped-element values, frequency characteristics for proposed and conventional WPDs can be calculated. Fig. 13(a) and (b) shows normalized frequency responses of . The 20-dB bandwidths shown in Table V were calculated under the conditions of , GHz, and GHz. L- and U-BWRs shown in Table V hold for an arbitrary lower frequency under the conditions of power ratio , frequency ratio , and terminal conditions of and . Using the solutions from (66), Fig. 14 was drawn. In Fig. 13(a), the first peak of is below 20 dB, and was used in (58) for the calculation of L-BWR.

In the case of

, ,

, and GHz

, where and

GHz GHz

.

TABLE II BANDWIDTHS AND BWRs FOR EQUAL POWER DIVISION

In the case of

, ,

, and GHz

, where and

GHz GHz

.

The result was 82.8% and is shown in the column of L-BWR in Table V and plotted at the point of and in Fig. 14(a).

958

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

TABLE III DESIGN DATA FOR EQUAL POWER DIVISION

In the case of GHz

,

, and and

, where GHz

GHz

,

.

TABLE IV BANDWIDTHS AND BWRs FOR EQUAL POWER DIVISION

In the case of GHz

,

, , and

, where GHz

GHz .

,

Fig. 13. Ideal frequency characteristics under the conditions of , and , where , , , and (a) For the conventional WPD. (b) For the proposed WPD.

, .

TABLE V BANDWIDTHS AND BWRs FOR POWER DIVISION RATIO OF 2 Fig. 11. Design chart for characteristic impedances.

Fig. 12. Design chart for lumped elements

and

GHz .

In the case of

, ,

Fig. 15(a) and (b) shows normalized frequency responses of . 20-dB bandwidths for , GHz, and GHz, and L- and U-BWRs are shown in Table VI. Regarding shown in Figs. 13 and 15, consider the equivalent circuits shown in Figs. 3–5 and (10). When , and

, and GHz

, where , and

GHz GHz

.

From (51), (67) In this example, and . Therefore, and . These relationships are indicated in the vicinity in Figs. 13 and 15. of

WANG et al.: GENERALIZED DUAL-BAND WPD WITH PARALLEL

Fig. 14. BWRs calculated from

AND

COMPONENTS

959

20-dB bandwidths. (a) L-BWR. (b) U-BWR.

We can easily find the relationship of from the range of in Fig. 13. However, the condition must hold. V. EXPERIMENTAL RESULTS Four proposed WPDs were fabricated on a Roger RT/5880 substrate. Two of them were symmetrical and the other two were asymmetrical. The data of the substrate are , , thickness of dielectric layer mm, and conductor thickness mm. Schematic simulation in ADS 2008 U2 was applied for the four power dividers. The size of each of the four experimental dividers can be reduced by the use of coupled-line sections [14]; however, discussion of size reduction was omitted in this paper.

Fig. 15. Ideal frequency characteristics under the conditions of , and , where , , , and conventional WPD. (b) For the proposed WPD.

, . (a) For the

TABLE VI BANDWIDTHS AND BWRs FOR POWER DIVISION RATIO OF 2

A. Symmetrical Power Divider Fig. 16(a) and (b) show symmetrical equal power division dual-band WPDs of frequency ratios and , respectively. The terminal loads were given by . Experimental results for the WPDs are shown in Figs. 17 and 18, respectively, and their design parameters are shown in Tables VII and VIII, respectively. Fig. 17(a)–(c) shows return losses ( ) and isolation . The measured values at GHz and GHz were below 20 dB. Fig. 17(d) shows insertion losses and . The measured values of and at GHz and GHz

In the case of GHz

,

, and , and

, where GHz

GHz

,

.

are in the range of 3.01 to 3.18 dB, where 3.01 dB is an ideal value for equal power division. The difference between 3.01 and 3.18 dB is 0.17 dB. Transmission losses caused by substrate dielectric material, fabrication errors, soldering, and so

960

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 16. Photographs of proposed symmetrical WPDs. (a) When GHz; . (b) When GHz, GHz;

GHz, .

forth are estimated to be within 0.17 dB. The phase responses shown in Fig. 17(e) are almost the same. Fig. 18(a)–(c) shows ( ) and . The measured values at GHz and GHz were below 20 dB. Fig. 18(d) shows insertion losses and . The measured values of and at GHz and GHz were in the range from 3.01 to 3.25 dB. The difference means that estimated transmission losses of the WPD shown in Fig. 16(b) are at most 0.24 dB at two frequencies and . The phase responses shown in Fig. 18(e) are almost the same. B. Asymmetrical Power Divider Fig. 19(a) and (b) shows asymmetrical dual-band WPDs, in , terminal loads , which power ratio , and frequency ratios and were assumed. A 50- transmission line is used between the terminal load and the SMA connector at port 2, and a two-segment transformer is designed between the terminal load and the SMA connector at port 3. Experimental results for the WPDs are shown in Figs. 20 and 21, respectively. In measurement and simulation, two-segment transformers to transform 25 into 50 were included. Design parameters are shown in Tables IX and X, respectively, where and are characteristic impedances of the first and second segments of the transformers, respectively. Fig. 20(a)–(c) shows return losses ( ) and isolation . The measured values at GHz and GHz were below 20 dB. Fig. 20(d) shows insertion losses and . The values of 4.77 and 1.76 dB represent ideal powers to be delivered to ports 2 and 3, respectively. The measured values of were in the range from 4.77 to 4.95 dB and those of were in the range from 1.76 to 2.06 dB

Fig. 17. Experimental results in the case of GHz).

(

GHz,

at GHz and GHz. The maximum difference between ideal power delivery and measured insertion loss at design frequencies was 0.3 dB, which was given by at

WANG et al.: GENERALIZED DUAL-BAND WPD WITH PARALLEL

AND

COMPONENTS

TABLE VII LINE IMPEDANCES, LINEWIDTH, AND LINE LENGTH DESIGNED GHz AND GHz

961

AT

Chip inductance used is 5.1 nH for the theoretical value 5.13 nH. Chip capacitance used is 2.7 pF for the theoretical value 2.74 pF. TABLE VIII LINE IMPEDANCES, LINEWIDTH, AND LINE LENGTH DESIGNED GHz AND GHz

AT

Chip inductance used is 10 nH for the theoretical value 10.19 nH. Chip capacitance used is 2.4 pF for the theoretical value 2.49 pF.

Fig. 19. Photographs of asymmetrical proposed WPDs of power ratio GHz, GHz, and . (b) When (a) When GHz, and .

Fig. 18. Experimental results in the case of GHz).

(

GHz,

GHz. The phase responses shown in Fig. 20(e) were almost the same. The curves shown in Fig. 13(b) and those of

. GHz,

Fig. 20 are not equal because the two-segment transformer is not included in Fig. 13(b). Fig. 21(a)–(c) shows return losses ( ) and isolation . The measured values at GHz and GHz were below 20 dB. Fig. 21(d) shows insertion losses and . The measured values of were in the range from 4.77 to 5.10 dB and those of were in the range from 1.76

962

Fig. 20. Experimental results in the case of GHz) and power ratio .

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

(

GHz,

to 2.11 dB at GHz and GHz. The maximum difference between ideal power delivery and measured insertion

Fig. 21. Experimental results in the case of GHz) and power ratio .

(

GHz,

loss was 0.35 dB, which was given by at GHz. The phase responses shown in Fig. 21(e) were almost the same.

WANG et al.: GENERALIZED DUAL-BAND WPD WITH PARALLEL

TABLE IX LINE IMPEDANCES, LINEWIDTH, AND LINE LENGTH DESIGNED GHz, GHz, AND POWER RATIO

AND

AT

COMPONENTS

963

APPENDIX DERIVATION OF -PARAMETERS AT OUTPUT PORTS In Figs. 3 and 4, replace the terminal resistors with transmission lines of characteristic impedances respectively.

and and

,

A. Excitation 1

Chip inductance used is 7.3 nH for the theoretical value 7.23 nH. Chip capacitance used is 2.2 pF for the theoretical value 2.16 pF. TABLE X LINE IMPEDANCES, LINEWIDTH, AND LINE LENGTH DESIGNED GHz AND GHz AND POWER RATIO

Assume even-mode voltage waves and traveling on the transmission lines from the right to ports 2 and 3, respec. The corresponding tively, and assume odd-mode voltage waves are and , respectively. The incident power wave traveling on the transmission line of is given by a superposition of the even- and odd-mode incident power waves [24] and can be given by (A1)

AT

The reflected power wave can be given by

on the same transmission line (A2)

where and are even- and odd-mode reflection coefficients at port 2 (or port 3). Therefore, the reflection coefficient at port 2 is given by Chip inductance used is 8.2 nH for the theoretical value 8.44 nH. Chip capacitance used is 3 pF for the theoretical value 3 pF.

VI. CONCLUSION A generalized dual-band WPD with a parallel LC circuit at the middle of constituent transmission lines has been presented and compared with a previously reported dual-band WPD that has a parallel LC circuit at the ends of constituent transmission lines. The range of lower and upper band frequency ratios discussed in this paper was from 1 to 7. Input return losses and power division characteristics of proposed and conventional power dividers are identical because their even-mode equivalent circuits are common. However, the sum of reflected power at an output port and transmitted power to an isolation port in the proposed divider is always smaller than that in the case of a conventional divider in the vicinities of lower and upper band frequencies. Therefore, wideband frequency characteristics can be expected in the proposed divider. In particular, it has been shown in the case of equal power division that frequency characteristics of return loss at an output port and isolation of the proposed divider are always wider than those of the conventional divider. With respect to the LC circuit, resonant frequencies of proposed and conventional dividers are equal; however, the value of inductance of the proposed divider is always smaller than that of a conventional divider. This means that the size of an inductor can be reduced in the proposed divider. In experiments, good agreement between results of simulation and those of measurement was achieved.

(A3) on the transmission Regarding the incident power wave line of , . The reflected power wave on the same transmission line can be given by (A4) Thus, the transmission coefficient from ports 2 to 3 (A5) B. Excitation 2 Assuming even-mode traveling voltage waves and on the transmission lines of and , respectively, and oddmode traveling voltage waves and on the same transmission lines, and can be obtained by procedures similar to the above. The definition of an impedance scaling factor in this paper is opposite to the definition of [18]. ACKNOWLEDGMENT The lumped elements, chip capacitor, and chip inductor were provided by the Murata Manufacturing Company Ltd., Kyoto, Japan. REFERENCES [1] E. J. Wilkinson, “An -way hybrid power divider,” IRE Trans. Microw. Theory Tech., vol. MTT-8, no. 1, pp. 116–118, Jan. 1960.

964

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

[2] S. Horst, R. Bairavasubramanian, M. M. Tentzeris, and J. Papapolymerou, “Modified Wilkinson power dividers for millimeter-wave integrated circuits,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 11, pp. 2439–2446, Nov. 2007. [3] H. Liu, R. Cao, and M. Wu, “Harmonics suppression of Wilkinson power divider using spurlines with adjustable rejection bands,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2008, pp. 189–192. [4] C. J. Trantanella, “A novel power divider with enhanced physical and electrical port isolation,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2010, pp. 129–132. [5] K. K. M. Cheng and F. L. Wong, “A new Wilkinson power divider design for dual band application,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 9, pp. 664–666, Sep. 2007. [6] M. J. Park and B. Lee, “A dual-band Wilkinson power divider,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 2, pp. 85–87, Feb. 2008. [7] H. Zhang and H. Xin, “Designs of dual-band Wilkinson power dividers with flexible frequency ratios,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2008, pp. 1223–1226. [8] L. Wu, Z. Sun, H. Yilmaz, and M. Berroth, “A dual-frequency Wilkinson power divider,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 278–284, Jan. 2006. [9] T. Kawai, Y. Nakashima, Y. Kokubo, and I. Ohta, “Dual-band Wilkinson power dividers using a series circuit,” IEICE Trans. Electron., vol. E91-C, no. 11, pp. 1793–1797, Nov. 2008. [10] X. Wang and I. Sakagami, “Generalized dual-frequency Wilkinson power dividers with a series/parallel RLC circuit,” presented at the IEEE MTT-S Int. Microw. Symp., Jun. 5–10, 2011, Paper TH1B-4. [11] S. Srisathit, M. Chongcheawchamnan, and A. Worapishet, “Design and realization of dual-band 3 dB power divider based on two-section transmission-line topology,” Electron. Lett., vol. 39, no. 9, pp. 723–724, May 2003. [12] M. J. Park, “Two-segment cascaded coupled line Wilkinson power diver for dual-band applications,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 4, pp. 188–190, Apr. 2009. [13] M. J. Park, “Dual-band Wilkinson divider with coupled output port extensions,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 9, pp. 2232–2237, Sep. 2009. [14] I. Sakagami, X. Wang, K. Takahashi, and S. Okamura, “Generalized, two-way, two-section, dual-band Wilkinson power divider with two absorption resistors and its miniaturization,” IEEE Trans. Microw, Theory Tech., vol. 59, no. 11, pp. 2833–2847, Nov. 2011. [15] L. I. Parad and R. L. Moynihan, “Split-tee power divider,” IEEE Trans. Microw. Theory Tech., vol. MTT-13, no. 1, pp. 91–95, Jan. 1965. [16] Y. Wu, Y. Liu, and S. Li, “Unequal dual-frequency Wilkinson power divider including series resistor–inductor–capacitor isolation structure,” IET Microw. Antennas Propag., vol. 3, no. 7, pp. 1079–1085, Mar. 2009. [17] Y. Wu, Y. Liu, Y. Zhang, J. Gao, and H. Zhou, “A dual band unequal Wilkinson power divider without reactive components,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 1, pp. 216–222, Jan. 2009. [18] R. B. Ekinge, “A new method of synthesizing matched broadband TEM-mode three-ports,” IEEE Trans. Microw. Theory Tech., vol. MTT-19, no. 1, pp. 81–88, Jan. 1971. [19] H. R. Ahn and I. Wolff, “General design equations, small-sized impedance transformers, and their application to small-sized three-port 3-dB power dividers,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 7, pp. 1277–1288, Jul. 2001. [20] X. Wang, I. Sakagami, K. Takahashi, and S. Okamura, “A planar three-way dual-band power divider using two generalized open stub Wilkinson dividers,” in Asia–Pacific Microw. Conf., Dec. 7–10, 2010, pp. 714–717. [21] K. K. M. Cheng and P.-W. Li, “A novel power-divider design with unequal power-dividing ratio and simple layout,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 6, pp. 1589–1594, Jun. 2009.

[22] C. Monzon, “A small dual-band transformer in two-segments,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1157–1161, Apr. 2003. [23] W. H. Press, S. A. Teukolsky, W. T. Vetterling, and B. P. Flannery, Numerical Recipes in Fortran: The Art of Scientific Computing, 2nd ed. New York: Cambridge Univ. Press, 1992, ch. 9. [24] K. Kurokawa, “Power waves and the scattering matrix,” IEEE Trans. Microw. Theory Tech., vol. MTT-13, no. 3, pp. 194–202, Mar. 1965. Xiaolong Wang (S’10) was born in Jilin, China, in 1982. He received the B.S. degree in communication engineering from Jilin University, Changchun, China, in 2005, the M.S. degree from the Changchun University of Science and Technology, Changchun, China, in 2008, and is currently working toward the Ph.D. degree at the Graduate School of Science and Engineering, University of Toyama, Toyama, Japan. His research interests include generalized dual-frequency transmission-line circuits and designs in microwave and millimeter-wave bands.

Iwata Sakagami (M’80) received the B.S., M.S., and Ph.D. degrees in electronic engineering from Hokkaido University, Sapporo, Japan, in 1972, 1977, and 1980, respectively. From 1972 to 1974, he was with Communication Equipment Works, Mitubishi Electric Corporation, Amagasaki, Japan. He is currently a Professor with the Graduate School of Science and Engineering, Engineering Research Division, University of Toyama, Toyama, Japan. His research interests include microwave lumped and distributed circuits and electronically tuning devices for wireless applications. Dr. Sakagami is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan.

Kensaku Takahashi (S’10) was born in Gifu, Japan, on December 5, 1987. He received the B.S. degree in electric and electronic engineering from the University of Toyama, Toyama, Japan, in 2010, and is currently working toward the M.S. degree at the University of Toyama. His research interests are microwave tunable circuits and switch circuits for time division duplex (TDD) systems.

Shingo Okamura (S’11) was born in Hyogo, Japan, on August 29, 1987. He received the B.S. degree in electric and electronic engineering from the University of Toyama, Toyama, Japan, in 2010, and is currently working toward the M.S. degree at the University of Toyama. His research interests are microwave passive circuits and composite right/left-handed (CRLH) structures.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

965

Synthesis of Vertical Interdigital Filters Using Multilayered Technologies Aurélien Périgaud, Stéphane Bila, Serge Verdeyme, Dominique Baillargeat, and Didier Kaminsky

Abstract—Taking advantage of multilayer technologies, a vertical evolution of the interdigital topology is proposed with its associated synthesis method. In order to validate this filter topology, a 4-pole filter, centered at 10 GHz, and an 11-pole filter, centered at 6 GHz, have been designed and fabricated using low-temperature co-fired ceramic (LTCC) technology. Two other multipole filters have also been designed showing a large surface reduction with respect to equivalent interdigital filters. LTCC technology has been chosen for fabricating the filters, but the proposed topology can also be implemented with other multilayer technologies. Index Terms—Low-temperature co-fired ceramic (LTCC), microwave filters, multilayer technology.

I. INTRODUCTION

M

ANY applications, from space to electronic warfare, require moderate to wide band (25%–50%) bandpass filters, over the frequency range covering 2 to 20 GHz. At the same time, circuits have to be more compact, while new fabrication technologies, such as multilayer technologies, arise and allow designing evolved filters. For example, multilayer technologies can be used for verticalizing existing filter topologies [1]–[6]. Such an approach has already been used to stack resonators in [1] and to transform resonators into ones in [2] and [3]. The latest solution uses capacitive effects upon digits to reduce the size of filters: This is particularly interesting at low frequencies when the length of resonators is bigger than the width of the filter, but less relevant at higher frequencies (e.g., above 10 GHz). In [4] and [5], ultra-wideband (UWB) filters have been integrated with multilayer liquid crystal polymer (LCP) technology. However, even using capacitive effects, the reduction that can be obtained with solutions proposed in [1]–[5] is varying between 2 and 3. Recently, a supercompact bandpass filter realized with up to 15 metal layers in low-temperature co-fired ceramic (LTCC) was proposed in [6]. Nevertheless, the maximum fractional bandwidth demonstrated with this solution is around 15%. In this work, the proposed solution is dedicated to moderate to wide band filters and a particular attention is paid to reducing the footprint while preserving electrical performances. The planar Manuscript received May 16, 2011; revised November 18, 2011; accepted November 28, 2011. Date of publication February 10, 2012; date of current version April 04, 2012. A. Périgaud, S. Bila, S. Verdeyme and D. Baillargeat are with XLIM UMR 6172, Université de Limoges/CNRS, 87060 Limoges, France (e-mail: stephane. [email protected], [email protected]). D. Kaminsky, retired, was with Thales Airborne Systems, Elancourt, France. Digital Object Identifier 10.1109/TMTT.2011.2182204

Fig. 1. Description of a vertical coupling in VIF structures: (a) real configuration and (b) approximate model for analytical dimensioning.

inter-digital topology, well-known for its compactness, is verticalized in order to reduce the surface area. The design of such a vertical interdigital filter (VIF) starts with the determination of an analytical filtering function that is converted into a coupling matrix [7]. This aspect is not exposed in the current paper, but the next step, which consists to synthesize the filter dimensions from the coupling matrix, is the purpose of Section III. Finally an optimization [8]–[10] is performed on the resulting filter geometry. VIFs are, as standard planar interdigital filters, well suited for bandwidths from 25% to 50%. Even if the coupling matrix model is a narrowband model, it can be successfully applied to the design of moderate and wideband filters [10]. Besides, a better starting point results in an easier optimization. For this reason, a strong effort has been paid on the way that theoretical couplings are transformed into practical microwave structures. First, VIFs are presented together with their synthesis method. Two examples show the typical size reduction achievable by this filter topology. Finally, two experimental filters have been realized and measured. II. DESCRIPTION OF A VERTICAL INTERDIGITAL FILTER (VIF) As already mentioned, a VIF is made of stacked interdigital resonators. However, contrary to planar interdigital filters, the distance between resonators is fixed by the height of layers, so the coupling is controlled by gaps and widths of coupled lines, as described in Fig. 1(a). When gaps and widths increase, the coupling increases, and when all the dimensions decrease, the resulting coupling decreases. On the contrary, when the widths of resonators increase (respectively, decrease) and the gaps with ground decrease (respectively, increase), the two effects counterbalance partially. This can be an advantage for reducing the sensitivity with respect to small uniform etching dispersions. This also implies that several pairs of gaps and line widths can achieve the same

0018-9480/$31.00 © 2012 IEEE

966

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

level of coupling. Consequently, a fixed gap and width for a given resonator influences the same dimensions of its neighboring resonators. However, this strategy may be limited because of technological constraints. The latter leads to consider the whole structure during the design, while pairs of coupled lines are generally considered independently with conventional planar interdigital filters. This can be supported by an iterative calculation of couplings, which requires a step-by-step modification of the filter structure. Since a large number of iterations could be required, the structure is designed in three steps. The first step consists of an initial dimensioning of the filter structure with analytical equations, while sensitive parts of the VIF structure (mainly vias and feet of bars) are taken into consideration. The second step refines the structure applying a numerical method. Finally, the design ends with the adjustment of input–output coupling systems. III. DIMENSIONING COUPLED RESONATORS VERTICAL INTERDIGITAL FILTER

FOR A

The synthesis begins with the selection of a filtering function, which satisfies given specifications. From this filtering function, a coupling matrix can be derived, which defines normalized coupling coefficients (where and stand for coupled resonators and ). These terms are related to a theoretical narrowband equivalent circuit as described in [7]. At the same time, a coupling coefficient can be defined as given in [11], for two resonant coupled lines and , by the intermediate of odd and even modes. Since is related to the ideal filter characteristic and with the implemented structure, a formal relation is required between these two coefficients in order to closely link the structure dimensions to the coupling matrix, i.e., to the ideal filtering characteristic. A. Relation Between Coupling

Fig. 2. Measurement of couplings for two lines weakly coupled at their accesses: (a) equivalent circuit and (b) associated response.

and Coupling

Based on image parameters theory [11], a relationship between and can be defined for the particular case of two interdigital coupled lines of opposite directions. On the one hand, for any quadripole connected to termination image impedances ( and ) as shown in Fig. 2(a), the relation between its accesses (i.e., between potentials and ) can be expressed [11, p. 51] as (1) with the image propagation function. If the quadripole is replaced by two lossless coupled lines, which are weakly coupled at their accesses, the corresponding coupling coefficient can be defined by the eigenmode frequencies ( and ) as (2a)

Fig. 3. Ideally coupled lines and image parameter.

Considering the response in Fig. 2(b), it comes that when or , . For the case of coupled interdigital structure (Fig. 3), image parameters and are well defined [11, p. 220]. Consequently, the solution for leads to . On the other hand, for two coupled lines, the coupling coefficient is defined [11, p. 778] by odd and even modes, which exist between two coupled lines and , as (3) where is the impedance of the even mode and of the odd mode. This coefficient can be calculated from [11, p. 174] for planar interdigital resonators and from [11, p. 180] for stacked resonators, the expressions being derived in the Appendixes. The relationship between and eigenmode impedances is given [11, p. 220], by (4) where represents the electrical length of the line. This can also be written (5)

and FBW where FBW bandwidth and

is the fractional bandwidth with the center frequency of the filter.

(2b)

Since

and

, one can see that

the (6)

PÉRIGAUD et al.: SYNTHESIS OF VERTICAL INTERDIGITAL FILTERS USING MULTILAYERED TECHNOLOGIES

967

Fig. 5. Two planar coupled lines; definition of feet of bars. Fig. 4. Couplings versus ratio of even and odd impedances

.

Electrical lengths are proportional to frequencies in (2), so the formal relations between and can be derived as follows: (7) (8) Values obtained for couplings ratio of eigenmode impedances

and

, with respect to the , are shown in Fig. 4.

B. Analytical Expression of Coupling for Different Line Widths Previous relations are expressed for two lines with equal widths. Indeed, for standard interdigital filters, coupling is adjusted by varying the distance between resonators while the width of resonators remains generally unchanged. For VIFs, the distance (height) between resonators remains unchanged while the gaps and the widths are used to tune the coupling. Nevertheless, using [11] and [15], an equivalent coupling can be derived for two lines having different widths and respectively: (9) is the coupling factor for two lines of width where is the coupling factor for two lines of width .

, and

C. Numerical Modeling of Coupled Resonators As explained previously, analytical equations are used to build a preliminary version of the filter. Indeed, contrary to the simplified description of vertical coupling [see Fig. 1(a)], ground planes are placed on each side of the line, creating gaps [see Fig. 1(b)]. The coupling level is controlled both by line and gap widths and, at a second order, by the width of the vertical waveguide (dimension in Fig. 1). Furthermore, metal thickness is neglected in the simplified description, although it could have a non-negligible impact on eigenmode impedances. Consequently, in order to calculate more precisely couplings between resonators, a semi-analytical method is used: the rectangular boundary division method (RBDM) [12]–[14]. With RBDM, the (closed) transverse section of a TEM line is divided into subregions. For each subregion, an analytical

expression is formulated, based on a Fourier series of Laplace equation solution. Then, considering boundary and continuity conditions between subregions, potentials are determined numerically. From these values, the electrostatic energy can be calculated, leading to the linear capacitance of the structure and to the characteristic impedance of the line. When considering two lines, and , coupling coefficient is obtained from the even and odd mode impedances (from (3)), applying potentials, respectively, of and on line sections. This method has been chosen because of its simplicity and rapidity, which is crucial when iterative computations are involved. Since the problem is described and solved in the transverse section only, computations are easier and faster than with any other numerical or semi-analytical method involving a three-dimensional description of the structure. For example, the synthesis of an 11-pole filter requesting 20 RBDM computations requires around 1 min. on a Core 2 duo, 2.77 GHz, with the synthesis taking advantage of the symmetry in the structure. This method also handles naturally thick metallization, and even if RBDM is a static method, it can still be applied at microwave frequencies assuming that coupling is not frequency dependent for usual dimensions. The accuracy is much better compared with sole analytical formulas, as illustrated in Fig. 6, where a VIF is dimensioned applying both approaches. Initializing the filter dimensions with the analytical model, which approximates the structure as shown in Fig. 1(b), the electromagnetic response of the VIF stays roughly tuned compared with the ideal response [Fig. 6(b)]. Initializing the dimension with the RBDM provides more accurate initial dimensions, and the electromagnetic analysis is improved, as shown in Fig. 6(c), particularly in terms of matching and bandwidth. However, if the use of RBDM leads indisputably to a better starting point before optimization, limits of the implemented method are the ones associated with the RBDM in [14]. The method is still dedicated to specific coupling structures since the RBDM code does not handle asymmetric or planar couplings. In this case, another numerical method, with a better tradeoff between polyvalence and rapidity, may be used instead of RBDM for the iterative computation of couplings. IV. OPTIMIZATION OF THE VERTICAL INTERDIGITAL FILTER STRUCTURE To provide a better starting point for optimization, effects of vias, feet of bars, and input–output couplings have to be analyzed carefully and accounted in the design of the VIF structure.

968

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

shifted in opposite directions along the transverse direction, as depicted in Fig. 5. Consequently, the resonators are not coupled over their total length, i.e., the coupling length is lower than considered in theory and this reduces the coupling. The uncoupled part of the resonator is a foot of bar whose effect can be estimated for a VIF structure as for a planar interdigital structure. Since bars are coupled, they can be assimilated to coupled lines in [11, p. 778] and so (10a) and (10b) with the electrical length of foot of bar. The latter equation predicts that when . Practically, this is not true, since a residual coupling exists even if the bars have no facing length. Therefore, an empirical correction for is made where . In (10a), is substituted to for calculation, while still represents the electrical length of foot of bar. Fig. 7 shows the agreement obtained for two different levels of coupling between theory and EM simulations using ADS-Momentum. For a maximum coupling length (i.e., ), which corresponds to a quarter wavelength coupling, agreement between predicted and measured coupling is excellent, which validates the previous method (without correction). When increases and is typically larger than , the proposed empirical correction is necessary for fitting the coupling obtained by EM simulations. Nevertheless, this correction has probably to be changed for a different permittivity or substrate height. Furthermore, another correction has to be applied to the length of resonators in order to consider the effect of vias. Unfortunately, this effect cannot be taken into account using an approximation since many configurations of vias can be employed, as depicted in Fig. 8. The analysis, with ADS-Momentum, of frequency shifts due to fabrication dispersions are given in Table I for each via configuration. A stripline structure made of two layers of RO4003 and vias of 0.3 mm of diameter have been considered for the analysis. Consequently, the correction is applied, a posteriori, on the foot of each bar, by running the EM simulator once. B. Input–Output Couplings Fig. 6. Seven-pole vertical interdigital filter (layers: Ferro A6, ). The occupation is 1.3 mm 6 mm 4 mm. (a) 3-D structure. (b) Electromagnetic analysis after analytical dimensioning. (c) Electromagnetic analysis after numerical dimensioning using RBDM. Electromagnetic responses are compared with an ideal Chebychev filtering function (ideal couplings: , , , ).

A. Feet of Bars and Vias Interdigital resonators are short-circuited at one end, and in order to avoid short-circuiting between resonators, lines are

Two kinds of external coupling are generally used for interdigital filters, as presented in Fig. 9: by proximity or using tapers. The taper solution has been chosen for the following reasons. — No particular technological constraint is required for its realization (i.e., no minimum gap width). — Both moderate and strong couplings are accessible. — It does not require an additional layer. Indeed, there are at least layers for resonators. Using proximity coupling lines above and below the filter structure would add two more layers.

PÉRIGAUD et al.: SYNTHESIS OF VERTICAL INTERDIGITAL FILTERS USING MULTILAYERED TECHNOLOGIES

969

TABLE I FREQUENCY SHIFT W/R TO VIA CONFIGURATION IN FIG. 8

Fig. 7. Comparison of couplings obtained by both electromagnetic and analytical methods for two different levels of coupling. M_unchanged: analytical but noncorrected coupling; M_momentum: coupling obtained through simulation, using ADS-Momentum; M_corrected: analytical corrected coupling.

Fig. 9. External couplings for interdigital filters. (a) Coupling by proximity. (b) Coupling by tapering. (c) Capacitive effect provided by additional resonator length. (d) Capacitive effect provided by local capacitance.

solution has been preferred since coupling with the next coupled line is less disturbed. Formulas for determining the taper position and the capacitance value are given in the Appendix. V. DESIGN EXAMPLES

Fig. 8. Some different configurations of vias.

Several papers [16]–[20] deal with the tapered configuration access. In the present work, [16] has been utilized for dimensioning external couplings. The tapered coupling requires a capacitive effect to correct the frequency shift caused by excitation. This capacitive effect is provided either by additional resonator length [Fig. 9(c)] or by a local capacitance at the end of the line [Fig. 9(d)]. The second

In order to illustrate the compactness of the vertical interdigital filters, two filters, with 7 and 11 poles, respectively, have been designed and compared with planar interdigital versions, as shown in Figs. 10 and 11. The 7-pole filters are centered at 10 GHz with a 3-GHz passband, and the 11-pole filters are centered at 10 GHz with a 4-GHz passband. An organic multilayer technology, using RO4003, has been adopted for designing all filters. The layers have a relative permittivity of 3.55 and a height of 500 m. The method used to design planar interdigital filters is similar to the method developed for VIFs, provided some adjustments, as follows.

970

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 10. Seven-pole interdigital filters. (a) VIF version—Occupation: 1.85 mm 6 mm 4 mm. (b) Planar version—Occupation: 5.6 mm 6 mm 1 mm. Electromagnetic responses are compared with ideal Chebychev filtering func, , tions (ideal couplings: , ).

— The formula for the stacked coupled resonator is replaced by one for planar coupled resonators. The formula [11, p. 182] handles thick metallization. — The analytical model fits well with the interdigital structure so no further refinement with a numerical method is required. — Once the optimum width of resonators is fixed, each pair is considered independently from each other for dimensioning the filter. Feet of bars, vias, and external couplings are finally integrated in the design as for VIFs. Figs. 10 and 11 present the filter responses obtained with commercial simulator HFSS. For the 7-pole filter, the standard interdigital filter is three times bigger than the VIF and for the 11-pole filter, the standard version is five times bigger. In terms of electrical performances, vertical interdigital filters are slightly degraded compared to planar ones (see Table II). Improving the unloaded quality factor of VIF structures is possible by raising the thickness of dielectric layers, but the height of the filters will increase substantially.

Fig. 11. Eleven-pole interdigital filters. (a) VIF version—Occupation: 1.65 mm 5.7 mm 6 mm. (b) Planar version—Occupation: 8.3 mm 5.7 mm 1 mm. Electromagnetic responses are compared with ideal Chebychev filtering , functions (ideal couplings: , , , , ).

TABLE II ELECTRICAL PERFORMANCES OF VERTICAL AND PLANAR INTERDIGITAL FILTER DESIGNS IN FIGS. 10 AND 11

VI. FABRICATION A simplified version of previous filters has been designed and fabricated using the LTCC technology from VTT [21]. The filter is a 4-pole filter centered at 10 GHz with nearly 35% of relative bandwidth. It has been fabricated with ten layers of Ferro A6 95 m .

PÉRIGAUD et al.: SYNTHESIS OF VERTICAL INTERDIGITAL FILTERS USING MULTILAYERED TECHNOLOGIES

971

Such a height is more appropriate to the design of filters with a very large bandwidth 50 since vertical couplings are important. Consequently, layers between resonators have been doubled in order to decrease couplings down to a more suitable range. The filter has been synthesized using the method described above before being optimized as described in [10]. The configuration in Fig. 8(c) has been used for vias. To characterize the filter, 50- transitions have been designed, as shown in Fig. 12. The return loss of such transitions is typically better than 20 dB over the passband. Their compactness has not been optimized, so they are actually twice the size of the filter. The filter occupation is 4.3 mm 1.15 mm 1 mm (from via-edge to via-edge), which is almost two times smaller compared to a 4-pole planar interdigital filter. Fig. 12 shows that measured results are in good agreement with the theoretical design, despite a frequency shift and a smaller bandwidth, which can be imputed to fabrication tolerances. The filter response is in good accordance, especially the transmission zeros on both side of the filter (due to parasitic couplings) and the return loss. The insertion loss reaches 0.7 dB, and the group-delay variation remains around 0.2 ns. In order to demonstrate further the possibilities of VIF structures, an 11-pole filter centered at 6 GHz with a 4-GHz bandwidth (66% of fractional bandwidth) has also been designed and fabricated with 12 layers of Ferro A6 196 m . For this filter, transitions have been optimized in terms of compactness. These are not strictly 50- transitions so, in the last step of the design, the filter has been optimized (mainly input/output couplings) to counterbalance the mismatch. The structure occupation is 6.4 mm 3.5 mm 2.4 mm. A detailed view and photography of the filter are given in Fig. 13 together with simulated and measured scattering parameters. The insertion loss attains 2.2 dB, and the group-delay variation is about 1.2 ns in the passband. One can see that the filter is almost well centered with a slightly wider band. As envisaged, the structure is a bit sensible to alignment dispersion, which can be compared to etching sensitivity for standard interdigital filters. Nevertheless, simulations and measurements correspond quite well, while the return loss remains correct. These experiments validate both the relevance of VIFs for the design of compact filters with moderate and wide passbands and the methodology developed for their design. VII. CONCLUSION Vertical interdigital filters (VIFs) have been proposed, and an adequate synthesis method has been introduced. The VIF structure is an evolution of the interdigital filter, which takes advantage of multilayer technologies to bring more compactness. Although, strictly speaking, it is not possible to compare different topologies of filters requiring different fabrication processes, several VIFs have been designed and compared to conventional interdigital filters, showing an advantageous gain in surface occupation since the surface occupied by the filter is approximately the surface required for a single resonator. Fabricating these filters with standard multilayer technologies, such as LTCC, make them well adapted to build all-on-one-chip modules.

Fig. 12. Fabricated 4-pole VIF. Occupation without probing accesses: 4.6 mm 3.5 mm 1 mm. (a) VIF with its probing accesses. (b) Layer-by-layer view of the filter (dimensions in mm). (c) Simulated and measured responses.

Both the filter and its synthesis method have been validated by the fabrication and the measurement of two filters with 4 and 11 poles, respectively. The VIFs designed in the current work are dedicated to electronic warfare systems; however, such structures could also be employed for covering FCC specifications [5], from 3.1 to 10.6 GHz. Finally, although this kind of

972

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

APPENDIX Vertical Couplings: Eigenmode impedances ( for even mode and for odd mode) corresponding to the structure given in Fig. 1(b) can be calculated from [11, p. 180] (11a) and (11b)

with the wall-to-wall distance, the height between resonators, and the substrate permittivity. Relations between , , , and are

if if (11c) and (11d) (11e) These relations are valid for other configurations in [11] but, for that configuration, is defined by , the width of resonators, and as follows:

(12a) with (12b) Input–Output Couplings: Formulas are given in [16] and correspond to Fig. 9(d). is the distance from the ground to the center of the access line and may be calculated using Fig. 13. Fabricated 11-pole VIF. Occupation: 6.4 mm 3.5 mm 2.4 mm. (a) Layer-by-layer view of the fabricated filter (dimensions in mm). (b) Photography of VIF with its probing accesses. (c) Simulated and measured responses.

FBW

(13a)

where (13b) filter is compact and well adapted to wideband filtering, it is limited to the use of Chebychev functions. Another solution, which has not been explored in this work, should be to introduce indirect couplings (i.e., cross couplings) between stacked resonators in order to handle quasi-elliptical filtering functions while preserving the compactness of VIFs.

FBW

(13c)

the port admittance with FBW the fractional bandwidth, ( here), the first resonator admittance, and the input–output coupling.

PÉRIGAUD et al.: SYNTHESIS OF VERTICAL INTERDIGITAL FILTERS USING MULTILAYERED TECHNOLOGIES

The corresponding position

is then

(14) where stands for the length of a resonator at center frequency . The associated correction capacity is given by [16] (15a) with (15b) (15c) (15d) with the coupling between resonators 1 and 2. The capacity can be realized by a square portion of line. A coarse approximation for the length of this capacity, , can be: (16)

973

[11] G. L. Matthaei, L.. Young, and E. M. T. Jones, Microwave Filters, Impedance Matching Networks, and Coupling Structures. Norwood, MA: Artech House, 1980. [12] E. Yamashita, Analysis Methods for EM Wave Problems. Norwood, MA: Artech House, 1996, vol. 2. [13] E. Yamashita, B. Y. Wang, K. Atsuki, and K. R. Li, “Effects of sidewall grooves on transmission characteristics of suspended strip lines,” IEEE Trans. Microw. Theory Tech., vol. 33, no. 12, pp. 1323–1328, 1985. [14] E. Yamashita, M. Nakajima, and K. Atsuki, “Analysis method for generalized suspended strip lines,” IEEE Trans. Microw. Theory Tech., vol. 34, no. 12, pp. 1457–1463, 1986. [15] E. G. Cristal, “Coupled-transmission-line directional couplers with coupled lines of unequal characteristic impedances,” in G-MTT Int. Microw. Symp. Dig., 1966, vol. 1, pp. 114–119. [16] S. Caspi and J. Adelman, “Design of combline and interdigital filters with tapped-line input,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 4, pp. 759–763, 1988. [17] E. G. Cristal, “Tapped-line coupled transmission lines with applications to interdigital and combline filters,” IEEE Trans. Microw. Theory Tech., vol. 23, no. 12, pp. 1007–1012, 1975. [18] C. Ernst and V. Postovalko, “Tapped-line interdigital filter equivalent circuits,” in 1997 IEEE MTT-S Microw. Symp. Dig., 1997, pp. 801–804. [19] J. S. Wong, “Microstrip tapped-line filter design,” IEEE Trans. Microw. Theory Tech., vol. TMTT-27, no. 1, pp. 44–50, 1979. [20] M. Dishal, “A simple design procedure for small percentage bandwidth round-rod interdigital filters (correspondence),” IEEE Trans. Microw. Theory Tech., vol. TMTT-13, no. 5, pp. 696–698, 1965. [21] K. Kautio, “Design guidelines—Low temperature co-fired ceramic modules,” [Online]. Available: http://www.vtt.fi/liitetiedostot/ cluster1_tieto-ja_viestintatekniikka_elektroniikka/ltcc_design.pdf

with being the height of the substrate, the metallization thickness, the vacuum permittivity, and the relative substrate permittivity. REFERENCES [1] C. Cho and K. C. Gupta, “A methodology for design of multilayer microwave circuits,” Int. J. RF Microw. Comput. Aided Eng., vol. 8, no. 6, pp. 455–473, 1998. [2] Y. Zhang, K. A. Zaki, A. J. Piloto, and J. Tallo, “Miniature broadband bandpass filters using double-layer coupled stripline resonators,” IEEE Trans. Microw. Theory and Techniques, vol. 54, no. 8, pp. 3370–3376, 2006. [3] Y. Zhang and K. A. Zaki, “Compact coupled strip-line broad-band bandpass filters,” in 2006 IEEE MTT-S Int. Microw. Symp. Dig., 2006, pp. 1189–1192. [4] Z. C. Hao and J. S. Hong, “UWB bandpass filter using cascaded miniature high-pass and low-pass filters with multilayer liquid crystal polymer technology,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 4, pp. 941–948, 2010. [5] Z. C. Hao and J. S. Hong, “Multilayer interdigital ultra-wideband filter,” in 2011 IEEE MTT-S Int. Microw. Symp. Dig., 2011, pp. 1–4, 5972595. [6] T. Yang, M. Tamura, and T. Itoh, “Super compact low-temperature co-fired ceramic bandpass filters using the hybrid resonator,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 11, pp. 2896–2907, 2010. [7] A. E. Atia and A. E. Williams, “Narrow bandpass waveguide filters,” IEEE Trans. Microw. Theory Tech., vol. 20, no. 4, pp. 258–265, 1972. [8] F. Seyfert, L. Baratchart, J. P. Marmorat, S. Bila, and J. Sombrin, “Extraction of coupling parameters for microwave filters: Determination of a stable rational model from scattering data,” in 2003 IEEE MTT-S Int. Microw. Symp. Dig., 2003, vol. 1, pp. 25–28. [9] S. Bila, D. Baillargeat, M. Aubourg, S. Verdeyme, F. Seyfert, L. Baratchart, C. Boichon, F. Thevenon, J. Puech, C. Zanchi, L. Lapierre, and J. Sombrin, “Finite-element modeling for the design optimization of microwave filters,” IEEE Trans. Magn., vol. 40, no. 2, pp. 1472–1475, 2004. [10] A. Périgaud, D. Kaminsky, D. Baillargeat, S. Bila, and S. Verdeyme, “Generalization of an EM optimization method to wide-band filters with unforseen couplings,” in Proc. Int. Workshop Microw. Filters, 2006, pp. 1–3, CD-ROM.

Aurélien Périgaud was born in Limoges, France, in 1981. He received the Ph.D. degree from the University of Limoges, Limoges, France, in 2009. He is currently a Research Engineer with the MINACOM Department, XLIM Laboratory, University of Limoges. His research activities are mainly dedicated to the packaging of millimeter-wave modules and to the design of original resonators and filters.

Stéphane Bila was born in Paris, France, in September 1973. He received the Ph.D. degree from the University of Limoges, Limoges, France, in 1999. He then held a postdoctoral position for one year with the French Space Agency (CNES), Toulouse, France. In 2000, he became a Researcher at the National Centre for Scientific Research (CNRS) and joined IRCOM (now XLIM), Limoges, France. His research interests include numerical modelling, optimisation and computer aided techniques for the advanced synthesis of microwave components and circuits.

Serge Verdeyme was born in Meilhards, France, in June 1963. He received the Doctorat degree from the University of Limoges, Limoges, France, in 1989. He is currently Professor at XLIM (formerly IRCOM) and Vice-President of the University of Limoges, in charge of the Scientific Council. His main area of interest concerns the design and the optimization of microwave devices.

974

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Dominique Baillargeat was born in Le Blanc, France, in 1967. He received the Ph.D. degree from the University of Limoges, France, in 1995. From 1995 to 2009, he was Associate Professor, and then subsequently Professor, at IRCOM (now XLIM) in Limoges. In 2009, he became Director of CINTRA, Singapore. His fields of research concern the development of methods of design for microwave devices. These methods include CAD techniques based on hybrid approach coupling electromagnetic, circuits and thermal analysis, and synthesis and electromagnetic optimization techniques. They are mainly dedicated to the packaging of millimeter wave and optoelectronics modules, and to the design of original filters based on new topologies and/or technologies.

Didier Kaminsky was born in Paris, France, in 1946. He received the third cycle Ph.D. degree from the Electronic Laboratory of the Paris VI University, Paris, France, in 1972. He spent his entire career with the Thales group working first in the field of microwave components (solid state amplifiers and oscillators), then in the design of high performance broadband microwave receivers for electronic warfare (EW) systems. His main interest was integration and miniaturization. He is currently retired.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

975

Microstrip Bandpass Filters With Various Resonators Using Connected- and Edge-Coupling Mechanisms and Their Applications to Dual-Band Filters and Diplexers Shih-Cheng Lin and Tai-Lang Jong

Abstract—Microstrip bandpass filters designed with various types of transmission-line resonators are newly proposed in this study. The connected-coupling mechanisms are theoretically analyzed and then appropriately applied in the design of an all-connected-coupling basic filter for preliminary validation. With the simultaneous utilization of conventional edge-coupling and the proposed connected-coupling mechanisms, a fifth-order stopband-extended filter with half- and quarter-wave resonators is then accomplished based on resonance misalignment. After that, the newly proposed three-way resonators made possible by the proposed coupling schemes have been employed as the frequency-selective power-splitting/combing elements for design of dual-band filters and diplexers. Specifically, in the constructed dual-band filter, the three-way resonators not only serve as a power splitter/combiner, but also play the important role of resonant components among filters. As for the presented diplexer, the three-way resonator splits the signal to two channels without necessitating extra matching circuits and thereby economizes the occupied area. The proposed approaches have been validated by experimental results that are well predicted by simulations. Index Terms—Bandpass filter, connected-coupling mechanism, diplexer, dual-band filter, extended stopband, microstrip.

I. INTRODUCTION

T

HE PURSUIT of microwave components with admirable characteristics, such as short design cycle, planar structure, easy integration, miniature size, and good stopband rejection have become dominant trends in the field of research. Numerous techniques and structures have been proposed to fulfill those requirements in different applications. Among those previously proposed concepts, the approach of coupled-resonator filter design [1] has enormously accelerated the development of microwave filters and other related filtering components, particularly those implemented in a microstrip configuration, in wire-

Manuscript received June 27, 2011; revised December 20, 2011; accepted December 22, 2011. Date of publication January 27, 2012; date of current version April 04, 2012. This work was supported by the National Science Council of Taiwan under Grant NSC 100-2221-E-415-018. S.-C. Lin is with the Department of Electrical Engineering, National Chiayi University, Chiayi 60004, Taiwan (e-mail: [email protected]). T.-L. Jong is with the Department of Electrical Engineering, National Tsing Hua University, Hsinchu 30013, Taiwan. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2183380

less communication because of its easy utilization and quick design period. Concerning the integration capability, microstrip technology turns into an attractive candidate for microwave filter implementation due to its uniplanar form and compatibility with the microwave active devices. For this reason, many filters based on microstrip transmission-line (TL) resonators are intensively studied [2]–[5]. To further enhance the out-of-band responses and reduce the size, stepped-impedance resonators (SIRs) replace uniform-impedance resonators (UIRs) and are popular because of their flexibility in controlling the higher order harmonics. Multispurious suppression can be achieved by misaligning the higher order resonances of utilized SIRs [6], [7]. Unlike the above-mentioned filters based on edge couplings, inverters realized by embedding shunt inductors or series capacitors between negative lengths of TLs were applied in [8] and [9]. The cascaded TLs of negative lengths have to be absorbed into adjacent TLs, and thus restrict the practicality of these inverters to some extent. Filters utilize TL networks to form immittance inverters serving as couplings between resonators [10]–[12] or enhancing the coupling degree [13] have been presented, but most filters utilize metal connections as couplings suffer from poor stopband and the coupling can only be decided by inverter itself since the resonators have been predetermined under specific condition. Recent progress in modern wireless communication has urged the development of dual-mode or multimode operation for RF devices. Therefore, dual-band filters are widely studied in much research. Generally speaking, one may classify those dual-band filters into three primary categories. Filters belonging to the first category [14]–[16] utilize SIRs resonating at designate harmonics along a single path to construct dual passbands by satisfying design parameters for different bands. For those filters [17], [18] in the second category, they combine two independently constructed single-band filters by sharing input and output ports. As for the third category, some dual-band filters [19], [20] take advantage of common SIRs resonating at and as first and last end desired passband frequencies resonators to merge two sets of resonators separately resonating . at and Interestingly, microwave diplexers can be designed with very similar concepts adopted by those above-mentioned dual-band filters with proper modifications. Analogs to the dual-band filters of the second category, most diplexers are intuitively devel-

0018-9480/$31.00 © 2012 IEEE

976

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 1. Schematic of the adopted various distributed resonators. (a) Half-wave -OCR). (b) Quarter-wave short-circuited resopen-circuited resonator ( -SCR). (c) Half-wave short-circuited resonator ( -SCR). onator (

oped with a T-junction, which may occupy more circuit size to combine two bandpass filters and achieve impedance matching at the respective frequencies [21]. In addition, in the similar way as utilized by dual-band filters subordinate to the third category, the common-resonator configuration [22]–[24] eliminates the usage of the input junction, and thus, economizes the circuit size. However, the resonator shape and arrangement apparently restricts the channel performance of the diplexer and the circuit layout since we have limited coupling edges of common resonators for those adjacent resonators to realize inter-stage couplings. Thus far, as can be inspected from those review works, most microwave filtering components are achieved by conventional edge-coupling mechanisms and some are accomplished by inductive couplings or inverters composed of TL networks. In [25] and [26], the authors proposed the coupling schemes based on connecting lines. However, the schemes are adopted to design the wideband filters without any insight modification, but instead being based on conventional coupled-resonator theory. In this paper, the application limitation of the scheme will be addressed and quantitatively described. This paper mainly proposes a new class of microstrip bandpass filters with combined use of various TL resonators based on both connected- and edge-coupling mechanisms. The design procedure is simple and has been firstly validated by a fourth-order basic filter. Moreover, a fifth-order filter with extended stopband is implemented with resonance misalignment. To facilitate the design of dual-band filters and diplexers, a new concept of a three-way resonator is introduced. The fabricated dual-band filter and diplexer with the three-way resonator make good use of both connected- and edge-coupling mechanisms, thus possessing superior performances. II. CHARACTERISTICS OF DISTRIBUTED RESONATORS A. Resonant Characteristics Three types of distributed TL resonators exhibited in Fig. 1 are utilized as principal resonant components with the line discontinuities, open ends, and via inductance neglected for initial simplification. Basically they can all be considered as steppedimpedance resonators (SIRs) since they are all formed by two sections of transmission lines (TLs) with unequal impedances and electrical lengths. Shown in Fig. 1(a) is the half-wave open-

Fig. 2. Resonant frequency distribution diagram calculated based on (1).

circuited resonator ( -OCR) and its resonances are governed by odd- and even-mode resonant conditions, respectively [29], odd mode even mode

(1a) (1b)

denotes the admittance ratio. For the where quarter-wave short-circuited resonator ( -SCR) shown in Fig. 1(b) with one end open circuited and the other end short circuited, all the possible resonances can be predicted by 1(a). Due to the short-circuited boundaries at both ends, the fundamental resonance of the half-wave short-circuited resonator ( -SCR) displayed in Fig. 1(c) is first determined by (1a) and all the other higher order resonances can then be calculated in turn based on (1a) and (1b). Fig. 2 shows the ratios of the first and second higher order harmonics to the fundamental frequency ( and ) with length ratio and admittance ratio as parameters. The diagram is suitable for -OCR and -SCR. However, for the -SCR, the resonance completely depends on (1a), thus only the vertical axis is good for predicting its first higher order resonance. By placing a feeding port on the resonator with feeding position relative to symmetric center plane of -OCR or to the short-circuited end of -SCR and -SCR, one may acquire the input admittance through the proper manipulation. As a result, the susceptance slope of any resonator designed at specific resonant frequency can be directly formulated by [1] with (2) where denotes the imaginary part of input admittance of the designated resonator, and is the normalized resonant frequency with respect to the fundamental resonance . The susceptance slope parameters with of the three TL resonators depicted in Fig. 1 can be analytically calculated (see the Appendix). Equation (A1), (A5), and (A6) will be found useful in acquiring the design parameters of the proposed filter.

LIN AND JONG: MICROSTRIP BANDPASS FILTERS WITH VARIOUS RESONATORS

977

Fig. 3. Ideally calculated susceptance slopes of -OCR at fundamental and and , respectively ( , first higher order resonances , , , mm, mm) (on , mm, ). RO4003C substrate:

Fig. 5. Two coupled-resonator pairs implemented in microstrip technology -OCR based on connected-coupling mechanisms. (a) Coupled pair with -SCR ( pair). (b) Coupled pair with two -SCRs ( pair). and

where represents the system port admittance and is the admittance of the quarter-wave transformer (QWT). The tapped position can be altered, but still keep unchanged by attaching the QWTs. In this study, the feeding QWT will not be used. Thus, one may consider , which usually is 50 . With this simple equation, one may fulfill the desired at the desired tapped position. In other words, the calculated slopes at any resonances, e.g., and evaluated at and versus tapped position exhibited in Fig. 3, can be considered as the values normalized with respect to . Fig. 4. Tapped-input structure with -OCR as end resonator. (a) Schematic layout. (b) Its equivalent circuit at resonant frequency. .

III. ADOPTED COUPLING MECHANISMS A. Basic Design Formula

For demonstration, a -OCR resonating at GHz with design parameters shown in the caption of Fig. 3 is presented. The susceptance slopes at fundamental and first higher order resonances, and , against , are drawn in Fig. 3 according to (A1). As can be seen, for the susceptance slope at , the farther the tapped position away from the virtual ground, the smaller the equivalent is. The trend is just the opposite for the susceptance slope at . B. External Quality Factor In designing a bandpass filter, the couplings between feed lines and input/output (I/O) end resonators can quantitatively be determined by the external quality factor . For the first-order approximation, just equals the singly loaded quality factor [29] by neglecting the losses, which is usually contributed by dielectric loss and conductor loss. The assumption is quite reasonable for low-loss substrates. With the aid of the parallelresonant structure shown in Fig. 4, the external quality factor may be conveniently extracted through with

(3)

First, two representative, but not restrictive, examples pair and pair implemented in microstrip technology are demonstrated in Fig. 5(a) and (b) for understating the coupling behaviors of the proposed coupled-resonator pairs. As can be seen from Fig. 5, the general coupled-resonator pair may consist of any two nonidentical resonators. The three above-mentioned TL resonators may be arbitrarily chosen depending on the application and layout consideration, which results in nine possible combinations for coupled-resonator pairs. The connecting line constructed by the transformer between two resonators, equivalent to admittance inverter at operation frequency, may be considered as a coupling path to provide the required coupling coefficient . Based on the approach of coupled-resonator design, one may determine the coupling by the pole-splitting method with slight two-port gap-capacitance excitations as demonstrated in Fig. 6. Hence, one may evaluate the corresponding coupling coefficient by the pole-splitting formula [2] (4) where and denotes the lower and higher resonances of the coupled structure, respectively.

978

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Notably, when the coupled pair is further applied in filter design, the required coupling between two resonators may be expressed by the admittance-inverter value according to [1]

(5) where and are th and th low-pass prototype parameters, and is the fractional bandwidth (FBW). Alternatively, the designate couplings may also be obtained based on coupling matrix analysis (6) Therefore, combining (5) and (7) leads to the relation

where

(7)

Fig. 6. (a) Nondispersive lumped-element model (Case-1). (b) Equivalent TL circuit of the proposed coupled-resonator pair (Case-3).

denotes the geometric average of and where for adjacent resonators and . From (7), one may observe that the coupling coefficient now can be controlled proportionally by the value and inversely proportional to . Three electrical design parameters, i.e., , , and , could be selected to fulfill the required designated by filter specification and prototype. Benefitting from these network analysis and formula derivations, the I/O external quality factors and coupling coefficients can be analytically acquired based on (3) and (7) with and of the outer resonators and and of the inner coupled resonators by

and (8) and are input and output external quality facwhere tors, respectively. Equation (8) reveals that susceptance slopes of resonators are necessary for designing all-connected-coupling filters. For example, if is our filter arrangement and the outer resonators ( -OCRs) and inner resonators ( -SCRs) are individually identical with and , the required design parameters are coupling coefficients , , and , and external quality factors and . One may first prepare the design chart of slope parameters, as shown in Fig. 7. Surprisingly, the inverse of the susceptance slope curve may be accurately fitted by an Order-2 polynomial using quadratic regression, which could facilitate the value evaluation. Hence, and can be adopted for calculating couplings and , respectively, while may be employed for obtaining external quality factors and .

Fig. 7. Design chart for obtaining external quality factors and coupling coef. (Left axis: inverses of the calculated susceptance slopes ficients with , and their geometric average . Right axis: calculated susceptance and of -OCR and -SCR, respectively.) slopes

B. Thorough Discussion Physically speaking, the proposed connected-coupling mechanism provides three design flexibilities, including the two connecting positions on resonator and and the admittance of connecting line. It implies that the susceptance slopes of resonators and are not necessary to be made equal. The adopted resonators possess alterable slope parameters by changing the connecting positions and are different from those applied in end-coupled filters [8], [9]. This is also a concept different from that mentioned in [25]. There is one more reason we try to utilize the connected-couplings. In view of coupled-resonator pairs utilizing edge-couplings [2]–[4], once

LIN AND JONG: MICROSTRIP BANDPASS FILTERS WITH VARIOUS RESONATORS

979

TABLE I THREE COMBINATIONS FOR EXTRACTING COUPLING COEFFICIENTS

two resonators are coupled with each other, the resonant frequencies of effective resonators will slightly be changed because of the absorption of equivalent reactive components from the inverters; hence, the filter response requires more optimization. However, for the connected-coupling mechanism proposed here, the resonance is kept the same since the coupling path is an ideal transformer at operation frequency without affecting the resonance. On the other hand, as well known, the coupled-resonator method is actually suitable in designing a relatively narrowband filter. Although plenty of published papers claim that the coupled-resonator method may be employed for a wideband filter with FBW over 20% [32]–[35], those filters in fact demand significant tuning and thus possess design parameters somehow deviate from initial values since the distributed TL resonators will not approximate lumped-element ones and QWTs are no longer admittance inverters at frequency far from operation frequency. To clarify the obtained coupling coefficients based on (5) and (8), pair with , , , and presented in Fig. 5(a) is examined. For demonstration, the connecting positions and on resonators and , respectively, are chosen the same as 30 . Hence, the equivalent capacitances and inductances corresponding to the calculated slope parameters are nH pF and nH pF , respectively. Three cases to manifest the evolution from the theoretical nondispersive lumped-element coupled pair Case-1 to practical TL coupled pair Case-3, as listed in Table I, are simulated and their coupling behaviors versus obtained by linear circuit simulator AWR Microwave Office are displayed in Fig. 8(a), while the curve of percentage error is shown in Fig. 8(b). As can be seen, when the coupling coefficient 0.169 for the outer coupled pair associated with the 0.01 dB-ripple Chebyshev filter with is demanded, one has to compensate the coupling shrinkage by choosing the connecting positions from 27.23 to 30 . The error curve reveals that the larger coupling required, the more serious the behavior of TL resonators along with the QWT deviates from ideal LC tanks and inverter. Although the couplings may be compensated, we may pay more effort to achieve the prescribed filter response that originates from the coupling matrix based on ideal resonators and inverters. To ensure the desired response and prevent significant tuning, we focus all our designs on filters with relatively narrow bandwidth around 10%. Therefore, the susceptance slopes calculated with smaller than is sufficient for our applications. In microstrip realization, the coupling coefficients can be extracted using a full-wave simulator (Ansoft Designer)

Fig. 8. (a) Three cases for extracting coupling coefficients based on pole-splitting method. (b) Comparison of coupling coefficient of Case-1 calculated by (4) or (7) and that of Case-2 extracted by (7) [blue solid line in online version: Case-1 depicted in Fig. 6(a), red dotted line in online version: Case-3 depicted in Fig. 6(b)].

Fig. 9. Full-wave EM extracted coupling coefficients between resonators and exhibited in Fig. 5(a) and (b) versus with as a parameter. ( , , P1: .) (Substrate: , thickness mm.) P2:

,

with physical lengths as independent variables and as parameters by assuming and for and pairs, respectively. The design chart shown in Fig. 9 exhibiting the coupling coefficients of and coupled pairs in Fig. 5 reveals the powerfulness of the proposed connected-coupling mechanisms since the connecting positions on both resonators can be freely selected as long as the resultant coupling coefficient meets the specification. The coupling coefficients may be scaled up or down by increasing or decreasing the characteristic admittance of the connecting line.

980

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 10. (a) Generalized inverter-based filter. (b) Coupling and routing diagram of the th-order filter in (a).

IV. GENERAL FILTER DESIGN PROCEDURE The connected-coupling mechanisms may be utilized for designing the coupled-resonator filters (see Fig. 10) and will be systematically concluded here. First of all, by specifying the filter specification (including filter order , center frequency , FBW , and filter response), one may obtain the required I/O external quality factors ( and ) and inter-stage coupling coefficients according to (9) where indicates the filter order, and ’s are the prescribed low-pass prototype parameters. The resonator types to be used is then determined. To obtain two inherent transmission zeros (TZs) around passband, -OCRs could be chosen as end resonators. The inner resonators can be arbitrarily chosen. Through (7), the adjacent coupling can be obtained by connecting the two adjacent resonators to proper positions with proper QWT to provide the desired . The edge coupling is also an alternative choice for interstage coupling. The desired is achieved by tapped feeding based on (3). The susceptance slope chart derived from the Appendix give the first-order approximation of and for estimating the tapped positions ( and ) and connecting positions ( and ) on resonators. Finally, a full-wave simulator is used to obtain more precise design parameters. According to the developed approach, one could easily discover that theoretical susceptance slope parameters for the adopted resonators are sufficient to design the narrowband filters, which are developed based on all connected-coupling mechanisms. However, for other coupled-resonator filters based on edge/gap couplings, it is difficult to acquire the corresponding slope parameters due to coupling structure complexity and thus makes those filters not so analytical. The designs of filters based on connected-coupling mechanisms are very straightforward and convenient. V. FOURTH-ORDER BASIC FILTER DESIGN To verify the feasibility of our developed concepts described in Section IV, a fourth-order basic filter using both -OCRs and -SCRs has been designed and implemented based on the layout depicted in Fig. 11(a). The related coupling/routing diagram and its equivalent circuit are exhibited in Fig. 11(b). Due

Fig. 11. Proposed fourth-order basic filter utilizing -OCR and -SCR completely based on connected-coupling mechanisms. (a) Its schematic layout. , , Dimensions: , , , , , , , , via diameter mm. (b) Equivalent inverter-based circuit model.

TABLE II DESIGN PARAMETERS OF THE FOURTH-ORDER BASIC FILTER

to the utilization of the proposed connected-coupling mechanisms, this filter can be easily analyzed by cascading the matrices of shunt open/short-ended stubs and series TLs. Two identical -OCRs are adopted as first and last end resonators, while the other two inner resonators are -SCRs. The three connected-coupling mechanisms are achieved by simple QWTs with and . The admittance ratio and electrical ratios of all and resonators are selected to locate the fundamental resonance at 1.5 GHz, i.e., and . The filter is designed with GHz and for a Butterworth response. With the given filter specification, the corresponding external quality factors and coupling coefficients are and , . Since the designate quality factors are 7.654 (i.e., the required slope parameter is 0.1531), the tapped positions and can be estimated from the curve in Fig. 7 according to (8). As for the inter-stage connected couplings, i.e., and , they are evaluated by locating and in Fig. 7. Therefore, the required electrical design parameters (Set 1) for Fig. 11 based on the calculated chart in Fig. 7 are concluded in Table II. After compensating the coupling coefficients suffering from bandwidth shrinkage on the foundation of pole-splitting methods, the design parameters (Set 2) are tabulated in Table II. Illustrated in Fig. 12 are the calculated frequency responses with

LIN AND JONG: MICROSTRIP BANDPASS FILTERS WITH VARIOUS RESONATORS

981

Fig. 13. Measured and simulated scattering parameters of the proposed fourthorder basic filter shown in Fig. 11.

Fig. 12. Wideband circuit-level simulated scattering parameters for the proposed fourth-order basic filter utilizing -OCR and

and -SCR.

Set 2 parameters by the cascade of 15 matrices based on ideal TLs. The passband centering at 1.5 GHz can be observed without requiring optimization. However, because of the approximation to lumped elements, the calculated FBW still shrinks to 9.34%. Since all the resonators are linked by connecting lines, the spurious passbands are no longer simply controlled by an isolated resonator. The equivalence between the ideal lumped elements generated by desired filter transfer function and the corresponding distributed components in the design results in the unwanted spurious phenomenon. Since the complete equivalence only stands at , the transmission coefficient of the filter versus frequency depends on the transfer function of overall physical structure with unpredicted poles/zeros. For the initial predictions of spurious responses, one may draw support from the resonance behaviors of three important resonators obtained by gap-capacitance coupling and shown in the bottom half of Fig. 12. The joint resonator is composed of -OCR and -SCR connected by QWT. The spurious responses occur around the resonances of the three resonators. The advantage of adopting the -OCRs as the first and last resonators are relevant in the transmission coefficient depicted in Fig. 12. When we consider the end resonators as a two-port network containing two open-ended stubs, the two stubs become short circuits as they behave as the quarter-wave stubs. Considering the implemented filter in Fig. 11(a), two TZs and of 1.19 and 2.34 GHz associated with open-ended stubs of end resonators are observed on the lower and upper sides of passband thus improve the selectivity. We may control the tapped position and connecting position by using QWTs with different characteristic admittances [29]. An extra TZ, say, , of 2.12 GHz related to the open-ended stubs of inter resonators appears between and . Consequently, the sample filter is fabricated on a 0.813-mm-thick RO4003c substrate for demonstration. Taking advantage of the given specification and the design chart shown in Fig. 9, one may determine the connecting positions on resonators. The full-wave electromagnetic (EM) simulation

and optimization are carried out by Ansoft Designer. The compensated and are pointed out by two points P1 and P2 on Fig. 9. The fabricated filter occupies 64.4 mm 30.2 mm, i.e., about , where is the guided wavelength of the 50- line at center frequency. Fig. 13 shows the measured and EM simulated responses of the filter. The measured passband centers at 1.497 GHz with minimum insertion loss of 1.47 dB, while the FBW is 9.3%. The three structure-inherent TZs sharpen the filter selectivity without taking extra efforts. Notably, the EM simulated results are perfectly predicted by Fig. 12 just with simple network manipulation, i.e., the successive cascade of matrices. VI. FILTER WITH EXTENDED STOPBAND A. Design Concept Although the fourth-order filter implemented in Section V possesses good selectivity and simple design procedure, it suffers from serious spurious passbands. With regard to the filter with extended stopband, the misalignment of higher order harmonics of each resonator utilized in the filter may be found useful [6]. However, optimizations are still necessary since even if the resonators do not resonate; they still provide the EM coupling paths through stray couplings. Therefore, the rejection of 20 dB is common for these kinds of filters [7], [20] and considerable tuning effort is essential for better rejection [6], [30]. Fig. 14 illustrates the schematic layout of the proposed stopband-extended filter simultaneously using connectedand edge-coupling mechanisms. The filter consists of one -OCR, two -SCRs, and two -SCRs. Three strategies are delicately incorporated to improve the stopband extension. Firstly, benefitting from the proposed connected-coupling scheme, the couplings are accomplished by QWTs. That means one may select proper pair of connecting positions according to the voltage distribution to provide desired coupling coefficient at operation frequency, but low coupling at the rejected band. In addition, the high-impedance connecting lines may block the high-frequency signals. Secondly, based on the resonance-misalignment technique by carefully staggering the higher order harmonics of each resonator, one may reduce the coupling amount around the

982

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 15. Calculated fundamental and higher order resonances of each resonator for the proposed filter shown in Fig. 14.

Fig. 14. Layout of the fifth-order stopband-extended filter gap-coupling and connected-coupling mechanisms ( , , , , , , , , , , , , , , , , , , , , , , , mm).

incorporating , , , , , , , , ,

TABLE III ELECTRICAL DESIGN PARAMETERS OF THE FIVE RESONATORS USED IN FIG. 14

resonant frequencies. The adjustment of resonant frequencies is done by (1a) and (1b). Thirdly, according to our experience and inference, the alternative utilization of connected- and edge-coupling mechanisms will be effective for suppressing the unwanted spurious responses observed in Fig. 13 since it prevents the successive connections of all resonators. Therefore, the couplings and are realized by short-circuited parallel coupled-line (PCL) and antiparallel coupled-line (ACPL) sections with regular coupling edges, respectively. B. Filter Fabrication and Measurement A fifth-order stopband-extended filter is also implemented on a Rogers RO4003C substrate. It is designed with GHz and for a 0.043-dB ripple Chebyshev response. Thus, the I/O external quality factors and coupling coefficients are (desired ), , and , respectively. The electrical design parameters of resonators 1 to 5 are tabulated in Table III. As can be seen, resonator 1 is -OCR and resonators 4 and 5 are -SCRs, while resonators 2 and 3 are -SCRs. The couplings and are contributed by connected couplings with S, while and are realized by PCL and ACPL sections. The impedances of connecting lines are chosen as high as possible, but do not affect in-band insertion loss. Feeding lines of I/O ports can

be tapped on the symmetric positions relative to central lines of end -type resonators. Those coupling coefficients are extracted using (5) to achieve accuracy. The misalignment of resonances is then first achieved by using different types of adjacent resonators, i.e., resonators 1 and 2, as well as resonators 3 and 4 being different from each other. Next, (resonators 2 and 3) and (resonators 4 and 5) pairs which are both coupled by edges, are constructed with staggered higher order resonances by adopting different and . The calculated resonance distributions of the five resonators are displayed in Fig. 15. Optimization is carried out by Ansoft Designer. When the rejection at some frequency is found not satisfactory enough during simulation, another pair of connecting positions or providing the same coupling at center frequency, but lower coupling at the rejection band, should be selected. The fabricated filter occupies 53.82 mm 44.15 mm, i.e., about . The photograph of the fabricated stopband-extended filter is shown as the inset in Fig. 16(a). The narrowband and wideband measured/simulated scattering parameters are illustrated in Fig. 16(a) and (b). The measurement was carried out by R&S ZVB20 network analyzer. This filter possesses measured center frequency at 1.499 GHz, FBW about 10.8%, and a minimum insertion loss of 1.883 dB. Remarkably, the stopband extends up to 20 GHz with a rejection level of 30 dB, and exceeds 26.5 GHz with rejection of 25 dB. Note that the predicted TZs deviate from the measured ones because of the transmission below the noise floor of measurement instrument. Table IV summarizes the comparisons between the proposed stopband-extended filter and the previous works in microstrip configuration. Obviously, the proposed filter has good in-band insertion loss and provides very wide stopband in comparison with others. The good insertion losses may result from the usage of connecting lines as and couplings, which possibly reduce the unexpected radiation [8]. Note that the results show the radiation phenomenon at some frequencies in the stopband, which are usual problems for the filters with open-circuited resonators [29], [31]. From a practical viewpoint, the unwanted radiation could be shielded by metal housing and the resultant cavity resonances, which may destruct the extended stopband, are discussed in [27] and [28].

LIN AND JONG: MICROSTRIP BANDPASS FILTERS WITH VARIOUS RESONATORS

983

Fig. 17. Proposed dual-band filter. (a) Its coupling and routing diagram. OCR indicated in (a). (b) Schematic of the utilized three-way

Fig. 16. Proposed stopband-extended filter shown in Fig. 14. (a) Narrowband and (b) wideband measured and simulated results.

TABLE IV COMPARISON BETWEEN THE STOPBAND-EXTENDED FILTER AND OTHERS Fig. 18. Layout of the proposed dual-band filter ( , , , , , , , , , , , , , , , mm, ).

VII. DESIGN OF A DUAL-BAND FILTER In this design example, the design concept of the basic single-band filter has been further extended for designing a fourth-order dual-band filter, as depicted in Fig. 17(a), based on a common-resonator configuration [22]. Here, with the aid of the proposed connected-coupling mechanisms, a three-way resonator as exhibited in Fig. 17(b) is newly proposed to achieve common-resonator configuration. The reason that -OCRs should be picked for end resonators is intuitive since they possess symmetric layout thus provide more connecting flexibility. Theoretically speaking, the end resonators can be realized with -way property on the foundation of the connected-coupling concept since the connecting points on common end resonators can be arbitrary chosen to be at the same point or staggered

,

, , ,

, , ,

, ,

, ,

by appropriate arrangement of connecting lines. For layout consideration, if the connecting line is not long enough, one could replace it by a -long integer TL and still maintain the inverter characteristic. A dual-band filter shown in Fig. 18 is designed and fabricated on a 0.813-mm-thick Rogers RO4003c substrate. The dual-band filter is specified with dual passbands of GHz and GHz , respectively. To reveal the powerfulness of the independent design of two passbands, the two bands are differently designed for Butterworth and 0.01-dB ripple Chebyshev responses, respectively, with inter-stage coupling coefficients and external quality factors given as

984

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

TABLE V DESIGN PARAMETERS OF THE SIX RESONATORS USED IN THE DUAL-BAND FILTER

Fig. 19. Theoretical FBW ratio versus tapped position of I/O -OCRs. The geometrical dimensions of -OCR are shown in Fig. 18.

(10) where superscripts I and II indicate band 1 and band 2 ( and ), respectively. The filter design is based on the coupling coefficients contributed by three basic coupling structures, which are the connected-coupling mechanisms, PCL section, and APCL section. For filter I, the couplings and are achieved by connected-coupling mechanisms through the connecting lines of impedance 96.64 . To prevent the disturbances of those unwanted spurious responses caused by filter I to the designate passband of filter II, the adoption of the short-circuited PCL as coupling between resonators and among filter I can effectively attenuate the transmission through path-I at the band-2 passband, and thus facilitates the design of filter II. Moreover, for filter II, the couplings and are accomplished by connected-coupling mechanisms by the connecting lines of impedance 96.64 while is achieved by the shortcircuited APCL section. In implementing the dual-band filter, the first step is to determine the geometric parameters of each resonator to meet the respective resonant frequencies. Resonators and are identical and engineered to resonate simultaneously at the first and second passband frequencies ( and ), while the other resonators with superscripts I and II are designed to resonate at and , respectively. Here, and , i.e., , are selected for the I/O end resonators. As a result, the end resonators have geometric parameters of , , , and mm. Since a very wide stopband is not so demanded, resonance misalignment is not particularly adopted in optimizing filter stopband. Therefore, -SCRs and have the same geometric parameters as those of resonators and . Moreover, -SCRs and are with uniform impedance of impedance 56.19 . In conclusion, the design parameters of the six resonators are listed in Table V. The second step is to determine the tapped positions on I/O end resonators according to the required external quality factors. Once the filter prototypes and specifications for two bands are specified, the FBW ratio can be obtained by (11) The above formulas reveal that one may determine the tapped positions based on the prescribed FBW ratio of the two bands.

The relationship between susceptance slopes at and of end resonators versus tapped position has already been depicted in Fig. 3. Eventually, on the foundation of Fig. 4 and (11), the FBW ratio design chart for I/O tapped-feeding structures can be acquired as shown in Fig. 19. Referring to filter specification with an FBW ratio of 1.233, the corresponding mm is chosen when taking all parasitic effects into account. The last step is to individually adjust the connecting positions on resonators and coupling gaps between resonators based on pole-splitting extraction. For the design of filter I, position pairs and are chosen to provide , while dimensions are selected to render . For the design of filter II, similarly, the geometric dimensions are specified as position pairs and to meet , whereas brings about . When the two independently designed filters are united, the above dimensions are fine tuned using a full-wave simulator and are stated in the caption of Fig. 18. The fine-tuning procedure is necessary to compensate those parasitic effects (e.g., T-junction discontinuities) [37] by slightly adjusting the lengths of QWTs and sections of resonators and . The photograph of the fabricated filter is shown in Fig. 20(a). This filter occupies area of mm mm , where stands for the guided wavelength at the first passband . The measured and simulated scattering parameters are shown in Fig. 20(b), whereas the group delays around two passbands are exhibited in Fig. 20(c). The measured center frequencies for the two bands and are at 1.538 and 3.923 GHz with minimum insertion losses of 1.42 and 1.8 dB for the two bands, respectively. The rejection between two bands is better than 40 dB from 1.719 to 3.328 GHz. The response deviation after 5 GHz may result from the via variation and the width deviation of narrow connecting lines. Table VI gives the performance comparisons between our dual-band filter and other previous studies. It is found that our filter has good insertion losses at both bands when objectively considering all the design conditions. Note that the filter size could be further miniaturized by properly planning the layout. VIII. DIPLEXER APPLICATIONS In this section, a novel diplexer will be designed and implemented. The common-resonator configuration utilized in the dual-band filter can be further extended for this example. Depicted in Fig. 21(a) is the coupling and routing diagram of the proposed diplexer and the schematic layout is shown in

LIN AND JONG: MICROSTRIP BANDPASS FILTERS WITH VARIOUS RESONATORS

Fig. 20. (a) Photograph of the fabricated dual-band filter displayed in Fig. 18. (b) Measured and simulated scattering parameters of the diplexer. (c) Group delays of the diplexer at two passbands.

TABLE VI COMPARISON BETWEEN THE PROPOSED DUAL-BAND FILTER AND OTHERS

Fig. 21(b). For discussion convenience, the low- and high-band filters are labeled as filters I and II, respectively. With the aid of the proposed connected-coupling mechanisms, the three-way junction required in the conventional diplexer is replaced with the three-way -OCR as an input power-splitting component. To demonstrate the proposed approach, filter I centering at GHz with an FBW of 9.25% is designed for a Butterworth response, and filter II centering at GHz

985

Fig. 21. Proposed diplexer with -OCR as an input power splitting element. (a) Its coupling and routing diagram. (b) Schematic layout of the diplexer , , , , , ( , , , , , , , , , , , , , , , , , , , , , , mm).

with an FBW of 7.5% is designed for a 0.01-dB ripple Chebyshev response. The coupling coefficients and external quality factors can be found as identically shown in (10). The filter I is designed with optimal extended stopband based on resonance misalignment techniques in order not to affect the performance of filter II. The two filters are independently designed and then combined to construct a diplexer. Table VII concludes the design parameters of all the resonators in this diplexer. As can be seen, filter I is carefully designed with irregularly distributed higher order resonances, thus possesses extended stopband. On the other hand, since filter II operates at high band, we simply adopt UIRs for resonators , , and . The couplings and for both filters I and II are offered by connected couplings with connecting lines of impedance 96.64 . Edge couplings are based on PCL sections and can be extracted by the pole-splitting method. The tapped position of the input common resonator can be figured out from Fig. 19 by setting and is roughly of 7.0 mm. As for the tapped positions on output resonators and , they can be obtained by either slope calculation using (8) or by EM extraction. From our experience, it takes very few efforts in

986

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

TABLE VII DESIGN PARAMETERS OF THE RESONATORS USED IN THE DIPLEXER

Fig. 23. Measured and simulated results of the proposed diplexer displayed in Fig. 21. (a) Isolation between port 2 and port 3. (b) Wideband measured responses of scattering parameters.

TABLE VIII COMPARISON BETWEEN THE PROPOSED DIPLEXER AND OTHERS

Fig. 22. (a) Measured and simulated results of scattering parameters. (b) Group delays of the diplexer at two passbands.

fine tuning to combine the two independently designed filters to form the diplexer. Fig. 22(a) illustrates the measured and simulated results of the diplexer, while the in-band group delays for the two channels are shown in Fig. 22(b). The measured center frequencies for the two channels are at 1.51 and 3.93 GHz with minimum insertion losses of 1.769 and 1.454 dB, respectively. The observable degradation of return loss at channel 2 is mainly attributed to the mask inaccuracy and the adopted chemical-etching process. Two TZs due to open ended stubs occur around each channel, thus enhancing the selectivity. The measured stopband suppressions at 3.93 GHz for filter I and at 1.51 GHz for filter II are better than 45 dB. The output isolation between the output ports is measured better than 45 dB from 1 to 4.17 GHz, as shown in Fig. 23(a). Eventually, the influence of utilizing resonance misalignment, two coupling mechanisms, and high-impedance connecting lines reflects on the wideband response of this diplexer are shown in Fig. 23(b). The stopband of filter I has been extended up to 12 GHz with rejection better than 30 dB, and thus facilitates the design of filter II at 3.99 GHz. In addition, filter II has its first significant spurious passband arising

around 11 GHz, which results from the second higher order resonance of resonators and . Table VIII summarizes the performance comparisons between the proposed diplexer and those previous studies. The proposed diplexer features extended stopband, comparable (even better) insertion losses at two bands, and good output isolation between two channels. Although the output isolation of the diplexer in [24] is excellent, the design is achieved by complicated hybrid-resonator structures and may be uneasy to be repeated. IX. CONCLUSION This study has proposed a new possibility for designing a coupled-resonator filter based on connected-coupling and edge-

LIN AND JONG: MICROSTRIP BANDPASS FILTERS WITH VARIOUS RESONATORS

987

with

(A6) (A7) (A8) (A9)

coupling mechanisms. For the basic filter with all connected couplings, various types of TL resonators may be simultaneously adopted by only considering their suscpetance slope parameters and the connecting-line admittances. Therefore, the filter can be readily designed by circuit simulator without requiring a time-consuming EM simulator. Moreover, the alternative usage of connected and edge couplings facilitates the design of many high-performance filtering components. A stopband-extended filter using two different coupling topologies is further proposed with satisfactory rejection level of 25 dB up to . Extending from the proposed approach and the introduced three-way resonator, the common-resonator configuration has been skillfully applied in developing dual-band filter and diplexer with good output isolation. Note that all the fabricated filtering components exhibit satisfactory insertion losses resulting from the participation of the adopted connected-coupling mechanisms. Theoretical predictions are well verified by the experimental results of all the designed circuits. APPENDIX The input susceptance slope parameters based on (2) for the three tapped TL resonators shown in Fig. 1, i.e., -OCR, -SCR, and -SCR, can be calculated as follows. Taking for example, one may obtain the susceptance slope parameter of -OCR in Fig. 1(a) as [29] (A1) with (A2) (A3) and Similarly, the slope for

respectively

(A4)

-SCR in Fig. 1(b) is given by with

(A5)

with as given by (A2) and . In addition, the slope for -SCR in Fig. 1(c) is given by (A6)–(A9), shown at the top of this page, where the constant is just defined for expression simplification. It is worth mentioning that the suscpetance slope parameters provided by (A1), (A5), and (A6) could be evaluated at any resonance by applying the corresponding electrical lengths at that resonance. Certainly, one may place the feeding port at position on the resonator and obtain the corresponding slope.

However, the position will be proven sufficient enough for our designs in order to maintain the accuracy of the coupling coefficient. REFERENCES [1] J. S. Hong and M. J. Lancaster, Microstrip Filter for RF/Microwave Applications. New York: Wiley, 2001. [2] J.-S. Hong and M. J. Lancaster, “Couplings of microstrip square open-loop resonators for cross-coupled planar microwave filters,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 12, pp. 2099–2109, Dec. 1996. [3] A. D. Vincze, “Practical design approach to microstrip combline-type filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-22, no. 12, pp. 1171–1181, Dec. 1974. [4] J. S. Wong, “Microstrip tapped-line filter design,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 1, pp. 44–50, Jan. 1979. [5] A. Görür, “Description of coupling between degenerate modes of a dual-mode microstrip loop resonator using a novel perturbation arrangement and its dual-mode bandpass filter applications,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 671–677, Feb. 2004. [6] S.-C. Lin, P.-H. Deng, Y.-S. Lin, C.-H. Wang, and C. H. Chen, “Wide-stopband microstrip bandpass filters using dissimilar quarter-wavelength stepped-impedance resonators,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 3, pp. 1011–1018, Mar. 2006. [7] H.-Y. Pan, H.-W. Wu, and M.-H. Weng, “Design of the compact SIRbased bandpass filter with a wide stopband,” Microw. Opt. Technol. Lett., vol. 50, no. 4, pp. 948–952, Apr. 2008. [8] J. K. A. Everard and K. K. M. Cheng, “High performance direct coupled bandpass filters on coplanar waveguide,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 9, pp. 1568–1573, Sep. 1993. [9] T. Tsujiguchi, H. Matsumoto, and T. Nishikawa, “A miniaturized endhair-pin coplanar resonators,” in coupled bandpass filter using IEEE MTT-S Int. Microw. Symp. Dig., 1998, vol. 2, pp. 829–832. [10] C. M. Tsai, H. M. Lee, and C. C. Tsai, “Planar filter design with fully controllable second passband,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 11, pp. 3429–3439, Nov. 2005. [11] C. Quendo, E. Rius, and C. Person, “An original topology of dualband filter with transmission zeros,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, 2003, pp. 1093–1096. [12] C. Quendo, E. Rius, and C. Person, “Narrow bandpass filters using dual-behavior resonators,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 734–743, Mar. 2003. [13] L. Zhu, H. Shi, and W. Menzel, “Coupling behaviors of quarter- wavelength impedance transformers for wideband CPW bandpass filters,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 1, pp. 13–15, Jan. 2005. [14] J.-T. Kuo, T.-H. Yeh, and C.-C. Yeh, “Design of microstrip bandpass filter with a dual-passband response,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1331–1337, Apr. 2005. [15] S. Sun and L. Zhu, “Compact dual-band microstrip bandpass filter without external feeds,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 10, pp. 644–646, Oct. 2005. [16] X. Y. Zhang, J.-X. Chen, Q. Xue, and S.-M. Li, “Dual-band bandpass filters using stub-loaded resonators,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 8, pp. 583–585, Aug. 2007. [17] C.-Y. Chen and C.-Y. Hsu, “A simple and effective method for microstrip dual-band filters design,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 5, pp. 246–248, May 2006. [18] X. Y. Zhang and Q. Xue, “Novel dual-mode dual-band filters using coplanar-waveguide-fed ring resonators,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 10, pp. 2183–2190, Oct. 2007.

988

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

[19] C.-F. Chen, T.-Y. Huang, and R.-B. Wu, “Design of dual- and triple-passband filters using alternately cascaded multiband resonators,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 9, pp. 3550–3558, Sep. 2006. [20] C.-F. Chen, T.-M. Shen, T.-Y. Huang, and R.-B. Wu, “Design of multimode net-type resonators and their applications to filters and multiplexers,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 4, pp. 848–856, Apr. 2011. [21] S. Srisathit, S. Patisang, R. Phromloungsri, S. Bunnjaweht, S. Kosulvit, and M. Chongcheawchamnan, “High isolation and compact size microstrip hairpin diplexer,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 2, pp. 101–103, Feb. 2005. [22] C.-F. Chen, T.-Y. Huang, C.-P. Chou, and R.-B. Wu, “Microstrip diplexers design with common resonator sections for compact size, but high isolation,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 5, pp. 1945–1952, May 2006. [23] T. Yang, P.-L. Chi, and T. Itoh, “Compact quarter-wave resonator and its applications to miniaturized diplexer and triplexer,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 2, pp. 260–269, Feb. 2011. [24] T. Yang, P.-L. Chi, and T. Itoh, “High isolation and compact diplexer using the hybrid resonators,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 10, pp. 551–553, Oct. 2010. [25] S.-C. Lin, C.-H. Wang, and C. H. Chen, “New coupled scheme for microstrip shorted-stub bandpass filters with quarter-wavelength resonators,” in Progr. Electromagn. Res. Symp., Prague, Czech Republic, Aug. 27–30, 2007, pp. 222–222. [26] T.-N. Kuo, S.-C. Lin, C.-H. Wang, and C. H. Chen, “New coupling scheme for microstrip bandpass filters with quarter-wavelength resonators,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 12, pp. 2930–2935, Dec. 2008. [27] S.-C. Lin, T.-N. Kuo, Y.-S. Lin, and C. H. Chen, “Novel coplanarwaveguide bandpass filters using loaded air-bridge enhanced capacitors and broadside-coupled transition structures for wideband spurious suppression,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 8, pp. 3359–3369, Aug. 2006. [28] C.-H. Wang, P.-H. Deng, and C. H. Chen, “Coplanar-waveguide-fed microstrip bandpass filters with broadside-coupled capacitive-tapped structures for multiple spurious suppression,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 4, pp. 768–775, Apr. 2007. [29] J.-T. Kuo and E. Shih, “Microstrip stepped impedance resonator bandpass filter with an extended optimal rejection bandwidth,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 5, pp. 1554–1559, May 2003. [30] C.-F. Chen, T.-Y. Huang, and R.-B. Wu, “Design of microstrip bandpass filters with multiorder spurious-mode suppression,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 12, pp. 3788–3793, Dec. 2005. [31] K. U-yen, E. J. Wollack, T. A. Doiron, J. Papapolymerou, and J. Laskar, “A planar bandpass filter design with wide stopband using double split-end stepped-impedance resonators,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 3, pp. 1237–1244, Mar. 2006.

[32] P. H. Deng, C. H. Wang, and C. H. Chen, “Novel broadside-coupled bandpass filters using both microstrip and coplanar-waveguide resonators,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 10, pp. 3746–3750, Oct. 2006. [33] C.-H. Liang, W.-S. Chang, and C.-Y. Chang, “Enhanced coupling structures for tight couplers and wideband filters,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 3, pp. 574–583, Mar. 2011. [34] C.-H. Liang and C.-Y. Chang, “Novel microstrip stepped-impedance resonator for compact wideband bandpass filters,” in Asia–Pacific Microw. Conf., Singapore, Dec. 2009, pp. 941–944. [35] S. Im, C. Seo, J. Kim, Y. Kim, and N. Kim, “Improvement of microstrip open loop resonator filter using aperture,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2002, vol. 3, pp. 1801–1804. [36] Q. Xue and J.-X. Chen, “Compact diplexer based on double-sided parallel-strip line,” Electron. Lett., vol. 44, no. 2, pp. 123–124, Jan. 2008. [37] K. C. Gupta, R. Garg, I. Bahl, and P. Bhartia, Microstrip Lines and Slotlines, 2nd ed. Norwood, MA: Artech House, 1996.

Shih-Cheng Lin was born in Taitung, Taiwan, in 1981. He received the B.S. degree in electrical engineering from National Sun Yat-sen University, Kaohsiung, Taiwan, in 2003, and the Ph.D. degree in communication engineering from National Taiwan University, Taipei, Taiwan, in 2007. In 2007, he joined the Taiwan Semiconductor Manufacturing Company, Hsinchu, Taiwan, as an RF-Modeling Engineer. In 2008, he joined the Sunplus Technology Company Ltd., Hsinchu, Taiwan, as an Advanced Engineer involved with RF integrated circuit design. Since August 2009, he has been with the Department of Electrical Engineering, National Chiayi University, Chiayi, Taiwan, where he is currently an Assistant Professor. His research interests include development and design of microwave passive components, microwave planar filters, and RF/microwave integrated circuits.

Tai-Lang Jong was born in Taiwan. He received the B.S.E.E. and M.S.E.E. degrees from National Tsing Hua University, Hsinchu, Taiwan, in 1980 and 1982, respectively, and the Ph.D. degree from Texas Tech University, Lubbock, in 1990. Since 1990, he has been with the Department of Electrical Engineering, National Tsing Hua University, where he is currently an Associate Professor, responsible for managing the Digital Signal Processing (DSP) Teaching Laboratory.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

989

Design and Analysis of a Tri-Band Dual-Mode Chip Filter for 60-, 77-, and 100-GHz Applications Chin-Lung Yang, Member, IEEE, Ming-Chin Chiang, Hsien-Chin Chiu, Member, IEEE, and Yi-Chyun Chiang, Member, IEEE

Abstract—A tri-band dual-mode chip filter, which is fabricated with a commercial monolithic microwave integrated circuit technology and suitable for millimeter-wave applications, is presented in this paper. This filter is constructed by using stacked ring resonators with individual perturbation and feeding capacitors, thus fractional bandwidths and center frequencies of three passbands can be flexibly controlled. An equivalent model of the tri-band filter that considers coupling effects between the stacked ring resonators is adopted, and then a new set of design equations that can determine element values of dual-mode ring filters realized with different length ratios of upper parts over lower parts of the ring resonators are derived and proposed to design the filters with desired characteristics. Theoretical and experimental results reveal that dual-mode ring filters realized with different length ratios can change the positions of transmission zeros while keeping their widths of passbands equal, provide different characteristics of roll-off rates, and stopband suppressions. By following proposed design guidelines, the layout pattern of the tri-band dual-mode filter can be determined quickly. To verify the proposed design concept, an experimental prototype, locating three passbands at 60, 77, and 100 GHz, respectively, was fabricated on GaAs substrate. The size of the filter is about 0.46 0.91 mm and the measured insertion losses in the three passbands are less than 2.4, 2.7, and 3.5 dB over the frequency bands of interest, and their associated return losses are greater than 18, 17, and 8 dB, respectively. Index Terms—Chip filter, dual-mode filter, monolithic microwave integrated circuit (MMIC), tri-band filter.

I. INTRODUCTION

M

ULTI-BAND filters are widely employed in modern multi-standard wireless communication systems for obtaining capabilities of accessing multiple operation bands and reducing overheads of wireless modules. Therefore, many dual- or tri-band filters designed with different structures of resonators have been investigated [1]–[18]. Apart from the multiband filters manufactured with adopting planar circuit board (PCB) process, some multiband chip filters fabricated by using monolithic microwave integrated circuit (MMIC)

Manuscript received November 07, 2011; accepted December 18, 2011. Date of publication February 13, 2012; date of current version April 04, 2012. This work was supported by the National Science Council of Taiwan, China, under Project NSC97-2221-E-182-016-MY3. The authors are with the Institute of Electronic Engineering, Chang Gung University, Kwei-Shan, Tao-Yuna, Taiwan 333, China (e-mail: [email protected]; [email protected]; [email protected]. edu.tw, [email protected]). Digital Object Identifier 10.1109/TMTT.2012.2184137

Fig. 1. Equivalent circuit model of the proposed tri-band chip filter.

technology have been also developed [19], [20]. To realize compact dual-band planar filter, dual-band dual-mode filters are preferred. The filters comprise either one ring resonator with modified shape or two stacked ring resonators with individual perturbation and feeding capacitors that only occupies the same area as the single-band filter. Besides, Zhang and Xue had demonstrated that the dual-band filter consisted of two stacked ring resonators has very high flexibility in controlling the frequency responses of the two passbands [11]. However, the dual-band filters fabricated in PCB technology need some special feeding structures, such as backside-coupled or air-bridge, to properly connect the two stacked ring resonators [11], [12]. Recently, it was shown in [20] that the chip filter can easily integrate all components, which includes the stacked ring resonators and the feeding and perturbation capacitors in a single chip for the millimeter-wave frequency bands [20]. In this paper, a tri-band dual-mode filter composed of three stacked ring resonators and fabricated by MMIC technology is designed to provide the three unlicensed millimeter-wave applications. However, the stacked ring resonators must be placed close to each other when the operation frequencies of the filter are required to meet the 60-, 77-, and 100-GHz frequency bands, so that the ring resonators suffer from tight coupling effects. The mutual coupling effects may cause the characteristics of the coupled-line ring resonators to differ from that of the uncoupled rings. Therefore, an equivalent circuit model of the multiband filter constructed with stacked ring resonators shown in Fig. 1 is proposed to consider the coupling effects between the ring resonators.

0018-9480/$31.00 © 2012 IEEE

990

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

In the design of the tri-band dual-mode filter, it is an important issue to control the locations of the transmission zeros associated with the middle passband away from the passband corners of the lower and upper passbands to avoid degrading the required passband characteristics. In order to solve this problem, the feeding capacitors of the dual-mode ring filter may be connected into the ring resonator based on various feeding positions, i.e., different length ratios of the upper parts over the lower parts. In the previously published papers, the dual-mode ring filter employing the length ratio of the upper part over the lower part of the ring resonator equal to 1:7 (i.e., the input and output feeding ports are separated by 45 ) have been proposed to implement two split transmission poles even without connecting any perturbation capacitor [17]. Similarly, another dual-mode filter, which employs the length ratio of 1:5, has also achieved dual-band and miniature-size characteristics [18]. However, a rigorous design procedure for the dual-mode filter has not been proposed yet. In this paper, a set of design equations that can exactly determine the element values of the dual-mode filters constructed by connecting the feeding capacitors in other length ratios other than the conventional value (i.e., 1:3) are derived and described. The new design equations, which include some high-order terms that are neglected in the typical method [9], will be presented in the following Section II-B. As compared to the conventional design method, the synthesis equations are able to precisely predict characteristics of wideband ring filters and are applicable to millimeter-wave frequencies, because all of the higher-order terms in our derived procedures are considered carefully. By bringing the components, whose values were obtained from the proposed equations, into the circuit model of Fig. 1; all practical parameter values of the tri-band MMIC filter can be determined easily and then circuit designers can determine the final layout pattern of the filter with the help of a full-wave electromagnetic simulator. To validate the proposed design procedure, a prototype having three operation passbands located at 60, 77, and 100 GHz, is designed and fabricated on a GaAs substrate. The total size of the chip filter, which only has 0.46 0.91 mm , is very compact. The measurement results also show very good correspondence with the electromagnetic (EM) simulation results and prove the effectiveness of the proposed design method. II. DESIGN METHODOLOGY OF THE MULTIBAND DUAL-MODE FILTER A. Model of the Tri-Band Filter Considering the Coupling Effects Between the Resonant Rings With regard to design of tri-band dual-mode filter, the circuit model of Fig. 2 was first proposed. The circuit model is capable of predicting the performance of the multiband filter by applying a commercial microwave circuit simulator. As demonstrated in the previous work [21], the simulated results of the tri-band model also reveal two phenomena. One is that the guided wavelengths of EM wave propagated on the coupled microstrip lines are shorter than that propagated on two uncoupled microstrip lines. Another is that two resonant modes exist in the frequency responses of the stacked ring resonators in the circuit model even though no perturbation capacitors are connected. Because

Fig. 2. Equivalent circuit of the tri-band ring filter for tri-band unlicensed wireless applications.

Fig. 3. Equivalent even- and odd-mode half circuits of the dual-mode filter that is operated in one of the multiple bands with considering the coupling effects and ). of the stacked ring resonators (for conventional structure: (a) Even-mode excitation. (b) Odd-mode excitation.

the coupled-line ring resonators result in an equivalent capacitive termination under even-mode excitation, the effect of the two resonant modes may be treated as an inherent characteristic. To determine performance of each passband in the tri-band filter, an equivalent model plotted in Fig. 3 is developed, where the effective wavelengths are extracted from the coupled-line model and an inherent capacitor is used to approximate the tight coupling effects between the ring resonators that results two resonant modes. Thus, one can apply the conventional even- and odd-mode analysis methods to determine all elements of the filter, which are the capacitances of , , and natural of the resonators. resonance frequencies By taking the ring resonator of the middle passband as an example, it is well-understood that the coupling effects of the middle resonator are contributed by the outer and inner ring resonators, so some segments of the stacked ring resonators should be modeled by three-line coupled structures and other segments are composed of two-line coupled configurations and microstrip lines. However, the circuit model of Fig. 2 only roughly considers the coupling effects of the stacked ring resonators. The actual layout pattern of the multiband dual-mode filter still has to be determined by using an EM simulator that sets the physical dimensions of the filter obtained from the circuit model as initial guesses. To demonstrate the effectiveness of the proposed model, one investigates the physical length of the ring resonator of the middle passband and corresponding inherent stray capacitance based on four kinds of conditions, which are the uncoupled case, the case to only consider the coupling effects of the inner resonator, the case of Fig. 2 to consider the coupling effects

YANG et al.: DESIGN AND ANALYSIS OF A TRI-BAND DUAL-MODE CHIP FILTER

991

TABLE I INVESTIGATION OF THE DESIGN PARAMETERS OF THE MIDDLE PASSBAND WITH CONSIDERING DIFFERENT COUPLING RESULTS

of the two adjacent ring resonators, and the EM simulation results, respectively, as shown in Table I. It shows that the results obtained by using the proposed model of Fig. 2 can give the closest approximation with that of the EM simulations and prove that the proposed model is appropriate for determining the preliminary layout pattern of the tri-band filter before the layout is simulated by EM simulator. B. Design Method of Different Length Ratios of Dual-Mode Ring Filters To design the multiband dual-mode filter with the stacked ring resonators in MMIC technology, in addition to consider the tight coupling effects, the areas of the perturbation capacitors must also be confined in a small region, because the layouts of the stacked ring resonators must be placed close to each other to save valuable chip area [13]. It has been proved in [17] that the perturbation capacitance of the filter can be reduced and the fractional bandwidth can still be maintained equal to that of the traditional one, when the length ratio of the upper part over the lower part in the ring resonator of the dual-mode filter is larger than the traditional value (i.e., ). Although a rigorous synthesis procedure relative to such type of filter has not been described in [17] yet, the studied results motivate that MMIC dual-mode filter may be designed by adopting length ratio greater than the conventional value. The schematic of the new feature of the filter is shown Fig. 3, where the value of is the ratio of the upper part over the lower part in the ring resonator and assumed to be an integer. The overall length of the ring resonator is still equal to one wavelength. Usually, chip filters are operated in the millimeter-wave frequency bands, thus the traditional design equations for designing PCB dual-mode filters operated in lower microwave bands, which assumes and are much smaller than unity [9], may be not applicable. Therefore, a new set of equations that can design different length ratios of dual-mode ring filters and are applicable to higher frequency bands are developed by reapplying even- and odd-mode analysis. It is well-known that scattering parameters of a symmetrical network in terms of even- and odd-mode impedances ( and ) can be represented as follows [22]: (1)

Fig. 4. Numerical results of (5) based on different length ratios of . the same value of

and

(2) where is the terminal impedance of the filter. By analyzing the circuits of Fig. 3 and assuming the center frequency of the filter that can be expressed in term of the resonant freas , the correquency of the ring resonator sponded equations of the even- and odd-mode half circuits at can be written as (3a) (3b) where (4a) (4b)

where is equal to and and are equal to and , respectively; is the ratio of termination impedance over characteristic impedance of ring resonator. By bringing (3) into (2), the frequencies of the transmission zeros can be obtained from the following equation: (5) and is equal to zero where is equal to as frequencies of transmission zeros are encountered. However, the analytical solutions of this equation are hard to be derived. Therefore, graphical method or mathematical software can be used to find out the positions of the transmission zeros in terms of the natural resonance frequency of the ring resonator and the capacitance of perturbation capacitor. Fig. 4 shows the solutions of (5) obtained from a graphical method.

992

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

As shown in Fig. 4, the position of the transmission zero in lower stop band is shifted to lower frequency region when the filter is realized with a higher ratio of , but the separations between the lower and upper transmission zeros are very similar for the filters constructed with the identical perturbation capacitor . Equations in (3) indicate that the even- and odd-mode impedances are purely imaginary. According to (1), one can find that the product of the even- and odd-mode impedances should approach in the passband region to achieve the desired impedance matching. It also reveals that one of and of the filter must be either purely inductive or capacitive and vice versa, when all elements are lossless and is pure scalar. By bringing (3) and (4) into (1) and assuming that the required return loss in the center frequency to equal 15 dB, which can be applied to most of the wireless communication systems, two analytic equations that take the neglected terms in the typical method into consideration can be obtained to determine the natural resonance frequency of the ring resonator and the relation corresponding to capacitances of and of the dual-mode ring filter with arbitrary length ratios of , as follows: (6) and

(7) where (8a) (8b) (8c) (8d) (8e) (8f)

(8g) Although (8) looks very complicated, it is just a function of and and results in four possible values of . According to the obtained solutions for designing different filters, it is found that the reasonable value of is usually obtained by taking first and second signs that are undetermined in (7) to be negative and positive, respectively. For a typical dual-mode ring filter that is

constructed with and , , and can be simplified as

, the design equations of

(9) and (10) which are same as that in [21]. As was previously shown, the equations describing the relationship between and are quite complicated and derived procedure for determining analytical solutions of the locations of the transmission poles should be more troublesome than (6)–(8). Therefore, it is easier to find the positions of transmission zeros by bringing the obtained parameter values of the filter into (1) and then solving it with the help of mathematic software. According to the proposed method, the separations of the frequencies of the two transmission poles, which are approximated to the 3-dB pass bandwidth, versus the selected values of are plotted in Fig. 5(a). The required parameter values of and the resonant frequencies of the ring resonator for achieving the desired passband are also plotted in Figs. 5(b) and 5(c), respectively. As it shows, the ring filter employing higher length ratio of can achieve wider pass bandwidth when the same capacitance of the perturbation capacitor is adopted. Secondly, the filter constructed with higher ratio of and the smaller perturbation capacitor can result in the same pass bandwidth as that of the filter constructed with lower ratio. Besides, it was shown in Fig. 4 that the separation between the transmission zeros is proportional to the capacitance of , so a structure of higher length ratio should exhibit rapider roll-off rates at the corners of the passband. Another interesting characteristic can be found in Fig. 5(b) that the filter with still can achieve two separated transmission poles in the frequency response even though no perturbation capacitor is connected. It is because that the even- and odd-mode impedances in such a circuit configuration provide larger variations in the frequencies near the center frequency, such that the product of even- and odd-mode impedances can approach the square of the terminal impedance in the nearby of the center frequency. Actually, such phenomena all can be found in the cases that the filter employing a length ratio greater than five. Fig. 5(b) illustrates that the feeding capacitors of the different structures of filters should have similar capacitance for achieving the desired matching condition. This is consistent with that obtained from the conventional design method that uses external , which is inverse proportional to the desired pass bandwidth, to determine the feeding capacitance of the filter. To summarize the proposed design method, designers can find a corresponded value of , which is located at the curve representing the conventional structure shown in Fig. 5, according to the required bandwidth. Then one can obtain the appropriate values of and by using (6) and (7) and the frequencies of transmission zeros can be determined from (5). If the conventional structure of the filter cannot satisfy the required rejections in the stop band, designers can consider constructing the filter with adopting other length ratio of the resonator and a new

YANG et al.: DESIGN AND ANALYSIS OF A TRI-BAND DUAL-MODE CHIP FILTER

993

TABLE II INVESTIGATION OF THE PARAMETER VALUES OF THE DUAL-MODE FILTERS CONSTRUCTED WITH DIFFERENT LENGTH RATIOS AND HAVING A FIXED FRACTIONAL BANDWIDTH OF 9%

Fig. 6. Performance comparison of the three 60-GHz ring filters constructed with different values of length ratios under the same 3-dB bandwidth and fF, fF, GHz; fF, , ; fF, fF, and GHz).

Fig. 5. Design parameters of the ring filters constructed with different length ratios of upper and lower parts of the ring resonators that are calculated from (6)–(8) using commercial mathematic software.

value of selected from Fig. 5 to satisfy the required rejections in the stop band while keeping the bandwidth of passband in same. The effectiveness of the design equations is verified by applying Agilent ADS Schematic to analyze different types of filters. Based on the simulation results, it is found that the proposed equations of (5)–(10) can still work very well even though the desired fractional bandwidth is up to 15% and are effective for the filter operated at millimeter-wave frequencies. To further demonstrate the method of synthesizing the dual-mode filter constructed with different ratios of , three 60-GHz filters constructed with different structures and exhibit the same operation bandwidth are investigated. According to the proposed design method, the parameter values

obtained from the previous synthesizing equations are shown in Table II. The parameter values shown in Table II are then transferred to the practical elements for implementing three different filters that can be simulated by microwave circuit simulator. Simulated frequency responses of these filters are illustrated in Fig. 6, of which the element parameters are listed in the caption. As it shows the dual-mode filters adopting the different length ratios of can really result in the transmission zeros in the different locations, while keeping the pass bandwidth in same. That can relieve the constraint of the conventional dual-mode filter that the passband width must be proportional to the separation of the two transmission zeros [9]. Fig. 6 also shows the filter realized with higher ratio of did provide faster roll-off rate in the upper stopband as we mentioned previously. Although the filter constructed with higher ratio of can decrease the separation of the two transmission zeros, such a filter structure suffers from the worst attenuation capability of the upper stopband. Actually, the ring resonator corresponding to the middle passband of the tri-band filter is quite suitable to be constructed by higher ratio value of , because such configuration can reduce the size of the perturbation capacitor for the desired bandwidth and results in the locations of the transmission zeros approaching its own passband corners. That can avoid disturbing the characteristics of the lower and upper passbands (i.e., 60 and 100-GHz bands in our design example).

994

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 7. Frequency responses of the tri-band filter before and after the coupling effects between the nearby ring resonators are compensated by properly adand . justing the values of

In our work, the ring resonator for the implementation of the 77-GHz passband is designed with the length ratio of 1:4. As for the poor suppression capability in the upper stopband of the middle passband, it can be alleviated by the right-sided transmission zero of the upper passband. According to simulated results of Fig. 6, it is understood that the proposed design equations can provide higher flexibility to achieve the desired stopband and passband characteristics. C. Implementation of the Tri-Band Filter To design an experimental tri-band filter operated at 60, 77, and 100 GHz, the resonant frequencies of the ring resonators and perturbation and feeding capacitances of each passband to achieve the desired performance are first obtained by applying (5)–(10). Then one can undertake the preliminary layout pattern of the tri-band filter according to the equivalent model of Fig. 2. Fig. 7 investigates the influences of coupling effects of the stacked ring resonators by checking the variations of the input impedances of the uncoupled rings and the closely coupled stacked rings. As it shows, the black lines represent the impedances of three uncoupled rings and all resonators exhibit resonances at the required frequencies. However, these ring resonators are stacked together as shown in Fig. 2. The impedances of the stacked rings will be changed to that shown in gray lines of Fig. 7. The natural resonant frequencies of the stack ring will be moved to the frequency higher than the uncoupled cases due to the odd-mode propagation in the couplers, and the other resonant frequencies were found in the frequency response because of the even half-circuit model of the stack resonators exhibiting a coupling capacitance between the resonators instead of open-circuited in the case of uncoupled resonators. To design the tri-band filter with desired performance, the circumferences of the stacked ring resonators have to be extended to achieve the natural resonant frequencies, i.e., the higher peaks, same as that of the uncoupled rings. Next, the inherent stray capacitances are assumed to approximate the coupling effects and they can be estimated by using three perturbation capacitors, which are in Fig. 7, connected to the ring resonators in the model and assumed to be negative in values. Once the capacitances of these capacitors can just compensate the inherent capacitors caused by the coupling of ring resonators, the lower picks in every passband will also be eliminated.

Fig. 8. Scattering parameters of the tri-band filter before and after the feeding capacitors are tuned.

Finally, the input impedances of the resonators with increasing circumferences and connecting negative perturbation capacitances can exhibit the compensated characteristics same as that of solid lines in Fig. 7. Based on the simulation result, preliminary layout pattern of the stacked ring resonators and approximate capacitances of the inherent perturbation capacitors can be obtained. However, Fig. 8 shows that the return losses of the second and third passbands will be degraded as shown in the gray lines, when the capacitances of the feeding capacitors obtained from (6)–(8) are directly connected to the resonators of the higher passbands. That is because the impedance of the resonator corresponding to the lower passband behaves as inductive at the frequencies of the higher passbands. Unfortunately, it is difficult to characterize such phenomenon into the close-form equations, because the locations of the higher passbands vary with different specifications of the multiband filters. Fortunately, it is found in the simulation results that the input matching of the higher passbands can be improved by simply increasing the capacitances of the feeding capacitors, which is illustrated by the solid lines of Fig. 8. According to the previous statements, it reveals that the proposed circuit model is capable of determining the initial layout pattern of the tri-band dual-mode ring filter fabricated in MMIC technology. The design procedure of the proposed multiband dual-mode ring filter is summarized as follows. 1) Find the required , and from the proposed synthesizing equations for each passband of the tri-band filter according to the given specifications and the selected characteristic impedances of the ring resonators. 2) Apply the equivalent circuit model of Fig. 2 to find the appropriate coupled-line lengths of the stacked ring resonators that can resonate at every obtained in step 1. 3) Apply the equivalent circuit model shown in Fig. 2 to extract the inherent stray capacitances associated with every operation passband. 4) Subtract the found in the previous step from the value of obtained in step 1 to find the real perturbation capacitors in the ring resonators, which n represent the number of the passbands, and calculate the required from the previously obtained value of . 5) Adjust the capacitances of the feeding capacitors of the higher passbands to improve input matching.

YANG et al.: DESIGN AND ANALYSIS OF A TRI-BAND DUAL-MODE CHIP FILTER

995

Fig. 9. Frequency responses of the tri-band filter based on the proposed model and EM simulation. The solid lines represent simulated results of the model, of which the line widths of the rings are all 15 m, the spacing between the ring resonators are all 24 m, and the center circumferences of the three stacked fF, resonators are 1866 m, 1546 m, and 1157 m, and fF, fF, fF, fF, and fF. The gray lines stand for EM results of the practical layout, of which the lengths of the resonators are depicted in Section III.

6) Determine the practical layout pattern by using full-wave EM simulator. The frequency responses of the tri-band filter consisted of the model in Fig. 5 and the capacitors obtained from the previous design steps and that of the electromagnetic simulation, of which the layout pattern are adjusted to meet the desired specifications, are depicted in Fig. 9 for demonstrating the effectiveness of the proposed filter model. The simulated performance of the proposed model shows good agreement with the EM simulation. It is worth highlighting that the three operation bands cannot be assigned very close to each other. That is because the proposed design procedure only guarantees synthesized components of every passband in the tri-band filter to work well within the frequencies of their own passband, so that transmission zeros of one passband coincide with any other passband may affect the characteristics of resonators operated at that passband. However, it is found if the frequency of the transmission zero located in the left-side stopband of the higher passband is greater than the frequency of the transmission zero located in the rightside stopband of the lower passband, then the proposed design method still can work for the multiband design. Once the transmission zeros in one of the operation bands are found to be close to that of the other passbands, then alternative structure, which uses higher or lower length ratio between the lower part and upper part of the ring resonator, can be adopted to avoid such a problem and keep the desired pass bandwidth. For some design cases where the passbands are very close to each other, the multiband design method introduced in [13] and [23] may be applicable. III. EXPERIMENTAL RESULTS For verifying the aforementioned design guidelines, an experimental prototype was fabricated by using 0.5- m pseudomorphic HEMT (pHEMT) GaAs technology provided by WIN Semiconductor Corporation. According to the proposed design equations and equivalent circuit model, the elements’ parameters of the tri-band filter are given as GHz,

Fig. 10. Chip photograph of the tri-band filter operated in millimeter-wave bands.

GHz,

fF, fF, fF, GHz, GHz, fF, fF, fF, GHz, GHz, fF, fF, and fF. The layout pattern of the tri-band filter, of which the line widths of the rings are all 15 m, the spacing between the ring resonators are all 24 m, and the center circumferences of the three stacked resonators are 2012, 1640, and 1282 m, respectively, are simulated by full-wave EM simulator. The thickness of the substrate is 100 m with a dielectric constant of 12.9 and a loss tangent of 0.001. The thicknesses of metal 1 and metal 2 are 1 and 2 m, respectively, and their sheet impedances are 0.026 and 0.013 /square, respectively. The insulator material between metal 1 and 2 is the Nitride, of which the thickness, dielectric constant, and loss tangent are 0.15 , 6.9, and 0.001 m, respectively. Photograph of the tri-band chip filter is illustrated in Fig. 10, in which the chip size including RF test pads is about 0.46 0.91 mm . The measurement was carried out by using on-wafer probing technique to connect the output pads through RF probes

996

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

they still show quite good correspondence with the measured results. IV. CONCLUSIONS

Fig. 11. Measured and EM simulated results of the tri-band chip filter operated in millimeter-wave bands.

to an Aglient E8510XF network analyzer. The collected data was calibrated to the reference plane of probe tips by the thru-reflect-line (TRL) technique with the calibration standards embedded in the similar GaAs substrate. The EM-simulation and measured results are superimposed in Fig. 11. As it shows, the minimum insertion losses of the chip filter operating in 60-, 77-, and 100-GHz bands are 2.2, 2.4, and 3.5 dB, respectively. The measured return losses of the operation passbands below 100 GHz are all greater than 15 dB, and the return loss of the 100-GHz operation passband is greater than 8 dB. Five transmission zeros located in the stopband regions are clearly shown in Fig. 11. This figure also illustrates that the measured results have quite good correspondence with the electromagnetic simulations in the two lower frequency bands. The measured and simulated results apparently differ from each other in the highest passband. Eventually, the measured insertion losses in bands 1 and 2 are also higher than the simulated results and the difference between the measurement and simulation gets larger as the operation frequency gets higher. We suspect that this is due to the real sheet resistances of metal layers 1 and 2 are higher than those provided by the foundry. After changing the sheet resistance of the metal layers 1 and 2 to 0.13 and 0.065 /square, respectively, while maintaining the loss tangents of the insulator layer and substrate to equal that provided by foundry, the same tri-band filter is re-simulated. It is found that the re-simulated result will be very close to the measurement. Besides, as shown in (8), the capacitance of must be proportional to the capacitance of so as to achieve the required match condition. It can be found in Fig. 10 that the perturbation capacitor in band III is such a small capacitor that it is more sensitive to the process variation than the other capacitors. Fig. 10 also shows that the capacitances and areas of the feeding capacitors of Band III are larger than the perturbation capacitor, thus variation rate of the capacitances of the feeding capacitors due to process variation is less than that of the perturbation capacitor. This may be another possible reason why the poor return loss was found in the measurement. To avoid such a problem, an alternative layout pattern that can keep the variations of and in proportion should be adopted to design the future 100-GHz dual-mode filter. Although the EM simulation results do not perfectly match the measured results,

A novel tri-band filter has been fabricated by using 0.5- m pHEMT technology. A new model of multiband dual-mode filter that can consider the coupling effects between the stacked ring resonators is proposed. New design equations have been developed to exactly calculate the element values of the dual-mode ring filters and demonstrate better accuracy than the conventional equations. Besides, the proposed design equations are applicable to a ring filter with length ratio of no matter whether greater or less than 3. That enables the filter designers to flexibly adjust the locations of the transmission zeros of the dual-mode filter while maintaining required passband bandwidth. By adopting the value of to be larger than 3, the capacitance of the perturbation capacitor can be shrunk, which is beneficial to increasing the flexibility in planning the layout of the stacked ring resonators. According to the design procedure and circuit model, all geometry layouts of the tri-band filter, which has considered the coupling effects, can be determined quickly. The measured results of the chip filter show fast roll-off rates, five transmission zeros, and compact size, so the filter is potentially able to integrate with other millimeter-wave circuits for achieving the target of a millimeter-wave system on a single chip. ACKNOWLEDGMENT The authors thank the WIN Semiconductors Corporation for the chip filter fabrication and the help of the filter measurement supported by the National Nano-Device Laboratory, Institute of National Research. In addition, the authors would like to thank Prof. J.-C. Cheng, Department of Electrical Engineering, Chang Gung University, for his valuable suggestions of this work. REFERENCES [1] J.-T. Kuo, T.-H. Yeh, and C.-C. Yeh, “Design of microstrip bandpass filter with a dual-passband response,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1331–1337, Apr. 2005. [2] B.-J. Chen, T.-M. Shen, and R.-B. Wu, “Design of tri-band filters with improved band allocation,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 7, pp. 1790–1797, Jul. 2009. [3] Q.-X. Chu and F.-C. Chen, “A compact dual-band bandpass filter using meandering stepped impedance resonators,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 5, pp. 320–322, May 2008. [4] P. K. Singh, S. Basu, and Y.-H. Wang, “Miniature dual-band filter using quarter wavelength stepped impedance resonators,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 2, pp. 88–90, Feb. 2008. [5] B. Wu, C.-H. Liang, Q. Li, and P.-Y. Qin, “Novel dual-band filter incorporating defected SIR and microstrip SIR,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 6, pp. 392–394, Jun. 2008. [6] Y. P. Zhang and M. Sun, “Dual-band microstrip bandpass filter using stepped-impedance resonators with new coupling schemes,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 10, pp. 3779–3785, Oct. 2006. [7] S. Luo and L. Zhu, “A novel dual-mode dual-band bandpass filter based on a single ring resonator,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 8, pp. 497–499, Aug. 2009. [8] S. Luo, L. Zhu, and S. Sun, “Compact dual-mode triple-band bandpass filters using three pairs of degenerate modes in a ring resonator,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 5, pp. 1222–1229, May 2011. [9] K. K. M. Cheng, “Design of dual-mode ring resonators with transmission zeros,” Electron. Lett., vol. 33, no. 16, pp. 1392–1393, Jul. 1997. [10] K.-K. M. Cheng and C. Law, “A new approach to the realization of a dual-band microstrip filter with very wide upper stopband,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 6, pp. 1461–1467, Jun. 2008.

YANG et al.: DESIGN AND ANALYSIS OF A TRI-BAND DUAL-MODE CHIP FILTER

[11] X. Y. Zhang, Q. Xue, and B. J. Hu, “Planar tri-band bandpass filter with compact size,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 5, pp. 262–264, May 2010. [12] X. Y. Zhang and Q. Xue, “Novel dual-mode dual-band filters using coplanar-waveguide-fed ring resonators,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 10, pp. 2183–2190, Oct. 2007. [13] A. Görür and C. Karpuz, “Compact dual-band bandpass filters using dual-mode resonators,” in IEEE MTT-S Int. Microw. Symp. Dig., 2007, pp. 905–908. [14] X.-P. Chen, K. Wu, and Z.-L Li, “Dual-band and triple-band substrate integrated waveguide filters with Chebyshev and quasi-elliptic responses,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 12, pp. 2569–2578, Dec. 2007. [15] X. Lai, C.-H. Liang, H. Di, and B. Wu, “Design of tri-band filter based on stub loaded resonator and DGS resonator,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 5, pp. 265–267, May 2010. [16] L.-Y. Ren, “Tri-band bandpass filters based on dual-plane microstrip/DGS slot structure,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 8, pp. 429–431, Aug. 2010. [17] S. Luo, L. Zhu, and S. Sun, “A dual-band ring-resonator bandpass filter based on two pairs of degenerate modes,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 12, pp. 3427–3432, Dec. 2010. [18] Y.-C. Chiou, C.-Y. Wu, and J.-T. Kuo, “New miniaturized dual-band ring resonator bandpass filter with microwave C-sections,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 2, pp. 67–69, Feb. 2010. [19] L.-K. Yeh, C.-Y. Hsu, C.-Y. Chen, and H.-R. Chuang, “A 24-/60-GHz CMOS on-chip dual-band bandpass filter using trisection dual-behavior resonators,” IEEE Electron Device Lett., vol. 29, no. 12, pp. 1373–1375, Dec. 2008. [20] C.-L. Yang, S.-Y. Shu, M.-C. Chiang, H.-C. Chiu, and Y.-C. Chiang, “A dual-mode dual-band filter constructed on GaAs substrate for unlicensed 60- and 77-GHz applications,” J. Electromagn. Waves Appl., vol. 24, no. 17/18, pp. 2431–2444, Oct. 2010. [21] C.-L. Yang, M.-C. Chiang, H.-C. Chiu, and Y.-C. Chiang, “Design of a MMIC tri-band filter for 60-/77-/100-GHz applications,” in IEEE MTT-S Int. Microw. Symp. Dig., 2011, pp. 1–4. [22] D. M. Pozar, Microwave Engineering, 3rd ed. New York: Wiley, 2005. [23] M. Mokhtaari, J. Bornemann, and S. Amari, “Coupling-metrix design of dual/triple-band uni-planar filters,” in IEEE MTT-S Int. Microw. Symp. Dig., 2006, pp. 515–518. Chin-Lung Yang (S’09–M’11) was born in Taipei, Taiwan, in 1978. He received the B.S. degree in electrical engineering from Tam-Kang University, Taipei, Taiwan, in 2004, and currently is working toward the Ph. D. degree in electronic engineering at Chang Gung University, Tao-Yuan, Taiwan. His research interests include the design of microwave bandpass filters (BPFs), voltage-controlled oscillators (VCOs), mixer, and low-noise amplifiers (LNAs) using submicrometer CMOS and GaAs technologies.

997

Ming-Chin Chiang was born in Taipei, Taiwan. He is currently working toward the M.S. degree in electronic engineering at Chang Gung University, TaoYuan, Taiwan. His research interest is the design of microwave circuits with CMOS technologies.

Hsien-Chin Chiu (S’01–M’02) was born in Taipei, Taiwan. He received the B.S. and Ph.D. degrees in electrical engineering from the National Central University, Chung-Li, Taiwan, in 1998 and 2003, respectively. After he received the Ph.D. degree, he joined the WIN Semiconductors Corporation, Tao-Yuan, Taiwan, where he was engaged in research and development of the 6-in GaAs pHEMTs and related integrated circuits. He joined the Department of Electronic Engineering, Chang Gung University, Tao-Yuan, Taiwan, in 2004, where he is now a Professor. His research interests include the microwave, millimeter-wave integrated circuits, GaAs and GaN field-effect transistors (FETs) fabrication and modeling. Prof. Chiu is a member of Phi Tau Phi.

Yi-Chyun Chiang (S’88–M’93) received the B.S. degree in marine technology from National Chiao-Tung University, Hsin-Chu, Taiwan, in 1982, and the M.S. and Ph.D. degrees in electronic engineering from Chiao-Tung University, Hsin-Chu, Taiwan, in 1987 and 1992, respectively. He is currently a Professor of electronic engineering at Chang Gung University, Tao-Yuan, Taiwan. His research interests include developing new methods of designing high performance microwave couplers and multiband filters with MMIC technology, and the development of microwave integrated circuits constructed with advanced CMOS technologies.

998

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

WR1.5 Silicon Micromachined Waveguide Components and Active Circuit Integration Methodology Kevin M. K. H. Leong, Member, IEEE, Kelly Hennig, Chunbo Zhang, Raffi N. Elmadjian, Zeyang Zhou, Ben S. Gorospe, Patty P. Chang-Chien, Vesna Radisic, Senior Member, IEEE, and William R. Deal, Senior Member, IEEE

Abstract—This paper describes the development of silicon micromachined waveguide structures operating in the WR1.5 waveguide band (500–750 GHz). Average waveguide loss of 0.15 dB/mm was measured at 600 GHz. Capabilities of the proposed approach have been shown with the development of a 570-GHz three-pole waveguide bandpass filter that has a 0.9-dB passband loss and a Y-junction coupler operating at 670 GHz. These components have been tested using a novel on-wafer testing method for rapid characterization throughput. A batch process approach for integration of solid-state devices and micromachined waveguide components has also been developed. Further development of this technology will lead to the next generation of terahertz-frequency wafer-level packaging of active circuits. Index Terms— -plane probe transition, silicon (Si) micromachining, terahertz circuits, waveguide coupler, waveguide filter.

I. INTRODUCTION

D

UE TO their inherently low loss and power-handling capabilities, rectangular waveguides have long since been a standard for signal transmission and circuit-to-circuit interconnection. Use of rectangular waveguides is critical at terahertz and millimeter-wave frequencies where planar transmission lines have relatively high loss and waveguide dimensions are relatively compact. Although standard machining techniques can be used to fabricate these types of waveguides, accuracy and machining tolerances become an issue as the wavelength gets shorter. For example, the standard dimensions of WR1.5 waveguide operating from 500–750 GHz is 15 7.5 mil 380 190 m . In order to reliably fabricate these waveguides with adequately smooth sidewalls, machining accuracies on the order of 10–25 m are required. This is a significant barrier for both mass production of waveguides and realization of more complex waveguide components operating in the terahertz frequency range. An Manuscript received June 07, 2011; revised December 20, 2011; accepted December 22, 2011. Date of publication February 15, 2012; date of current version April 04, 2012. This work was supported by the Defense Advanced Research Projects Agency (DARPA) Terahertz (THz) Electronics Program and the Army Research Laboratory under DARPA Contract HR0011-09-C-0062. The authors are with Northrop Grumman Aerospace Systems, Redondo Beach, CA 90278 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2184296

alternative method for fabricating rectangular waveguides is the use of micromachining technology. Micromachining methods make use of photolithography to define the features of the waveguide as a method of providing highly accurate dimensional control. Furthermore, most micromachining processes are conducive to batch fabrication. This offers significant cost savings compared to conventional machining. There have been several studies on the development of micromachined waveguides. In [1], a waveguide fabricated using SU-8, operating at 320 GHz, has been demonstrated. SU-8 technology was also used to demonstrate an octagonal horn antenna operating at 1.6 THz [2]. Another method for micromachining waveguides is silicon (Si) etching, which is accomplished by etching the Si to form waveguide channels. In [3] and [4], wet etching was used to demonstrate waveguides up to 110 GHz. Use of the deep reactive ion etching (DRIE) process has also been used to fabricate waveguides operating at 400 GHz [5]. A significant advantage of DRIE compared to wet etch processes is the ability to create vertical sidewalls. Creating structures with steps in height can also be created by using a multilevel DRIE process [6]. Although complex structures with good performance have been demonstrated, a significant requirement for acceptance of this technology is the demonstration of practical methods for directly integrating semiconductor devices with the micromachined structures. In [7], the integration of a 261-GHz diode tripler in a micromachined waveguide package is demonstrated. The tripler chip was mounted in a micromachined waveguide in a manner similar to a conventionally machined waveguide. The concept of creating an entire 560-GHz front-end receiver in a similar manner is presented in [8]. This approach creates waveguide channels in a stack-up of Si wafers to house GaAs mixers and triplers, which are later placed in position and assembled. This paper presents novel architectures that further expand on the concept of micromachining rectangular waveguides. Results on essential waveguide components including a bandpass filter, a 90° bend, and couplers all operating in the WR1.5 band are shown. Moreover, a new method for integration of micromachined waveguide with active circuitry is presented. This new technology provides a path toward full circuit and waveguide integration using a batch process for the first time. This paper is organized as follows. Section II outlines the fabrication methodologies and measurement results of Si micromachined waveguides designed to operate in the WR1.5 band.

0018-9480/$31.00 © 2012 IEEE

LEONG et al.: WR1.5 Si MICROMACHINED WAVEGUIDE COMPONENTS AND ACTIVE CIRCUIT INTEGRATION METHODOLOGY

999

Fig. 1. (a) Schematic drawing of WR1.5 Si micromachined waveguide and (b) photograph of completed chip.

Waveguide loss is determined through -parameter measurements and is used to determine a loss per millimeter metric to baseline the fabrication process. Section III presents measurements for a micromachined waveguide bandpass filter with center frequency of 570 GHz and a Y-junction coupler operating at 670 GHz. Measurements of these structures are accomplished by a novel on-wafer waveguide probe measurement approach. This approach offers the benefit of quick characterization time compared to individual chip mounting testing. Finally, in Section IV, a new method for integration of micromachined waveguide and active circuitry is presented. This approach uses wafer-level integration of Si wafers forming the waveguides and an InP wafer which can be used for active circuitry such as that demonstrated in [9].

Fig. 2. SEM image of waveguide sidewall roughness.

II. MICROMACHINED WAVEGUIDE FABRICATION AND CHARACTERIZATION The high precision that is achievable by using micromachining techniques makes it an attractive candidate for use in the fabrication of terahertz frequency waveguides requiring micrometer scale accuracies. Fig. 1 shows a simplified diagram of the WR1.5 190 m 380 m rectangular waveguides demonstrated in this paper. The waveguide is constructed using a two-layer Si wafer stack. The bottom wafer is etched using a DRIE-Bosch process to form the waveguide channel. The DRIE-Bosch process provides well-controlled etching dimensional accuracy, uniformity, and wafer-to-wafer reproducibility. The waveguide channel is metallized using a gold sputtering process, and finally the two wafers are bonded together, completing the waveguide. Waveguide loss can be primarily attributed to sidewall roughness, metal conductivity, and coverage. In order to analyze these effects, numerous SEM images have been taken of the micromachined waveguides. Fig. 2 shows a SEM image of the waveguide sidewall. The image shows roughness of 75 nm. Based on SEM images taken at different locations on the wafer, the worsecase sidewall roughness is 90 nm, while the typical roughness was determined to be within the 60–75-nm range. It is believed that Si etching process sets the sidewall roughness, rather than the gold sputtering process, which generally mimics the surface profile of the Si surface beneath it. Gold metallization coverage has been measured to be 0.6 m, which is greater than five skin depths at the lower frequency end of the WR1.5 waveguide band (500 GHz), ensuring low conductor losses throughout the waveguide. The angle of the waveguide sidewall was measured to be 92° relative to the wafer surface; very close to perfectly

Fig. 3. SEM image of waveguide faces with close up of corner junctions.

vertical sidewall. The waveguide corners have also been inspected for continuity and smoothness at the bond between the bottom and top wafers (see Fig. 3). Any discontinuity in this region will also contribute to waveguide loss. To validate performance, -parameter measurements have been performed on the WR1.5 micromachined waveguides. Individual waveguide chips have been mounted in a center block test fixture, as shown in Fig. 4. External conventionally machined waveguide flanges were aligned to the waveguide chip such that the waveguide chip and the waveguide flange openings were aligned within 25 m and the flange directly contacts the chip for good continuity. The -parameters of each individual flange end-block have been measured and de-embedded from the measurements. Fig. 5 shows the measured -parameters for a 6-mm-long micromachined waveguide over a 500–700-GHz frequency range. Return loss is measured to be 20 dB across the band and insertion loss is 1.1 dB at 600 GHz. This translates to 0.18-dB/mm loss, as shown in Fig. 5(b). Using a standard

1000

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 4. Photograph of testing fixture.

Fig. 6. (a) Measured -parameters for micromachined WR1.5 waveguide serpentine sections of different lengths and (b) loss per millimeter calculated based on length differential of the two lengths.

Fig. 7 Schematic drawing of WR1.5 Si micromachined waveguide with integrated waveguide 90° bend. Fig. 5. (a) Measured -parameters for fabricated micromachined WR1.5 waveguide straight section and (b) loss per millimeter for two circuits.

waveguide loss model [10], the effective metal conductivity is estimated to be 5.8e6 S/m from the -parameter measurements. This effective conductivity includes factors of metal conductivity, skin depth effect, surface roughness loss, and any loss due to geometry. A plot of the insertion loss using the same model and an ideal gold conductivity of 4.1e7 S/m is shown for reference for comparison purposes. To further quantify the loss of the micromachined, waveguide measurements have been performed on two waveguide sections of different lengths. In principle, this differential measurement should de-embed the impact of the interface between machined waveguide flange and micromachined chip to allow more accurate determination of the loss per unit length of the waveguide. The total length differential between the two sections was 9 mm. Fig. 6 shows the measured loss of the two lengths of waveguide. Note that Fig. 6

shows results from two sets of longer and shorter chips and shows good repeatability of the waveguide fabrication and measurement. The length differential of the two lines was used to determine a loss per mm of 0.15 dB/mm measured at 600 GHz, as shown in Fig. 6(b). III. MICROMACHINED WAVEGUIDE COMPONENTS Rectangular waveguides not only serve as a low-loss method to convey signals between system components, but also to implement low-loss passive components, such as filters and couplers. The ability to create compact features with high accuracies make Si micromachined waveguides excellent for fabricating these types of passive components. In this section, a three-pole bandpass filter and a Y-junction coupler using a new two-wafer stack-up, shown in Fig. 7, are described. Similar to the rectangular waveguides described in Section II, the waveguide channel is etched in the bottom wafer. However, rather than having the waveguide ports at the edges of a diced chip, which make measurements challenging, a 90° bend has been

LEONG et al.: WR1.5 Si MICROMACHINED WAVEGUIDE COMPONENTS AND ACTIVE CIRCUIT INTEGRATION METHODOLOGY

1001

Fig. 9. Calibration measurement of waveguide test probe with short-circuit load. Fig. 8 Photograph of on-wafer test setup for micromachined waveguide circuits.

added so that waveguide input and outputs are now at the top surface of the wafer. This interface at the wafer surface ensures that a flat contact surface is provided for good contact between the micromachined waveguide and an external test waveguide or flange. When the waveguide interface is at the edge of a diced chip, the chip edges must be flat and vertical, adding to the challenge of the chip dicing process. The 90° micromachined waveguide bend is designed using a single step in height, which provides broadband impedance matching and fabricated using a two-level DRIE process [6]. The design was done using an HFSS full-wave simulator, treating the waveguide surfaces as infinitely thick gold conductors. All surfaces of the waveguide are sputtered with gold and the two wafers are bonded together using a gold-to-gold compression bonding process. The use of only a single step in the waveguide bend was chosen for reduced fabrication complexity compared to designs that use a mitered sloped corner or a staircase corner. Measurements show that a single step is able to provide broadband impedance matching across the WR1.5 band. A novel on-wafer test approach was developed for testing of the micromachined waveguide components. A photograph of the waveguide on-wafer testing method is shown in Fig. 8, which uses a set of machined waveguide probes. Each waveguide probe is a simple 90° swept waveguide bend. The end of the probe that makes contact with the wafer under test is tapered down in size such that only a 125- m-wide surrounding rim is left around the WR1.5 waveguide opening. A probe station micromanipulator, traditionally used for on-wafer MMIC testing, is used to precisely align the waveguide probe with the micromachined waveguide openings. The waveguide probes have sighting holes so that the probe can be visually aligned with targets on the wafer under test. In order to ensure that the test probe fully contacted the wafer under test, the probe’s relative -position was visually observed by a magnifier, while the wafer chuck stage -position was adjusted in 0.5- m steps. Additionally, throughout the alignment process, continuous -parameter

sweeps were taken and “good” contact was concluded when the measurements were stable and constant when the -position was incremented slightly up. The test probes were calibrated using two steps. First, a twoport thru-reflect-line (TRL) calibration was done with reference planes at the ends of the waveguide straight sections preceding the waveguide probes using a WR1.5 waveguide calibration kit. Next, the waveguide probes were touched down to a wafer with a continuous gold patch, realizing a short circuit load. In this configuration, the return loss represents the signal roundtrip loss of the probes. This information was used to de-embed the loss of the probes and move the insertion-loss reference plane to the probe tip , while the return-loss reference plane remains as the ends of the waveguide straight sections. This type of calibration is adequate to determine the frequency response and loss of circuits under test, as well as get a reasonable estimate of return losses. Fig. 9 shows the result of the short-circuit load measurement used for calibration. The ripple in the response is due to the electrically long path length between the waveguide straight section reference plane and the tip of the test probe. The waveguide path length within the test probe is 19 mm, corresponding to an electrical length of 10315° (28.65 wavelengths) at 600 GHz. This ripple is observed in the ensuing circuit measurements as well. Alternative calibration methods, such as on-wafer TRL calibration can be used to shift the reference plane closer to the device-under-test (DUT) to achieve a more direct measurement. Fig. 10 shows the measurement and simulation of the waveguide section with stepped bends on both ends. The schematic view of this structure was shown in Fig. 7. Waveguide loss is measured to be 0.15 dB/mm across the band, corresponding well with the loss measurements shown in Section II. Measured return loss is around 10 dB across the band. It is believed that the ripples present in the measurement are due to mismatches within the waveguide test probe and not the DUT. A 570-GHz three-pole bandpass waveguide filter has also been fabricated and measured. A photograph of the filter etched into the lower Si layer before bonding is shown in Fig. 11. The filter design uses three resonant cavities that are inductively coupled using thin irises. Each iris is 75 m in width. Measurements

1002

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 10. Measured and simulated -parameters of straight micromachined waveguide section with integrated bends.

Fig. 13 Photograph of back-to-back micromachined waveguide Y-junction coupler.

Fig. 11. Photograph of three-pole micromachined bandpass filter.

Fig. 14. Measured and simulated chined Y-junction coupler.

Fig. 12. Measured and simulated three-pole bandpass filter.

-parameters of straight micromachined

and comparison with simulation are shown in Fig. 12. Measurements show that the filter has 0.9-dB loss and 50 GHz of bandwidth in the passband. A Y-junction coupler has also been designed, fabricated, and tested. A photograph of the coupler in a back-to-back configuration is shown in Fig. 13. The coupler is designed using an impedance matching step in width to operate at 670 GHz. The measurement and comparison with simulation of the back-toback coupler is shown in Fig. 14. Measurement shows an insertion loss of 0.3 dB per coupler. IV. TERAHERTZ CIRCUIT INTEGRATION WITH MICROMACHINED WAVEGUIDES With the emerging maturity of terahertz frequency solid-state devices, and circuits [9], a critical need is a practical method for

-parameters of back-to-back microma-

close integration of active circuitry with waveguide structures. Integrating active circuitry with micromachined waveguide reduces component interconnect losses, which are considerable at high frequencies and reduce overall component size and weight compared with the use of traditionally machined parts. As a preliminary step to full integration with active InP circuitry, transition structures from the micromachined waveguide to InP wafers have been developed. Fig. 15 shows a schematic of the structure. The InP wafer (layer 2) serves as the substrate for devices and circuit fabrication. This initial work is a passive demonstration with coplanar waveguide (CPW) transmission lines fabricated on the InP wafer to create a mechanical representation of an active circuit. Interface between the CPW line and the micromachined waveguide is accomplished using an integrated -plane probe used to convert the TE10 waveguide mode into a CPW mode. The entire structure consists of three Si wafers and a single InP wafer. The bottommost layer is an Si wafer that serves as

1003

LEONG et al.: WR1.5 Si MICROMACHINED WAVEGUIDE COMPONENTS AND ACTIVE CIRCUIT INTEGRATION METHODOLOGY

Fig. 15. Schematic drawing of -plane probe structure for integration of micromachined waveguide and active circuitry.

Fig. 17. Photograph of integrated guide.

Fig. 16. SEM image of integrated

-plane probe in micromachined wave-

-plane probe fabricated on InP.

mechanical support and back-short for -plane probes fabricated on the 25- m InP wafer. The InP wafer is etched using a reactive ion etching (RIE) process to form a window opening surrounding the -plane probe (see Fig. 16). This is necessary to avoid substrate loading affects, which cause unwanted resonances and potentially reduce bandwidth. The third layer in the stackup is an Si wafer used to form a cavity around the InP CPW transmission line. Finally, the topmost Si wafer acts as a cover for the cavity. Waveguide openings have been etched into this wafer, serving as testing ports. All wafers are fabricated individually, and finally bonded together to form a complete wafer stack. This integration scheme provides wafer scale batch packaging and integration with minimal manual assembly. Testing of the micromachined structure has been done using the on-wafer waveguide probe setup described in Section III. Fig. 17 is a photograph of one of the structures that was tested. Note that the InP -plane probe is visible looking down through the Si waveguide opening. The alignment markers used to align the test probe with the DUT are also shown in the photograph. Fig. 18 shows the measurement compared with the simulation of the structure shown in Fig. 15. This measurement characterizes back-to-back -plane probe transitions connected through a 1276- m-long CPW transmission line. Measurements show good impedance matching from 540 to 700 GHz. The discrepancy between simulated performance and measurement at 500–540 GHz is believed to be due to misalignment

Fig. 18. Measured and simulated -parameters of back-to-back with interconnecting CPW transmission line.

Fig. 19. Measured and simulated insertion loss of single sition.

-plane probe

-plane probe tran-

between Si and InP wafers. The loss of a single -plane probe transition has been determined by subtracting the loss of the

1004

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

transmission line and dividing by 2, assuming that the losses of both transitions are equal. The loss of the CPW line was determined by extractions based on on-wafer measurement of a 1-mm-long CPW line in the WR1.5 band [11]. From this, loss per transition is estimated to be 1.5–1.9 dB (Fig. 19) across a 520–700-GHz bandwidth. When fabricated with active InP wafers, this technique will provide a broadband low-loss method of coupling electromagnetic signals from micromachined waveguide to active CPW-based circuits fabricated on the InP substrate.

V. CONCLUSION In this paper, WR1.5 band Si micromachined waveguides have been developed and fully characterized through -parameter measurement. An average waveguide loss of 0.15 dB/mm was measured at 600 GHz. Development of key waveguide passive components such as a bandpass filter and a Y-junction coupler further validate the importance of this type of technology for sub-millimeter-wave and terahertz frequency applications. Finally, a novel approach for integration of solid-state circuitry and micromachined waveguides has been demonstrated. Further development of this technology will lead to the next generation of terahertz-frequency wafer-level packaging of active circuits.

ACKNOWLEDGMENT The authors would like to thank Dr. J. Albrecht and Dr. M. Rosker, both with the Defense Advanced Research Projects Agnecy (DARPA), Arlington, VA, and Dr. A. Hung, Army Research Office (ARL), Adelphi, MD. The authors would like to acknowledge the efforts and contributions of Northrop Grumman Aerospace Systems, Redondo Beach, CA, in processing, layout, machining, and test groups, the ARL Terahertz Laboratory for providing test support, as well as the guidance of R. Kagiwada, A. Oki, O. Fordham, and A. Gutierrez. The views expressed are those of the author and do not reflect the official policy or position of the Department of Defense or the U.S. Government. This is in accordance with DoDI 5230.29, January 8, 2009. Approved for Public Release, Distribution Unlimited.

[5] P. L. Kirby, D. Pukala, H. Manohara, I. Mehdi, and J. Papapolymerou, “Characterization of micromachined silicon rectangular waveguide at 400 GHz,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 6, pp. 366–368, Jun. 2006. [6] N. Kouma, O. Tsuboi, Y. Mizuno, H. Okuda, X. Mi, M. Iwaki, H. Soneda, S. Ueda, and I. Sawaki, “A multi-step DRIE process for a 128 128 micromirror array,” in IEEE Opt. MEMS LEOS Int. Conf., 2003, pp. 53–54. [7] P. L. Kirby, Y. Li, Q. Xiao, J. L. Hesler, and J. Papapolymerou, “Silicon micromachined multiplier utilizing heterostructure barrier varactor diode,” in IEEE MTT-S Int. Microw. Symp. Dig., 2007, pp. 1141–1144. [8] C. Jung, C. Lee, B. Thomas, G. Chattopadhyay, A. Peralta, R. Lin, J. Gill, and I. Mehdi, “Silicon micromachining technology for THz applications,” in Int. Infrared Millim. Terahertz Waves Conf., 2010, pp. 1–3. [9] W. R. Deal, “Solid-state amplifiers for terahertz electronics,” in IEEE MTT-S Int. Microw. Symp. Dig., 2010, pp. 1122–1125. [10] S. Ramo, J. R. Whinnery, and T. VanDuzer, Fields and Waves in Communications Electronics, 3rd ed. New York: Wiley, 1994. [11] W. R. Deal, K. Leong, V. Radisic, S. Sarkozy, B. Gorospe, J. Lee, P. H. Liu, W. Yoshida, J. Zhou, M. Lange, R. Lai, and X. B. Mei, “Low noise amplification at 0.67 THz using 30 nm InP HEMTs,” IEEE Microw. Wireless Compon. Lett., vol. 21, no. 7, pp. 368–370, July 2011.

Kevin M. K. H. Leong (M’04) received the B.S. degree in electrical engineering from the University of Hawaii at Manoa, in 1999 and the M.S. degree and Ph.D. degrees in electrical engineering from the University of California at Los Angeles (UCLA), in 2001 and 2004, respectively. From 2004 to 2007, he was a Postdoctoral Researcher with UCLA. He is currently a Staff Engineer with Northrop Grumman Aerospace Systems, Redondo Beach, CA, where he is involved with high-frequency monolithic microwave integrated circuit (MMIC) and package design. Dr. Leong was the recipient of the Microwave Prize of the 2006 Asia–Pacific Microwave Conference.

Kelly Hennig received the B.S. degree from Rutgers University, New Brunswick, NJ, in 2000, and the M.S. degree in electrical engineering from The University of Michigan at Ann Arbor, in 2001. She is currently a Member of the Technical Staff with the Microelectronics Center, Northrop Grumman Aerospace Systems, Redondo Beach, CA, where she leads the wafer-level packaging qualification efforts and pursues her own development efforts in heterogeneous integration and micromachining. Her research interests include wafer-scale assembly for high-frequency applications, heterogeneous integration of various technologies and materials, micromachining, and advanced integration for wafer-level packaging.

REFERENCES [1] T. Skaik, Y. Wang, M. Ke, S. Qian, and M. Lancaster, “A micromachined WR-3 waveguide with embedded bends for direct flange connections,” in Eur. Microw. Conf., 2010, pp. 1225–1228. [2] C. H. Smith, III, X. Haiyong, and N. S. Barker, “Development of a multi-layer SU-8 process for terahertz frequency waveguide blocks,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005. [3] J. P. Becker, J. R. East, and L. P. B. Katehi, “Performance of silicon micromachined waveguide at -band,” Electron. Lett., vol. 38, no. 13, pp. 638–639, Jun. 2002. [4] W. R. McGrath, C. Walker, M. Yap, and Y. Tai, “Silicon micromachined waveguides for millimeter-wave and submillimeter-wave frequencies,” IEEE Microw. Guided Wave Lett., vol. 3, no. 3, pp. 61–63, Mar. 1993.

Chunbo Zhang received the B.S. and M.S. degrees in solid-state physics from Tsinghua University, Beijing, China, in 1993 and 1996, respectively, and the Ph.D. degree in electrical Engineering from The University of Michigan at Ann Arbor, in 2003. From 2003 to 2010, he was with the Micro Devices Section, Honeywell. In early 2010, he joined the Microelectronics Center, Northrop Grumman Aerospace Systems, Redondo Beach, CA. His research interests include wafer-level packaging, micromachining for high-frequency applications, microelectromechanical systems (MEMS), and nanoelectromechanical systems (NEMS).

LEONG et al.: WR1.5 Si MICROMACHINED WAVEGUIDE COMPONENTS AND ACTIVE CIRCUIT INTEGRATION METHODOLOGY

Raffi N. Elmadjian received the B.S. degree in chemical engineering from the University of Southern California, Los Angeles, in 1982. He is a Senior Member of the Technical Staff with the Microelectronics Center, Northrop Grumman Aerospace Systems, Redondo Beach, CA. He possesses 29 years of experience in research and development, process integration, and production in wafer fabrication of Si, GaAs, superconductors, InP, and GaN technologies. Over the years, he has led advanced research and development and process integration projects/programs with the Space Technology Division, TRW and the Aerospace Systems Sector, Northrop Grumman. He specializes in advanced microlithography and dry etching. He has been involved with strategic programs such as MILSTAR, and AEHF. He has coauthored over ten technical papers. He holds six U.S. patents. Dr. Elmadjian was the recipient of two TRW Distinguished Achievement Awards and the 2008 Northrop Grumman Space & Technology President’s Award for Innovation for the fabrication of reliable GaN devices.

Zeyang (Joe) Zhou received the B.S. degree in metallurgy and M.S. degree in applied chemistry from Northeast University, Shenyang, China, in 1984 and 1987, respectively, and the Ph.D. degree in metallurgical engineering from the University of Missouri–Rolla, in 1996. He is currently a Senior Process Engineer with the Microelectronic Center, Northrop Grumman Aerospace Systems, Redondo Beach, CA. Dr. Zhou was the recipient of the Northrop Grumman Quality Award in 2009 and 2011.

Ben S. Gorospe received the Technician Diploma degree from the DeVry Institute of Technology, Phoenix, AZ, in 1982. In 1982, he joined TRW (now Northrop Grumman Aerospace Systems), Redondo Beach, CA, where he is involved with assembly and test of RF electronics. Since 1992, he has been involved with monolithic microwave integarted circuits (MMICs) operating -band frequencies and higher. He currently at supports the Defense Advanced Research Projects Agency (DARPA) Terahertz (THz) Electronics Program and other research and development programs.

1005

Patty P. Chang-Chien received the B.S. degree from the California Institute of Technology, Pasadena, in 1996, the M.S. degree from the University of California at Los Angeles, in 1997, and the Ph.D. degree from The University of Michigan at Ann Arbor, 2002, all in electrical engineering. She is currently the Section Manager of the Wafer-Scale Assembly (WSA) Section, Semiconductor Products Department, Microelectronics Center, Northrop Grumman Aerospace Systems (formerly Northrop Grumman Space Technology), Redondo Beach, CA. In this capacity, she is responsible for providing technical guidance to section members, assisting their career development, coordinating section activities and managing resources. She is the WSA Integrated Product Team (IPT) lead, responsible for developing hermetic MMIC-compatible WSA processes and transitioning technology to production and system insertion. She holds six U.S. patents and nine Northrop Grumman/TRW trade secrets with several pending submissions. Dr. Chang-Chien was a recipient of the 2009 Women of Color Technical Innovation Award and a recipient of the 2009 California Space Authority Spot Beam New Space Professional Award.

Vesna Radisic (M’92–SM’04) received the B. S. degree from the University of Belgrade, Belgrade, Serbia, in 1991, the M.S. degree from the University of Colorado at Boulder, in 1993, and the Ph.D. degree from the University of California at Los Angeles (UCLA), in 1998, all in electrical engineering. She is currently a Senior Section Head with the RF Product Center, Northrop Grumman Aerospace Systems, Redondo Beach, CA, where she mentors a team of MMIC design engineers and pursues her own development efforts in millimeter-wave MMIC design. Her research interests include high-frequency circuits, wideband amplifiers, and passive components. Dr. Radisic was the recipient of the 2007 Outstanding Young Engineer Award.

William R. Deal (M’96–SM’06) earned the B.S. degree in electrical engineering from the University of Virginia, Charlottesville, in 1996, and the M.S. and Ph.D. degrees from the University of California at Los Angeles (UCLA), in 1998 and 2000, respectively. He is currently a Senior Department Staff Engineer with the RF Product Center, Northrop Grumman Aerospace Systems, Redondo Beach, CA, where he leads several MMIC development efforts, including Northrop Grumman’s contract for the Defense Advanced Research Projects Agency (DARPA) Terahertz (THz) Electronics Program, as well as the development of his own microwave and millimeter-wave designs. He has authored or coauthored over 75 journal and conference papers, as well as five book chapters. Dr. Deal was the recipient of the 2009 Outstanding Young Engineer Award.

1006

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

A Systematic Design Procedure of Classical Dual-Mode Circular Waveguide Filters Using an Equivalent Distributed Model Santiago Cogollos, Member, IEEE, Maria Brumos, Member, IEEE, Vicente E. Boria, Senior Member, IEEE, Carlos Vicente, Member, IEEE, Jordi Gil, Member, IEEE, Benito Gimeno, Member, IEEE, and Marco Guglielmi

Abstract—In this paper, we propose a systematic procedure for the accurate design of classical dual-mode filters in circular waveguide technology. The design procedure is based on the previous synthesis of an intermediate distributed model, which links the standard lumped (or coupling matrix) elements circuit with the practical waveguide realization. We then show how the equivalent distributed model can be directly used to obtain the physical dimensions of these filter components (typically rectangular and cross-shaped irises, as well as tuning and coupling screws). The value of this paper lies in the fact that the proposed design strategy provides the final dimensions of these complex structures with unprecedented levels of accuracy and numerical efficiency, as it is shown through two dual-mode filter designs for narrowband applications, and measurements of a manufactured prototype. Index Terms—Circular waveguide, design methodology, distributed parameter circuits, dual-mode filter, equivalent circuits, waveguide filters.

I. INTRODUCTION

D

ISTRIBUTED element models have been used since inverter formulas were originally derived by Cohn [1], and then popularized in the 1960s [2], for the design of in-line filters either implemented in waveguide (TE) or planar (TEM) technologies. Even though equivalent circuits based on lumped [or coupling matrix (CM)] elements are very well suited for the synthesis of narrowband filters [3], [4], the previously cited distributed models (traditionally composed of inverters and transmission-line resonators) are used in many other practical applications (see [5]–[7]). For instance, these models have shown Manuscript received July 07, 2011; revised December 01, 2011; accepted December 13, 2011. Date of publication January 24, 2012; date of current version April 04, 2012. This work was supported by the Ministerio de Educación y Ciencia, Spanish Government, under Research Project TEC2010-21520-C04-01. S. Cogollos, M. Brumos, and V. E. Boria are with the iTEAM, Departamento de Comunicaciones, Universidad Politécnica de Valencia, Valencia E-46022, Spain (e-mail: [email protected]; [email protected]; vboria@dcom. upv.es). C. Vicente and J. Gil are with Aurora Software and Testing S. L., Universidad Politécnica de Valencia, Valencia E-46022, Spain. B. Gimeno is with the Departamento de Física Aplicada—ICMUV, Universidad de Valencia, Burjasot (Valencia) E-46100, Spain. M. Guglielmi is with the European Space Research and Technology Centre (ESTEC), European Space Agency (ESA), Noordwijk 2200AG, The Netherlands. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2183381

very good reliability for dealing with broadband structures since they can consider the frequency-dependence behavior of real waveguide inverters [8]–[11]. The most popular methods for the accurate design of directly coupled single-mode resonators bandpass filters, either based on classical equivalent distributed models or on more recently derived enhanced versions, typically follow one of the following strategies. • Stage by stage optimization: A very flexible procedure, where the electromagnetic (EM) response of a single stage of the filter (i.e., a cavity with its input and output irises) is optimized to match the response of the distributed model representing the same structure [12]. • Inverse search: This method is based on a root-seeking routine, which allows to find the physical dimensions of the real structures that match (at certain frequency) the inverter values of the distributed model [13]. In this procedure, the inverter values provided by the corresponding physical structures are derived from EM simulations. Equivalent-circuit models have also been used in the design process of more complex in-line dual-mode filters, which are widely used in satellite communication payloads due to their outstanding features (i.e., reduced weight, compact size, and electrical performance) [14]–[16]. Since these advanced geometries, typically implemented with cross-slot irises and screws (for coupling and tuning issues), are well suited for very narrowband applications (i.e., output satellite multiplexers) [17], their design has been conventionally performed through coupling matrices (which is equivalent to make use of polynomials or lumped-element circuits) [3], [4]. Recently, and with the aim of easing the design procedure of these filter implementations, more advanced circuit models (some of them including transmission lines) have been reported [18]–[22]. Even though they can provide better initial solutions, some iterative or optimization-based adjustments are typically needed for recovering the response specifications. With the recent advent of more powerful EM analysis codes, substantial efforts have been devoted to replace the conventional dual-mode filters (essentially their required tuning and coupling screws) by alternative configurations based on simpler waveguide geometries. For example, new approaches for designing dual-mode rectangular waveguide filters with distributed coupling elements, realized through almost square waveguides with either square-corner-cuts [23] or rotated by 45 [24], were proposed. Alternatively, it has been also

0018-9480/$31.00 © 2012 IEEE

COGOLLOS et al.: SYSTEMATIC DESIGN PROCEDURE OF CLASSICAL DUAL-MODE CIRCULAR WAVEGUIDE FILTERS

1007

Fig. 2. Routing diagram for the dual-mode filter shown in Fig. 1.

Fig. 3. Distributed model for the routing diagram of Fig. 2. Fig. 1. Classical configuration of a dual-mode filter.

proven that the tuning and coupling functions (traditionally implemented with screws) can be accomplished through short sections of rectangular waveguides with square-corner-cuts [25], short rotated rectangular waveguides [26], and with off-centered circular irises [27], all of them placed in the middle of the dual-mode resonant cavities. More recently, Amari and Bekheit have provided a novel physical insight of coupled resonator filter design [28], which has been successfully applied to novel topologies of rectangular waveguide dual-mode filters with only tuning elements (short-ridge waveguide sections with vertical and horizontal metal inserts) [29] or with only coupling elements (short sections of ridge waveguides with a 45 metal insert) [30]. In spite of the fact that the previous design methods, based on advanced EM modeling tools, provide better filter solutions due to their more accurate characterization, there are still some practical limitations that can be attributed to slight software and/or mechanical inaccuracies. To overcome the small response degradations due to the first factor, computer-aided tuning (or optimization) can be applied to fine adjust the filter dimensions [24]–[30]. For narrowband applications, the deviations caused by manufacturing tolerances are typically solved with the use of tuning elements (e.g., screws), which is a practical solution widely employed by the space microwave industry [31]–[33]. Therefore, the aim of this paper is to propose a systematic design procedure of classical configurations (i.e., including tuning and coupling screws) of dual-mode circular waveguide filters (see Fig. 1), which can also directly be used for designing their rectangular waveguide counterparts (typically offering lower factors). The computer-aided design (CAD) procedure shown in this study is based on a complete distributed model, which is closely related to the physical geometry of the dual-mode circular waveguide filter to be designed (see [34]). This model is able to account for all required couplings of the filter (including the inter-cavity dual-mode coupling provided by the slanted screws), which are accurately modeled through adequate and -inverters included in the same equivalent circuit. Once the values of such inverters are derived from the corresponding

elements of the standard CM representation, the distributed model is used as an intermediate reference to determine the geometrical dimensions of all irises and tuning and coupling screws of the dual-mode filter. In order to validate this systematic procedure, we have successfully applied it to the practical design of two dual-mode filters, where no global optimization of the complete structures has been needed. II. DISTRIBUTED MODEL OF DUAL-MODE FILTERS For the sake of space, the theoretical development will be carried out over a simple quartet example (see Fig. 2) corresponding to the dual-mode filter depicted in Fig. 1. This topology excites two degenerate resonances (e.g., the modes for achieving a high- factor) per cavity, and can produce two transmission zeros at real frequencies if the coupling between resonators 1 and 4 is negative. The extension to more complex topologies is straightforward, as it is shown in Section II-C. The proposed distributed model for a dual mode filter is shown in Fig. 3. All transmission lines (single mode) have the same electrical length of at in order to obtain a full cavity length of (or in electrical terms) for the required resonance. Admittance inverters are connected in parallel at the center of the cavities to account for the effects of the coupling screws. To make the schematic clearer, all inverters and transmission lines have the same common ground that is, therefore, omitted in the schematic. Lines connected to ground in the schematic (for resonances 2 and 3) mean that they are loaded with short circuits, which are produced by the input and output slots (electric walls for the horizontal polarized modes). Finally, all internal lines have the same characteristic impedance. The input/output lines can also have the same characteristic impedance if and are suitably scaled. In fact, the whole circuit can be impedance scaled to have all lines with , if required. The reason for using both admittance and impedance inverters in the same model is based on the following physical considerations. In the center of the cavity, the tangential electric field is high so a small coupling is better represented by an admittance inverter. At

1008

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 5. Approximate model for a transmission line of electrical length at .

Fig. 4. Equivalent model for a transmission line of electrical length .

the end of the cavities, the tangential electric field is close to zero, and therefore a small coupling is better represented by an impedance inverter. In this section, the inverter values will be derived leading to simple formulas similar to the ones used for in-line filters [2]. The procedure to obtain the values for the inverters consists on simplifying the distributed model, making use of valid approximations for narrowband applications, until a final lumped circuit is obtained. Identifying then the “collapsed” circuit elements with the ones of the lumped model obtained from the CM, one can easily derive the final expression for the inverters (the only unknowns in the proposed distributed model).

These admittances can be physically approximated by a simple parallel resonator with and

(4) . Near the resonance (or for narrow where bandwidths), the next approximations can be introduced

A. Modeling of Transmission Lines For the sake of simplicity, all transmission lines in Fig. 3 are assumed to have , although any arbitrary characteristic impedance can also be chosen. The first step in the procedure is to obtain a suitable model for a transmission line. The simplest way to proceed is to derive a model using the admittance matrix representation of a transmission line. For a transmission line of electrical length , the admittance matrix can be written as

(5a) (5b) (5c) Therefore, the parallel admittances of (4) can be written as (6) and equating now (3) to (6), the following resonator elements values are directly obtained:

(1) The two matrices of the right-hand side of (1) are, respectively, parallel admittances and an admittance inverter with value . The equivalent circuit is displayed in Fig. 4. Near the center frequency , the term can be approximated as follows. Let us assume that , then

(2) and therefore (3)

(7) For the inverter value near the center frequency, we can write directly (8) After introducing the approximations derived, the final equivalent circuit of the transmission line near the center frequency becomes the one given in Fig. 5. As a consequence, the distributed circuit shown in Fig. 3 can be approximated by substituting the transmission lines (all of them with electrical length ) with the equivalent circuit shown in Fig. 5. The equivalent circuit of the short-circuited lines in Fig. 3 can be obtained from the same model shown in Fig. 5. Adding a short circuit to one port, the resonator at that port can be removed, the inverter then transforms the short circuit in an open circuit at the other terminal of the inverter. As a result, the equivalent circuit of the shorted line is a resonator with and in parallel. Finally, grouping cascaded resonators, a lumped, but rather complex model is obtained (see Fig. 6). Next, we explain

COGOLLOS et al.: SYSTEMATIC DESIGN PROCEDURE OF CLASSICAL DUAL-MODE CIRCULAR WAVEGUIDE FILTERS

Fig. 6. Lumped-element circuit after substituting all transmission lines.

and

Fig. 7. Lumped-element circuit after neglecting resonators adjacent to the

and

1009

values are given in (7).

-inverters.

how to simplify the model in order to obtain a canonical circuit that can be directly compared to the one obtained from a CM. B. Simplification of the Model Once the previous model has been derived, further simplifications have to be applied in order to obtain the desired lumped model (inverters connecting parallel resonators). The first practical consideration regards the low value of the -inverters. These impedance inverters can be physically replaced by parallel susceptances and suitable transmission lines with length at their ends (see [1] and [33]), thus obtaining

Fig. 8. Three inverters (an impedance inverter between two admittance inverters) converted into one equivalent inverter.

(9) Therefore, if , then and is almost a short circuit. The final conclusion is that any parallel resonator (near resonance) connected at the input/output of a -inverter (with ) can be neglected. This approximation becomes much better as the -inverter values become smaller. After neglecting the resonators placed at input/output of low -inverters, combinations of two and three cascaded inverters appear (see Fig. 7). These combinations can be easily replaced by only one equivalent inverter (see Figs. 8 and 9). Properly scaling the inverter values allows the model to be used with different characteristic impedances for the transmission lines if needed. Furthermore, resonators can be impedance scaled to match the required values of capacitors and inductors in the lumped-element canonical model. Finally, a canonical lumped circuit appears allowing the identification of the inverter values with those given by the CM ele-

Fig. 9. Two inverters are substituted by one inverter producing the same impedance and scaling the input/output reference if required. Note that, in general, two cascaded inverters act as an impedance transformer.

ments. Following this approach, the normalized expressions are finally obtained (10a) (10b) (10c) are the values of the CM and is the where fractional bandwidth. It is interesting to note the resemblance of (10) with classical inverter formulas for in-line single-mode resonator filters (see

1010

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

[2] and [33]). However, to the authors’ knowledge, the previous analytical expressions for - and -inverters (both involved in the same circuit model) have not been proven before in the context of dual-mode filters. A last remark for the use of the distributed model just derived is the final implementation of the dual-mode filter. Since waveguide technology is chosen, must be replaced with (fractional guide wavelength bandwidth) to take into account the dispersion characteristics of the waveguide (11) are the classical band edge frequencies, and , where , are the corresponding guide wavelengths, as described in [2].

Fig. 10. Electrical response for the distributed model of the dual-mode filter . Dots show the CM response. with

C. Synthesis Examples As a first example, a four-pole dual mode filter with two transmission zeros has been synthesized using the routing diagram of Fig. 2. Nevertheless, the results presented in this paper can indeed be generalized to any other filter order. The specifications for this first example are as follows: • return loss: dB; • stopband rejection level: 40 dB; • center frequency: GHz; • bandwidth: MHz. and a circular waveguide with mm has been chosen. The CM is obtained following the theory given in [33]

In this case, the fractional bandwidth and fractional guided wavelength bandwidth are, respectively,

and the normalized inverter values are computed straightforwardly as follows:

Fig. 11. Routing diagram for a filter example of order 8 with four transmission zeros.

leading to possible computing errors. With our alternative distributed model, we can now use any linear simulator (e.g., Microwave Office) to obtain the electrical response of the filter. The example discussed here produces a response that matches perfectly the lumped circuit response in the pass band and its vicinity (see Fig. 10), thus completely validating the proposed distributed model. In order to test the model with a higher order example, a dual-mode filter with four cavities (order ) and four transmission zeros has been chosen. The same bandwidth, center frequency, and waveguide radius of the previous example are used again. The sidelobes of the transmission coefficient are kept below 50 dB and return losses below 20 dB. The routing diagram is shown in Fig. 11. The physical implementation consists of a first four-pole structure like the one shown in Fig. 1, which is attached to another identical four-pole section through an horizontal iris placed between the second and the third cavities. The center frequency and the bandwidth have been chosen to meet typical specifications for a satellite transponder. Fig. 12 shows the distributed model response, whose accuracy is so high that it completely overlaps the response provided by the lumped equivalent circuit. D. Limitations of the Distributed Model

where an interesting fact can be observed: using both admittance and impedance inverters, all inverter values are smaller than unity. Using only impedance inverters, or only admittance inverters, some of the values would have been very large thereby

The approximations used in (2) and (8) are good enough for moderate bandwidths. In fact, a (total frequency deviation of 20%) produces a relative error around 7% in the value of and around 11% for . Next, we summarize the approximations of the previous synthesis process. • The inverters are ideal (frequency-independent values). • The equivalent lumped circuit for a transmission line has a limited validity of around 30%–40% of the bandwidth for

COGOLLOS et al.: SYSTEMATIC DESIGN PROCEDURE OF CLASSICAL DUAL-MODE CIRCULAR WAVEGUIDE FILTERS

Fig. 12. Electrical response for the distributed model of the dual-mode filter . Dots show the CM response. with

1011

Fig. 14. Input/output asymmetric thick iris. The asymmetry relies on the fact that the input and output waveguides are not identical.

Fig. 15. Equivalent T-network for a thick iris.

(12c)

Fig. 13. Waveguide structure of a classical dual-mode filter with cruciform irises and tuning screws.

(12d) the resonance, which is smaller for the mode and depends on the required accuracy. • The previous approximation is degraded when parallel resonators are neglected at the input/output of the low value inverters. • The previous errors are cumulative, and the cumulative effect increases with the filter order.

(12e) where (13a) (13b) (13c)

III. ACCURATE

SYSTEMATIC DETERMINATION OF PHYSICAL DIMENSIONS AND

(13d)

The transition to the actual physical structure can now be carried out with the support of the proposed distributed model and a full-wave EM simulator. The EM simulator should take into account all effects of the real waveguide structure shown in Fig. 13. Next, the details of the design procedure are given.

which reduces to the well-known equations given in [13] and [33] when symmetrical irises are considered. A search algorithm varies the iris dimensions until the required value of the inverter is obtained using (12) and (14)

A. Dimensioning Irises A T-network has been chosen as the equivalent circuit of a thick iris. For the general case (asymmetric irises as the input/ output ones depicted in Fig. 14), the selected equivalent circuit is shown in Fig. 15, as it was suggested in [35]. Having available an EM simulator capable of computing the -parameters of such a thick iris, we can use the expressions for the normalized values of the T-network elements (12a) (12b)

where

is defined as indicated next (15)

As a rough approximation for the first guess of the T-network element values in the search algorithm, one can use the thin iris model [36]. Therefore, the thinner the iris, the faster the search algorithm will be. It is important to note that, in order to obtain the iris dimensions of one single iris, the EM simulator needs to compute the scattering parameters at only one frequency point (the center frequency of the filter).

1012

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 17. Tuning and coupling screws present on each cavity. The arrows in the center of the cavities indicate the polarizations of the resonances used in the routing diagram of Fig. 2.

Fig. 16. Cruciform iris typically used to interconnect dual-mode cavities.

For a cruciform iris (see Fig. 16), the two arms are treated independently using the same approach. In this case, however, the iris is symmetrical and the initial guess for the search algorithm is again the thin iris approximation [36]. For a “zero thickness” iris, the theory given in [36] gives an approximate closed formula for the equivalent circuit, which corresponds to a simple shunt susceptance

(16) is the guide wavelength, where is the cavity radius, its cutoff wavenumber, is the first root of the derivative of the Bessel function of the first order, and is the resonant frequency of the aperture, which can be approximated from its cutoff frequency or determined experimentally. Finally, is the magnetic polarizability given by (17) where and are defined in Fig. 14. This approximation considers a narrow slot, which means . Summarizing, the procedure to obtain the iris dimensions is as follows. 1) Fix the iris width to a given value, or fix the ratio to a fixed value. Fix the iris thickness to the real manufacturing value. Only the iris height will be the search variable. 2) Initial guess for : assume thin iris and use the previous analytic formulas (16) and (17). 3) Start a zero-finding routine using the initial guess for . 4) Routine calls EM simulator and obtains -parameters. 5) Use (12) with (13) to obtain the T-network and recover the value of the -inverter following (14). 6) Compare with the requested -value of the distributed model. If the difference is smaller than the defined tolerance then stop.

7) Otherwise the zero-finding routine will provide the following trial value for , and 4)–6) will be repeated until , which provides the required , is found. This procedure is executed for every iris in the dual-mode filter. The iris dimensions obtained following this algorithm do not need to be further optimized, and no extra fine tuning of the recovered physical dimensions is carried out. Next, the cavity lengths are adjusted to have three half-wavelength-long resonators (vertical polarization) with the addition of the corresponding electrical lengths of the short lines of the T-network given by (12).

B. Tuning Screws Once all the iris dimensions are obtained, a problem with the dual cavity length arises. The dual cavity has two lines within the equivalent distributed model. These lines are equal in electrical length, but once the real irises are introduced, they produce different values according to (12). Therefore, there are several to be embedded (one at each end of the cavity for the vertical polarization and only one for the horizontal polarization) in the cavity length. As a result, the same physical cavity has to show different electrical length for each orthogonal mode. This problem is solved by means of adjustable tuning screws set 90 apart around the circumference of the cavity (see Fig. 13). These tuning screws will be called , where is the mode producing the resonance tuned by each screw (see Fig. 17). Changing the penetration of the vertical/horizontal screws, the resonant frequency of the corresponding vertical/horizontal mode is shifted. In fact, after increasing the penetration depth of the tuning screw, the corresponding resonant frequency is decreased. In practice, one of the best procedures to estimate the initial penetration depths is to obtain, through an EM simulator, the group delay of the cavity (which shows a maximum at the resonant frequency). Of course, the group delay has to be obtained for each different mode. Each controls the group delay of each different mode leaving the orthogonal mode almost unaffected. A direct search procedure has been developed for a fully automated design of the penetration depths of the two tuning screws of a dual-mode cavity.

COGOLLOS et al.: SYSTEMATIC DESIGN PROCEDURE OF CLASSICAL DUAL-MODE CIRCULAR WAVEGUIDE FILTERS

1013

TABLE I . PHYSICAL DIMENSIONS OF CAVITIES EXAMPLE OF ORDER AND PENETRATION DEPTH OF SCREWS ON EACH CAVITY. ALL DIMENSIONS ARE IN MILLIMETERS

Fig. 18. Distributed sub-circuit used to obtain the penetration depth of the screw. EXAMPLE OF ORDER

Fig. 19. Electrical response given by the sub-circuit of Fig. 18.

C. Coupling Screws The last parameter to be designed is related to the coupling between orthogonal modes in the same cavity. The admittance inverters are the parameters of the distributed model that take into account these couplings, which are physically accomplished by means of adjustable screws placed at 45 . The coupling screw varying coupling between modes and is denoted (see Fig. 17). If some -inverters are negative, Section III-B for designing cruciform irises is still valid. In fact, virtual negative couplings can be achieved by just changing the 45 screw to 45 in adjacent cavities (see Fig. 17), as explained in [33], thus leaving the previous design procedure unchanged. The only physical parameter remaining is (the penetration depth of the screw), which directly controls the corresponding admittance inverter value. Unfortunately, there is no easy relationship between this penetration depth and a parameter like the group delay used for designing the horizontal and vertical screws. Here, the distributed model provides a very powerful solution: the performance of a single stage of the filter giving a curve to be matched with the EM model by changing the depth of the coupling screws. The sub-circuit used to obtain is shown in Fig. 18, which is a portion of the whole distributed model where ports 2 and 3 are added to obtain two reference curves (i.e., and ). The electrical responses of this sub-circuit are shown in Fig. 19. As it can be seen, the curve is a notch with two sidelobes, whereas the response is a two-peak curve, with both curves being perfectly balanced. The EM simulator can now be used to adjust the screws for matching the two curves obtained from the distributed model. First, only will be changed, and secondly and the cavity

TABLE II . PHYSICAL DIMENSIONS FOR FILTER IRISES

length are adjusted if required (the parameter is kept to the preset value). The previously described design procedure is summarized as follows. 1) Once the vertical and horizontal screws have been adjusted with the suitable group-delay curves, introduce the screw to the average penetration depth of the other two (i.e., initial guess ). 2) Change the penetration until both sidelobes of become equal. 3) Adjust the cavity length until notch reaches . 4) Incorrect separation of sidelobes of can be adjusted with the variation of . 5) Adjust the cavity length until notch reaches if necessary. 6) If has two unbalanced peaks, change . 7) Adjust the cavity length until notch reaches if necessary. This procedure converges rapidly since each adjustment requires only one design variable so that it can be easily automated. The same design strategy must be followed for each cavity, thus obtaining the penetration depths for all the required screws. Finally, the whole filter is obtained just assembling all pieces together. A notable benefit of this systematic design technique is that it eliminates the typical unbalance of the two sidelobes of the transmission response, typically found when following other previous design methods. IV. VALIDATION EXAMPLES OF DESIGN PROCEDURE As a first validation example, a filter of order with GHz and MHz has been designed. Out-of-band rejection and return losses above 40 and 20 dB, respectively, have been the main requirements. The filter has been completely designed using the EM solver FEST3D [37]. Table I shows the cavity lengths and the penetration depths of the vertical, horizontal, and diagonal screws ( , , and , respectively). The iris dimensions are shown in Table II (the nomenclatures for the iris dimensions in Fig. 14 and in Fig. 16 are followed). The input/output waveguides are standard

1014

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 20. Prototype of the designed dual-mode filter with four poles and two transmission zeros manufactured by Apollo Microwaves Ltd., Dorval, QC, Canada.

WR-90, and the two circular waveguides have mm. All iris thicknesses are set to 1 mm and all screws have square cross section of 2 mm on each side. It should be stressed that if the EM simulator allows the definition of screws with circular section, the design algorithm remains unchanged. Furthermore, although in a practical realization circular screws are used, all the other filter dimensions do not change so the complete design procedure is indeed still valid. In fact, for verification purposes, a filter prototype with the derived physical dimensions has been manufactured (see photograph in Fig. 20), but using real rounded tuning screws for compensating manufacturing tolerances. As it is well known, the response of these narrowband filters is extremely sensitive due to small accuracy variations. In order to keep a low level of bandpass insertion losses (below 0.9 dB), the prototype filter originally made of aluminum was silver plated. The measured (in-band and out-of-band) results are compared in Fig. 21 with simulated EM data. As it can be seen, the main relevant features of our design are well recovered: good return loss level and out-of-band rejection, as well as excellent balanced sidelobes of the measured parameter. The whole design process of this filter has taken less than 10 min in a typical dual-core PC. Next, in order to check the validity of the design procedure for more complex structures, a higher order filter has been considered. In particular, a dual-mode filter with eight poles and four transmission zeros, whose lumped element circuit and distributed model responses are shown in Fig. 12, has been selected. The proposed design procedure has been followed again step by step. In this case, a circular waveguide with radius mm has been chosen. Tables III and IV show all the physical dimensions corresponding to this second example. The input and output waveguides of the filter are standard WR-75. All the iris thicknesses have been set to 1 mm, and square cross sections of 2 mm on each side have been considered for all the involved screws. Once this second filter structure has been completely designed, we have computed its simulated EM response. In

Fig. 21. (a) In-band and (b) out-of-band measured and EM (FEST3D) results of the manufactured prototype.

TABLE III . PHYSICAL DIMENSIONS OF EXAMPLE OF ORDER CAVITIES AND PENETRATION DEPTH OF SCREWS ON EACH CAVITY. ALL DIMENSIONS ARE IN MILLIMETERS

Fig. 22, we compare such results with the reference data of the corresponding distributed model, and as it can be seen the agreement is reasonably good. In order to recover a completely equi-ripple response, only a fine adjustment would still be needed. However, it is important to note that this final adjust

COGOLLOS et al.: SYSTEMATIC DESIGN PROCEDURE OF CLASSICAL DUAL-MODE CIRCULAR WAVEGUIDE FILTERS

EXAMPLE OF ORDER

TABLE IV . PHYSICAL DIMENSIONS FOR FILTER IRISES

1015

mode cross-coupled folded filters) is straightforward. Furthermore, we have shown a CAD procedure based on the derived distributed model that directly leads to the real physical dimensions of the complete structure. Following our systematic and efficient procedure, excellent results can be obtained without the need for any global optimization. Finally, this procedure has been used to design two narrowband dual-mode circular waveguide filters, and has been validated with experimental results of a manufactured prototype. As a future research line, the authors aim to use the strategy presented in this paper for multiplexer design purposes, where it can help to find the dimensions of the channel dual-mode filters and those of the waveguide manifold. ACKNOWLEDGMENT The authors extend special thanks to Apollo Microwaves Ltd., Dorval, QC, Canada, for the manufacturing and testing of the four-pole dual-mode filter prototype. REFERENCES

Fig. 22. In-band EM and distributed model responses of the designed dualmode filter with eight poles and four transmission zeros.

would only involve the tuning and coupling screws, and therefore it will have no effect on any of the other filter dimensions. As a result, this filter could be manufactured and tuned without the need of any further global EM optimization. It should be stressed that no optimization of the whole structure has been carried out with the two design examples. As it was explained in Section III, only search routines to find the different design parameters, one at the time, have been employed. Another issue that deserves our attention is that the design procedure described in this paper can be easily extended to optimize the out-of-band response as well. What is in fact necessary is just to identify which resonance(s) is (are) responsible for the unwanted spurious behavior, and then change slightly the corresponding cavity diameter to get the desired effect. V. CONCLUSION An alternative distributed model for the synthesis of dualmode circular waveguide filters has been presented. Simple formulas for the impedance and admittance inverters (both elements are present in the same equivalent circuit) have been successfully derived, with a high resemblance to classical expressions for in-line single-mode resonator filters. Several synthesized examples have been presented in order to show the good accuracy of the distributed model. Its direct extension to higher order dual-mode filters or other topologies (e.g., single-

[1] S. B. Cohn, “Direct-coupled-resonator filters,” Proc. IRE, vol. 45, no. 2, pp. 187–196, Feb. 1957. [2] G. L. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance Matching Networks, and Coupling Structures. Norwood, MA: Artech House, 1980. [3] A. E. Atia and A. E. Williams, “Narrow-bandpass waveguide filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-20, no. 4, pp. 258–265, Apr. 1972. [4] A. E. Atia, A. E. Williams, and R. Newcomb, “Narrow-band multiplecoupled cavity synthesis,” IEEE Trans. Circuits Syst., vol. CAS-21, no. 5, pp. 649–655, Sep. 1974. [5] L. Young, “Direct-coupled cavity filters for wide and narrow bandwidths,” IEEE Trans. Microw. Theory Tech., vol. MTT-11, no. 3, pp. 162–178, May 1963. [6] J. D. Rhodes, “The generalized direct-coupled cavity linear phase filter,” IEEE Trans. Microw. Theory Tech., vol. MTT-18, no. 6, pp. 308–313, Jun. 1970. [7] J. D. Rhodes, Theory of Electrical Filters. New York: Wiley, 1976. [8] R. Levy, “Theory of direct-coupled-cavity filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-15, no. 6, pp. 340–348, Jun. 1967. [9] J. D. Rhodes, Microwave Solid State Devices and Applications. Stevenage, U.K.: Peregrinus, 1980. [10] F. M. Vanin, D. Schmitt, and R. Levy, “Dimensional synthesis for wideband waveguide filters and diplexers,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 11, pp. 2488–2495, Nov. 2004. [11] P. Soto, E. Tarín, V. E. Boria, C. Vicente, J. Gil, and B. Gimeno, “Accurate synthesis and design of wideband inhomogeneous inductive waveguide filters,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 8, pp. 2220–2230, Aug. 2010. [12] M. Guglielmi, “Simple CAD procedure for microwave filters and multiplexers,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 7, pp. 1347–1352, Jul. 1994. [13] L. Q. Bui, D. Ball, and T. Itoh, “Broad-band millimeter-wave -plane bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 12, pp. 1655–1658, Dec. 1984. [14] A. E. Williams, “A four-cavity elliptic waveguide filter,” COMSAT Tech. Rev., vol. 18, no. 12, pp. 1109–1114, Dec. 1970. [15] A. E. Atia and A. E. Williams, “New types of bandpass filters for satellite transponders,” COMSAT Tech. Rev., vol. 1, pp. 21–43, 1971. [16] C. Kudsia, R. J. Cameron, and W.-C. Tang, “Innovations in microwave filters and multiplexing networks for communications satellite systems,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 6, pp. 1133–1149, Jun. 1992. [17] D. Rosowsky, “Design of manifold type multiplexers,” in Proc. ESA Workshop on Microwave Filters, Noordwijk, The Netherlands, Jun. 1990, pp. 145–156.

1016

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

[18] J. R. Montejo-Garai and J. Zapata, “Full-wave design and realization of multicoupled dual-mode circular waveguide filters,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 6, pp. 1290–1297, Jun. 1995. [19] W. Hauth, D. Schmitt, and M. Guglielmi, “Accurate modelling of narrowband filters for satellite communications,” in IEEE MTT-S Int. Microw. Symp. Dig., Boston, MA, Jun. 2000, pp. 1767–1770. [20] A. Lapidus, “Circuit simulation of dual-mode waveguide cavity filters,” Microw. J., vol. 51, no. 11, pp. 70–78, 2008. [21] H. Hu and K.-L. Wu, “An automated design technique for asynchronously-tuned circular waveguide dual-mode filters,” in Proc. Asia–Pacific Microw. Conf., Dec. 2010, pp. 1970–1973. [22] H. Hu, K.-L. Wu, and R. Cameron, “A design technique for stepped circular waveguide dual-mode filters for broadband contiguous multiplexers,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2011, pp. 1–4. [23] X.-P. Liang, K. A. Zaki, and A. E. Atia, “Dual-mode coupling by square corner cut in resonators and filters,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 12, pp. 2294–2302, Dec. 1992. [24] P. Savi, D. Trinchero, R. Tascone, and R. Orta, “A new approach to the design of dual-mode rectangular waveguide filters with distributed coupling,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 2, pp. 221–228, Feb. 1997. [25] J.-F. Liang, X.-P. Liang, K. A. Zaki, and A. E. Atia, “Dual-mode dielectric or air-filled rectangular waveguide filters,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 7, pp. 1330–1336, Jul. 1994. [26] L. Accatino, G. Bertin, and M. Mongiardo, “A four-pole dual mode elliptic filter realized in circular cavity without screws,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 12, pp. 2680–2687, Dec. 1996. [27] K.-L. Wu, “An optimal circular-waveguide dual-mode filter without tuning screws,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 3, pp. 271–276, Mar. 1999. [28] S. Amari and M. Bekheit, “Physical interpretation and implications of similarity transformations in coupled resonator filter design,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 6, pp. 1139–1153, Jun. 2007. [29] S. Amari, “Application of representation theory to dual-mode microwave bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 2, pp. 430–441, Feb. 2009. [30] M. Bekheit and S. Amari, “A direct design technique for dual-mode inline microwave bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 9, pp. 2193–2202, Sep. 2009. [31] V. Miraftab and R. R. Mansour, “Computer-aided tuning of microwave filters using fuzzy logic,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2781–2788, Dec. 2002. [32] G. Pepe, F.-J. Görtz, and H. Chaloupka, “Sequential tuning of microwave filters using adaptive models and parameter extraction,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 2781–2788, Jan. 2005. [33] R. J. Cameron, C. M. Kudsia, and R. R. Mansour, Microwave Filters for Communication Systems: Fundamentals, Design and Applications. Hoboken, NJ: Wiley, 2007. [34] S. Cogollos, M. Brumos, V. E. Boria, C. Vicente, B. Gimeno, and M. Guglielmi, “New distributed model for synthesis of classical dual mode filters,” in IEEE MTT-S Int. Microw. Symp. Dig., Anaheim, CA, May 2010, vol. 1, pp. 437–440. [35] S. Amari, J. Bornemann, W. Menzel, and F. Alessandri, “Diplexer design using pre-synthesized waveguide filters with strongly dispersive inverters,” in IEEE MTT-S Int. Microw. Symp. Dig., Phoenix, AZ, May 2001, vol. 3, pp. 1627–1630. [36] G. B. Eastham and K. Chang, “Analysis of circular and rectangular apertures in a circular waveguide,” in IEEE MTT-S Int. Microw. Symp. Dig., Dallas, TX, May 1990, vol. 1, pp. 263–266. [37] FEST3D 6.6.0 Aurora Software and Testing, S.L. (on behalf of ESA/ ESTEC), Valencia, Spain, 2011. [Online]. Available: www.fest3d.com Santiago Cogollos (M’07) was born in Valencia, Spain, on January 15, 1972. He received the Telecommunication Engineering degree and Ph.D. degree from the Universidad Politécnica de Valencia, Valencia, Spain, in 1996 and 2002, respectively. In 2000, he joined the Communications Department, Universidad Politécnica de Valencia, where he was an Assistant Lecturer from 2000 to 2001, a Lecturer from 2001 to 2002, and became an Associate Professor in 2002. He has collaborated with the European Space Research and Technology

Centre (ESTEC), European Space Agency (ESA), in the development of modal analysis tools for payload systems in satellites. In 2005, he held a post-doctoral research position working in the area of new synthesis techniques in filter design with the University of Waterloo, Waterloo, ON, Canada. His current research interests include applied electromagnetics, mathematical methods for EM theory, analytical and numerical methods for the analysis of waveguide structures, and design of waveguide components for space applications.

Maria Brumos (S’09–M’10) was born in Teruel, Spain, in 1986. She received the Engineering degree in telecommunications from the Universidad Politécnica de Valencia, Valencia, Spain, in 2009. She is currently with the Microwave Applications Group, Universidad Politécnica de Valencia, where she develops new filter synthesis and design methods. Ms. Brumos was the recipient of the Fall 2009 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Undergraduate/Pre-Graduate Scholarship.

Vicente E. Boria (S’91–A’99–SM’02) was born in Valencia, Spain, on May 18, 1970. He received the Ingeniero de Telecomunicación degree (with first-class honors) and the Doctor Ingeniero de Telecomunicación degree from the Universidad Politécnica de Valencia, Valencia, Spain, in 1993 and 1997, respectively. In 1993, he joined the Departamento de Comunicaciones, Universidad Politécnica de Valencia, where he has been a Full Professor since 2003. In 1995 and 1996, he held a Spanish Trainee position with the European Space Research and Technology Centre (ESTEC), European Space Agency (ESA), Noordwijk, The Netherlands, where he was involved in the area of electromagnetic (EM) analysis and design of passive waveguide devices. He has authored or coauthored seven chapters in technical textbooks, 75 papers in refereed international technical journals, and over 150 papers in international conference proceedings. His current research interests are focused on the analysis and automated design of passive components and left-handed and periodic structures, as well as on the simulation and measurement of power effects in passive waveguide systems. Dr. Boria has been a member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) and the IEEE Antennas and Propagation Society (IEEE AP-S) since 1992. He serves on the Editorial Boards of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. He is also a member of the Technical Committees of the IEEE-MTT-S International Microwave Symposium (IMS) and the European Microwave Conference. He was the recipient of the 1993 First National Prize of Telecommunication Engineering Studies (Ministerio de Educación y Ciencia) and the 2001 Social Council of Universidad Politécnica de Valencia First Research Prize for his outstanding activity during the period of 1995–2000.

Carlos Vicente (M’09) was born in Elche, Spain, in 1976. He received the Dipl. degree in physics from the University of Valencia, Valencia, Spain, in 1999, and the Dr.-Ing degree in engineering from the Technical University of Darmstadt, Darmstadt, Germany, in 2005. From 1999 until the beginning of 2001, he was a Research Assistant with the Department of Theoretical Physics, University of Valencia. From 2001 to 2005, he was an Assistant Professor with the Institute of Microwave Engineering, Technical University of Darmstadt. Since 2005, he has been with the Microwave Applications Group, Universidad Politécnica de Valencia. In 2006, he cofounded the company Aurora Software and Testing S. L., which is devoted to the telecommunications sector. His research concerns the analysis and design of passive components for communications satellites with a special emphasis on high-power practical aspects such as passive intermodulation, corona discharge, and multipaction.

COGOLLOS et al.: SYSTEMATIC DESIGN PROCEDURE OF CLASSICAL DUAL-MODE CIRCULAR WAVEGUIDE FILTERS

Jordi Gil (M’09) was born in Valencia, Spain, on April 27, 1977. He received the Licenciado degree in physics from the University of Valencia, Valencia, Spain, in 2000, and the Ph.D. degree in telecommunication engineering from the Universidad Politécnica de Valencia, Valencia, Spain, in 2000 and 2010, respectively. From 2001 to 2004, he was Researcher with the Aerospatiale Italian Company, Ingegneria Dei Sistemi–S.p.A., in the frame of the V European Framework Programme. From 2004 to 2006, he was with the Microwave Applications Group, Universidad Politécnica de Valencia, in the frame of a European Reintegration Grant funded by the VI European Framework Programme. In 2006, he cofounded the Company Aurora Software and Testing S. L., which is devoted to the space sector, and where he continues his research activities. His current research interests include numerical methods in computer-aided techniques for the analysis of microwave and millimeter passive components based on waveguide technology, and nonlinear phenomena appearing in power microwave subsystems for space applications.

Benito Gimeno (M’01) was born in Valencia, Spain, on January 29, 1964. He received the Licenciado degree in physics and Ph.D. degree from the Universidad de Valencia, Valencia, Spain, in 1987 and 1992, respectively. From 1987 to 1990, he was a Fellow with the Universidad de Valencia. Since 1990, he has been an Assistant Professor with the Departamento de Física Aplicada y Electromagnetismo and Instituto de Ciencia de Materiales (ICMUV), Universidad de Valencia, where he became an Associate Professor in 1997 and a Full Professor in 2010. During 1994 and 1995, he was a Research Fellow with the European Space Research and Technology Centre of the European Space Agency (ESA/ESTEC). In 2003, he obtained a fellowship from the Spanish Government for a short stay (three months) with the Uni-

1017

versita degli Studi di Pavia, Pavia, Italy, as a Visiting Scientist. His current research interests include the areas of computer-aided techniques for analysis of microwave and millimeter-wave passive components for space applications, waveguides and cavities structures including dielectric objects, EM-bandgap structures, frequency-selective surfaces, and nonlinear phenomena appearing in power microwave subsystems (multipactor effect, corona effects, and passive intermodulation phenomena).

Marco Guglielmi was born in Rome, Italy, on December 17, 1954. He received the Laurea in Ingegneria Elettronica degree from the University of Rome “La Sapienza,” Rome, Italy, in 1979, where in 1980, he also attended the Scuola di Specializzazione in Elettromagnetismo Applicato, the M.S. degree in electrical engineering from the University of Bridgeport, Bridgeport, CT, in 1982, and the Ph.D. degree in electrophysics from the Polytechnic University, Brooklyn, NY, in 1986. From 1984 to 1986, he was an Academic Associate and from 1986 to 1988 he was an Assistant Professor with the Polytechnic University. From 1988 to 1989, he was an Assistant Professor with the New Jersey Institute of Technology (NJIT), Newark, NJ. In 1989, he joined the European Space Agency (ESA), as a Senior Microwave Engineer with the RF System Division, European Space Research and Technology Centre (ESTEC), Noordwijk, The Netherlands, where he was in charge of the development of microwave filters and EM simulation tools. In 2001, he became the Head of the Technology Strategy Section, Technology Programmes Department, ESTEC, where he currently contributes to the development of management processes and tools for the formulation of a European strategy for space technology research and development. Dr. Guglielmi was the recipient of a 1981 Fulbright Scholarship to study in Rome, Italy. He was also the recipient of a Halsey International Scholarship under the Halsey International Scholarship Programme (HISP) from the University of Bridgeport.

1018

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Theoretical Design and Analysis for – Rectangular Waveguide Mode Converters Qiang Zhang, Cheng-Wei Yuan, and Lie Liu

Abstract—From Maxwell’s equations in an orthogonal curvilinear coordinates system, a strict derivation of mode coupling rectangular waveguide modes is given, coefficients among obtaining the general and explicit formulas of the coupling coefficients. Theoretical design methods and a useful set of expressions for several kinds of overmoded rectangular waveguides are reported, which enable engineers to quickly design these types of -plane bend was investigated waveguide bends. Firstly, a 90 to change the wave propagating direction to its perpendicular direction for a certain purpose. Its transmission efficiency of mode is 99.9% at 9.5 GHz, with bandwidth (for transmission efficiency 95%) of 8–12 GHz. Secondly, a strict and explicit derivation of mode conversion efficiencies of two mode converters, -toconverters, are proi.e., dual-bend and tri-bend posed. Both of them have similar conversion efficiency of 99.9% at 8.5 GHz with bandwidths (for mode-conversion efficiency %) of 7.98–9.07 and 8.09–8.97 GHz, respectively. All of the theoretical models presented in this paper are verified by the finite-element simulations. We also experimentally show the performances of these devices, which are sufficient to demonstrate the validity of the theoretical models. Index Terms—Bends, microwave transmission, mode converter, mode-coupling theory, rectangular waveguide.

I. INTRODUCTION

D

URING RECENT years, high-power microwaves (HPMs) span a wide range of applications in both civilian and military fields [1]–[3]. Furthermore, the remaining challenges confronting the limited output peak power level of HPM sources stimulate the development of power-combining techniques. An incoherent power-combining system, utilizing rectangular waveguide diplexers or filters, has been applied for -band HPMs [4]. The authors also have investigated a novel waveguide-based power combiner for linearly polarized microwaves [5] such as rectangular waveguide mode. The power-combining systems mentioned above are especially useful in HPM applications for the advantages of easy fabrication and high power-handling capacity with a compact structure. However, one application occurs whenever the Manuscript received July 14, 2011; revised December 16, 2011; accepted December 19, 2011. Date of publication January 23, 2012; date of current version April 04, 2012. This work was supported in part by the National High-Tech. Research and Development Program of China and by the Fund of Innovation of the Graduate School, National University of Defense Technology (NUDT), under Grant B110702. The authors are with the College of Optoelectronic Science and Engineering, National University of Defense Technology (NUDT), Changsha 410073, China (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2182206

rectangular waveguide mode that is generated in an HPM device needs to be transmitted to the load without stimulating higher order modes, and sometimes the physical layout of the HPM system will require one or several overmoded bends [5], [6]. The first analysis for bends in circular waveguide is found in [7], and since then, accurate and efficient methods for the analysis of bends have received considerable attention in the technical literatures. Lewin et al. [8] investigated and -plane bends with a method based on a perturbational analysis, and then by means of a method of moment solution together with a mode-matching technique, Weisshaar et al. [9] analyzed curved bends in a general parallel-plate waveguide. Mode-coupling theory or mode conversion due to curvatures in circular corrugated or smooth waveguides also has been systematically studied [10]–[15]. Mongiardo et al. [15] especially proposed a multimodal method for analyzing full-band matched (FBM) waveguide bends. Based on mode-coupling theory, the authors have investigated different circular waveguides for certain purposes [16], [17]. With the development of HPM sources that generate rectangular waveguide mode directly [18], a rectangular waveguide is usually desired. Furthermore, the HPMs is easier to manipulate in rectangular waveguides than in circular waveguides, and rectangular waveguides are widely used in modern microwave transmission systems. It has benefited from its high power-handling capacity and low loss [19]–[21]. For example, rectangular waveguide mode is utilized to drive a particle accelerator section [22], [23] or as an intermediate mode in a mode converter [6]. Thus, it is necessary to investigate rectangular waveguide bends. Tantawi et al. have done much research on rectangular waveguide bends [24], [25], such as jog converter, which is composed of two oppositely oriented 45 bends, and a novel circular -mode bend. However, the aforementioned works have not expressed a useful set of expressions, enabling engineers to quickly design these types of waveguide bends, or waveguide bends were designed mainly by computer simulation. Therefore, it is not convenient for quick design in certain applications without general and explicit formulas. Thus, the main objective and contributions of this paper is to introduce a strict derivation of mode coupling coefficients among some rectangular waveguide modes, and present a useful set of expressions that allows engineers to quickly design rect– rectanangular waveguide bends, especially for gular waveguide mode converters. Moreover, all of the theoretical models presented in this paper are verified by finite-element simulations [26], [27] and experimental tests, which are sufficient to demonstrate the validity of the theoretical models.

0018-9480/$31.00 © 2012 IEEE

ZHANG et al.: THEORETICAL DESIGN AND ANALYSIS FOR



RECTANGULAR WAVEGUIDE MODE CONVERTERS

1019

Using the Maxwell’s equations in the orthogonal curvilinear coordinates , we give the coupled-wave differential equations directly for simplicity [10], and they are

(2)

Fig. 1. Coordinate system for the description of a rectangular waveguide bend.

Based on the above discussions, we will detail the theoretical design methods of rectangular waveguide bend for transmitting mode, and it is a 90 -plane bend to satisfy the requirement of turning wave propagation direction to its perpendicular direction. For the – mode converter, we will introduce two structures; one is a dual-bend or improved dual-bend mode converter, and the other one is a tri-bend mode converter.

and represent the propagation constant where and complex amplitudes of forward and backward th modes, respectively. is the wavenumber in free space. denotes normalized Helmholtz formulas for the mode [10]. and stand for the coupling th mode and the th mode coefficients between the whose propagation directions are the same and opposite, respectively. From (3),

II. MODE COUPLING THEORY The rectangular waveguide bend is shown in Fig. 1, where the -direction is normal to the bend plane, and the bend is assumed to be perfectly conducting. Here, is the curvature radius and turning angle of the bend, respectively, and its cross section size is defined as . We take an orthogonal curvilinear coordinates in which the scale factors can be expressed as follows: (1) Here, the scale factors can be easily derived according to [10] and [14]. Note that if the axis of the waveguide bend, curve marked in Fig. 1, lies in the – -plane, the rectangular waveguide bend is an -plane bend. Here, the -plane bend indicates that the main transverse magnetic field of the fundamental mode is polarized parallel to the – -plane. San Blas et al. [28] have made a rigorous full-wave analysis of uniform bends in rectangular waveguide, which is especially efficient for considering the possible incidence of any arbitrary mode of the rectangular input mode. However, modern HPM sources usually generate a pure waveguide mode effectively [29], [30], and it can be converted into a rectangular waveguide mode effectively [6], [31]. According to the rules of mode coupling, in the -plane bend shown in Fig. 1, the mode can be converted into the mode only. Thus, the coupled-wave differential equations and the coupling coefficients between the th mode and th mode can be derived theoretically.

(3) we can obtain the general and explicit coupling coefficients of any two modes

(4) Particularly, the formulas among modes are as follows:

,

, and

(5) (6) (7)

1020

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 3. Transmission efficiency of tion of curvature radius.

Fig. 2. Geometry sketch of the 90

III. 90

mode in 90

-plane bend as a func-

-plane bend.

-PLANE BEND

As shown in Fig. 2, the overmoded -plane bend to be designed is working at 9.5 GHz, the curvature radius of the waveguide is , the turning angle , and its cross section size is predetermined with mm, mm. If the waveguide distortions are small (low coupling per wavelength), the backward wave can be usually neglected [14]. Under the condition that only the mode is injected, the and modes can transmit through the bend, and the coupled-wave differential equations are obtained from (2) Fig. 4. 90

(8) denote complex amplitudes of the and modes, respectively, deand scribes the coupling coefficients between the modes. By solving (8), it gives the power amplitudes of output modes at the end of the bend transmission line

where

(9) . where We have investigated the relationship between curvature radius and mode transmission efficiency, as shown in Fig. 3; it shows the normalized transmission efficiency as a function of curvature radius. Obviously, it is shown that the 90 -plane bend with highest transmission efficiency can be achieved at several isolated points, such as and mm. Under the condition of other curvature radii, the mode can be stimulated, decreasing the maximum transmission efficiency of the

-plane bend fabricated at NUDT.

mode. However, if the turning angle is not limited to 90 , the highest transmission efficiency of the mode can also be achieved, but only if the geometrical characteristics of the bend are chosen to satisfy is an odd multiple of , such as mm and . In order to verify the above-mentioned design results, a prototype 90 -plane bend for the 9.5-GHz central frequency was also investigated using computer simulation via Ansoft’s commercial software package High Frequency Structure Simulator (HFSS) based on the finite-element method (FEM). As presented in Fig. 3, it is noticed that the theoretical calculation and simulated results are in good agreement with each other, which demonstrates the feasibility of the theoretical design. Based on our requirements, we have fabricated such a 90 -plane bend with curvature radius mm, the turning angle , and its cross-section size is 44 mm 30 mm. Fig. 4 shows the photograph of the bend, which is made of a 90 -plane bend and a straight rectangular waveguide, which will not affect the transmission efficiency. The calculated transmission efficiencies of the bend are plotted in Fig. 5. mode transmission efficiency at 9.5 GHz is about 99.9%, and in the range of 8–12 GHz, the transmission efficiency is more than 95%. It is

ZHANG et al.: THEORETICAL DESIGN AND ANALYSIS FOR

Fig. 5. Transmission efficiency of mm).



RECTANGULAR WAVEGUIDE MODE CONVERTERS

1021

mode as a function of frequency (

Fig. 7. Geometry sketch of the dual-bend



mode converter.

Solving (8) for conversion efficiency of the dual-bend converter, yields

(10) Fig. 6. Measured far-field radiation patterns under the condition of with or -plane bend. without 90

noticed that there is little energy transferred into the mode, which is undesirable. Experimentally measuring the output modes of the 90 -plane bend is verified by its far-field radiation patterns [32], [33], and they were measured in an anechoic chamber. As displayed in Fig. 6, the direct radiation pattern of the mode of the employed -band antenna is similar to the radiation pattern with the bend section included, which indicates that the main output mode is still the mode, and higher order modes have not been stimulated obviously by the 90 -plane bend. IV. DUAL-BEND



where is mode conversion efficiency from mode in terms of RF power. If

and we take (10) gives

and

to

into account,

MODE CONVERTER

One candidate structure for the – rectangular waveguide mode converter is a serpentine metal pipe with serpentine curved axis lies in one plane [34], as shown in Fig. 7, which is comprised of two bends with curvature radii and , bend angles , respectively, where the minus symbol represents the second waveguide bend is opposite to the first one. The two bends are connected back-to-back at the tangent points so that the junction will not produce additional coupling or reflections.

(11) Obviously, as long as and , the maximum conversion efficiency can be achieved and %. Based on the above discussion, we have designed such a dual-bend – mode converter at 8.5 GHz, whose cross-section size is predetermined with 47.55 mm 22.15 mm. Its curvature radius and bend angle are 53.80 mm and 51.47 , respectively. Fig. 8 shows the electric

1022

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 8. Electric field distribution in the dual-bend waveguide mode converter.



rectangular Fig. 11. Experimental setup for mode pattern measurement by using a network analyzer. 1 denote signal input section, 2 and 8 are waveguide-to-coax transistors, 3 and 7 stand for the taper up to oversized converter section, 4 and 6 are mode converter sections, devices-under-test are inserted here, 5 is the probe section, and 9 is the matched load in-band.

Fig. 9. Mode conversion efficiency of the dual-bend waveguide mode converter as a function of frequency.



rectangular

Fig. 12. mode pattern distribution along the broad wall of the dual-bend converter’s output port.

Fig. 10. Dual-bend ricated at NUDT.



rectangular waveguide mode converter fab-

field strength on the axis of the dual-bend mode converter. It can be seen clearly that the injected mode has been transformed into the mode totally. Mode conversion efficiency as a function of frequency is presented in Fig. 9; it has a high conversion efficiency of 99.9% at the central frequency, and in the range of 7.98–9.07 GHz, it is greater than 95%. We have fabricated such a dual-bend converter, as shown in Fig. 10, and experiment to measure the mode pattern along the

broad wall of the converter was carried out by using the experimental setup shown in Fig. 11. Here, the matched load is located at the output port in order to main well-established traveling-wave conditions [35]. In the experiment, the mode is injected for convenience, and a series of holes was drilled on the broad wall. Each hole has the same diameter as the outer diameter of the probe that has been used as a receiving antenna. A series of probe has then been positioned in each hole and the RF power has been measured. Thus, the mode pattern can be measured along the broad wall of the converter’s output. Fig. 12 shows the -field distribution along the broad wall, and a good agreement between theory and experiment has been observed. For some applications, if the axis of the output port should offset with the input port, a straight waveguide connected between the two bends plays an important part in satisfying this requirement. Fig. 13 shows the structure of the combined dualbend – mode converter, the objective function can be written as (12) where is mode conversion efficiency from the mode in terms of RF power.

to

ZHANG et al.: THEORETICAL DESIGN AND ANALYSIS FOR



RECTANGULAR WAVEGUIDE MODE CONVERTERS

1023

TABLE I COMPARISON BETWEEN THEORETICAL CALCULATION AND HFSS SIMULATED RESULTS FOR THE COMBINED DUAL-BEND – MODE CONVERTERS. THE SOLUTION FREQUENCY WAS 8.5 GHz, THE MAXIMUM DELTA S WAS 0.02

Fig. 13. Geometry sketch of the combined dual-bend waveguide mode converter.

In the case of conversion efficiency



rectangular

and can be expressed as

Fig. 14. Geometry sketch of the tri-bend mode converter.

three bends are and , and bend angles are and , respectively. All three bends are connected back-to-back at the tangent points to prevent the junction producing additional coupling or reflections. The tri-bend converter can be calculated and studied by coupled mode theory. From (8), we can obtain the conversion efficiency of the converter

For the application at 8.5 GHz, the authors have written a general optimizing code, in which the conversion efficiency was chosen as the objective function, and geometric parameters were chosen (under certain axis offset ) to be optimized. The mode conversion efficiency and geometric parameters, as a function of are given in Table I. They are simulated by Ansoft’s HFSS to confirm our design results, which have been applied widely to simulate RF structures and the results were proven to be very close to measured ones. It indicates that the optimized results meet the requirements well of the objective function, demonstrating the feasibility of the design. Furthermore, the comparison of the computational time required by Ansoft’s HFSS and the optimizing code is also presented in Table I. It is clear that the optimizing code results in a large decrease of running time for the design. That is to say, it is further proven that the advantages of the developed closed expressions. –

rectangular waveguide

, the

(13)

V. TRI-BEND



MODE CONVERTER

Although the dual-bend – mode converter has realized mode conversion effectively, its input and output ports are not aligned on the same axis, which will affect the layouts of the whole systems. In some instances, a mode converter with input and output ports aligned on the same axis is needed [36]. In this section, the tri-bend – mode converter will be proposed to satisfy this requirement. The geometry structure of the tri-bend converter is shown in Fig. 14, the curvature radii of the

(14) where

1024

Fig. 15. Electric field distribution in the tri-bend waveguide mode converter.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012



rectangular

Fig. 17. Tri-bend cated at NUDT.

Fig. 16. Mode conversion efficiency of the tri-bend waveguide mode converter as a function of frequency.



rectangular

In order to obtain the maximum conversion efficiency, an optimizing code should be utilized to obtain the optimized geometrical characteristics, and some inconstant-curvature bends may be satisfied with that goal; however they are usually difficult to manufacture, as in the case of and , which insures that the input port and output port of the mode converter are aligned on the same axis. Thus, (14) gives

(15) Then observe (16) and (17), shown at the bottom of this page, where . and , the As long as maximum conversion efficiency can also be achieved and %. We have designed such a tri-bend converter at 8.5 GHz, whose cross-section size is also predetermined



rectangular waveguide mode converter fabri-

with 47.55 mm 22.15 mm, and its geometric parameters are mm, . In order to verify the theoretical results of the converter, a numerical model of the converter was used and performed via the FEM, and Fig. 15 shows the electric field distribution in the converter when injecting the mode, which illustrates that the input mode has been transformed into the mode successfully. In addition, in order to check the sensitivity of the mode converter under various operation frequencies, the conversion efficiency versus the operation frequency when the mode is injected are displayed in Fig. 16. It is shown that it has a high conversion efficiency of 99.9% at center frequency, and in the range of 8.09–8.97 GHz, the conversion efficiency exceeds 95%. The tri-bend converter, shown in Fig. 17, was also tested as that for dual-bend converter, and the -field along the broad wall agrees well with the predicted distribution, as presented in Fig. 18. Furthermore, based on the above analysis and designed mode converters, a – – transmission line at 8.5 GHz can be constructed. As shown in Fig. 19, two tri-bend – mode converters and a dual-bend – mode converter are adopted. The mode conversion process can be described as the following: the mode is divided into two modes, which are transformed into two modes with phase difference of . The two modes are combined as a mode, and it is converted to a mode by a dual-bend mode converter. The total length of the – – transmission line is about 40 cm, and the

(16)

(17)

ZHANG et al.: THEORETICAL DESIGN AND ANALYSIS FOR



RECTANGULAR WAVEGUIDE MODE CONVERTERS

1025

characteristics. Both of them have high conversion efficiency of 99.9% at 8.5 GHz, with bandwidths (for mode conversion efficiency 95%) of 7.98–9.07 and 8.09–8.97 GHz, respectively. All of the theoretical models presented in this paper are verified by finite-element simulations and experimental tests, which are sufficient to demonstrate the validity of the theoretical models, and the theoretical design and analysis of rectangular waveguide bends in this paper has provided an efficient approach to design new waveguides. ACKNOWLEDGMENT

Fig. 18. Electric field distribution in the tri-bend waveguide mode converter.



rectangular

The authors would like to express their gratitude to the anonymous reviewers of this paper for their valuable comments. The authors wish also to acknowledge the website http://www.verycd.com for providing a study version of Ansoft’s HFSS software for analyzing the electric field. REFERENCES

Fig. 19. Mode conversion efficiency of the tri-bend waveguide mode converter as a function of frequency.

Fig. 20. Tri-bend cated at NUDT.





rectangular

rectangular waveguide mode converter fabri-

conversion efficiency has reached 99.5% at center frequency. Fig. 20 shows the electric field distribution in the transmission line when the mode is injected, which illustrates that the injected mode has been converted to the mode successfully. VI. CONCLUSION According to mode-coupling theory, a strict derivation of mode coupling coefficients were given, obtaining the general and explicit formulas of the coupling coefficients among rectangular waveguide modes. The design methodology and numerical results for several kinds of overmoded bends were then reported, which enabled engineers to quickly design these -plane bend in order types of waveguide bends. The first 90 to change the wave propagating direction was investigated in detail, and numerical simulation and cold testing results show the feasibility of the theoretical design. Then two types of – mode converters, dual- and tri-bend converters, were studied theoretically, obtaining the optimized geometrical

[1] J. Benford, “Space applications of high power microwaves,” in 16th IEEE Int. Pulsed Power Conf., 2007, vol. 1, pp. 258–265. [2] J. Benford, J. A. Swegle, and E. Schamiloglu, High Power Microwaves, 2nd ed. New York: Taylor & Francis, 2007. [3] J. B. Robert and S. Edl, High-Power Microwave Sources and Technologies. Piscataway, NJ: IEEE Press, 2001. [4] G. L. Li, T. Shu, C. W. Yuan, J. Zhu, J. Liu, B. Wang, and J. Zhang, “Simultaneous operation of band gigawatt level high power microwaves,” Laser Part. Beams, vol. 28, pp. 35–44, 2010. [5] Q. Zhang, C. W. Yuan, and L. Liu, “T-junction waveguide-based combining high power microwave beams,” Phys. Plasmas, vol. 18, 2011, Art. ID 083110. [6] Q. Zhang, C. W. Yuan, and L. Liu, “Design of a dual-band power combining architecture for high-power microwave applications,” Laser Part. Beams, vol. 28, pp. 377–385, 2010. [7] M. Jouguet, “Effect of curvature on the propagation of electromagnetic waves in guides of circular cross sections,” Cables et Transmission, vol. 1, no. 2, pp. 133–153, 1947. [8] L. Lewin, D. C. Chang, and E. F. Kuester, Electromagnetic Waves and Curved Structures. London, U.K.: Peregrinus, 1977. [9] A. Weisshaar, S. M. Goodnick, and V. K. Tripathi, “A rigorous and efficient method of moments solution for curved waveguide bends,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 12, pp. 2200–2206, Dec. 1992. [10] H. J. Huang, Microwave Theory. Beijing, China: Sci. Press, 1963. [11] V. V. Shevchenko, Continuous Transition in Open Waveguide. Boulder, CO: Golem Press, 1971. [12] M. Thumm, “High power millimetre-wave mode converters in overmoded circular waveguides using periodic wall perturbations,” Int. J. Electron., vol. 57, no. 6, pp. 1225–1246, 1984. [13] M. Thumm, “High power mode conversion for linearly polarized hybrid mode output,” Int. J. Electron., vol. 61, no. 6, pp. 1135–1153, 1986. [14] H. Li and M. Thumm, “Mode conversion due to curvature in corrugated waveguides,” Int. J. Electron., vol. 71, pp. 333–347, Aug. 1991. [15] M. Mongiardo, A. Morini, and T. Rozzi, “Analysis and design of fullband matched waveguide bends,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 12, pp. 2965–2971, Dec. 1995. [16] C. W. Yuan and Q. Zhang, “Design of a – transmission line for high-power microwave applications,” IEEE Trans. Plasma Sci., vol. 37, no. 10, pp. 1908–1915, Oct. 2009. [17] C. W. Yuan, H. H. Zhong, and B. L. Qian, “Design of bend circular waveguides for high-power microwave applications,” High Power Laser Particle Beams, vol. 21, no. 2, pp. 255–259, 2009. [18] G. X. Du, B. L. Qian, and H. G. Wang, “Virtual cathode oscillator with an axially extracted mode of rectangular waveguide,” High Power Laser Particle Beams, vol. 18, no. 2, pp. 253–256, 2006. [19] M. Yeddulla, S. G. Tantawi, J. Guo, and V. Dolgashev, “An analytical design and analysis method for a high-power circular to rectangular waveguide mode converter and its applications,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 6, pp. 1516–1525, Jun. 2009.

1026

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

[20] S. G. Tantawi, C. D. Nantista, V. A. Dolgashev, C. Pearson, J. Nelson, K. Jobe, J. Chan, K. Fant, and J. Frisch, “High-power multimode -band RF pulse compression system for future linear colliders,” Phys. Rev. Special Topics—Accelerator Beams, no. 8, 2005, Art. ID 042002. [21] C. D. Nantista and F. Tamura, “An alternate dual-moded DLDS utiand modes,” in XX Int. Linac Conf., Monterey, lizing the CA, 2009, pp. 757–759. [22] V. L. Granatstein and W. Lawson, “Gyro-amplifiers as candidate RF drivers for TeV linear colliders,” IEEE Trans. Plasma Sci., vol. 24, no. 6, pp. 648–686, Jun. 1996. [23] I. Spassovsky, E. S. Gouveia, S. G. Tantawi, B. P. Hogan, W. Lawson, and V. L. Granatstein, “Design and cold testing of a compact – mode converter,” IEEE Trans. Plasma Sci., vol. 30, no. 3, pp. 787–793, Jun. 2002. [24] S. G. Tantawi, C. D. Nantista, N. Kroll, Z. Li, R. Miller, P. Wilson, and J. Neilson, “Multimoded RF delay line distribution system for the next linear collider,” Phys. Rev. Special Topics–Accelerator Beams, vol. 5, 2002, Art. ID 032001. -mode bend for ultra-high[25] S. G. Tantawi, “A novel circular power applications,” J. Electromagn. Waves Appl., vol. 18, no. 12, pp. 1679–1687, 2004. [26] Y. Zhu and A. C. Cangellaris, “Macro-elements for efficient FEM simulation of small geometric features in waveguide components,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 12, pp. 2254–2260, Dec. 2000. [27] M. M. Ilić, A. Ž. Ilić, and B. M. Notaroš, “Higher order large-domain FEM modeling of 3-D multiport waveguide structures with arbitrary discontinuities,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 6, pp. 1608–1614, Jun. 2004. [28] A. A. San Blas, B. Gimeno, V. E. Boria, H. Esteban, S. Cogollos, and A. Coves, “A rigorous and efficient full-wave analysis of uniform bends in rectangular waveguide under arbitrary incidence,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 397–405, Feb. 2003. [29] J. Zhang, Z. X. Jin, J. H. Yang, H. H. Zhong, T. Shu, J. D. Zhang, B. L. Qian, C. W. Yuan, Z. Q. Li, Y. W. Fan, S. Y. Zhou, and L. R. Xu, “Recent advance in long-pulse HPM sources with repetitive operation in -, -, and -bands,” IEEE Trans. Plasma Sci., vol. 39, no. 6, pp. 1438–1445, Jun. 2011. [30] X. Ge, H. Zhong, B. Qian, J. Zhang, L. Gao, Z. Jin, Y. Fan, and J. Yang, “An -band coaxial relativistic backward wave oscillator with mechanical frequency tenability,” Appl. Phys. Lett., vol. 97, 2010, Art. ID 101503. [31] K. L. Wu, M. Yu, and A. Sivadas, “A novel modal analysis of a circular to rectangular waveguide T-junction and its application to design of circular waveguide dual-mode filters,” IEEE Trans Microw. Theory Tech., vol. 50, no. 2, pp. 465–473, Feb. 2002.

mode genera[32] G. S. Ling and J. J. Zhou, “Converters for the vircator at 4 GHz,” Chinese Phys. Lett., vol. 18, pp. tion from 1285–1287, 2001. mode from [33] J. L. Doane, “Mode converter for generating the in a circular waveguide,” Int. J. Electron., vol. 53, pp. 573–585, 1982. [34] S. Yang and H. F. Li, “Optimization of novel high-power millimeter– mode converters,” IEEE Trans. Microw. Theory wave Tech., vol. 45, no. 4, pp. 552–554, Apr. 1997. [35] D. S. Levinson and I. Rubinstein, “A technique for measuring individual modes propagating in overmoded waveguide,” IEEE Trans. Microw. Theory Tech., vol. MTT-14, no. 7, pp. 310–322, Jul. 1966. – mode converter of [36] Q. Zhang, C. W. Yuan, and L. Liu, “ tri-bend circular waveguides,” High Power Laser Particle Beams, vol. 20, no. 7, pp. 1173–1176, 2008.

Qiang Zhang was born in Henan, China, in August 1984. He received the B.E. degree in optoelectronics engineering and M.S. degree in physical electronics from the National University of Defense Technology, Changsha, China, in 2006, and 2008, respectively, and is currently working toward the Ph.D. degree in physical electronics at the National University of Defense Technology. His current research interests include antenna and microwave mode conversion.

Cheng-Wei Yuan was born in Henan, China, in May 1974. He received the B.E. degree in applied physics, M.S. degree in optoelectronics engineering, and Ph.D. degree in physical electronics from the National University of Defense Technology, Changsha, China, in 1997, 2002, and 2006, respectively. He is currently with the College of Optoelectronic Science and Engineering, National University of Defense Technology. His current research interests include antenna and microwave components.

Lie Liu received the B.S. degree from the Huazhong University of Technology, Wuhan, China, in 1983, and the M.S. and Ph.D. degrees in optics engineering from the National University of Defense Technology (NUDT), Changsha, China, in 1991 and 2003, respectively. Since 1983, he has been with the College of Optoelectronic Science and Engineering, NUDT, where he is currently a Professor. His research interests include pulsed-power technology, particle simulation, and generation of high-power microwaves.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

1027

Ring-Resonator-Inspired Power Recycling Scheme for Gain-Enhanced Distributed Amplifier-Based CRLH-Transmission Line Leaky Wave Antennas Chung-Tse Michael Wu, Student Member, IEEE, Yuandan Dong, Student Member, IEEE, Jim S. Sun, and Tatsuo Itoh, Life Fellow, IEEE

Abstract—We propose a novel power recycling scheme for distributed amplifiers (DAs) integrated with composite-right/left handed transmission line leaky wave antennas (LWAs). This new type of power recycling scheme is essentially inspired by a ring-resonator. By forming a closed-loop on the drain side LWA of the DA, we create the ring-resonator that is actively coupled by the DA from the gate line and hence can obtain a substantial gain enhancement compared to the one directly terminated without the loop. Such enhancement fluctuates with respect to the frequency. At the resonant frequencies of the closed-loop ring-resonator, the enhancement reaches a maximum. The phenomenon will be explained and derived theoretically in detail, and then validated through the real implementation. Index Terms—Active antenna, composite right/left handed transmission lines (CRLH-TLs), distributed amplifiers (DAs), leaky wave antennas (LWAs), power recycling.

Fig. 1. Typical CRLH-TL LWA illustrating the three radiation regions with respect to the frequency: backward (left-handed (LH) region), broadside (transition frequency), and forward (right-handed (RH) region).

I. INTRODUCTION EVELOPING new solutions for broadband, low cost, low profile and efficient systems has been an ongoing desire. Accordingly, the active integrated antenna (AIA) approach was developed as a promising solution for low profile and low loss functional front ends [1], based on the simultaneous design of the antenna with its active circuitry. As an active circuit, distributed amplifiers (DAs) are well known to provide a broadband gain and are suitable to be integrated with transmission lines (TLs) [2], [3]. On the other hand, composite-right/left handed transmission lines (CRLH-TLs) have drawn much attention for the past decade in the microwave community due to their unique characteristics [4]–[6]. Combined with DAs, several novel functionalities of DAs integrated with CRLH-TLs can be obtained due to the engineerable dispersion characteristics of CRLH-TLs [7], [8]. Furthermore, similar concepts have been extended to the distributed mixers (DMs) integrated with CRLH-TLs, in which many new properties, such as image rejection, can be applied [9], [10]. However,

the above applications all treated CRLH-TLs as guided wave structures. In fact, if CRLH-TLs are operated in their fast wave region, they can be used as leaky wave antennas (LWAs), while the beam can be scanned from backfire to endfire direction with respect to the frequency as shown in Fig. 1. On top of this, DAs integrated with CRLH-TL LWAs have been proposed and demonstrated for several applications. With appropriate designs and different connections, these active LWAs can be operated as a transmitting-type, a receiving-type, or a re-radiating type antenna with forward and reverse gain and exhibit frequency-dependent beam-scanning characteristics [11]–[13]. However, one of the main problems with passive CRLH-TL LWAs is the inefficient power radiation. As a travelling wave type antenna, the radiating power of leaky wave antenna is dependent on the antenna size. It is shown that for 90% radiation efficiency, LWA’s length has to be 8 to 10 , which is not practical at low frequencies [14], [15]. To address this problem, recently some novel power recycling feedback systems of CRLH-TL LWAs have been proposed. These approaches use so-called “self-recycling” and “cross-recycling” feedback schemes to reuse the power left over from the original termination port [15]–[17]. Alternatively, in order to compensate the loss from the radiation, an active CRLH-TL LWA was presented in [18]. This active antenna cascades several passive CRLH-TL LWA sections along with amplifiers that can provide extra amplification to compensate the radiation loss and hence increase the entire antenna gain. In this case, the CRLH-TL LWA and amplifiers

D

Manuscript received August 30, 2011; revised November 28, 2011; accepted December 14, 2011. Date of publication February 06, 2012; date of current version April 04, 2012. This work was supported by the National Science Foundation (NSF) under Grant ECCS-0901827. The authors are with the Electrical Engineering Department, University of California at Los Angeles, Los Angeles, CA 90095 USA (e-mail: ctwu@ee. ucla.edu; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2183610

0018-9480/$31.00 © 2012 IEEE

1028

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 2. Distributed amplifier-based CRLH-TL leaky wave antenna with the proposed power recycling scheme.

are designed separately then integrated together. Even though the antenna loss can be compensated by various means of additional amplification [11]–[13], [18] , the power is still dissipated in the termination. Especially in the drain side of CRLH-TL DA, much of the amplified power will be terminated if there is no additional feedback structure in the system [13]. On the other hand, ring-resonator circuits were developed to achieve high power in the closed-loop ring under their resonance condition [19], [20]. Conventional ring-resonator circuits use passive coupling, such as directional couplers to couple the waves to the resonator. Following the similar idea, in this paper, we propose a novel power recycling scheme based on the ring-resonator for DA-based active CRLH-TL LWAs. By forming a closed-loop ring using a microsrtip transmission line in the drain side of the DA, the left-over (unradiated) but amplified forward power actively coupled from the gate line can be recycled into the drain side LWA and hence the gain in the radiated power can be increased (see Fig. 2). We will show that this gain increase fluctuates with respect to the frequency; these increments indeed will reach their maximum at several resonant frequencies of the drain side ring-resonator. The preliminary results have been shown in [21]. In addition to the gain-enhancement, the proposed type of power recycling scheme can perform the capability of beam-scanning function of CRLH-TL LWAs, while maintaining a relatively smaller size. II. POWER RECYCLING SYSTEM OVERALL CONFIGURATION Fig. 2 shows the configuration of the proposed DA-based CRLH-TL LWA with the power recycling feedback in the drain side of the DA. Both drain and gate lines of the DA are CRLHTLs. The forward gain ( ) and reverse gain ( ) of the DA can be defined as follows, assuming the input and output resistances of the transistors are neglected [22]: (1a)

Fig. 3. Distributed amplifier-based CRLH-TL leaky wave antenna as an active coupling ring-resonator.

unit-cells. If and are identical, from (1a) and (1b), ideally we can obtain a constant forward gain that is independent of the frequency, whereas the reverse gain is smaller than the forward gain except when , i.e., the transition frequency of the CRLH-TL, when they both reach the same amount. This power recycling feedback scheme is essentially based on the idea of the ring-resonator as shown in Fig. 3. Assuming that the transistors used in the distributed amplifier are unilateral, the input power injected into the gate line will be coupled one-way through the distributed amplifier to the drain side ring-resonator that is formed by the CRLH-TL and microstrip line. As discussed in the later section, the power flow direction is determined by the forward gain and reverse gain of the distributed amplifier. Since the CRLH-TL is operated in the fast-wave region as an LWA, it will introduce loss in the ring-resonator. Also as discussed later, the loss, indeed, affects the system performance and plays a role in stabilizing the system. We will start from the analysis of the lossless case in the next section. III. THEORETICAL ANALYSIS A. DA Using Lossless CRLH-TLs In order to explain the entire power recycling system, we start from the derivation of the model of DAs using lossless CRLH-TLs [23]. The single ( th) stage of the distributed amplifier is shown in Fig. 4(a), while the simple active model of a unilateral transistor is illustrated in Fig. 4(b). In the case where the gate and drain line have the same dispersion characteristics, i.e., and are identical, we can simply assume they have the same unit-cell in the gate and drain side. Hence the impedance and admittance of the DA unit-cell can be expressed in terms of the right-handed (RH) and left-handed (LH) inductance and capacitance of CRLH-TLs ( , , and , , respectively) as follows: (2a)

(1b) (2b) is the transconductance, and are the gate where and drain line characteristic impedance, and are the gate and drain line wave numbers, and indicates the number of

Moreover, the CRLH-TLs for the gate and drain side are assumed to be balanced, i.e., , so we can express

1029

WU et al.: RING-RESONATOR-INSPIRED POWER RECYCLING SCHEME FOR GAIN-ENHANCED DA-BASED CRLH-TL LWAs

Fig. 5. Analytically derived using CRLH-TLs (

Fig. 4. Circuit topology of the th stage of the distributed amplifier using CRLH-TLs. (a) Unit-cell of DA. (b) Active device model.

the characteristic impedance of the gate line ( line ( ) as follows:

-parameter of a two-stage distributed amplifier and ).

where and are defined in (2), and is the transconducas tance of the active device, which defines shown in Fig. 4. As a result, by using (4) we can cascade stages of unit-cells and obtain the current and voltage relationship between the first stage and the th stage

) and the drain

(3)

(5a)

where

It is worth mentioning that ideally the balanced condition (3) can hold for any frequency; however, in practice, since the RH and LH inductance and capacitance are frequency dependent, the condition will become a good approximation within a reasonable bandwidth near the transition frequency. We can then define a four-port transmission matrix relationship for a single stage of the CRLH DA as follows [23]:

(5b) (5c) (5d)

(4a)

where

while the normalized frequency

is defined as

(5e) (4b)

while

(4c)

(4d)

since the CRLH-TLs are under balanced condition. If the reference impedance in the termination is set to be , we are able to transform the four-port transmission matrix into the four-port -parameters (see Appendix). For instance, for a two-stage distributed amplifier we can derive the corresponding -parameters as shown in (6a)–(6e) at the bottom of the following page, and the results are plotted in Fig. 5. The transconductance of the active device we use here is 25 mS, and for simplicity we set the capacitance of the unit-cell to be pF and its inductance to be nH as an example to gain qualitative understanding. It

1030

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

constant, then the forward and reverse waves propagating inside the ring-resonator can be expressed as [19]

(9a) and

Fig. 6. Distributed amplifier-based CRLH-TL leaky wave antenna as an active coupling ring-resonator.

is noted that at the transition frequency of the CRLH unit-cell, or GHz in this case, according to i.e., when (6c) and (6d) the forward and the reverse gain are (7a) (7b) and . which is exactly the same as (1) with In fact, for an -stage CRLH, the forward and the reverse gains become (8a) (8b) which also corresponds to the general case with pressed in (1) while .

stages as ex-

B. Active Coupling Ring-Resonator As mentioned above, the proposed power recycling structure can be regarded as an active coupling ring-resonator shown in Fig. 6. The resonator is formed by the drain line of the CRLH DA and a section of microstrip line. If we define that , where is the length of the microstrip line and is the phase

(9b) where we have (9c) (9d) (9e) (9f) (9g) and zeros in (9a) and (9b) Here the poles are all complex quantities. It is noted that indicates the coupling ratio between the reverse coupling and forward coupling. For instance when , it implies that the forward gain is equal to the reverse gain, and this is the case that happens at the transition frequency. Fig. 7 plots the frequency response of the coupling ratio within the 10 dB passband of the example used in Fig. 5. We can observe from (9a) and (9b) that both of the forward and reverse waves have two poles and one zero. Assuming that is small enough to be neglected compared with in the region of interest and rewrite (9a) we can have

(10)

(6a) (6b) (6c)

(6d) (6e)

WU et al.: RING-RESONATOR-INSPIRED POWER RECYCLING SCHEME FOR GAIN-ENHANCED DA-BASED CRLH-TL LWAs

1031

Fig. 8. Voltage and current relations of the DA-based CRLH-TL LWAs with the proposed power feedback scheme.

where , and are the propagation constant, characteristic impedance and length of the microstrip line, respectively. By solving the relationship of (14a) and (14b), we have

Fig. 7. Coupling ratio of the DA-based CRLH-TL LWA.

which implies the resonance happens when

(15a) (11)

The above (11) shows that the resonance occurs around the frequency where the phase of the closed-loop ring-resonator equals a multiple of . Particularly, at the transition frequency ( or ), from (6) we have

(15b) where

(12a) (12b) (12c) Hence, the forward and reverse wave from (9a) and (9b) become (13) (15c) which degenerates to only one pole. Moreover, (13) also indicates that the resonance occurs when equals a multiple of . This agrees with (11) since at the transition frequency we have and hence there is no phase variation in the drain or gate line, which means in this case. C. Power Flow Inside the Loop Since the characteristics of radiation of the LWAs is related to the power flow in the closed-loop ring, it is necessary to analyze the characteristics of the power flow. From the above discussion we can obtain the four-port transmission matrix of the DA. As illustrated in Fig. 8, for an -stage DA we have .. .

..

.

.. .

(14a)

Thus the forward power flow inside the loop can be expressed as (16) Fig. 9(a) plots the power flow of the closed loop of a two-stage distributed amplifier ( ) with the same parameters as the example that we used previously. The voltage at the input is set to be 1 V, while the input and termination impedances are set to be 50 Ohm. Hence the available power from the source of the system is 0.01 W. In addition, we choose the length of the feedback microstrip line to be at 3.18 GHz, which is the transition frequency of the CRLH-TLs. The peaks appearing in Fig. 9(a) indicate the resonances. The total closed-loop phase is plotted in Fig. 9(b), which is defined as the sum of the phase of the drain side CRLH line and the feedback microstrip line

can be obtained from (5a). On the other hand, for the where feedback microstrip line we simply have (14b)

(17a) As predicted by (11), the resonances occur when (17b)

1032

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 10. Loci of poles and zeros at 1.63 GHz ( nH).

pF and

occur and hence there is no power flow in this. The close-up views of the LH and RH resonant frequencies are plotted in Fig. 9(c) and (d), showing there are two peaks due to the two poles of the waves as depicted in (9a) and (9b). The distance between the two poles is affected by the reflection coefficient . IV. LOSS IN THE SYSTEM In previous sections, we assume the system is ideally lossless. However, in the actual case, since the LWA radiates in the fast wave region, the system is under the lossy condition. In order to analyze the effect of the loss, we modify the expression in (2) to be (18a) (18b) is the series resistance and is the shunt conducwhere tance. Following the similar procedure and assuming the balanced condition, we can derive -parameters in terms of , , , , and . Moreover, assuming the loss is mainly due to the series , then (19a) (19b)

Fig. 9. Power flow and total phase of the closed loop. (a) Wideband power flow. (b) Total phase of the closed loop. (c) Power flow around 1.63 GHz. (d) pF and Power flow around 5.25 GHz ( nH).

In this case, the resonance frequencies are 1.63 GHz, 3.18 GHz, and 5.25 GHz, corresponding to the LH region, transition and RH region, respectively. The peaks show up at 1.63 GHz and 5.25 GHz in Fig. 9(a) due to the resonance; at the transition frequency 3.18 GHz, since the forward gain is equal to the reverse gain (i.e., ), pure standing waves

Hence the poles and zeros in (9) can also be expressed as functions of the system parameters , , , ,and . By increasing the resistance from zero to infinity, we can plot the loci of the poles and zeros on the complex plane. Fig. 10 plots the loci of the poles and zeros around 1.63 GHz by changing the parameter . If is zero, the situation becomes the same as shown in Fig. 9(c). Taking this case as an example: when the frequency increases, travels around the unit circle in the counter-clockwise direction. In the lossless case , the poles and zeros are on the unit circle; the power flow is in the forward direction originally. As frequency increases, travels around the periphery, and it first hits , which is the zero of , so there is no reverse power and hence the forward power

WU et al.: RING-RESONATOR-INSPIRED POWER RECYCLING SCHEME FOR GAIN-ENHANCED DA-BASED CRLH-TL LWAs

Fig. 11. Loci of poles and zeros at 3.18 GHz ( nH).

1033

pF and Fig. 13. Power flow with loss presence of the proposed recycling scheme. pF and nH). (

Fig. 12. Loci of poles and zeros at 5.25 GHz ( nH).

pF and

flow becomes maximum. If the frequency keeps increasing, hits the pole , so and both become infinity and hence the standing wave occurs, indicating there is no power flow in this situation. Later on, hits , which is the zero of , so the power flow changes to the reverse direction. Then hits , which again creates the standing wave. Finally, the pole when is far away , the zero of , the power flows back again to the original forward direction. In fact, the power flow direction can be determined from (9) by (20) is greater than one, the power flows in the forIf ward direction; on the contrary, if is smaller than one, the power flows in the reverse direction. Similar scenarios can be applied to other resonant frequencies shown in Figs. 11 and 12. It is worth pointing out that at the transition frequency 3.18 GHz shown in Fig. 11, the poles and zeros overlap with each other in the lossless case ( ), which implies there is no power flow.

On the other hand, in the presence of loss, the poles and zeros will no longer be on the unit circle but inside it, and hence when passes near the poles, the power will have a maximum value. By using the method derived in (16), Fig. 13 plots the power flow with the loss included. ( and ). We can notice ), the power only flows in the that for moderate loss ( forward direction since the poles are no longer on the unit circle. In other words, when loss is present, the system can no longer support such a high value, so the bandwidth becomes broader and the power level becomes lower. It is noted that although the power level downgrades for the moderate loss (0.2 0.3 W) as shown in Fig. 13, it is still a huge increase compared with the available power from the source (0.01 W). In fact, this is the situation that we are going to use in our design, and since the power flows in the forward direction, we can utilize this property to achieve frequency-dependent beam-scanning capability and increase the antenna gain as well. V. IMPLEMENTATION A. Prototypes and Characteristics A four-stage DA based CRLH-TL LWA was designed to demonstrate the performance [21]. In order to compare the effect of the power recycling scheme, we fabricated two pieces of prototypes. One is directly terminated at the ports without the power feedback and the other one is equipped with the proposed ring-resonator-inspired power feedback, as shown in Fig. 14. The antenna length is about 0.86 , which is much smaller compared with ordinary LWAs. Before testing the performance of the active antenna, we evaluated the characteristics as a DA. Fig. 15 shows the measured -parameters of the proposed DA made with CRLH-TL LWAs without the power feedback in Fig. 14(a). indicates the foris the reverse gain ward gain of the DA (Port 1–4), whereas (Port 1–3). The forward guided gain as the DA is around 5 dB. The forward gain starts to decrease at around 1.9 GHz; this is because the fast wave region of the CRLH-TL LWA is from

1034

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 16. Forward power flow and phase sum of the closed loop.

Fig. 14. Prototype of DA-based CRLH-TL leaky wave antennas. (a) Without the power feedback. (b) With the power feedback.

methods agree with each other quite well. The matrices of the DA and the microstrip line are extracted by using the commercial EM software Ansoft Designer. It should be noted that the power flow characteristic is similar to the theoretical analysis shown in Fig. 13, i.e., the power recycling system under some moderate loss that is mainly due to the radiation. From the figure we can observe that the power flows toward the forward direction as expected except the region around 2.25 GHz. This is because the reverse gain is slightly higher than the forward gain around that frequency so that the power flow turns a little bit to the reverse direction. Recall that the maximum occurs when the condition of (17) is satisfied, which corresponds to around 1.9, 2.35, 2.8, and 3.4 GHz, as indicated in Fig. 16. At these critical frequencies, the power is built up and later we will show that this indeed contributes to the significant increase of the antenna gain. B. Antenna Gain Measurement

Fig. 15. Measured power feedback.

-parameters of DA-based CRLH-TL LWAs without the

1.9 to 3.4 GHz where the antenna radiates. At the transition frequency of the CRLH-TL LWA, around 2.2 GHz, the reverse gain is about the same as the forward gain, which corresponds to the theory discussed in the previous session. At around 3.0 GHz and 3.8 GHz, some dips appear due to the self-resonance of the interdigital capacitors of the CRLH-TLs. In addition, the return loss ( ) at the transition frequency is around 7 dB, which indicates that the CRLH-TL LWA is not in the fully balanced condition. Fig. 16 shows the forward power flow of the structure with the power feedback shown in Fig. 14(b) by plotting the equation using matrix analysis derived in [21], substituting , i.e., the input power is 0.01 W, in comparison to the simulated power flow using Advanced Design System (ADS). The two

Fig. 17 compares the simulated and measured responses of the proposed DA-based CRLH-TL LWAs with and without the power recycling feedback at the critical frequencies described above. Both of the measured and simulated results show the increase in the radiated power from the open (without the feedback) to the closed (with the feedback) cases. The measured gain increases at 1.9, 2.35, 2.8, and 3.4 GHz by 6, 0.5, 6, and 8 dB, respectively, in the main beam direction. The active antenna with the power recycling scheme is able to perform the beam-scanning capability depending on the frequency due to the nature of CRLH-TL LWAs. The corresponding main beam direction at 1.9, 2.35, 2.8, and 3.4 GHz is around 45 , 5 , 30 , and 50 , respectively. There is some discrepancy between the simulated and measured radiation patterns, which may be due to fabrication inaccuracy such as soldering the active components and connectors. In addition, the measured DA gain is in fact lower than the simulation, which may result in some decrease of the measured antenna gain compared with the simulation. The gain increase over the fast wave region of the proposed DA-based CRLH-TL LWAs with the power feedback recycling is plotted in Fig. 18. The increases reach their local maximum at the critical frequencies, which corresponds to the prediction of

1035

WU et al.: RING-RESONATOR-INSPIRED POWER RECYCLING SCHEME FOR GAIN-ENHANCED DA-BASED CRLH-TL LWAs

Fig. 18. Measured antenna gain increase.

Fig. 19. A four-port network.

the center frequency of the DA-based CRLH-TL leaky wave antennas with the power feedback recycling is slightly worse than that without the feedback, which causes this slight decrease. VI. CONCLUSION A new type of power recycling scheme based on the actively coupled ring-resonator for the DA-based CRLH-LWA is proposed. We presented the detailed theoretical explanation for the phenomenon. Overall, the antenna gain is increased with the proposed power recycling scheme, and particularly the gain reaches the peak at several resonant frequencies of the ring-resonator. The entire structure is simulated by the full-wave EM simulator and then confirmed through the experiment, showing a good agreement. APPENDIX For a four-port network shown in Fig. 19, its current and voltage relation can be expressed by the transmission matrix as follows: .. .

Fig. 17. Simulated and measured antenna gain comparison with (closed) and without (open) the power recycling feedback. (a) 1.9 GHz. (b) 2.35 GHz. (c) 2.8 GHz. (d) 3.4 GHz.

the power flow analysis shown above. We can observe that other than the resonant frequencies, the gain still increases except at 2.2 GHz we find 2 dB decrease, which is the transition frequency of the CRLH-TL LWA. This is mainly because the antenna is not fully balanced at the center frequency, and the return loss at

..

.. .

.

(21)

The four-port -parameters of the network can be proved to have the following form if the reference impedance is : .. .

..

.

.. .

(22)

and the equation shown at the top of the following page. REFERENCES [1] J. Lin and T. Itoh, “Active integrated antennas,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 12, pp. 2186–2194, Dec. 1994.

1036

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

and

[2] T. Wong, Fundamentals of Distributed Amplification. Boston, MA: Artech House, 1993. [3] D. M. Pozar, Microwave Engineering, 3rd ed. New York: Wiley, 2004. [4] C. Caloz and T. Itoh, Electromagnetic Metamaterials Transmission Line Theory and Microwave Applications. Hoboken, NJ: Wiley, 2006. [5] G. Eleftheriades and K. Balmain, Negative-Refraction Metamaterials Fundamental Principles and Applications. New York: Wiley, 2005. [6] N. Engheta and R. W. Ziolkowski, Metamaterials: Physics and Engineering Explorations. New York: Wiley, 2006. [7] J. Mata-Contreras, T. M. Martín-Guerrero, and C. P. CamachoPeñalosa, “Experimental performance of a meta-distributed amplifier,” in 37th Eur. Microw. Conf., Oct. 2007, pp. 743–746. [8] J. Mata Contreras, C. Camacho Peñalosa, and T. M. Martín Guerrero, “Assessment of a composite right/left-handed transmission lines based distributed amplifier implemented in microstrip technology,” in 36th Eur. Microw. Conf., Manchester, U.K., 2006, pp. 1586–1589. [9] J. Mata-Contreras, T. M. Martín-Guerrero, and C. Camacho-Peñalosa, “Distributed mixers with composite right/left-handed transmission lines,” in Integr. Nonlinear Microw. Millimetre-Wave Circuits Conf. INMMiC, Aveiro, Portugal, 2006, pp. 98–101. [10] J. Mata Contreras, C. Camacho Peñalosa, and T. M. Martín Guerrero, “Active distributed mixers based on composite right/left handed transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 5, pp. 1091–1101, May 2009. [11] K. Mori and T. Itoh, “Distributed amplifier with CRLH transmission line leaky wave antenna,” in 38th Eur. Microw. Conf., Oct. 2008, pp. 686–689. [12] K. Mori and T. Itoh, “CRLH metamaterial receiving leaky wave antenna integrated with distributed amplifier,” in Asia–Pacific Microw. Conf., Dec. 2008, pp. 1–4. [13] C. M. Wu and T. Itoh, “A re-radiating CRLH-transmission line leaky wave antenna using distributed amplifiers,” in Asia–Pacific Microw. Conf., Dec. 2009, pp. 1998–2001. [14] A. A. Oliner, D. R. Jackson, and J. L. Volakis, Eds., Antenna Engineering Handbook, 4th ed. New York: McGraw-Hill, 2007, ch. 11. [15] H. V. Nguyen, A. Parsa, and C. Caloz, “Power-recycling feedback system for maximization of leaky-wave antennas’ radiation efficiency,” IEEE Trans. Microw. Theory Tech., vol. 28, no. 7, pp. 1641–1650, Jul. 2010. [16] H. V. Nguyen and C. Caloz, “Novel power recycling schemes for enhanced radiation efficiency in leaky-wave antenna,” in Asia–Pacific Microw. Conf., Dec. 2009, pp. 2006–2009. [17] H. V. Nguyen, S. Abielmona, and C. Caloz, “Highly efficient leakywave antenna array using a power-recycling series feedback network,” IEEE Antennas Wireless Propag. Lett., vol. 8, pp. 441–444, 2009. [18] F. P. Casares-Miranda, C. Camacho-Peñalosa, and C. Caloz, “Highgain active composite right/left-handed leaky-wave antenna,” IEEE Trans. Antennas Propag., vol. 54, no. 8, pp. 2292–2300, Aug. 2006. [19] F. J. Tischer, “Resonance properties of ring circuits,” IRE Trans. Microw. Theory Tech., vol. MTT-5, no. 1, pp. 51–56, Jan. 1957. [20] F. J. Tischer, “Resonance properties of nonreciprocal ring circuits,” IRE Trans. Microw. Theory Tech., vol. MTT-6, no. 1, pp. 66–71, Jan. 1958. [21] C. M. Wu and T. Itoh, “Gain-enhanced distributed amplifier-based CRLH-leaky wave antenna with quasi-resonant power recycling scheme,” in IEEE MTT-S Int. Microw. Symp., Baltimore, MD, Jun. 2011.

[22] C. S. Aitchison, “The intrinsic noise figure of the MESFET distributed amplifier,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 6, pp. 460–466, Jun. 1985. [23] K. Niclas, W. Wilser, T. Kritzer, and R. Pereira, “On theory and performance of solid-state microwave distributed amplifiers,” IEEE Trans. Microw. Theory Tech., vol. MTT-31, no. 6, pp. 447–456, Jun. 1983. Chung-Tse Michael Wu (S’10) received the B.S. degree from National Taiwan University (NTU), Taipei, Taiwan, in 2006, and the M.S. degree from the University of California at Los Angeles (UCLA), in 2009, both in electrical engineering and he is currently working toward the Ph.D. degree in electrical engineering from UCLA. Since September 2008, he has been a Graduate Student Researcher at the Microwave Electronics Laboratory, UCLA. In 2009, He worked as a Summer Intern in Bell Laboratories, Alcatel-Lucent, Murray Hill, NJ. His research interests include active antennas, microwave systems and metamaterials. Mr. Wu is the recipient of Asia–Pacific Microwave Conference (APMC) 2011 Student Prize.

Yuandan Dong (S’09) received the B.S. and M.S. degrees in radio engineering from Southeast University, Nanjing, China, in 2006 and 2008, respectively. He is currently working toward the Ph.D. degree in electrical engineering from the University of California at Los Angeles (UCLA). From September 2005 to August 2008, he was studying in the State Key Lab. of Millimeter Waves, Southeast University. Since September of 2008, he has been a Graduate Student Researcher with the Microwave Electronics Laboratory, UCLA. He is currently serving as a reviewer for several IET journals. He has authored over 20 journal and conference papers. His research interests include the characterization and development of RF and microwave components, circuits, antennas and metamaterials. Mr. Dong is a reviewer for several IEEE publications, including the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and the IEEE TRANSACTION ON ANTENNAS AND PROPAGATION. He is the recipient of the Best Student Paper Award from the 2010 Asia–Pacific Microwave Conference, Yokohama, Japan.

Jim S. Sun received the B.E.E. degree from the National Taiwan University, Taipei, Taiwan, in 2006, the M.Sc. degree from the in 2008, and is currently working toward the Ph.D. degree from the University of California at Los Angeles (UCLA) He worked as a Summer Intern in Bell Laboratories, Alcatel-Lucent, during the summer of 2008. His research interests are filters, tunable filters, and directional filters.

WU et al.: RING-RESONATOR-INSPIRED POWER RECYCLING SCHEME FOR GAIN-ENHANCED DA-BASED CRLH-TL LWAs

Tatsuo Itoh (S’69–M’69–SM’74–F’82–LF’06) received the Ph.D. degree in electrical engineering from the University of Illinois at Urbana-Champaign, in 1969. After working for the University of Illinois at Urbana-Champaign; SRI, Menlo Park, CA; and the University of Kentucky, Lexington, KY, he joined the faculty at the University of Texas at Austin, in 1978, where he became a Professor of electrical engineering in 1981. In September of 1983, he was selected to hold the Hayden Head Centennial Professorship of Engineering at the University of Texas at Austin. In January of 1991, he joined the University of California at Los Angeles, as Professor of electrical engineering and Holder of the TRW Endowed Chair in microwave and millimeter-wave electronics (currently Northrop Grumman Endowed Chair). He has 400 journal publications, 820 refereed conference presentations, and has written 48 books/book chapters in the area of microwaves, millimeter-waves, antennas and numerical electromagnetics. He generated 73 Ph.D. students. Dr. Itoh is a member of the Institute of Electronics and Communication Engineers of Japan, and Commissions B and D of SNC/URSI and was elected to a member of National Academy of Engineering in 2003. He served as the Editor of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES from 1983 to 1985, and was President of the MTTS in 1990. He was the Editor-in-Chief of the IEEE MICROWAVE AND GUIDED WAVE LETTERS from 1991 to 1994. He was elected as an Honorary Life Member of MTT-S in 1994. He was the Chairman of Commission D of International URSI from 1993 to 1996, and the Chairman of Commission D of International URSI from 1993 to 1996. He serves on advisory boards and committees of a number of organizations. He served as Distinguished Microwave Lecturer on Microwave Applications of Metamaterial Structures of IEEE MTT-S from 2004 to 2006. He was the recipient of a number of awards, including the IEEE Third Millennium Medal in 2000, and the IEEE MTT Distinguished Educator Award in 2000. In 2011, he received Microwave Career Award from the IEEE MTT-S.

1037

1038

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

AlGaN/GaN HEMT With Distributed Gate for Channel Temperature Reduction Ali M. Darwish, Senior Member, IEEE, H. Alfred Hung, Senior Member, IEEE, and Amr A. Ibrahim

Abstract—Self heating in electronic devices reduces their performance and lifetime. A novel high electron-mobility transistor (HEMT) layout that reduces the channel temperature is presented. To decrease self heating, the new distributed gate (DG) HEMT is configured with multiple, active, and nonactive sections along each gate-stripe. Simulations and experimental results indicating the improved performance of the new layout are presented. Compared to a conventional HEMT, the fabricated novel DG GaN HEMT demonstrated a decrease in channel temperature from 178 C to 150 C, accompanied by a 3-dB increase in output power, and 13-fold increase in lifetime. Index Terms—Channel temperature, GaN high electron-mobility transistor (HEMT), reliability, thermal resistance. Fig. 1. HEMT layout parameters: gate dimensions substrate thickness .

, gate pitch ,

I. INTRODUCTION

E

LECTRONIC devices and corresponding integrated circuits have performance limits that are frequently set by the maximum allowable current density, voltage/electric field, and channel (or junction) temperature. Self heating is undesirable as it reduces performance and lifetime [1], [2]. The channel temperature is correlated with the device lifetime through the Arrhenius equation [3], and may be determined through simulations [4]–[6], theoretical models [7], or experimentally [8]–[10]. Channel temperature directly affects the bandgap, electron mobility, electron saturation speed, pinch-off voltage, breakdown voltage, transconductance, saturation current, output power, and noise performance [11]. Reducing typically leads to enhanced device performance, and reliable sustainable operation. Heating in transistors leads to memory effects [12], which cause linearity degradation. Channel temperature is directly proportional to power dissipation through the thermal resistance constants. It also depends critically on the device layout. In the case of field-effect transistors (FETs) and high electron-mobility transistors (HEMTs), increases with the thermal resistance constant, and depends on the gatewidth, Manuscript received September 23, 2011; revised December 29, 2011; accepted January 05, 2012. Date of publication February 17, 2012; date of current version April 04, 2012. A. M. Darwish is with the Army Research Laboratory (ARL), Adelphi, MD 20783 USA, on leave from the Electronics Engineering Department, American University in Cairo (AUC), Cairo 11835, Egypt (e-mail: [email protected]. edu). H. A. Hung is with the Army Research Laboratory (ARL), Adelphi, MD 20783 USA (e-mail: [email protected]). A. A. Ibrahim is with the Electronics Engineering Department, American University in Cairo (AUC), Cairo 11835, Egypt (e-mail: amralaa87@gmail. com). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2185948

gate length, gate pitch, substrate thickness, and thermal conductivity [13]. A novel technique for reducing channel temperature is presented and validated with experimental and simulation data. The technique is based on a new gate layout structure in which heat-generating active gate stripes are separated into several segments, thereby reducing heat crowding. Simulations and experimental verification indicate a significant heat reduction as a result of the new design leading to improvements in device performance. II. PRINCIPLE OF OPERATION Consider an FET with constant highly localized heat sources (Fig. 1) on a substrate of thickness . The heat sources represent and width . The gate the gates of the device with length pitch is , while thermal conductivities of the GaN and substrate are , and , respectively. The channel temperature may be calculated using a numerical simulator [6] or the closed-form expression in [13]. Consider section A of the gate stripe in Fig. 1. The temperature in A is determined by: 1) the self heating of the active area in A plus; 2) the cross heating caused by nearby active sections in the same gate stripe, such as section B; and 3) the heating effect from neighboring gates, such as section C. Reducing the temperature can be accomplished by reducing the self heating from each section and the contributions of close-by sections. Reducing the self heating of section A, for example, can be achieved by increasing the gate length , and decreasing substrate thickness . How, and power dissipation per millimeter of gatewidth and cannot be ever, in a typical device fabrication process, by increasing readily changed by the designer. Reducing device power-added efficiency is a well-recognized goal for designers, and it is the focus of numerous research groups [14]. Another option is to reduce cross heating from neighboring gate stripes (e.g., section C), which can be achieved by increasing the

U.S. Government work not protected by U.S. copyright.

DARWISH et al.: AlGaN/GaN HEMT WITH DG FOR CHANNEL TEMPERATURE REDUCTION

gate pitch . This comes at the expense of larger area, leading to: 1) wider drain pads, (hence, larger drain–source capacitance ) and 2) wider source pads (hence, greater source inductance ). Increasing , generally reduces bandwidth, gain, and makes it more difficult to match the output of the device. Similarly, increasing leads to lower gain because of its negative feedback effect. Additionally, increasing the gate pitch may not be advantageous in performance for millimeter-wave devices since it results in significant phase discrepancies between the center gate stripe and the end gate-stripes because of the differential signal-path length. Clearly, there is a tradeoff between channel temperature and various electrical performance metrics. This paper proposes reducing channel temperature of section A along a gate stripe, e.g., by decreasing cross heating from nearby sections, such as section B, through sectioning (or distributing) the gate into separate sections, as shown in Fig. 2(a). The new distributed gate (DG) HEMT reduces heating at the expense of some increase in device area. The gate and drain capacitances are composed of an epitaxial-based portion (main contribution) and a geometry-based portion (minor contribution). The increase in device area adds to the gate and drain geometry-based portion of the capacitances. However, it does not produce phase differences in the signal paths among different parallel gate stripes. The shaded areas in Fig. 2(a) are active gate regions with widths and for end segments. The gate segments are connected by islands of metal (e.g., island D) with width , and length . In the metal islands (inactive regions), the channel is etched off. The length of source and drain stripes is in active segments, and in inactive segments. By choosing , it is possible to reduce the contributions of the inactive regions to and . In Fig. 2(a), the various parameters shown are not drawn to scale. For example, is on the order of 0.1–0.5 m, while is 5–10 m to minimize the series resistance of the connecting islands along each gate stripe. The metal thickness is also greater in the connecting islands than that in the active gate regions to reduce series resistivity. The additional metal means that the series gate resistance will increase slightly. Compared to conventional HEMT devices, the DG-HEMT configuration may result in slightly larger area and higher equivalent circuit capacitances and resistances. However, as shown later, these factors are overwhelmed by the advantage of the DG-HEMT or DG-FET in achieving lower channel temperatures, especially for wide-bandgap semiconductor (such as GaN and SiC) devices, where the performance (gain, output power, and noise) is frequently limited by heat dissipation. In comparisons between the DG-HEMT and the regular HEMT, the active gatewidth is kept the same. The gatewidth for the DG-HEMT is the sum of the active areas ( and segments) in Fig. 2(a). In the DG-HEMT, the insertion of the metal islands (inactive regions) increases the physical gatewidth (not the active gatewidth) of each gate stripe (finger). This increases the phase length of each gate stripe and may limit performance at higher frequencies. Care must be taken so that the physical gatewidth of each stripe remains a small fraction of a wavelength. To quantify the discussion, consider as an example a GaN/SiC HEMT with 0.25- m gate length , 600- m 8 75 m gate periphery, 28.5- m gate pitch , 100- m substrate thickness , dissipating 6 W (30 V 200 mA) [see Fig. 2(b)]. A

1039

Fig. 2. (a) DG-HEMT. Relative sizes are not to scale. Shaded areas (e.g., A–C) are active gate segments while unshaded areas (e.g., D) are inactive segments. In keeping with HEMT dimension designation: vertical scale—width, and horiof segments A–C is the same. Inactive zontal scale—length. The gate length areas have greater length . In comparisons between the DG-HEMT and regsegments for the DG-HEMT) ular HEMT, the active gatewidth (sum of is kept the same. (b) Regular HEMT layout (left) and DG-HEMT layout (right).

numerical simulator (ANSYS [6]) with nonlinear thermal conductivity was used to calculate the channel temperature variation with inactive gate section width (see Fig. 3). As the inactive section width increases, the temperature decreases quickly. The temperature distribution along a gate stripe is shown for a regular gate stripe (solid line) versus the DG (dashed line) (see Fig. 4). As can be observed, the temperature fluctuation for the case of the DG-HEMT is significantly less than that of the regular HEMT. This is advantageous and expected given that the heat is spread over a wider physical area. Despite the low-temperature fluctuation in the DG-HEMT, the middle sections are, to a small extent, hotter than those at the edge. This is a consequence of choosing almost equal width for segments , and [see Fig. 2(a)]. The maximum temperature (in the center) can be reduced by choosing in order to shift heating towards the gate stripe edges and away from the center. The designer may even elect to use gate sections of varying widths to control undesirable heating at the center, or eliminate the active gate section in the center of the HEMT. The 3-D temperature distribution for the DG-HEMT is shown in Fig. 5. A valid concern about using the DG-HEMT is the increase in device area. However, if the total area of a power amplifier

1040

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 3. Channel temperature as a function of gate inactive section “gap,” , increases. The plotted channel temperature is at the middle section of the middle finger; the hottest spot.

Fig. 4. Channel temperature along center line for both regular HEMT (solid line) and DG-HEMT (dashed line).

(PA) monolithic microwave integrated circuit (MMIC) is considered, then it becomes apparent that the overall area of the MMIC increases slightly. Consider, for example, the layout of a representative MMIC shown in Fig. 6 with dimensions 2.8 2.1 mm (area of 5.9 mm ). The active devices occupy about 0.22 mm , less than 4% of the total area. Hence, even if the area of each HEMT was increased by 50%, the total increase in MMIC area would only be around 2%. To pursue this further, consider the HEMT area defined in Fig. 2(b). There are two options to reduce the channel temperature , which are: 1) increasing gate gap or 2) increasing the gate pitch . Fig. 7(a) plots and the increase in area for both options. The line with square symbols represents variation of channel temperature with gate gap , while the one with circle symbols represents variation with gate pitch . The range of gate-pitch variation was selected such that it produces the same overall increase in relative area. For example, as the gate gap increases from 0 to 30 m, drops from 178.2 C to 149.8 C, and the device area increases by 45%. Alternatively, if the gate pitch is increased from 28.5 to 50 m, drops from 178.2 C to 157.1 C, and the area also increases by 45%. Increasing the gate pitch is expected to have a number of undesirable effects on performance, as discussed earlier. The device reliability is strongly dependent on channel temperature. It may be assessed through the Arrhenius equation

(1)

Fig. 5. (a) Contour plot of channel temperature along a gate stripe of the DG-HEMT, showing the maximum temperature of 153.8 C. (b) 3-D plot of channel temperature along a gate stripe of the DG-HEMT. This plot is for the same case shown in Fig. 5(a). The surface plot shows the temperature profile at the top of the HEMT (the area enclosed by the black rectangle at the top).

Fig. 6. Layout of a typical PA MMIC, showing multiple HEMT cells and each consisting of multiple gate stripes. The dimensions are 2.8 2.1 mm.

where

and are the channel temperatures in degrees Kelvin, and are the corresponding mean-time-to-failure (MTF) values, is the activation energy, and is the Boltzman constant 8.6 10 eV/K . Evaluating the expression for GaN with eV as an example [15], C and C gives . Fig. 7(b) shows the relative improvement of lifetime versus gate gap. Improvement in MTF ratio using values from other research can also be readily assessed.

DARWISH et al.: AlGaN/GaN HEMT WITH DG FOR CHANNEL TEMPERATURE REDUCTION

1041

Fig. 8. Photographs of a regular HEMT and a DG-HEMT. The regular HEMT shows one 75- m-wide air-bridge per gate stripe (finger) connecting each source island (pad). In the DG-HEMT (sample with 10- m–wide inactive sections), six small air-bridges connecting each source island.

Fig. 7. (a) Change in channel temperature as gate gap increases (square symbol), and as gate pitch increases (circle symbols). The relative increase of device area in both cases is the line labeled “rel. area.” (b) Relative improvewith gate gap for a DG-HEMT according ment of lifetime to the Arrhenius equation. (c) Decrease in channel temperature as the number of segments along the gate stripe is increased.

Finally, the basic idea here is that the heat generating regions are spread out over a larger area. Suppose the 75- m gate stripe is broken into six segments (five gate gaps) with m. The total stripe width is then 150 m. Alternately, the gate could have been sectioned into two equal segments with a 75- m gap, or three equal segments with two 37.5- m gaps. Fig. 7(c) shows the maximum channel temperature as a function of the number of segment for a fixed stripe width of 150 m. The temperature decreases with an increase in the number of segments. III. EXPERIMENTAL RESULTS Several HEMTs were fabricated for the purpose of validating the technique. The AlGaN/GaN HEMT epitaxial layers were fabricated on MOCVD-grown 3-in semi-insulating 6H-SiC substrates. The material has an AlN nucleation layer on SiC substrates. The epitaxial layers consist of an undoped GaN channel

layer, an AlN barrier layer, an undoped AlGaN layer, and a GaN cap layer. Device isolations were formed by mesa etch using reactive ion etching (RIE). In the inactive regions, the mesa is etched off. Ti/Al-based metal stack and rapid thermal anneal (RTA) at 850 C were used for source and drain ohmic contacts. Contact resistances were measured to be less than 0.4 mm. Si N was used for surface passivation. Gate openings were defined by e-beam lithography. Gates were recessed using ICP etch followed by Pt/Au metal deposition. In the DG-HEMT, the gate metal islands (inactive regions) can be formed using ohmic metal. However, it is better to add metal 1 and metal 2 to reduce the resistivity. The HEMTs were designed for 30-V operation, and small devices 4 50 m had a power gain of 11.3 dB and power density of 5.6 W/mm at 10 GHz. In the following, HEMTs with a total active gatewidth of 600 m (8 75 m/finger) are described. The experimental data from three DG-HEMTs with gate gaps of 10, 20, and 30 m are presented. Photographs of a regular HEMT and a DG-HEMT with 10- m gap are shown in Fig. 8. As the gap increases, the thermal resistance decreases. The thermal resistance is defined as , where is the temperature increase, and is the dissipated power. As a result, the temperature will be lower for DG-HEMTs with greater gaps. Fig. 9(a) shows the cutoff frequency as a function of bias for the 3 DG-HEMTs along with the regular HEMT (labeled as the 0- m gap). An approximate expression for the cutoff frequency is , where is the transconductance, is the gate–source capacitance, and is the gate–drain capacitance. As the figure indicates, drops with increasing drain voltage (the bias current is kept constant at 200 mA) because of self heating, as expected. However, at full bias (30 V), the difference in between the regular HEMT and the DG-HEMTs is not very significant. In fact, the DG-HEMT with m outperforms the regular HEMT. For the DG-HEMTs with , and m, the larger and result in a lower . The equivalent circuit was extracted to find the capacitance dependence on gate gap. Fig. 9(b) shows the extracted capacitance values (per millimeter) of gate–source capacitance , gate–drain capacitance , and drain–source capacitance . The increase in capacitances is moderate and linear. The growth of capacitances can probably be reduced through a more careful layout or the use of some air-bridges.

1042

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

drive curves are shown in Fig. 11. The DG-HEMTs consistently outperform the regular HEMT at 30 V with the performance showing greater improvements for the devices with lower self heating. Several devices of each type were measured, and the performance shown in Fig. 10 was consistently obtained. IV. CONCLUSION

Fig. 9. (a) Change in cutoff frequency as the drain voltage is increased. The ). (b) Change in drain current was kept constant at 200 mA (40% of (diamond), (square), and (triangle) as the gate inactive section length (gap) is increased. The capacitances were obtained at 30 V, 200 mA, in all cases. A linear fit was added to each case.

An innovative device layout technique for reducing self heating in FET and HEMT structures was presented. The reduction in heating comes at the expense of a moderate increase in device area and capacitance. Conventional approaches such as increasing gate-pitch dimension would still result in larger device size and performance degradation, especially at millimeter-wave frequencies. The experimental results show significant performance enhancement at 10 GHz. Up to 3-dB increase in output power is observed at 30-V bias. Additionally, transistor heating is a cause of memory effects, which degrade linearity [12] of PAs, especially for modulated signals. Generally, reducing memory effects improves linearity. The technique was demonstrated here using an AlGaN/GaN HEMT. However, it should provide similar performance enhancement for other semiconductor (Si, GaAs, SiC, etc.) HEMTs and FETs, independent of the fabrication process. High-voltage (28 V) GaAs pseudomorphic high electron-mobility transistor (pHEMT) devices [16] are particularly suitable for this technique, given that self heating is the main limiting factor for these devices. The technique can be slightly modified, and applied to HBT devices, which have more significant heating issues compared to FET structures. ACKNOWLEDGMENT The authors would like to acknowledge the support of TriQuint Semiconductor, Richardson, TX, for device fabrication in one of their GaN developmental process runs.

Fig. 10. Change in output power

as the drain voltage is increased.

Fig. 11. Sample power drive curves for a 30- m DG-HEMT and a regular V. HEMT at

Therefore, impedance match to the DG-HEMT is similar to a regular HEMT. The output power, at 1-dB compression, of all the devices was measured at 10 GHz using a load–pull system. The results are shown in Fig. 10, and sample power

REFERENCES [1] A. M. Darwish and H. A. Hung, “Improving thermal reliability of FETs and MMICs,” IEEE Trans. Device Mater. Rel., vol. 11, no. 1, pp. 164–170, Mar. 2011. [2] A. M. Darwish, A. Bayba, and H. A. Hung, “FET gate length impact on reliability,” in IEEE MTT-S Int. Microw. Symp. Dig., Honolulu, HI, 2007, Paper WE1A-03. [3] J. A. del Alamo and J. Joh, “GaN HEMT reliability,” Microelectron. Rel., vol. 49, no. 9–11, pp. 1200–1206, 2009. [4] WinTherm 7.0. Calumet, MI: ThermoAnalyt. Inc., 2002. [5] Thermal Analysis System 6.1. Harvard, MA: Harvard Thermal Inc., 1997. [6] ANSYS 7.0. Canonsburg, PA: ANSYS Inc., 2002. [7] A. M. Darwish, A. Bayba, and H. A. Hung, “Accurate determination of thermal resistance of FETs,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 306–313, Jan. 2005. [8] M. Kuball, A. Sarua, H. Ji, M. J. Uren, R. S. Balmer, and T. Martin, “Thermography on AlGaN/GaN transistors,” in IEEE MTT-S Int. Microw. Symp. Dig., San Francisco, CA, 2006, Paper TH1B-6. [9] A. M. Darwish, A. J. Bayba, and H. A. Hung, “Utilizing diode characteristics for GaN HEMT channel temperature prediction,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 12, pp. 3188–3192, Dec. 2008. [10] J. Jungwoo, J. A. del Alamo, U. Chowdhury, T. M. Chou, H.-Q. Tserng, and J. L. Jimenez, “Measurement of channel temperature in GaN highelectron mobility transistors,” IEEE Trans. Electron Devices, vol. 56, no. 12, pp. 2895–2901, Dec. 2009. [11] S. Sze, Semiconductor Devices: Physics and Technology, 2nd ed. London, U.K.: Wiley, 2001.

DARWISH et al.: AlGaN/GaN HEMT WITH DG FOR CHANNEL TEMPERATURE REDUCTION

[12] E. Schurack, W. Rupp, T. Latzel, and A. Gottwald, “Analysis and measurement of nonlinear effects in power amplifiers caused by thermal power feedback,” in IEEE Int. Circuits Syst. Symp., San Diego, CA, 1992, pp. 758–761. [13] A. M. Darwish, A. Bayba, and H. A. Hung, “Thermal resistance calculation of AlGaN/GaN devices,” IEEE Trans. Microw. Theory Tech, vol. 52, no. 11, pp. 2611–2620, Nov. 2004. [14] S. Cripps, RF Power Amplifiers for Wireless Communications, 2nd ed. Norwood, MA: Artech House, 2006. [15] B. Heying, W. Luo, I. Smorchkova, S. Din, and M. Wojtowicz, “Reliable GaN HEMTS for high frequency applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Anaheim, CA, 2010, pp. 1218–1221. [16] I. Takenaka, K. Ishikura, H. Takahashi, K. Hasegawa, T. Ueda, T. Kurihara, K. Asano, and N. Iwata, “A 330 W distortion-cancelled Doherty 28 V GaAs HJFET amplifier with 42% efficiency for W-CDMA base stations,” in IEEE MTT-S Int. Microw. Symp. Dig., Anaheim, CA, 2006, pp. 1344–1347.

Ali M. Darwish (M’90–SM’10) received the B.Sc. and M.S. degrees (with honors) in electrical engineering from the University of Maryland at College Park, in 1990 and 1992, respectively, and the Ph.D. degree from the Massachusetts Institute of Technology (MIT), Cambridge, in 1996. In 1990, he joined COMSAT Laboratories, where he conducted experimental research for his M.S. thesis. In 1992, he was a Research Assistant with the Optics and Quantum Electronics Group, Massachusetts Institute of Technology (MIT). In 1997, he co-founded Amcom Communications Inc., a leading supplier of high-power microwave devices. In May 2003, he joined the RF Electronics Division, Army Research Laboratory (ARL), Adelphi, MD. In 2007, he joined the American University in Cairo (AUC), Cairo, Egypt, as an Assistant professor, and became an Associate Professor in 2009. He currently conducts research on wide-bandgap materials (GaN), thermal analysis of active devices, and novel MMIC concepts. Prof. Darwish is a member of the European Microwave Association. He was the recipient of a National Science Foundation (NSF) Fellowship, the Outstanding Teaching Award of AUC, and the Outstanding Service Award of the ARL.

1043

H. Alfred Hung (S’74–M’75–SM’81) received the S.B. degree in electrical engineering from the Massachusetts Institute of Technology (MIT), Cambridge, in 1968, and the M.S. and Ph.D. degrees from Cornell University, Ithaca, NY, in 1970 and 1974, respectively. In 2001, he joined the Army Research Laboratory (ARL), Adelphi, MD, where he has initiated research and development programs on III–V, wide-bandgap, and Si-based electronic devices/circuits and novel integration technologies for sensor, imaging, communication, and multifunction phased-array systems. He is also the Army Lead for a number of Defense Advanced Research Projects Agency (DARPA) programs (including WBGS-RF, TFAST, SWIFT, and THz Electronics), and has worked with universities and industries in advancing technologies from these programs and other Army initiatives. He previously held research, functional, and program management positions with COMSAT Laboratories, Raytheon, TRW (now NGAS), and GTS, and was involved with various semiconductor technologies and subsystems for satellite/earth-station, wireless, and military applications. He was also an Adjunct Professor with George Washington University, Washington, DC. He has authored or coauthored numerous journal, invited presentation, and conference digest papers and book chapters. He has also been a reviewer for a number of technical journals. Dr. Hung has been active with IEEE Symposium Technical Committees. He has been an editorial reviewer for IEEE publications.

Amr A. Ibrahim was born in Cairo, Egypt, in 1987. He received the B.Sc. (with honors) degree in electrical engineering from Ain Shams University, Cairo, Egypt, in 2009, and is currently working toward the M.Sc. degree at Ain Shams University. His master research involves the use of metamaterials in designing enhanced-performance RF components and antennas. He was appointed as a Teaching Assistant (T.A.) by the Faculty of Engineering, Ain Shams University, upon his graduation. From August 2009 to August 2010, he was a Research Assistant (R.A.) with the American University in Cairo. In Summer 2010, he held an internship with the Chair of Microwave and Communication Engineering, Otto-Von-Guericke University, Magdeburg, Germany, where he developed a three-layer 8 8 Butler matrix used in feeding antenna arrays utilized in magnetic resonance imaging (MRI) systems.

1044

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Intrinsically Switched Varactor-Tuned Filters and Filter Banks Andrew C. Guyette, Member, IEEE

Abstract—Intrinsically switched tunable filters are switched on and off using the tuning elements that tune their center frequencies and/or bandwidths, without requiring an increase in the tuning range of the tuning elements. Because external RF switches are not needed, substantial improvements in insertion loss, linearity, dc power consumption, control complexity, size, and weight are possible compared to conventional approaches. An intrinsically switched varactor-tuned bandstop filter and bandpass filter bank are demonstrated here for the first time. The intrinsically switched bandstop filter prototype has a second-order notch response with more than 50 dB of rejection continuously tunable from 665 to 1000 MHz (50%) with negligible passband ripple in the intrinsic off state. The intrinsically switched tunable bandpass filter bank prototype, comprised of three third-order bandpass filters, has a constant 50-MHz bandwidth response continuously tunable from 740 to 1644 MHz (122%) with less than 5 dB of passband insertion loss and more than 40 dB of isolation between bands.

Fig. 1. (a) Conventional switched tunable bandpass filter bank. (b) Switchless tunable bandpass filter bank utilizing intrinsically switched tunable bandpass filters.

Index Terms—Filters, microstrip filters, resonator filters, tunable circuits and devices.

I. INTRODUCTION

T

UNABLE filters are essential to the realization of frequency-agile microwave systems. They are particularly useful in receiver applications because they can be reconfigured to adapt to a changing electromagnetic environment, capturing signals of interest while blocking unwanted interferers. There is a need for both high-performance tunable bandpass and bandstop filters, depending on the configuration and the specific requirements of the system [1]. When designing a tunable filter, there are several performance tradeoffs that must be considered. For example, as the tuning range of a tunable filter is widened, it becomes increasingly difficult to maintain a good filter response across the entire tuning range. This is due to two reasons: the frequency dependence of the filter’s constituent parts (couplings, transmission-line electrical lengths, etc.) and the tuning-state dependence of the dissipative losses associated with the tuning elements, which tend to dominate over other losses in the filter. The frequency-dependence issue can be largely overcome with careful design [2]–[4], but the loss of the tuning elements sets fundamental performance limitations. As the tuning range of a tunable filter is increased, the tuning elements are necessarily Manuscript received August 02, 2011; revised November 10, 2011; accepted November 18, 2011. Date of publication February 07, 2012; date of current version April 04, 2012. The author is with Code 6851, Naval Research Laboratory, Washington, DC 20375-5347 USA. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2184131

Fig. 2. (a) Conventional switched tunable bandstop filter bank. (b) Switchless tunable bandstop filter bank utilizing intrinsically switched tunable bandstop filters.

coupled more tightly to the resonators, and thus their loss has ( ). A greater effect on the overall resonator unloaded results in a degradation of filter selectivity, poor resonator as well as increased passband insertion loss in the case of bandpass filters and decreased stopband rejection in the case of bandstop filters. Passive loss compensation techniques do exist that allow for dramatic increases in the stopband rejection still sets a lower of lossy bandstop filters [5], but resonator limit to the 3-dB bandwidth. In an attempt to extend the tuning range of tunable filters without degrading performance, switched-bank tunable filter configurations are often used. Switched tunable bandpass filter banks [see Fig. 1(a)] and switched tunable bandstop filter banks [see Fig. 2(a)] are comprised of a number of tunable filters with tuning ranges corresponding to bands within the desired full tuning range. To select the appropriate filter, RF switches are placed at the input and output in the bandpass bank, and before and after every filter in the bandstop bank. The result is superior performance, in terms of either total tuning range or passband insertion loss, to that which is possible with a single tunable

U.S. Government work not protected by U.S. copyright.

GUYETTE: INTRINSICALLY SWITCHED VARACTOR-TUNED FILTERS AND FILTER BANKS

filter. The switches themselves, however, add significant passband insertion loss, which tends to increase as the number of filters in the bank increases, in the process significantly diminishing or eliminating the insertion loss improvement provided by reducing the tuning range of each of the individual constituent filters. In addition, the switches increase the size, weight, power consumption, and control complexity, and they can degrade the linearity of the filter bank. This paper proposes a solution to this problem in the form of intrinsically switched tunable filters, where the switching function is performed by the filters themselves, thereby completely eliminating the need for switches in filter banks [see Figs. 1(b) and 2(b)] and in other applications where a switchable filter is needed. Intrinsically switched tunable filters are tunable filters that are switched on and off using the same tuning elements that tune their center frequencies and/or bandwidths. In its “off” state, an ideal intrinsically switched bandpass filter rejects signals of all frequencies, and an ideal intrinsically switched bandstop filter passes signals of all frequencies. A tunable bandpass filter with intrinsic-switching capability was first demonstrated in [6], where it is shown that varactors (tunable capacitors) can be used to tune not only the center frequency, but also the bandwidth, which could be tuned down to zero, effectively switching the filter off. This is achieved by using the varactors to control the voltage and current distributions in the resonators such that the electric and magnetic inter-resonator coupling coefficients are equal and opposite, resulting in zero net coupling. Microwave bandstop filters, however, are typically realized with a through-line with resonators coupled to it along its length. These resonator-to-through-line couplings cannot be cancelled in the same way as inter-resonator couplings in bandpass filters can be cancelled. This paper shows that intrinsically switched bandstop filters can, however, be realized with bandstop sections consisting of two transmission paths, where the bandstop response is suppressed with constructive interference. This paper is organized into two main sections. Section II describes the theory of operation and design of intrinsically switched tunable bandstop filters, as well as the measured performance of a two-resonator microstrip prototype. The prototype gives a notch response with more than 50 dB of rejection continuously tunable from 665 to 1000 MHz (50%) with negligible passband ripple in the intrinsic off state. Section III describes in more detail the bandpass intrinsic switching concept first introduced in [6], and extends it to realize the first switchless tunable bandpass filter bank. A microstrip prototype comprised of three third-order intrinsically switched varactor-tuned bandpass filters was designed, built, and tested, and it demonstrated a constant 50-MHz 3-dB bandwidth response tunable from 740 to 1644 MHz (122%) with more than 40 dB of isolation, while requiring only five unique control signals. In both the bandstop Section II and the bandpass Section III, the coupling coefficient(s) versus varactor tuning characteristic of various tunable filter topologies is evaluated. Such analyses are greatly simplified with the assumption that the couplings are relatively weak, which results in simple expressions representative of the tuning characteristics of the different circuit topologies. Once a tunable filter topology with the desired tuning charac-

1045

teristics is identified, the design process can proceed with more representative equivalent circuits and/or computer simulation. II. INTRINSICALLY SWITCHED TUNABLE BANDSTOP FILTERS Switched bandstop filters are conventionally realized using switches to bypass a bandstop filter with a through-line, as in Fig. 2(a). This approach requires that the switches are in the signal path, where the switches add significant passband insertion loss in both the on and off states. An alternative approach is presented in [7], where switches are used instead to switch in a bandpass filter in parallel with a bandstop filter, which results in an all-pass response. As the bandstop filter is never switched out of the circuit, the switches are not required to be in the signal path in the on state. However, the approach in [7] has two limitations. First, the all-pass condition necessary for the off state determines the bandstop transfer function, and thus, bandstop responses with optimum selectivity for a given application (e.g., elliptic function) cannot necessarily be realized. Second, in the off state, this approach gives a resonant all-pass response, which means that significant group-delay ripple is present around the center frequency of the suppressed bandstop response. Group-delay variation can cause signal distortion, as well as increased insertion loss from the dissipative losses of the circuit elements. The intrinsically switched tunable filters, described here, do not suffer from these limitations. In addition, they are both center frequency and bandwidth tunable, neither of which is true for the filters shown in [7]. In [8], the higher order spurious responses of bandstop filters are cancelled using the constructive interference associated with a two-path bandstop section. In this paper, it is shown that this technique can also be applied to cancel out the fundamental bandstop response in a controlled fashion and thus allow for the realization of intrinsically switched bandstop filters. The properties of two-path bandstop sections are discussed in Section II-A. Sections II-B and II-C describe the theory and design of an intrinsically switched bandstop section, and a microstrip prototype is presented in Section II-D. A. Two-Path Bandstop Section A high-pass prototype of a conventional bandstop section is shown in Fig. 3(a). It consists of a 1-F capacitor, which represents a resonator, coupled to a transmission line of 1- characteristic impedance with an admittance inverter . Using conventional circuit analysis [9] and assuming a 1- source and load impedance, the transfer function is found to be (1) where is the complex frequency variable and and are the electrical lengths of the input and output through-line sections. The 3-dB cutoff frequency of the high-pass prototype is (2) and thus the bandwidth of a conventional bandstop section is . dependent only on the strength of the coupling

1046

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

bandstop response of this section is turned off when

(8) In the off state, the resonator is effectively removed from the circuit, leaving only the through-line. This is also true when the resonator has finite , which can be modeled by the change of variable in (4), where is a shunt conductance representing resonator loss. The conventional and two-path bandstop sections are equivalent when (9) and (10) (11)

Fig. 3. (a) Conventional bandstop section—bandwidth is dependent on the only. (b) Two-path bandstop section—bandwidth is strength of coupling , , and . dependent on

A high-pass prototype of a two-path bandstop section is shown in Fig. 3(b), comprised of a resonator coupled twice, with couplings and , to a through-line of 1- characteristic impedance and electrical length . The frequency shift of the resonator is modeled by a shunt frequency-invariant susceptance . Under the condition (3) the frequency of zero transmission in the high-pass prototype transfer function is normalized to the origin. The transfer function is then (4) and the reflection functions are (5) (6) The 3-dB cutoff frequency is (7) and which is dependent on both the values of the couplings , as well as , the electrical length of the through-line. The

Therefore, the reference plane for the coupling of the equivalent conventional bandstop section, defined by and , is dependent on the relative strength of the couplings and . The two-path bandstop section possesses two properties of interest: it becomes a through-line when constructive interference occurs between the two paths (when (8) is satisfied), and the coupling reference plane [from (10) and (11)] may be controlled by controlling the ratio of the couplings and . Both of these properties are used to realize intrinsically switched bandstop filters, as described in Sections II-B and II-C. B. Effect of Mixed Coupling on the Coupling Reference Plane Here it is shown that a resonator coupled to a through-line with a mix of both electric and magnetic couplings can be modeled as the two-path bandstop section of Fig. 3(b), where and represent the electric and magnetic couplings, respectively. Consequently, the coupling reference plane is determined by the relative strength of the electric and magnetic couplings. Fig. 4(a) depicts a resonator coupled to a normalized transmission line with both electric coupling (represented by mutual capacitance ) and magnetic coupling (represented by mutual inductance ). An equivalent circuit is shown in Fig. 4(b), where the electric and magnetic couplings are represented by an admittance inverter and an impedance inverter , respectively. This is a simplification since both couplings are assumed here to be to the same point on the transmission line, where, in a realizable microwave circuit, the couplings would be distributed along the length of a transmission line, and the negative elements of the inverters would be absorbed by modifying the transmission line’s equivalent capacitance and inductance per unit length. However, this simplified circuit is useful for understanding the effects of changing the ratio of electric to magnetic coupling. For example, at resonance, when there is only electric coupling , the circuit of Fig. 4(b) reduces to a shunt-series lumped-element resonator, and thus at resonance there is an RF short at the point at which the resonator couples to the through-line. Conversely, when there is only magnetic coupling

GUYETTE: INTRINSICALLY SWITCHED VARACTOR-TUNED FILTERS AND FILTER BANKS

1047

, the circuit reduces to a series-parallel lumped-element resonator, which becomes an RF open at resonance. Transitioning between pure electric coupling (a short) to pure magnetic coupling (an open) is equivalent to a 90 phase shift along the through-line. This observation is used to derive the equivalent two-path bandstop section high-pass prototype shown in Fig. 4(c), where the admittance inverters and are given by (12) (13) where and are the susceptance and reactance slope parameters [11] of the resonator, respectively. and were derived by assuming and , respectively, and therefore the high-pass prototype is only valid for weak couplings. Referring to Fig. 3 and setting , , and , the frequency shift [from (3)] and [from (9)] of the equivalent conventional bandstop section [see Fig. 3(a)] are given by (14) and (15) Equation (15) shows that for the conventional bandstop section topology, the electric and magnetic couplings are additive regardless of their relative sign and [from (2)] cannot be zero, given any nonzero amount of either electric or magnetic coupling, no matter what the ratio of electric to magnetic coupling is. The coupling reference plane is defined by and , given by (10) and (11). The value of ranges from 0 (when ) to 90 (when ). C. Realization of an Intrinsically Switched Tunable Bandstop Section As just demonstrated, the coupling reference plane of a resonator coupled to a through-line is related to the ratio of electric to magnetic coupling. If this ratio were to be made tunable, the coupling reference plane would also be tunable. Furthermore, if such a resonator is coupled to a through-line twice, with two mixed couplings (as in Fig. 4) with tunable electric to magnetic ratios, a two-path bandstop section [as in Fig. 3(b)] could be realized where the through-line electrical length is tunable, and thus, intrinsically switchable if can be tuned to satisfy (8). A convenient and practical way of tuning the ratio of electric to magnetic coupling is with the use of a transmission-line resonator loaded with two varactors and side coupled to a throughline. Offset tuning the varactors—in other words, varying the ratio of their respective capacitances—changes the distribution of voltage and current in the distributed coupling region, which, in turn, changes the ratio of electric to magnetic coupling. 1) Analysis of a Side-Coupled Offset-Tuned TransmissionLine Resonator: Shown in Fig. 5(a) is the well-known equivalent circuit of a pair of coupled lines [10], comprised of shorted stubs of characteristic admittance and unit elements of ad-

Fig. 4. (a) General representation of a resonator coupled to a through-line with both electric and magnetic couplings. (b) Equivalent circuit comprised of an and an impedance inverter . (c) High-pass prototype, admittance inverter comprised of a two-path bandstop section and a 90 phase shift.

mittances , , and . The two unit elements of admittance can be replaced with the equivalent network of Fig. 5(b) [9, p. 171] consisting of an admittance inverter and two shorted stubs. A resulting new coupled-line model consisting of two unit elements and four admittance inverters is shown in Fig. 5(c). Fig. 6(a) is a schematic representation of a side-coupled capacitively loaded transmission-line resonator coupled from one end to a through-line over an electrical length . The coupling region is modeled using the admittance inverter equivalent circuit of Fig. 5(c). The electrical length of the resonator at its fundamental resonant frequency, , is . A varactor with capacitance is attached to one end of the resonator, and a varactor with capacitance to the opposite end. The characteristic admittance of the resonator along its entire length is defined to be , and the characteristic impedance of the through-line is normalized to 1 . Assuming weak coupling, the voltage and current distributions on the transmission-line resonator at resonance can be defined to be continuous sinusoidal standing waves with amplitudes (16) (17) where is the electrical length referred to the left end of the resonator in Fig. 6(a). and are electrical lengths of open

1048

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 5. Derivation of admittance inverter model of coupled-line section. (a) Model derived from admittance matrix [7]. (b) Transformation of unit element. (c) Admittance inverter model.

stubs equivalent to quency,

and

, respectively, at the resonant fre-

Fig. 6. (a) Schematic representation of an offset-tuned transmission-line resonator side coupled to a through-line using coupled-line equivalent circuit of Fig. 5. (b) Calculated bandwidth and coupling reference plane response with . offset tuning

where is angular frequency, is the resonant frequency, and is the resonator susceptance. The derivative of susceptance with respect to frequency may be written in terms of stored energy [12, p. 232]

(18) (23)

and (19) decreases Therefore, for a given , increasing versa. From (18), and are given by

and vice (20)

where and are the stored magnetic and electric energies, respectively, in the resonator at resonance, and is the voltage amplitude at the input node at resonance. Equation (22) can then be written (24)

(21) Next, the susceptance slope parameters and , looking into the resonator at nodes A and B, the points at which the admittance inverters connect to the resonator, are calculated. The standard expression for the susceptance slope parameter is [11, p. 430] (22)

Recognizing that at resonance the stored electric and magnetic energies are equal (25) gives (26)

GUYETTE: INTRINSICALLY SWITCHED VARACTOR-TUNED FILTERS AND FILTER BANKS

and thus using (16), (27) (28) The stored magnetic energy is calculated by integrating the energy stored in the inductance per unit length over the length of the resonator in a similar fashion to that done in [6], [13], and [14]

(29) where the current is given by (17),

is the phase constant, and (30) (31)

The resonator, at nodes A and B, is coupled to the through-line and , effectively forming the with admittance inverters two-path bandstop section of Fig. 3(b) with normalized couplings (32)

1049

which shows that, for a given tuned center frequency, the coupling reference plane is determined by the relative values of and , and that offset-tuning can shift the reference plane by up to 90 (since ). Offset tuning and has only a moderate effect on the bandwidth, and bandwidth cannot be tuned down to zero when either or is nonzero [see Fig. 6(b)]. 2) Analysis of an Intrinsically Switched Bandstop Section: It has just been demonstrated that the offset tuning of two varactors attached to a side-coupled bandstop resonator shifts the coupling reference plane. This property can be used to indirectly control the through-line electrical length of the two-path bandstop section of Fig. 3(b) by shifting the reference planes of the couplings and . This can be achieved by side coupling a transmission-line resonator loaded with two varactors twice to a through-line, in a manner such that offset tuning the varactors shifts the two coupling reference planes in opposite directions, such as done in the circuit shown in Fig. 7(a). As the varactors and are offset tuned, the coupling reference planes for the two side couplings shift in opposite directions along the through-line with respect to one another, effectively changing the through-line length between them, thereby realizing the two-path bandstop filter section of Fig. 3(b) with a tunable . Shown in Fig. 7(b) is the circuit of Fig. 7(a) simplified by first reducing it to two two-path bandstop high-pass prototype sections sharing a single resonator using (34) and (35), and then, using (9), calculating for each two-path section (38) with frequency offset calculated from (3)

(33)

(39)

The terms are included to take into account the effect of phase shift across the resonator, where and are given by (16) evaluated at nodes A and B, respectively. Recognizing that only the relative sign of and needs to be preserved and using (27) and (28) and referring to Fig. 5(c), (32) and (33) can be simplified to

The 3-dB cutoff frequency of the equivalent high-pass prototype is then

(34) (35) The cutoff frequency of the equivalent high-pass prototype is given by (7) with (36) The coupling reference plane is defined by (10) (37)

(40) which can be tuned down to zero by changing the value of (offset tuning). Shown in Fig. 7(c) is a plot of and versus tuned center frequency (represented by resonator electrical length ) calculated from solving (40) for for both constant absolute bandwidth and intrinsic off states. For the constant absolute bandwidth state, and are equal at the lowest tuned center frequency, but not at the highest. Ideally and should converge at both the upper and lower tuned center frequencies (assuming and represent the capacitances of identical devices), and thus the full tuning range of both devices is utilized. However, if a constant absolute bandwidth is not needed, it may be possible, depending on passband bandwidth requirements, to utilize the full tuning ranges of identical varactors using this circuit topology. Another solution would be to use two different devices, or differently coupled identical devices, with different tuning ranges. Note that there are values of

1050

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 8. Intrinsically switched tunable notch prototype. (a) Simplified layout. (b) Fabricated circuit of overall dimension 8.6 cm 8.1 cm.

Fig. 7. Intrinsically switched bandstop section. (a) Schematic representation. (b) Equivalent circuit high-pass prototype—a two-path bandstop section [see dependent on offset tuning. (c) Calculated tuning curves for Fig. 3(b)] with and versus center frequency, for constant absolute bandwidth varactors and the term in (20) and (21) and intrinsic off states with normalized to 1.

and for the intrinsic off state that lie within the values of the constant absolute bandwidth state—which demonstrates that an increase in the tuning range of the varactors is not required for intrinsic switching using this topology. D. Second-Order Intrinsically Switched Tunable Notch Prototype A second-order intrinsically switched notch filter prototype based on the intrinsically switched bandstop section shown in

Fig. 7(a) was designed, built, and tested. A simplified layout of the prototype is shown if Fig. 8(a) (bias lines are not shown). It consists of two intrinsically switched bandstop sections in cascade, with a small amount of coupling introduced between the two resonators, which has the effect of significantly increasing the notch depth by adding a small amount of destructive interference [5]. Design and simulation was done using AWR Microwave Office and SONNET. The width and length of the resonators is 1.1 and 78 mm, respectively. The coupled-line spacing for all couplings is 0.1 mm and the length of the coupled-line sections is 45.1 mm. The width of the through-line in the coupled-line sections is 2.8 mm. The through-line connecting the two bandstop sections is 53.3-mm long and 3.2-mm wide. It was found from simulation that in order to obtain good return loss across the entire passband, it was necessary to decrease the width of the short length of through-line at the bottom of the bandstop sections (adjacent to ) to 1.3 mm. Shown

GUYETTE: INTRINSICALLY SWITCHED VARACTOR-TUNED FILTERS AND FILTER BANKS

1051

in Fig. 8(b) is the fabricated circuit. The substrate is Rogers Duroid 4003 (thickness mm, ). The varactors are Aeroflex/Metelics MGV-125-24-E25 ( pF, V ). Coilcraft 0302CS 34-nH surface mount inductors, ATC 600S 100-pF chip capacitors, and Vishay 100-k chip resistors are used in the low-pass bias networks. Shown in Fig. 9 are the simulated and measured results. The bandwidth is tunable from 143 MHz down to 25.5 MHz, while maintaining at least 50 dB of rejection [see Fig. 9(a)], the center frequency is tunable from 665 to 1000 MHz [see Fig. 9(b)], and the return loss is better than 25 dB in the intrinsic off state [see Fig. 9(c)]. In this intrinsic-off state measurement, the resonators are tuned to 725 MHz, but the bandstop response is completely suppressed. Shown in Fig. 10 are two-tone third-order intermodulation product measurements for both an on state (a center frequency of 800 MHz and a bandwidth of 35 MHz) and an intrinsic off state where the resonators are tuned to 800 MHz. This measurement was taken with one tone centered in the middle of the stopband at 800 MHz and the other tone swept. The power of both tones was set to 0 dBm at the input. The measured linearity of the on state is relatively poor, which is to be expected when semiconductor varactors are used as tuning elements. The measured intermodulation product level in the intrinsic off state is the noise floor of the measurement. All -parameter and linearity measurements were taken with an Agilent N5247A PNA-X network analyzer. It should be noted that although the total through-line length of this prototype is longer than that of a comparable conventional second-order bandstop filter, the through-line length of higher order intrinsically switched bandstop filters can be minimized with the use of the hybrid reflection-mode filter topology [9, p.303].

III. INTRINSICALLY SWITCHED TUNABLE BANDPASS FILTER BANK An intrinsically switched tunable bandpass filter uses tuning elements to not only tune the center frequency and/or bandwidth, but also to control the relative values of the inter-resonator electric and magnetic coupling coefficients, which when equal and opposite result in zero net coupling and the filter is switched off. This section presents a simplified analysis of two intrinsically switched tunable resonator topologies first presented in [6], as well as the design and measured results of the first switchless tunable bandpass filter bank. In [15], switches are used at the output of a bandpass filter to switch in a delay line, which turns the filter off with signal cancellation. Drawbacks of this approach include the fact that the filter is not tunable, and the switching function requires adding additional components. In [16] and [17], switched filters and filter banks are presented in which switches are attached to resonators inside each filter instead of being used to select filters at the input and output of the bank. These switches are used to severely detune the resonant frequencies of the resonators, and in doing so turn the filters off. The couplings, however, are not cancelled, which limits the maximum isolation that can be

Fig. 9. Intrinsically switched tunable notch filter prototype simulated and measured results. (a) Bandwidth tuning. (b) Center frequency tuning. (c) Intrinsic off state.

achieved, as the detuned resonators basically function as nonresonating nodes. The approach described in this paper is distinct in that the resonators are not required to be detuned at all, but instead the couplings are cancelled. In the case of tunable filters and filter banks, this is a significant advantage in that the filters can be switched on and off with all the resonators tuned within the center frequency tuning range of a filter, and thus the switching function is achieved without an insertion loss penalty,

1052

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 10. Third-order intermodulation product two-tone measurements of the intrinsically switched tunable notch for both the on state (center frequency is 800 MHz, 3-dB bandwidth set to 35 MHz) and the intrinsic off state. Power of the input tones is 0 dBm, and one tone is fixed in the center of the stopband (800 MHz) and the other tone is swept.

as an increase in the tuning range of the tuning elements is not required. A. Intrinsically Switched Resonator Topologies This section presents a simplified analysis, similar to that done of the intrinsically switched bandstop filters (Section II-C), of the intrinsically switched resonator topologies first presented in [6]. From this analysis, varactor tuning curves for the constant absolute bandwidth and intrinsic off states are derived. 1) Analysis of Varactor-Tuned Parallel-Coupled Transmission-Line Resonators: The standard definition of the coupling coefficient between two resonators is [11, p. 433] (41) where is the admittance of the inverter representing the coupling, and and are the susceptance slope parameters of the two resonators. Shown in Fig. 11(a) is a pair of varactor-loaded transmission-line resonators, each identical in form to the offsettuned transmission-line resonator considered in Section II-C, coupled to each other over an electrical length from one end. The coupling region is modeled using the coupled-line admittance inverter model of Fig. 5(c). Assuming weak coupling, the voltages and currents in the resonators at resonance are unaffected by the presence of the admittance inverters and , and thus the total coupling coefficient can be written (42) and are the susceptance slope parameters looking where into the resonator at nodes and , respectively, and are given by (27) and (28). As in Section II-C, the term is included to take into account the effect of phase shift across the resonator. Equation (42) simplifies to (43)

Fig. 11. Symmetric pseudocombline intrinsically switchable resonator topology. (a) Schematic. (b) Calculated normalized varactor tuning curves for and the constant absolute bandwidth and intrinsic off states with term in (20) and (21) normalized to 1.

The coupling coefficient

is zero (the intrinsic off state) when (44)

The coupling bandwidth is (45) Shown in Fig. 11(b) are varactor tuning curves for a constant absolute bandwidth state and the intrinsic off state, derived using (43) and (45) where and are given by (20) and (21). Note that and exchange values near the high end of the tuning range. The pseudocombline topology exhibits an “optimum” tuning curve, where and are equal at both the minimum and maximum tuned center frequencies, and thus the full range of all tuning elements is used for center frequency tuning. Shown in Fig. 12(a) is another intrinsically switchable coupled-resonator topology, comprised of an offset-tuned pseudocombline resonator and a nonoffset-tuned combline resonator.

GUYETTE: INTRINSICALLY SWITCHED VARACTOR-TUNED FILTERS AND FILTER BANKS

1053

Fig. 13. (a) Simplified layout of Filter A (low-band). (b) Simulated varactor tuning curves for the on and intrinsic off states.

Fig. 12. Mixed pseudocombline/combline intrinsically switchable resonator topology. (a) Schematic. (b) Calculated normalized varactor tuning curves for and the constant absolute bandwidth and intrinsic off states with term in (20) and (21) normalized to 1.

The magnetic energy stored in the nonoffset-tuned combline resonator is

(46) which is calculated using (30) and (31). The coupling coefficient is then

(47) where (48)

The coupling coefficient of the mixed coupled-resonator topology is dependent on the cosine of , while the coupling coefficient of the symmetric pseudocombline topology is dependent on the cosine of , and thus the latter is more sensitive to offset tuning, which is to be expected as both resonators are offset tuned in the symmetric pseudocombline topology. The tuning curves for a constant-absolute bandwidth of the mixed topology, shown in Fig. 12(b), are somewhat less than optimum, sacrificing 4.8% of the total varactor tuning range. Although nonideal in this regard, this topology allows for a very practical realization, as shown in Section III-B. Also, note that for the intrinsic off state is nearly constant for the full tuning range of , which simplifies varactor bias control. B. Intrinsically Switched Tunable Filter Bank Prototype An intrinsically switched tunable bandpass filter bank microstrip prototype was designed, built, and tested to demonstrate the feasibility of an intrinsically switched filter bank. The prototype is comprised of three third-order intrinsically switched bandpass filters (Filters A–C) coupled to shorted-circuit-terminated transmission-line manifolds at the input and output. Shown in Fig. 13(a) is a simplified layout of the low-band filter (Filter A), tunable from 750 to 1075 MHz. This filter is based on the mixed pseudocombline/combline topology of Fig. 12(a) with the two outer resonators being offset-tuned pseudocombline, and the central resonator being essentially two nonoffset-tuned combline resonators connected in parallel. The width and length of all the resonators is 1.6

1054

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 14. Fabricated intrinsically switched tunable bandpass filter bank prototype of overall dimension 11.9 cm 10.1 cm.

and 71.1 mm, respectively. The coupled-line spacing for all couplings is 0.1 mm, and the length of the inter-resonator and input/output couplings is 20.7 and 28.3 mm, respectively. It was observed in simulation that the cross-coupling between the two offset-tuned resonators was primarily inductive (due to the loading of the varactors) and thus a small length of a capacitively coupled high-impedance transmission line was added in between them to increase the capacitive coupling, and thus increase the isolation. AWR Microwave Office was used for the preliminary design; the final design was done in SONNET. The lengths of the input and output side-couplings were optimized in AWR to provide decent passband return loss across the entire tuning range. In this prototype, the input and output couplings are not cancelled in the intrinsic off state, although this should be possible using the techniques of Section II and is an area for future research. Shown in Fig. 13(b) is the simulated varactor-capacitance tuning curves of Filter A for the 50-MHz bandwidth on state and intrinsic off state [note the correspondence with Fig. 12(b)]. The capacitance range of Fig. 13(b) corresponds to a tuning voltage range of approximately 3–20 V. The geometries of filters B and C are simply scaled-down versions of Filter A with minor modifications to the input/output coupling lengths to take into account impedance changes along the manifolds. The varactor tuning voltage range of Filter B is 4–20 V and the varactor tuning voltage range of Filter C is 5–20 V. Shown in Fig. 14 is the fabricated circuit. The substrate is Rogers Duroid 4003 (thickness mm, ). The varactors are Aeroflex/Metelics MGV-125-24-E25 ( pF, ). Coilcraft 0302CS 34-nF surface mount inductors and ATC 600S 100-pF chip capacitors are used in the bias networks. Although there are a total of 15 bias lines in this circuit, only five unique control voltages are needed for standard operation (only one filter on at a time)—one for each varactor pair, one for all the varactors, and one for all the varactors.

Fig. 15. Intrinsically switched tunable bandpass filter bank prototype simulated and measured results. (a) Filter A on. (b) Filter B on. (c) Filter C on.

Shown in Fig. 15 are simulated and measured results of the bank showing the tuning ranges of the individual filters, with the other two filters intrinsically switched off. The passband bandwidth is a constant 50 MHz, with more than 40 dB of isolation between filters. The 25-dB spurious response in the upper stopband of Filter A is due to second-order spurious of the filter itself, not from interaction with the other filters. Shown in Fig. 16(a) and (b) are measurements showing the full composite tuning range, and shown in Fig. 16(c) are simulated and measured results with all three filters on simultaneously

GUYETTE: INTRINSICALLY SWITCHED VARACTOR-TUNED FILTERS AND FILTER BANKS

1055

Fig. 17. Third-order intermodulation product two-tone measurements of Filter B of the intrinsically switched tunable bandpass filter bank prototype for both the on state (center frequency is 1000 MHz, 3-dB bandwidth set to 50 MHz) and the intrinsic off state. Power of the input tones is 0 dBm, and they are spaced 1 MHz apart and both swept simultaneously.

Fig. 18. Simulated passband insertion loss versus tuned center frequency of the intrinsically switched tunable bank compared to conventional approaches.

Fig. 16. Intrinsically switched tunable bandpass filter bank prototype results. (a) Measured full tuning range. (b) Measured passband insertion loss versus tuned center frequency. (c) All filters on simultaneously.

(which required a unique control voltage for each of the varactors, for a total of seven control voltages). Shown in Fig. 17 are two-tone intermodulation measurements for Filter B tuned to 1000 MHz, in both the on state (50-MHz 3-dB bandwidth) and the intrinsic off state. The linearity, which is typical of varactor-diode-tuned filters, could be improved with the use of circuit techniques, such as using back-to-back varactor diodes with specific doping profiles [18]. There is still measurable intermodulation in the intrinsic-off state, as only

the inter-resonator couplings are cancelled in this prototype, and thus significant signal power still reaches the varactors of the input resonator of the filter. An Agilent N5247A PNA-X network analyzer was used for the -parameter and linearity measurements. Shown in Fig. 18 is a plot of simulated passband insertion loss versus tuned center frequency for the intrinsically switched tunable filter bank, a conventional switched filter bank, and a single tunable filter, all using the same microstrip substrate and varactors and covering the same frequency range. The single tunable filter is a conventional combline filter design, as are the three filters in the conventional switched tunable bank. The switch used in the simulation of the conventional switched bank is the NEC uPG2150T5L (GaAs MMIC SP3T, 0.1–8.1 GHz). The maximum instantaneous passband bandwidths are set to 50 MHz. From this comparison, the advantage of using a filter bank over a single tunable filter is obvious, as is the advantage of using the intrinsically switched bank over the conventional switched bank, with an insertion loss improvement that ranges from 0.5 to 1.5 dB. While moderate, it should be kept in

1056

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

mind that the absolute insertion loss difference between these particular conventional and switchless banks would remain unchanged if the filters were realized using lower loss technology (e.g., suspended stripline resonators and higher varactors) as the extra loss of the conventional bank is primarily due to the losses of the switches. If the number of filters in the conventional and switchless banks is increased, the absolute insertion loss difference between them would also increase due to the increased loss of the higher throw or cascaded switches in the conventional bank. The intrinsically switched bank approach removes the switch-loss-imposed upper limit to the number of filters that can be used, and thus realizing low-loss tunable filter banks comprised of large numbers of low-loss narrow-tuning-range filters becomes a problem of manifold design rather than the much more difficult problem of realizing low-loss high-throw or cascaded switches. IV. CONCLUSION This paper has demonstrated an intrinsically switched tunable bandstop filter and an intrinsically switched tunable bandpass filter bank for the first time. Intrinsically switched tunable bandstop filters are shown to be realizable with a two-path bandstop section. An intrinsically switched bandstop filter prototype is presented that gives a second-order notch response with more than 50 dB of rejection continuously tunable from 665 to 1000 MHz (50%) with negligible passband ripple in the intrinsic off state. An intrinsically switched tunable bandpass filter bank prototype is presented, which is comprised of three third-order filters, and gives a constant 50-MHz bandwidth response continuously tunable from 740 to 1644 MHz (122%) with less than 5 dB of passband insertion loss and more than 40 dB of isolation. The insertion-loss performance of the intrinsically switched tunable filter bank could be improved with the addition of more intrinsically switched filters. It is expected that intrinsically switched tunable filters and filter banks will play an important role in the realization of future high-performance microwave systems. ACKNOWLEDGMENT The author would like to thank D. Jachowski, Naval Research Laboratory, Washington, DC, for the interesting technical discussions and encouragement, as well as for his invaluable assistance in editing this paper’s manuscript.

REFERENCES [1] P. Wong and I. Hunter, “Electronically tunable filters,” IEEE Microw. Mag., vol. 10, no. 1, pp. 46–54, Jan. 2009. [2] I. C. Hunter and J. D. Rhodes, “Electronically tunable microwave bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-30, no. 9, pp. 1354–1360, Sep. 1982. [3] S.-J. Park and G. M. Rebeiz, “Low-loss two-pole tunable filter with three different predefined bandwidth characteristics,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 5, pp. 1137–1148, May 2008. [4] D. R. Jachowski and A. C. Guyette, “Sub-octave-tunable microstrip notch filter,” in Proc. IEEE Int. Electromagn. Compat. Symp., 2009, pp. 99–102. [5] D. R. Jachowski, “Compact, frequency agile, absorptive bandstop filters,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 513–516. [6] A. C. Guyette, “Alternative architectures for narrowband varactor-tuned bandpass filters,” in Eur. Microw. Conf., Oct. 2009, pp. 1828–1831. [7] J. D. Rhodes, “Switched bandstop filters,” Int. J. Circuit Theory Appl., vol. 22, pp. 107–120, 1994. [8] A. C. Guyette, “Design of fixed- and varactor-tuned bandstop filters with spurious suppression,” in Eur. Microw. Conf., Oct. 2010, pp. 288–291. [9] I. C. Hunter, Theory and Design of Microwave Filters. London, U.K.: IEE Press, 2001. [10] R. Sato and E. G. Cristal, “Simplified analysis of coupled transmissionline networks,” IEEE Trans. Microw. Theory Tech., vol. MTT-18, no. 3, pp. 122–131, Mar 1970. [11] G. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks, and Coupling Structures. Norwood, MA: Artech House, 1980. [12] R. E. Collin, Foundations for Microwave Engineering. New York: McGraw-Hill, 1992. [13] V. V. Tyurnev, “The coupling coefficients of an assymetric pair of microwave resonators,” J. Commun. Technol. Electron., vol. 35, no. 1, pp. 1–8, 2002. [14] M. A. Sanchez-Soriano, E. Bronchalo, and G. Torregrosa-Penalva, “Parallel-coupled line filter design from an energetic coupling approach,” IET Microw. Antennas Propag., vol. 5, no. 5, pp. 568–575, Apr. 2011. [15] W.-H. Tu, “Switchable microstrip bandpass filters with reconfigurable frequency responses,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2010, pp. 1488–1491. [16] P. D. Laforge, R. R. Mansour, and M. Yu, “Manifold-coupled switched filter bank implementing filters with embedded switches,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2008, pp. 1027–1030. [17] S.-F. Chao, C.-H. Wu, Z. M. Tsai, H. Wang, and C. Chen, “Electronically switchable bandpass filters using loaded stepped-impedance resonators,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 12, pp. 4193–4201, Dec. 2006. [18] M. A. El-Tanani and G. Rebeiz, “A two-pole two-zero tunable filter with improved linearity,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 4, pp. 830–839, Apr. 2009. Andrew C. Guyette (M’08) was born in Grand Forks, ND, in 1976. He received the B.S. and M.S. degrees in electrical engineering from the University of Hawaii at Manoa, in 1999 and 2001, respectively, and the Ph.D. degree from The University of Leeds, Leeds, U.K., in 2006. Since 2007 he has been with the Naval Research Laboratory, Washington, DC. His research interests include tunable filters, lossy filters, and network synthesis.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

1057

Analysis of a New 33–58-GHz Doubly Balanced Drain Mixer in 90-nm CMOS Technology Hong-Yuan Yang, Student Member, IEEE, Jeng-Han Tsai, Member, IEEE, Tian-Wei Huang, Senior Member, IEEE, and Huei Wang, Fellow, IEEE

Abstract—A new doubly balanced drain-pumped topology for CMOS passive mixer design is proposed in this paper. In the efforts to improve the conversion loss of passive balanced mixers, the CMOS drain-pumped topology is employed. In addition, the doubly balanced architecture with the advantages of good port-toport isolations has been combined with the CMOS drain mixer design. For the broad bandwidth and the flatness of the conversion loss, a wideband matching technique using a broadband Marchand balun network is analyzed and successfully implemented in the mixer design. This mixer is fabricated in standard 90-nm CMOS technology. According to experiment results, the mixer has a measured conversion loss of 7.5 1.5 dB from 33 to 58 GHz. Based on the double-balanced architecture, the local oscillator (LO)-to-RF and LO-to-IF isolations are better than 42.7 and 51.5 dB, respectively. The mixer consumes zero dc power with a compact size of 0.55 0.52 mm . To the best of our knowledge, this paper presents the first CMOS drain mixer using doubly balanced topology. Index Terms—CMOS, doubly balanced mixer, drain mixer, millimeter wave (MMW).

I. INTRODUCTION

R

ECENTLY, the rapid growth of portable devices has necessitated wireless data communications. For high data-rate applications, millimeter-wave (MMW) wireless communications provide wide frequency spectrums, which are suitable for next-generation wireless communication and multigigabit-per-second links. There are many MMW wireless standards under development, such as IEEE 802.11 TGad1 and WirelessHD.2 Hence, it facilitates the developments and research in microwave/MMW monolithic integrated circuits. To avoid interferences caused by different standards and signal leakages, a down-converted mixer with good port-to-port Manuscript received May 28, 2011; revised November 24, 2011; accepted December 19, 2011. Date of publication January 31, 2012; date of current version April 04, 2012. This work was supported in part by the National Science Council (NSC) under Contract NSC100-2219-E-002-001, Contract NSC1002219-E-002-007, and Contract 10R80919-3. H.-Y. Yang is with the Department of Electrical Engineering and Graduate Institute of Communication Engineering, National Taiwan University, Taipei, 106 Taiwan (e-mail: [email protected]; [email protected]). J.-H. Tsai is with the Department of Applied Electronics Technology, National Taiwan Normal University, Taipei 106, Taiwan. T.-W. Huang and H. Wang are with the Department of Electrical Engineering and Graduate Institute of Communication Engineering, National Taiwan University, Taipei 106, Taiwan (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2183609 1[Online].

Available: http://www.ieee802.org/11/

2[Online].

Available: http://www.wirelesshd.org/

isolations is essential for the modern receiver design. Accordingly, the doubly balanced topology is a feasible selection for the mixer design. In addition, passive mixers with zero dc power consumption and high linearity are appropriate candidates for low-power radio systems. Therefore, doubly balanced passive mixers play key roles in the past MMW communication systems. A common approach of doubly balanced passive mixers is using ring topology [1]–[4]. With symmetric and balanced architectures, these mixers achieve good port-to-port isolations. However, complicated IF extraction circuits are required for these ring mixers [2]–[4], which restricts the IF band selection and direct frequency conversion design. Alternatively, star mixers can overcome aforementioned obstacles within a compact chip size [5]–[8]. The miniature features of the reported star mixers mainly depend on miniature balun design techniques. For most reported double-balanced passive mixers [1]–[10], it is inevitable to inject high local oscillator (LO) power and to suffer high conversion losses as their intrinsic drawbacks. Recently, CMOS passive drain mixers demonstrated low conversion loss characteristics [11], [12]. However, the poor port-toport isolations of the reported CMOS drain mixers are mainly attributed to their single-stage and distributed designs. Therefore, to achieve good port-to-port isolations and a low conversion loss simultaneously, a doubly balanced CMOS drain mixer is proposed in this paper. In an effort to improve the port-to-port isolations, the doubly balanced architecture for CMOS drain mixers has been developed in this paper. For the broad bandwidth and flat conversion loss, a broadband impedance-transforming Marchand balun network is analyzed to design the wideband LO matching. With the merits of the doubly balanced topology, the LO-to-RF and LO-to-IF isolations of the mixer are better than 42.7 and 51.5 dB, respectively. This mixer exhibits a measured conversion loss of 7.5 1.5 dB from 33 to 58 GHz. Section II discusses the design of doubly balanced drain mixer. The operation principle of the doubly balanced drain mixer and the broadband impedance-transforming Marchand balun network are also analyzed. Section III reports the design considerations of CMOS implementation. The experiment results are shown in Section IV. Finally, a conclusion is presented in Section V. II. ANALYSIS OF DOUBLY BALANCED DRAIN MIXER In this section, we present the operation principle of a doubly balanced drain mixer core. Furthermore, the concept of wideband impedance transformation for a Marchand balun is proposed and analyzed as well.

0018-9480/$31.00 © 2012 IEEE

1058

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 2. Conventional impedance-transforming Marchand balun network. : : input source impedance. : quarter-wavelength transload impedance. mission line.

Fig. 1. Core of doubly balanced drain mixer.

A. Operation Principle of Proposed Double-Balanced CMOS Drain Mixer Fig. 1 shows the core of a doubly balanced drain mixer. First, we assume differential RF signals and are injected to the gates of four transistors, as shown in Fig. 1, , , and , , respectively. Similarly, differential LO signals and are injected to the drains of the four transistors, , , and , , respectively. Hence, the drain current of each transistor is given by

topology provides differential IF outputs. The conversion gain of the proposed mixer would be discussed in Section III according to final schematic. For the port-to-port isolations, the leakage RF signals caused by transistor parasitic capacitances have good cancellation at the terminal of and due to the phase difference of 180 ideally. Similarly, the leakage LO signals at both RF input terminals also have good suppression resulted from this symmetric architecture. By applying the advantages of good port-to-port isolations of a doubly balanced architecture, it provides a further applicability for passive CMOS drain mixer designs. B. Bandwidth Analysis of Conventional Marchand Balun Networks

(1) where , , , and are the amplitude of the waveform across the gate–source capacitance of a transistor, the timedomain function of field-effect transistor (FET) transconductance, RF frequency, and LO frequency, respectively [10]–[12]. and in (1) represent the harmonic indices of the injected RF and LO signals, respectively. As a result, the term that equals (1, 1) leads to the desired down-converted IF signals. Therefore, based on the operation principle of down-converted CMOS drain mixers, there are four IF currents , , , and that are extracted from the drains of these transistors [12]. Assuming ideal filtering at each input and output terminals of these drain ports, , shown in Fig. 1, can be expressed as (2) where are given by

. Furthermore,

,

and

Marchand baluns exhibit wideband performances and are commonly used in balanced circuits as a single-ended to differential signal conversions [13]–[17]. Besides, the compact transformer design of Marchand baluns with ultra-low amplitudes and low phase errors have been demonstrated in submicrometer CMOS technology [14]. Furthermore, a Marchand balun can be designed to transform the impedances from a single-ended input port to two differential output ports simultaneously. The impedance-transforming network using a Marchand balun is shown in Fig. 2. A single-ended signal is injected to port 1 and two differential signals are retrieved from ports 2 and 3. Assuming that the Marchand balun is lossless and the injected power is equally divided into two identical load impedances , the input reflection coefficient and forward transmission coefficient , are given in [13]

(4a) (3a) (3b)

(4b)

(3c) , and , Consequently, the four output IF currents could be combined and flowed into two differential IF loads, respectively. Thus, the doubly balanced drain-pumped

and are the coupling factor of coupling theory where [13] and 50 , respectively. For a lossless impedance-transforming Marchand balun network, the frequency responses of

YANG et al.: ANALYSIS OF NEW 33–58-GHz DOUBLY BALANCED DRAIN MIXER

Fig. 3. Insertion loss and required coupling factors among different versus the different input return losses for a lossless Marchand balun network.

the input return loss also represent the frequency responses of impedance transformation. To achieve specific for the Marchand balun network, the required coupling factor can be derived from (4a), which is given by

(5)

To have better understanding of the relations between the input return loss , insertion loss , coupling factor , and load impedance , the calculation results of the insertion loss and required coupling factor among different versus different are plotted in Fig. 3. Due to energy conservation, the scattering matrix of a lossless and passive three-port network is unitary [18], which is given by (6) Therefore, the curves of shown in Fig. 3 are identical among these different conditions. There are several relations that can be observed from Fig. 3. First, if the input return loss is better than 7 dB, the insertion loss differences between a perfect input match ( ) and a calculated input match are less than 1 dB. Second, the impedance transformation from to each mainly depends on the corresponding coupling factor . Therefore, both frequency responses of the and determine the bandwidth of the impedance transformation. For the further illustration of the relations between coupling factors and while is better than 7 dB, the load impedance ranges for specific coupling factors are determined between the minimum load impedance and the maximum load impedance . The and are given by

1059

Fig. 4. Load impedance Marchand balun network.

ranges of specific coupling factors

in a lossless

Consequently, the ideal load impedance resulted in a perfect input match ( ) is also located between and , which is given by

(8) The calculation results of load impedance ranges versus specific coupling factors are plotted in Fig. 4. It is observed that the load impedance ranges and the ideal load impedance decrease as the coupling factors increase. The coupling factors also restrict the selections of the load impedance . In the efforts to minimize the input reflection coefficient, as the coupling factors vary with the frequency, the load impedances are required to be adjusted accordingly. C. Design of Broadband Marchand Balun Networks To enhance the bandwidth of the impedance transformation for a fixed with a frequency varying coupling factor, a broadband load impedance network for a Marchand balun is analyzed in this section. In addition, to cover the load impedance adjustments for both frequency increasing and decreasing coupling factors, the analyses of adaptive load impedances are divided into two parts. First, assuming that the coupling factor increases with frequency, the load impedance should be decreased with frequency according to Fig. 4. Hence, to achieve adaptive load impedances, a parallel capacitor is added at the differential load. Nevertheless, the negative reactance resulted from the parallel capacitor comes at the expense of the input return loss. To compensate the negative reactance, a series inductor is also added. Thus, as shown in Fig. 5(a), the load impedance in (4a) is replaced by the adaptive load impedance and is composed of , and . The load impedance of the proposed impedance-transforming network is given by (9)

(7a) (7b)

It is observed that the real part of adaptive load impedance decreases as frequency increases.

1060

Fig. 5. Proposed: (a) impedance transformation.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

network and (b)

network for broadband

Second, assuming that the coupling factor decreases with frequency, the load impedance should be increased with frequency according to Fig. 4. Therefore, as shown in Fig. 5(b), a series inductor and a parallel capacitor are added in turn. The load impedance in (4a) is replaced by the adaptive load impedance and is composed of , , and . The load impedance of the proposed network is given by (10a) (10b) The real part of the admittance in (10b) decreases as frequency increases. Consequently, the real part of adaptive load impedance in (10a) increases with frequency. For further illustrations of the broadband impedance-transforming networks shown in Fig. 5, we assume that a coupling factor linearly increases with the slope of 0.027 dB/GHz from 9 to 81 GHz. The coupling factor at 9 and 81 GHz are equal to 4 and 2 dB, respectively. Based on (7a), (7b), and (8), the corresponding frequency responses of , , and are calculated and plotted in Fig. 6(a) and (b). Therefore, the proposed network is utilized with a that consists of an inductor of 36 pH, a capacitor of 65 fF, and a load impedance of 40 , as shown in Fig. 6(a). As can be observed, the real part of is close to that leads to zero input reflection coefficient. To examine the imaginary part of , Fig. 6(b) also plots in a Smith chart format. The normalized imaginary part of is less than 0.2. The curve equation of versus frequency shown in Fig. 6(a) is determined by (9). For an ideal load impedance resulted from a corresponding

Fig. 6. (a) Load impedance of the proposed network versus frequency for an ideal Marchand balun with monotonic frequency increasing coupling facnetwork expressed in Smith chart tors. (b) Load impedance of the proposed : real part of . format.

coupling factor, the curve of is designed to follow the curve of with appropriate selections of corresponding and . The value of is normalized by the operation frequency and determined by the slope of the curve. In addition, shown in Fig. 5(a) are used for reactance compensations. Similarly, we also assume that a coupling factor linearly decreases with the slope of 0.027 dB/GHz from 9 to 81 GHz, which varies from 2 to 4 dB, respectively. Therefore, the corresponding frequency responses of , , and are calculated and plotted in Fig. 7(a) and (b). The proposed network is utilized with a that consists of a capacitor of 60 fF, an inductor of 42 pH, and a load impedance of 13 , as shown in Fig. 7(a). As can be observed, the real part of is close to . To examine the imaginary part of , Fig. 7(b) also plots in a Smith chart format. The normalized imaginary part of is less than 0.26. The curve equation of versus frequency shown in Fig. 7(a) is determined by (10a) and (10b). The curve of is designed to follow the curve of with appropriate selections of and . The value of is also normalized by the operation frequency

YANG et al.: ANALYSIS OF NEW 33–58-GHz DOUBLY BALANCED DRAIN MIXER

1061

Fig. 8. Input return losses and insertion losses versus frequency matching for the ideal Marchand balun with and without series broadband network in Fig. 6(a).

Fig. 9. Input return losses and insertion losses versus frequency matching for the ideal Marchand balun with and without series broadband network in Fig. 7(a).

III. CIRCUIT DESIGN Fig. 7. (a) Load impedance of the proposed network versus frequency for an ideal Marchand balun with monotonic frequency decreasing coupling facnetwork expressed in Smith chart tors. (b) Load impedance of the proposed : real part of . format.

and determined by the slope of the curve. , shown in Fig. 5(b), is used for susceptance compensations. Finally, the simulated comparison of input return losses and insertion losses between the with and without proposed and network are plotted in Figs. 8 and 9, respectively. Both the insertion loss shown in Figs. 8 and 9 have a broadband flatness within 0.2 dB by applying the proposed broadband impedance-transforming networks. For the previously reported research of on-chip Marchand baluns [5]–[7] and [14]–[17], the balun design methodologies have been clearly mentioned for various applications. In this section, we extend the concept of impedance-transforming Marchand baluns in [13] using adaptive load impedance networks for wideband matching. Based on the proposed broadband impedance-transforming Marchand balun networks, the frequency responses of the input return loss are enhanced. The presented techniques can be applied to other balanced circuits. The further implementation and discussion in CMOS circuit designs are reported in Section III.

In this section, the design considerations of a doubly balanced drain mixer using modern CMOS technology are presented. This doubly balanced drain mixer is designed and fabricated using a standard bulk MS/RF 1P9M 90-nm CMOS process. This technology provides and better than 160 and 142 GHz, respectively. The process has nine copper metal layers for interconnection and the top layer metal is thickened to 3.0 m to decrease the metal loss. Two types of polysilicon resistors, with several and k , are provided by choosing the individual dose of ion implantation separately from the gate electrode doping process. Metal–insulator–metal (MIM) capacitors and spiral inductors are also available in this process. Additionally, deep -well is used to isolate -channel metal–oxide–semiconductor (NMOS) from the lossy substrate. All the transmission lines of this mixer utilize thin-film microstrip (TFMS) structures [12] to reduce losses on lossy silicon substrate. The TFMS lines consist of the metal 1 (bottom layer) in the 1P9M CMOS process as ground plane and the top layer metal as the microstrip signal line with the thick SiO layer as the substrate. A. Unit Cell of Proposed Double-Balanced Mixer In Section II, the operation principles of the proposed drain mixer core are analyzed. As stated above, there are four pas-

1062

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 10. Unit cell of a doubly balanced drain mixer.

sive drain-pumped transistors required for the topology. Accordingly, we assume that the extracted IF currents flow into the external IF loads with ideal filtering at the drain port of the transistors. Since the input LO signals and output IF currents are injected to and extracted from the same drain port of the transistors, the individual filters for both LO and IF signals are required. Besides, the frequency differences between LO and IF signals provide enough isolation in our study. Therefore, a unit cell of the proposed mixer, as shown in Fig. 10, consists of a passive drain-pumped transistor, an inductor , and a capacitor . For the injected LO signals, the input impedance is approximated to

Fig. 11. Coupling factor , , and of the CMOS LO : adaptive load impedance of LO Marchand balun versus frequency. : real part of . matching network.

(11) where , , and represent the total parasitic capacitance looking into the drain port of each transistor, the equivalent drain–source resistance, and IF output load impedance, respectively [12]. For the extracted IF signals, the output impedance , as shown in Fig. 10, is given by (12) represents the impedance looking into the LO balun. where As is already known, a series capacitor and a series inductor represent a high- and low-pass filter, respectively. Thus, with appropriate selections of and , the input LO signals and output IF signals can be separated to the desired path. In our study, the of 0.5 pF and of 0.5 nH are chosen. Furthermore, the is used for the LO blocking capacitor as well. B. LO Matching Network Using Proposed Broadband Marchand Balun Network The reported CMOS passive drain mixers exhibit characteristics of low conversion loss, which results from a sufficient LO power [11], [12]. Broadband LO input matching networks are important for the CMOS drain mixer. The broadside-coupled transformer Marchand balun reported in [14] is utilized for the single-ended to differential LO signal conversions due to its low phase and amplitude errors. To simplify the analysis of the LO matching network, we assume that expressed in (11) can be absorbed as a part of matching network. As a result, the input impedance is close to with the chosen and at

Fig. 12. Designed LO matching network. : series inductor for broadband : parallel capacitor for broadband impedance impedance transformation. : LO blocking capacitor. transformation.

LO frequency from (11). Thus, the broadband impedance-transforming Marchand balun network presented in Section II could be applied at the LO port of the mixer. To cover our desired frequency, the broadside-coupled LO balun is designed at the center of our frequency ( 46 GHz) with identical line spacing and linewidth of 3 m. Subsequently, to determine the load impedance ranges, the frequency responses of LO balun coupling factors are extracted from the simulated scattering matrixes. Accordingly, the corresponding frequency responses of , , and are calculated and plotted in Fig. 11. As can be observed, the coupling factors increase with frequency from 30 to 60 GHz. Therefore, we employ the aforementioned network shown in Fig. 5(a) to achieve the broadband LO matching. The designed LO matching network is shown in Fig. 12. The blocking capacitors and the load impedances are resulted from two unit cells combined in parallel. As stated above, the load impedance is mainly resulted from the selected device size. Based on the network utilized in the LO port, the real part of consisted of and would decrease with operation frequency. Since the curve of could be designed to follow the curve of with appropriate selections of according to (9), it provides further tolerances for device selections. In addition, varies with the gate bias . is set to 0.5 V by applying the gate

YANG et al.: ANALYSIS OF NEW 33–58-GHz DOUBLY BALANCED DRAIN MIXER

1063

Fig. 13. Simulated comparisons of input return losses and insertion losses of the designed LO matching network with and without proposed broadband impedance-transforming networks in Fig. 12.

bias optimization method reported in [12]. Hence, to mitigate the LO power requirement of the proposed double-balanced drain-pumped topology, a small transistor with total gatewidth of 20 m and of 97 is decided. As a result, the series inductor of 73 pH and the parallel capacitor of 64 fF are determined to cover our operation frequency by applying (9). The frequency responses of the real part of the adaptive load impedance shown in Fig. 12 in the designed LO matching network are also plotted in Fig. 11 for comparison. The curve of is determined by (13)

Besides, the comparison of insertion losses and input return losses of the designed LO matching network between the with and without proposed network are shown in Fig. 13. It is observed that the LO port input return losses are improved with the proposed techniques. Consequently, the insertion loss is also reduced from 46 to 60 GHz. With the proposed broadband matching techniques, the operation bandwidth and the insertion loss of a silicon-based transformer Marchand balun are improved. C. Schematic of Proposed Double-Balanced Drain Mixer The final schematic of the proposed mixer is shown in Fig. 14. It is observed that there are four unit cells in the center of the mixer. Based on the operation principles of the proposed drain mixer, the four extracted IF currents , and , are combined and flowed into two IF output port IFP and IFN, respectively. The two IF currents and are given by (14a) (14b) Assuming that the LO power is sufficiently injected to the transistors with the conjugate RF input matching, the conversion gain of the proposed doubly balanced drain-pumped topology is approximated to (15)

Fig. 14. Final schematic of the proposed double-balanced drain mixer.

where , , , , and represent the of the RF balun, peak value of time-domain function of FET transconductance, gate–source capacitance, gate resistor, and IF load impedance, respectively [11]. The actually injected RF power ratio of the two differential unit cells of the proposed mixer is considered based on the conversion gain of the CMOS passive drain-pumped mixer in [11]. The verification of the formula will be discussed in Section IV. For the passive drain-pumped topology, two identical grounded resistors and of 2 k are utilized. Analogously, the gate bias of each transistor is set to 0.5 V through two identical bias resistors and of 2 k . In the RF port, we also employ a broadside-coupled transformer Marchand balun for the single-ended to differential signal conversions. Compared to differentially fixed loads at the LO port, the input impedance of each transistor gate varies with operation frequency due to the parasitic gate–source and gate–drain capacitances. Therefore, we employ a double-stub tuning mechanism with a compact Marchand balun as the RF matching networks. According to the previous discussion of the designed LO matching network, and are both equal to 73 pH. , , , and of 32 fF are individually equal to a half of , shown in Fig. 12. We implement and using highimpedance TFMS. For the compact chip area, each of , , , and is composed of in (11) and parasitic capacitances of the each low-pass filtering inductors combined in parallel. As stated above, is considered as a part of matching network. is equal to 13 fF for the selected transistor. In our study, the low-pass filtering spiral inductors are implemented with underlying ground planes. Conventionally, the underlying ground planes of spiral inductors are removed in modern CMOS

1064

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

TABLE I CIRCUIT PARAMETERS OF THE PRESENTED MIXER

Fig. 15. (a) Equivalent circuit of an on-chip inductor. (b) Simulation results and versus . : parasitic capacitance. : parasitic resistor. of : equivalent series inductance.

technology for better quality factors [19]. However, when the layout area of the inductor is limited, the underlying-ground inductor still has a reasonable quality factor. To determine the parasitic capacitance of the each low-pass filtering inductors, the on-chip inductor is modeled by the equivalent circuit shown in Fig. 15(a). , , and , as shown in Fig. 15(a), represent the equivalent series inductance, parasitic capacitances, and parasitic resistor, respectively. For the on-chip spiral inductors with line spacing and linewidth of 3 m, the related parameters are extracted from electromagnetic (EM) simulation and plotted in Fig. 15(b). of the inductors without underlying ground planes are also plotted in Fig. 15(b) for the comparison. It is observed that the parasitic capacitance is proportional to the equivalent series inductance . In addition, for the LO frequency, only a is taken into account due to the effect of the series according to Fig. 15(a). Therefore, an underlying-ground inductor of 0.5 nH is selected, which provides required isolation, parasitic capacitances and compact chip size simultaneously. Furthermore, two RF blocking capacitors and of 1.3 pF are added between the transistor gates and RF balun. The LO power of 10 dBm is determined as a compromise of LO power and conversion loss. In addition to doubly balanced drain-pumped topology, two shunt capacitors and of 1.3 pF added in two IF ports provide further LO-to-IF isolation. The passive components, including the discontinuities of the TFMS lines, were simulated by a full-wave EM simulator (Sonnet software) [20]. The complete circuit of the doubly balanced drain mixer was simulated using Agilent Advanced Design System (ADS). Finally, the overall circuit parameters of the presented mixer are tabulated in Table I. The microphotograph of the doubly balanced drain mixer is shown in Fig. 16. The chip size is only 0.55 0.52 mm including all testing pads and dummy metal.

Fig. 16. Chip photograph of the doubly balanced drain mixer with chip size of 0.55 0.52 mm .

IV. EXPERIMENTAL RESULTS All of the measurements are tested via on-wafer probing. Both RF and LO signals are generated by two individual signal generators (Agilent E8257D) and the IF signals are measured by a spectrum analyzer (Agilent E4448A). In addition, an MMW down-converted mixer (Agilent 11974V) followed by a spectrum analyzer (Agilent 8685EC) is used to measure port-to-port isolation while RF frequency is above 50.5 GHz. For the RF and LO ports, all of the cables and probes apply coaxial 1.85-mm connectors. The gate bias of this mixer was supplied by external dc sources through wire bonding. The calculated, simulated, and measured conversion losses of the mixer are shown in Fig. 17. This monolithic microwave integrated circuit (MMIC) exhibits a wide and flat conversion loss of 7.5 1.5 dB from 33 to 58 GHz with an LO power of 10 dBm. With the proposed broadband LO matching network, the mixer demonstrates the characteristics of low conversion-loss variations in this frequency band. The calculated conversion loss by applying (15) is plotted in Fig. 17 for comparison and verification. As can be observed, these curves have good agreement. For the proposed mixer topology, the operation frequency is dominated by the RF balun, LO balun, and transistor parasitic

YANG et al.: ANALYSIS OF NEW 33–58-GHz DOUBLY BALANCED DRAIN MIXER

Fig. 17. Calculation, simulation, and measurement results of conversion loss. CLP: conversion loss of IFP port. CLN: conversion loss of IFN port.

1065

Fig. 20. Simulation and measurement results of the LO-to-RF isolation.

Fig. 21. Simulation and measurement results of the LO-to-IF isolation. Fig. 18. Proposed mixer simulation, designed matching network simulation, and measurement results of LO port return loss.

Fig. 22. Simulation and measurement results of the IF bandwidth. Fig. 19. Simulation and measurement results of RF port return loss.

capacitances. Consequently, the compact size of RF balun determines the minimum operation frequency in our study. Since the conversion gain shown in (15) is inversely proportional to , the parasitic capacitances of the selected transistors limit the maximum operation frequency. The simulation and measurement results of the proposed mixer LO port return losses are shown in Fig. 18. In addition, the input return loss of the designed LO matching network shown in Fig. 13 is also plotted in Fig. 18 for comparison. It is observed that the proposed mixer simulation, designed matching network simulation, and measurement results of LO

port return losses are below 10 dB within the designed bandwidth. The simulated and measured results of RF port return loss are shown in Fig. 19. For the compact chip size and the low conversion loss, we emphasize LO port matching design rather than RF port. The measured RF port return loss is better than 6 dB, which can be compensated by using front-end RF building blocks such as low-noise amplifiers. The LO-to-RF and LO-to-IF isolations are shown in Figs. 20 and 21, respectively. By applying the doubly balanced topology, both the LO-to-RF and LO-to-IF isolations are better than 42 dB. The measured IF bandwidth is shown in Fig. 22. The 3-dB bandwidth of conversion loss at the IF port is above 3 GHz. Fig. 23 shows the IF output power and conversion loss

1066

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 23. Measured RF input power versus conversion loss and IF output power of the proposed drain mixer at 39 GHz.

Fig. 26. Measurement results of the third-order intermodulation distortion. IM3: third-order intermodulation distortion output power.

Fig. 24. Simulation and measurement results of the LO input power versus conversion loss.

Fig. 27. Simulation and measurement results of single-sideband noise figure.

Fig. 25. Simulation and measurement results of

Fig. 28. Comparison of recently reported double-balanced passive mixers and : LO power. ISO: LO-to-RF CMOS drain mixers. CL: conversion loss. isolation.

versus conversion loss.

versus RF input power at 39 GHz. The 1-dB compression point of the IF output power is equal to 11 dBm. The simulation and measurement results of LO input power and versus conversion loss are plotted in Figs. 24 and 25, respectively. It is evident that the conversion loss of the mixer is improved at high LO power from Fig. 23. The mixer also exhibits a conversion loss of 12.5 dB with a low LO power of 0 dBm according to Fig. 24. The measurement results of third-order intermodulation distortion and single-sideband noise figure are shown in Figs. 26 and 27, respectively. The input third-order intercept point (IIP3) of the proposed mixer is equal to 8.5 dBm. The measured noise figure is lower than 16.43 dB from 50 to

58 GHz according to Fig. 27. All of these measurement and simulation results have good agreement. Moreover, the mixer consumes zero dc power. Fig. 28 and Table II summarize the performances of a recently published double-balanced passive mixer and CMOS drain mixers in this frequency band. The line lengths of each referred mixers shown in Fig. 28 also indicate the corresponding variation range of the conversion loss. Compared to the reported CMOS drain mixers [11], [12], the port-to-port isolations are greatly improved using the double-balanced architecture. In addition, our mixer achieves a low and flat conversion loss with a moderate LO power

YANG et al.: ANALYSIS OF NEW 33–58-GHz DOUBLY BALANCED DRAIN MIXER

1067

TABLE II RECENTLY REPORTED DOUBLY BALANCED PASSIVE AND CMOS DRAIN MIXERS

requirement among reported double-balanced passive mixers [1]–[10]. According to the operation principle of the proposed mixer, the output IF signals are extracted from the drain of the transistors directly without utilizing complicated IF extraction circuits of the reported ring mixers [2]–[4]. As shown in Figs. 1 and 14, the RF and LO baluns of the proposed double-balanced drain mixer can be designed and placed separately. The design complexities of the proposed mixer in each balun are mitigated. Furthermore, by applying the wideband LO matching techniques discussed in Section III, the mixer achieves compact chip size without employing multiple outputs Marchand baluns used in the reported star mixer designs [5]–[8]. Our MMIC demonstrates a compact, low-loss, and symmetric mixer design among these reported passive mixers. V. CONCLUSION A doubly balanced drain mixer has been proposed and analyzed in this paper. The MMIC is fabricated using standard 1P9M 90-nm CMOS process. To achieve a low conversion loss and good port-to-port isolations simultaneously, the passive drain-pumped topology is combined with doubly balanced architecture. This MMIC not only overcomes the drawbacks of reported CMOS drain mixers [11], [12], but also provides wide IF frequency selections compared to the reported ring mixers [2]–[4]. With the advantages of the CMOS drain mixer, the proposed mixer exhibits a low conversion loss of 7.5 1.5 dB with a compact chip size of 0.288 mm compared to the reported double-balanced CMOS passive mixers [1], [6], [7]. Moreover, the wideband impedance transformations of Marchand blaun networks are analyzed in this paper, which can be also applied to other balanced circuits for the bandwidth, input return loss, and chip size enhancement. The proposed double-balanced drain-pumped topology is promising for low dc power and low-loss MMW passive frequency converter applications. ACKNOWLEDGMENT The chips were fabricated by the TSMC Semiconductors Corporation, Hsinchu, Taiwan. The authors would like to

thank Prof. K.-Y. Lin, Graduate Institute of Communication Engineering, National Taiwan University, Taipei, Taiwan, and Dr. C.-H. Wang, Mediatek Corporation, Hsinchu, Taiwan, for their valuable suggestions. The authors would like to thank the staff of the TSMC Semiconductors Corporation. REFERENCES [1] J.-H. Chen, C.-C. Kuo, Y.-M. Hsin, and H. Wang, “A 15–50 GHz broadband resistive FET ring mixer using 0.18- m CMOS technology,” in IEEE MTT-S Int. Microw. Symp. Dig., 2010, pp. 784–787. [2] C.-M. Lin, H.-K. Lin, C.-F. Lin, Y.-A. Lai, C.-H. Lin, and Y.-H. Wang, “A 16–44 compact doubly balanced monolithic ring mixer,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 9, pp. 620–622, Sep. 2008. [3] C.-M. Lin, C.-H. Lin, J.-C. Chiu, and Y.-H. Wang, “An ultra-broadband - to -applications,” doubly balanced monolithic ring mixer for IEEE Microw. Wireless Compon. Lett., vol. 17, no. 10, pp. 733–735, Oct. 2007. [4] H.-C. Chuang, C.-M. Lin, C.-H. Lin, and Y.-H. Wang, “A - to -band broadband doubly balanced monolithic ring mixer,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 6, pp. 401–403, Jun. 2008. [5] T.-Y. Yang, W.-R. Lien, C.-C. Yang, and H.-K. Chiou, “A compact -band star mixer using compensated overlay capacitors in dual balun,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 7, pp. 537–539, Jul. 2007. [6] C.-C. Kuo, C.-L. Kuo, C.-J. Kuo, S. A. Maas, and H. Wang, “Novel miniature and broadband millimeter-wave monolithic star mixer,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 4, pp. 793–801, Apr. 2008. [7] H.-K. Chiou and T.-Y. Yang, “Low-loss and broadband asymmetric broadside-coupled balun for mixer design in 0.18- m CMOS technology,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 4, pp. 835–848, Apr. 2008. [8] C.-H. Lin, J.-C. Chiu, C.-M. Lin, Y.-A. Lai, and Y.-H. Wang, “A vari-band applications,” IEEE Miable conversion gain star mixer for crow. Wireless Compon. Lett., vol. 17, no. 11, pp. 802–804, Nov. 2007. [9] C.-H. Lin, C.-M. Lin, Y.-A. Lai, and Y.-H. Wang, “A 26–38 GHz monolithic doubly balanced mixer,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 9, pp. 623–625, Sep. 2008. -band [10] Y.-A. Lai, C.-M. Lin, C.-H. Lin, and Y.-H. Wang, “A new doubly balanced mixer based on lange coupler,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 7, pp. 458–460, Jul. 2008. [11] F. Ellinger, L. C. Rodoni, G. Sialm, C. Kromer, G. von Buren, M. L. Schmatz, C. Menolfi, T. Toifl, T. Morf, M. Kossel, and H. Jackel, “30–40-GHz drain-pumped passive-mixer MMIC fabricated on VLSI SOI CMOS technology,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 5, pp. 1382–1391, May 2004.

1068

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

[12] H.-Y. Yang, J.-H. Tsai, C.-H. Wang, C.-S. Lin, W.-L. Lin, K.-Y. Lin, T.-W. Huang, and H. Wang, “Design and analysis of a 0.8–77.5-GHz ultra-broadband distributed drain mixer using 0.13- m CMOS technology,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 3, pp. 562–572, Mar. 2009. [13] K. S. Ang and I. D. Robertson, “Analysis and design of impedancetransforming planar Marchand baluns,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 3, pp. 402–406, Mar. 2009. [14] H.-Y. Chang, P.-S. Wu, T.-W. Huang, H. Wang, C.-L. Chang, and J. G. J. Chern, “Design and analysis of CMOS broadband compact highlinearity modulators for gigabit microwave/millimeter-wave applications,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 20–30, Jan. 2006. [15] P.-S. Wu, C.-H. Wang, T.-W. Huang, and H. Wang, “Compact and broadband millimeter-wave monolithic transformer balanced mixer,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 11, pp. 3106–3114, Oct. 2005. [16] C.-S. Lin, P.-S. Wu, M.-C. Yeh, J.-S. Fu, H.-Y. Chang, K.-Y. Lin, and H. Wang, “Analysis of multiconductor coupled-lie Marchand balums for miniature MMIC design,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 6, pp. 1190–1199, Jun. 2007. [17] S.-C. Tseng, C.-C. Meng, C.-H. Chang, C.-K. Wu, and G.-W. Huang, “Monilithic broadband Gilbert micromixer with integrated Marchand balun using standard silicon IC process,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 12, pp. 4362–4371, Dec. 2006. [18] D. M. Pozar, Microwave Engineering. New York: Wiley, 1998. [19] M.-D. Tsai and H. Wang, “A 0.3–25-GHz ultra-wideband mixer using commercial 0.18- m CMOS Technology,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 11, pp. 522–524, Nov. 2004. [20] “Sonnet User’s Manual, Release 9.0,” Sonnet Softw. Inc., North Syracuse, NY, 2003. [21] J.-H. Tsai, P.-S. Wu, C.-S. Lin, T.-W. Huang, J. G.-J. Chern, and W.-C. Huang, “A 25–75 GHz broadband Gilbert-cell mixer using 90-nm CMOS technology,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 4, pp. 247–249, Apr. 2007.

Hong-Yuan Yang (S’10) was born in Taipei, Taiwan, in 1977. He received the B.S. degree in electrical engineering from Da-Yeh University, Changhua, Taiwan, in 1999, the M.S. degree from the Graduate Institute of Electrical Engineering, National Taiwan University, Taipei, Taiwan, in 2007, and is currently working toward the Ph.D. degree at the Graduate Institute of Communication Engineering, National Taiwan University. From June 2001 to August 2003, he was with the WIN Semiconductor Company Ltd., Taoyuan, Taiwan, as an RF Engineer. From October 2003 to February 2005, he was a Senior RF Engineer with the Globalsun Technology Company Ltd., Taipei, Taiwan. In September 2007, he joined the Quanta Computer Company Ltd., Taoyuan, Taiwan, where he pioneered portable devices and tablet PC development. Since November 2009, he has been with the Graduate Institute of Communication Engineering, National Taiwan University. His research interests include RF testing, microwave device characterization, and monolithic microwave/MMW circuit design.

Jeng-Han Tsai (S’04–M’08) was born in Tainan, Taiwan, on December 20, 1980. He received the B.S. degree in electrical engineering from National Central University, Taoyuan, Taiwan, in 2002, and the Ph.D. degree from the Graduate Institute of Communication Engineering, National Taiwan University, Taipei, Taiwan, in 2007. From February 2007 to January 2008, he was a Post-Doctoral Research Fellow with the Graduate Institute of Communication Engineering, National Taiwan University. From February 2008 to July 2009, he was with the Department of Communication Engineering, Yuan Ze University, Taoyuan, Taiwan. In August 2009, he joined the faculty of the Department of Applied Electronics Technology, National Taiwan Normal University, Taipei, Taiwan, where he is currently an Assistant Professor. His research interests include the design and analysis of RF/microwave integrated circuits and wireless communications.

Tian-Wei Huang (S’91–M’98–SM’02) received the B.S. degree in electrical engineering from National Cheng Kung University, Tainan, Taiwan, in 1987, and the M.S. and Ph.D. degree in electrical engineering from the University of California at Los Angeles (UCLA), in 1990 and 1993, respectively. In 1993, he joined the TRW RF Product Center, Redondo Beach, CA, where he designed RF integrated circuits (RFICs) up to 190 GHz. From 1998 to 2002, he was with Lucent Technologies and Cisco Systems, where he developed high-speed wireless systems. In August 2002, he joined the faculty of the Department of Electrical Engineering, National Taiwan University, Taipei, Taiwan. His research interests include MMW RF-CMOS design and gigabit wireless systems.

Huei Wang (S’83–M’87–SM’95–F’06) was born in Tainan, Taiwan, on March 9, 1958. He received the B. S. degree in electrical engineering from National Taiwan University, Taipei, Taiwan, in 1980, and the M.S. and Ph.D. degrees in electrical engineering from Michigan State University, East Lansing, in 1984 and 1987, respectively. During his graduate study, he was engaged in research on theoretical and numerical analysis of EM radiation and scattering problems. He was also involved in the development of microwave remote detecting/sensing systems. In 1987, he joined the Electronic Systems and Technology Division, TRW Inc. He has been an MTS and Staff Engineer responsible for monolithic microwave integrated circuit (MMIC) modeling of computeraided design (CAD) tools, MMIC testing evaluation, and design, and became the Senior Section Manager of the Millimeter-Wave (MMW) Sensor Product Section, RF Product Center. In 1993, he visited the Institute of Electronics, National Chiao-Tung University, Hsin-Chu, Taiwan, where he taught MMIC-related topics. In 1994, he returned to TRW. In February 1998, he joined the faculty of the Department of Electrical Engineering, National Taiwan University, Taipei, Taiwan, as a Professor . From August 2006 to July 2009, he was the Director of the Graduate Institute of Communication Engineering, National Taiwan University. From 2005 to 2007, he was the Richard M. Hong Endowed Chair Professor of National Taiwan University. He is currently a National Chair Professor of the Ministry of Education, Taiwan, for the February 2011–January 2014 term. Dr. Wang is a member of Phi Kappa Phi and Tau Beta Pi. He was an IEEE Distinguished Microwave Lecturer from 2007 to 2009. He was the recipient of the 2003 Distinguished Research Award of the National Science Council, Taiwan, the 2007 Academic Achievement Award of the Ministry of Education, Taiwan, and the 2008 Distinguished Research Award of the Pan Wen-Yuan Foundation.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

1069

Wideband LNA Using Active Inductor With Multiple Feed-Forward Noise Reduction Paths Mohsen Moezzi, Member, IEEE, and M. Sharif Bakhtiar

Abstract—In this paper, an area-efficient LNA with on-chip input matching circuit utilizing an active inductor is presented. The active inductor is implemented based on the gyrator structure and its noise is improved by employing a feed-forward path (FFP). The overall low-noise performance of the LNA is achieved by cancelling the inductor noise through an additional FFP. It is shown that the proposed LNA circuit is capable of achieving low-noise performance with wideband tuning at the input in a small die area. A 0.32- to 1-GHz LNA has been designed and fabricated in a standard 0.18- m CMOS technology. The LNA occupies a die area of less than 0.1 mm . The measured results show noise figure of 2.2–2.7 dB (2.2–2.4 dB for the UHF band), return loss of better than 10 dB (13 dB for the UHF band), and voltage gain of higher than 18 dB over the entire frequency band. The LNA draws 8.5 mA from a 1.8-V supply. Index Terms—Active inductor, LNA, noise, on-chip input impedance matching, wideband, tunability.

I. INTRODUCTION

T

HE FIRST signal processing block in the receiving chain is an LNA, and their noise figure and voltage gain have the most significant impact on the achievable sensitivity level of the receiver. Linearity and power consumption are two other characteristics of LNAs that need to be considered in their design. In radio receivers, the LNA, as the first input block, is to be matched with the antenna’s characteristic impedance (generally 50 ). Fig. 1(a)–(d) shows some of the conventional structures used for a real input impedance. In Fig. 1(a), by the resonance of inductor with the amplifier input capacitance at the desired RF frequency, remains as the input impedance. In spite of the simplicity, due to a noise figure of greater than 3 dB [1], this structure is only used in narrowband applications that do not have strict noise requirements (e.g., RF identification (RFID) [2]). Resistive feedback, as shown in Fig. 1(b), can also be used for input impedance matching [3]. Although the noise cancellation technique introduced in [4] (using the feed-forward path ) can be employed to reduce the noise figure, this through topology suffers from high power consumption, and often limited bandwidth due to the large parasitic capacitance at the input node. The common gate/common source circuit of Fig. 1(c) [4] as well as the common source stage of Fig. 1(d) [5], both, can proManuscript received September 06, 2011; revised January 10, 2012; accepted January 12, 2012. Date of publication March 01, 2012; date of current version April 04, 2012. The authors are with the Department of Electrical Engineering, Sharif University of Technology, Tehran, Iran (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2185947

Fig. 1. Conventional LNA topologies. (a) Parallel resistance input matching. (b) Resistive feedback. (c) Common gate. (d) Inductive degeneration.

vide real input impedance matching. Where the former employs noise cancellation technique and in the latter, as the input signal is amplified proportional to the quality factor of the series tank, the noise figure is improved. The need for passive inductors, however, is the main drawback of the two structures. Due to the low noise figure and reasonable power consumption, the two structures shown in Figs. 1(c) and (d) have been in widely used in low-noise applications (see [6] and [7]). both structures is often an off-chip component. Passive on-chip inductors, unfortunately, are not suitable to realize , because of their small quality factor, large die area and lack of tunability. Active inductors can potentially alleviate many difficulties in the design of LNAs. The inductance value of the active inductors can be easily changed either in a continuous manner or in discrete steps; this provides flexibility in the tuning of matching circuits. Higher accuracy, easier layout floor-planning, small area, and absence of magnetic coupling are other advantages of active inductors compared to their passive counterparts. In recent years, many efforts have been done to replace passive inductors with active circuits [8], [9]. However, because of the poor noise and linearity performance of active inductors, their application in RF receivers has been limited and has been avoided at the LNA input. In this paper, a new LNA structure is presented for which the input matching circuit is implemented using an active inductor designed for low-noise applications. In addition to low noise

0018-9480/$31.00 © 2012 IEEE

1070

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 3. Improving the noise performance of the differential pair by employing a feed-forward path. Fig. 2. Active inductor. (a) General structure. (b) Typical implementation. (c) model. Equivalent

figure, good linearity and voltage gain, the proposed LNA is fully on-chip and occupies a small die area. This paper is organized as follows. In Section II, a low-noise tunable active inductor is presented. Section III describes an LNA using the proposed active inductor; the employed noise reduction is also discussed in this section. Measurement results are reported in Section IV, and finally, Section V provides the conclusions. II. ACTIVE INDUCTOR A. Noise Improvement of the Active Inductor Fig. 2(a) shows one of the most common topologies of active inductors that use two back-to-back transconductances and (a gyrator) and a capacitor [10]. The input impedance of the circuit is inductive and can be written as (1) The conventional circuit realization of the gyrator concept and ) [11] is shown in Fig. 2(b), for which the ( input impedance is inductive with potentially high quality factor and high self-resonant frequency . The parasitic capacitances and the input/output resistances of the transconductance amplifiers degrade the quality factor and reduce the self-resonant frequency of the active inductor. Fig. 2(c) depicts the equivalent input impedance and the input-referred noise current of the circuit shown in Fig. 2(b). Using the hybrid- small signal model for the transistors and assuming ideal current sources, the components of the equivalent circuit of Fig. 2(c) can be calculated as (2a)

transistors’ capacitors, and are the transistors’ transconductance and output resistance, respectively. The flicker noise is neglected at high frequencies and the channel thermal noise is assumed to be where is the channel excess noise factor. For the circuit shown in Fig. 2(b), with respect to the input-referred noise current, , given in (2e), we can infer that should be minimized to reduce and increasing improves the active inductor noise performance for a given reactance . The transconductance can be increased by increasing either the channel width or the bias current of the differential pair and . Wider transistors lower the self-resonant frequency due to larger parasitic capacitances. The bias current is also limited by the power consumption and the velocity saturation that limits at higher currents [12]. That is, power and operating frequency will set an upper limit for reducing the noise due to the differential stage. In order to improve the noise performance of the differential stage, we add a feed-forward path (FFP) to the basic differential pair consisting of transistors and , as shown in Fig. 3. The modified differential pair includes the basic differential pair ( and ) and the FFP comprising the common source transistor and its resistive load . Let us compare the noise currents generated by the differential pairs without and with FFP. The equivalent transconductance and the output noise current generated by the basic differential pair can be written as (3a) (3b) while these values for the differential pair with FFP can be calculated as (4a)

(2b) (2c) (2d) (2e) and are assumed to be identical, is the total where capacitance seen at the gate of , and are the

(4b) where is the gain magnitude of the FFP. According to (3a) and (4a), for , the ratio of to is . As a result, for a given overdrive

MOEZZI AND SHARIF BAKHTIAR: WIDEBAND LNA USING ACTIVE INDUCTOR

1071

Fig. 5. (a) Matching an LNA using active inductor. (b) Equivalent input impedance circuit. Fig. 4. Output noise current ratio of differential pairs with and without feedforward path.

voltage, the aspect ratio of the transistors and the bias current in the circuit with the FFP can be reduced by , i.e., (5a) (5b) as the ratio of the total power consumed in Let us take the differential pair with FFP to the power consumption of basic differential pair, then (6) where is the drain current of the feed-forward transistor . From (3), (4), and (6), the ratio of the noise current generated by a differential pair with FFP to that generated by a differential pair without FFP ( ) can be derived as (7) of to that of . where is the ratio of According to (7), increasing (i.e., larger feed-forward transistor) results in lower (at the cost of a higher input parasitic capacitance). In Fig. 4, is plotted as a function of FFP gain for and assuming for a short channel transistor [12]. As it can be seen in Fig. 4, the power consumption and the output noise current of the differential pair can be both reduced by the use of the FFP. It is also clear that increasing does not always result in noise reduction. This is because, for a given and , the noise of the FFP is proportional to and when the total noise is dominated by the FFP, increasing degrades the overall noise performance. However, if the noise contribution of the FFP did not exist or could be canceled, then would have been a decreasing function of as

Nevertheless, cannot be increased arbitrarily because as the amplitude of the signals seen at the input of the differential pair increases with , the circuit becomes more nonlinear. B. Impedance Matching Using Active Inductor We choose the structure shown in Fig. 1(a) for our design and use an active inductor for the input impedance matching. As shown in Fig. 5(a), the proposed active inductor can be placed at the input of LNA to provide impedance matching at the input. The active inductor resonates with the amplifier’s input capacitance, , at the resonant frequency and leaves the equivalent resistance of the active inductor as the input impedance. At the resonant frequency , we can simplify the equivalent input impedance as depicted in Fig. 5(b). Using (2a)–(2d), the parallel resistance in Fig. 5(b) can be derived as (9) Equation (9) shows that for typical value of capacitances and the transistors’ output resistance, is often larger than the antenna’s characteristic impedance. Also, being connected to the input node, the matching inductor has to be low noise for a low noise figure of the overall LNA. Therefore, to design a low noise active inductor with reduced equivalent resistance, as shown in Fig. 6, we apply the following changes to the conventional gyrator-based active inductor circuit of Fig. 2(b). For low-noise performance, we use the modified differential pair with the FFP to realize the transconductance . The feedback resistor replaces transistor of Fig. 1(b) to realize the transconductance . The current mirror ( and ) is used to cancel the effect of the common mode noise generators such as that of the tail current source. The equivalent circuit of the new active inductor can again be taken as that shown in Fig. 5(b) whose components can be derived as (10a)

(8) (10b) which is independent of line in Fig. 4.

and

. This is shown by the dashed

(10c)

1072

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

where is the effective noise transconductance of the basic LNA. For example, for a single transistor is equal to its transconuctance and for a common source stage with a resistive load is (13) is the transconductance of the common source where transistor. Assuming that the input impedance of the LNA is matched to the antenna’s characteristic impedance, , the input referred noise voltage at the resonant frequency can be calculated as

Fig. 6. Proposed low noise active inductor.

(14) where is the voltage gain of the basic LNA. Substituting (11) into (14) gives

Fig. 7. Simplified diagram of LNA and active inductor used in noise analysis.

(15)

The equivalent input noise current of the new inductor can be calculated as

(11)

As can be seen from (11), the input noise current is composed of three components where two of which i.e., the noise due to , the differential pair and that due to the feedback resistor, are reduced by . The third component i.e., the noise due to the FFP, however, does not reduces with . We use to reduce the first two noise components of (11). The noise due to the FFP can also be reduced by the aid of another FFP as described in Section III. III. LNA WITH INPUT MATCHING CIRCUIT

As can be seen from (15), the input referred noise voltage, , is composed of the noise due to the basic LNA (first term) and the added noise due to the active inductor. To reduce the unwanted effect of the active inductor on the input referred noise voltage of the overall circuit, we observe that in (15), increasing the gain magnitude of the FFP, , reduces those components of the input referred noise voltage that are due to the differential stage and the feedback resistor of the active inductor circuit. The noise due to FFP, however, grows with , that is, for a low noise operation, the FFP’s gain in the inductor circuit is to be increased provided that the noise produced by this path could be somehow reduced. The noise voltages originated from the feed-forward components ( and in Fig. 7) at the output of the FFP, , and at the output of the LNA, , can be derived as

(16a)

A. Noise Improvement of the Overall LNA Let us analyze the noise performance of the overall LNA (including the active inductor at the input). The simplified diagram of the circuit is shown in Fig. 7. There are two contributors to the total noise at the basic LNA’s output (node ), i.e., the noise due to the active inductor, , and that due to the basic LNA, . The input referred noise voltage of the basic LNA, , can be assumed as

According to (16), the ratio of the noise voltages of these two nodes is

(12)

(17)

(16b)

MOEZZI AND SHARIF BAKHTIAR: WIDEBAND LNA USING ACTIVE INDUCTOR

1073

as the ideal input referred noise Let’s take voltage due to the basic LNA (i.e., assuming noiseless active inductor), then the normalized input referred noise voltage can be written as (24) As shown by (24), the overall noise performance is even improved compared to the ideal case. This is another important advantage of the proposed active inductor over most of the existing realizations. Fig. 8. Improving the noise performance of the overall LNA.

B. Noise Optimization

where (18) is the quality factor of the parallel resonance circuit at the input. On the other hand, it can be easily shown that the ratio of the signals at these nodes (“ ” and “ ”) is

It may seem that complete cancellation of the FFP noise would result in the best noise performance. However, the optimum value of , corresponding to the lowest input referred noise voltage, should be obtained by taking the derivative of (21b) with respect to and equating the result to zero, i.e., , which results in

(19) Comparing (17) with (19) reveals that the signal ratio and the noise ratio at these two nodes have opposite polarities. Therefore, as it is shown in Fig. 8, if we add (subtract in the case of positive ) the voltages at these two nodes with proper weights, signals are added constructively, while noise components are cancelled. In Fig. 8, the noise voltage due to the FFP at the output node ( and ) can be written as (20) is added to the where is the coefficient with which output voltage of the basic LNA. According to (15), the noise due to the differential stage and that due to the feedback resistor of the active inductor are proportional to and can be neglected for moderate value of . Thus, in Fig. 8, the total voltage gain, , and the input referred noise voltage, , are (21a)

(21b) Choosing (22) results in FFP’s noise cancellation at the output node and also higher signal amplification. The input referred noise voltage can then be written as

(23)

(25a)

(25b) Using (12), we can simplify (25) as (26a)

(26b) , which is always true According to (26a), we have independent of the structure used for the basic LNA and also the parameters of the active inductor. This can be explained as follows. As is increased over , the noise due to the FFP which is now only partially canceled slightly raises the output noise voltage. On the other hand, choosing causes an increase in the overall voltage gain, which can result in a reduced overall input referred noise voltage. In Fig. 9(a), the optimum value of is compared to the value corresponding to the case of complete FFP noise cancellation, for different ratios, and quality factors . According to (26a), the difference between and is inversely proportional to and . For smaller values of and , the noise contribution due to the FFP is smaller than that due to the basic LNA, and the improvement caused by increasing over is more pronounced. In Fig. 9(b), the optimum input referred noise voltage is compared to the ideal case (i.e., a noiseless active inductor). Interestingly, the use of the proposed active inductor significantly

1074

Fig. 9. Comparing: (a) the optimum value of referred noise values to ideal case.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

to

and (b) the optimum input

improves the noise performance even compared to the use of a noiseless inductor. This is because of higher overall voltage gain due to the FFP without any considerable output noise voltage increament. As can be seen in Fig. 9(b), the noise improvement is more pronounced for smaller values of . This is because, the ratio of the noise contribution due to the active inductor to that of the basic LNA is proportional to . C. Noise Sensitivity to

Fig. 10. Normalized input referred noise error due to: (a) phase error in and (b) magnitude error in .

In practice, due to process and environmental variations, the actual implemented may differ from the intended as where and are the magnitude and phase deviation of from , respectively. In this case, the equivalent input referred noise can be calculated as

(27) The resulting normalized error in the input referred noise is plotted with respect to and in Fig. 10, for different ratios and quality factors. The plots indicate that the noise performance is quite insensitive to reasonable variations in both magnitude and phase of . D. LNA Circuit Realization The low-noise performance of the proposed active inductor and its tunability facilitate the design of wideband LNAs with

Fig. 11. Simplified circuit of the overall LNA.

on-chip inductive impedance matching. The proposed technique was used to design and fabricate a wideband LNA which covers an operating frequency range of 0.32 to 1 GHz. The simplified circuit of the overall LNA is shown in Fig. 11. Transistors and constitute the basic LNA with an effective transconductance of . The dc voltage at the

MOEZZI AND SHARIF BAKHTIAR: WIDEBAND LNA USING ACTIVE INDUCTOR

Fig. 12. Actively realization of the

1075

stage and voltage adder.

Fig. 14. Die micrograph of the implemented LNA.

Fig. 13. Simulated: (a) values.

, (b) noise figure, and (c) voltage gain for different

output of the basic LNA (node ) is set by the dc feedback through the bias circuit. The current through is set by the dc voltage at the input. The FFP of the active inductor is realized by the complementary common source transistors and , along with the load resistor . Similar to the basic LNA, the dc voltage at the output of the FFP (node ) is set by the dc feedback through its bias circuit.

The capacitor (total capacitor at the drain of ) is the integrating capacitor of the active inductor. The value of is adjusted by two digital bits to tune the equivalent inductance of the active inductor at desired frequencies. Capacitors and are used to simultaneously implement the summation coefficient and the passive voltage adder. The required and the voltage adder can also be realized by active components. An example of active realization of these stages is shown in Fig. 12 where may be the RF transistor of the following active mixer or a second stage amplifier. All other capacitors in Fig. 11 are used for ac coupling or bypass. An output bufer is also used to minimized the effect of pad capacitor on the passive adder. According to (10a), the inductance of the active inductor can be easily adjusted to the desired value by changing the value of the resistor . In Fig. 13(a), the simulated for different values of is shown. The value of is changed from 0.8 to 1.4 k . The resonant frequency of the input matching circuit can be changed by changing , which in turn changes the input inductance. Therefore, the input circuit can be tuned to have better matching at the frequency band of operation. This is shown in Fig. 13(a), where a return loss of better than 15 dB is maintained over the frequency bands of 0.4–0.9 GHz with ( k ) and 0.3–0.7 GHz ( k ). According to (10c), the equivalent input resistance is a function of . That is, changing to adjust the input inductance also affects the input return loss and the input matching. This, however, does not impose any limitation on the tunability of the circuit because of further degree of freedom which is suggested by (10a). That is, if an corresponding to a required input inductance results in an unacceptable input matching then we can always select an corresponding to

1076

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 15. Measured: (a)

and (b) noise figure for different

values.

an acceptable and further tune the input inductance by adjusting the bias current and consequently the transconductance . The overall noise figure of the LNA, for different values, is shown in Fig. 13(b). As expressed by (11), the equivalent noise current of the active inductor is higher for the case of smaller inductances. This is shown in Fig. 13(b), where the noise figure of LNA decreases as a consequence of higher active inductor (higher ). Simulated voltage gain of the LNA for different inductance (or ) values is given in Fig. 13(c). The voltage gain variation in the UHF band indicated in Fig. 13(c) is mainly due to the input matching network and the output pole caused by the capacitive loads of the basic LNA. IV. MEASUREMENT RESULTS The LNA shown in Fig. 11 was fabricated in a standard 0.18- m CMOS technology. The die microphotograph of the chip is shown in Fig. 14. Die size, including the output buffer, is mm . The overall LNA circuit draws 8.5 mA from a 1.8-V supply. The fabricated LNA requires no off-chip matching components. Measured and the noise figure of the LNA, for different values, are shown in Fig. 15. Tunability of the active inductor used for the input impedance matching offers the possibility of optimizing the circuit for lowest noise figure throughout a wide bandwidth of operation. For instance, suppose the LNA is required to maintain a return loss of better than 15 dB at the entire UHF band (470–870 MHz). According to Fig. 15(a), an of 800 satisfies this requirement. As shown in Fig. 15(b), this will

Fig. 16. (a) Tuning the input impedance matching at the UHF band. (b) Improving the noise figure at lower frequencies by tuning the iductance of the active inductor. (c) Trading the noise figure reduction in (b) for lower power consumption.

result in a noise figure from 2.35 to 2.5 dB. However, the operating frequency band can be divided into four sub bands at each of which is chosen to satisfy requirement with smallest possible noise figure. This optimum selection of and consequently optimum selection of the inductance keeps input return loss at its acceptable value [see Fig. 16(a)] while optimizes the noise figure throughout the operating bandwidth [see Fig. 16(b)]. The reduced noise figure gained by this procedure may also be traded for lower power consumption. This power reduction can be achieved by either decreasing the power of the basic LNA or decreasing that of the active inductor or both of them. Since both of the basic LNA and the active inductor in our design consume approximately the same percentage of the total current, we chose to scale their current by the same ratio. Fig. 16(c) shows the measured LNA’s noise figure for three different total current consumptions. As it can be seen in Fig. 16(c), the current consumption can be lowered at the lower end of the frequency band by keeping the noise figure at its higher value and reducing the power consumption.

MOEZZI AND SHARIF BAKHTIAR: WIDEBAND LNA USING ACTIVE INDUCTOR

1077

TABLE I PERFORMANCE SUMMARY OF THE PROPOSED LNA AND ITS COMPARISON WITH SOME PREVIOUSLY PUBLISHED WORKS

Fig. 17. Voltage gain of the LNA for different active inductance values.

Measured performance of the LNA is summarized in Table I and compared to that of some recently published CMOS LNAs in the same frequency band. The LNA reported in [13], implemented using the structure in Fig. 1(d), includes both offchip and on-chip passive inductors and presents a reconfigurable input matching network. The topology in Fig. 1(c) is exploited in [14] and [15] using off-chip inductors. The same topology is adopted in [16] using an off-chip balun at the input. The fully on-chip LNAs in [17] and [18] have used the structure shown in Fig. 1(b). Compared to the proposed LNA, these works respectively show higher power consumption and noise figure. V. CONCLUSION

Fig. 18. Simulated and measured IIP3.

Measured voltage gain of the LNA for different inductance (or ) values is given in Fig. 17. The variation of the measured voltage gain is similar to that of the simulations [see Fig. 13(c)]. The higher quality factor of the input matching network (due to the higher input parasitic capacitances) and the higher output parasitic capacitances, however, result in slightly higher variation in the measured voltage gain. In addition to the noise cancellation, summation of the signals at the output also eliminates both the second and the third-order harmonics caused by the nonlinearities in the FFP [19]. The simulated and measured IIP3 are shown in Fig. 18 where the smaller IIP3 at the lower frequencies is due to the higher overall gain because of better input matching at these frequencies.

An LNA topology based on an improved active inductor structure was presented. It was shown that the noise generated by the conventional gyrator based active inductor can be reduced using a feed-forward path at the input of the active inductor. The improved noise performance of the proposed active inductor makes it a suitable candidate for use at the input of an LNA for on-chip input impedance matching. Both the noise performance of the overall LNA and its linearity were further improved by exploiting a noise/distortion cancellation technique. These were also verified by fabricating a fully on-chip LNA in a standard 0.18- m CMOS technology. The measurements showed that the LNA is capable of achieving a low noise figure and a tunable input impedance matching over the entire UHF band with the possibility of optimization for the best desired performance. REFERENCES [1] B. Razavi, RF Microelectronics. Englewood Cliffs, NJ: Prentice-Hall, 1997. [2] A. Safarian, A. Shameli, A. Rofougaran, M. Rofougaran, and F. De Flaviis, “RF identification (RFID) reader front ends with active blocker rejection,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 5, pp. 1320–1329, May 2009. [3] B. Perumana, J.-H. Zhan, S. Taylor, B. Carlton, and J. Laskar, “Resistive-feedback CMOS low-noise amplifiers for multiband applications,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 5, pp. 1218–1225, May 2008. [4] F. Bruccoleri, E. Klumperink, and B. Nauta, “Wide-band CMOS lownoise amplifier exploiting thermal noise canceling,” IEEE J. SolidState Circuits, vol. 39, no. 2, pp. 275–282, Feb. 2004.

1078

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

[5] D. Shaeffer and T. Lee, “A 1.5-V, 1.5-GHz CMOS low noise amplifier,” IEEE J. Solid-State Circuits, vol. 32, no. 5, pp. 745–759, May 1997. [6] I. Vassiliou, K. Vavelidis, N. Haralabidis, A. Kyranas, Y. Kokolakis, S. Bouras, G. Kamoulakos, C. Kapnistis, S. Kavadias, N. Kanakaris, E. Metaxakis, C. Kokozidis, and H. Peyravi, “A 65 nm CMOS multistandard, multiband TV tuner for mobile and multimedia applications,” IEEE J. Solid-State Circuits, vol. 43, no. 7, pp. 1522–1533, Jul. 2008. [7] A. Liscidini, M. Brandolini, D. Sanzogni, and R. Castello, “A 0.13 m CMOS front-end, for DCS1800/UMTS/802.11b-g with multiband positive feedback low-noise amplifier,” IEEE J. Solid-State Circuits, vol. 41, no. 4, pp. 981–989, Apr. 2006. [8] M. Reja, I. Filanovsky, and K. Moez, “A CMOS 2.0–11.2 GHz UWB LNA using active inductor circuit,” in IEEE Int. Symp. Circuits Syst. (ISCAS), May 2008, pp. 2266–2269. [9] M. Nair, Y. Zheng, and Y. Lian, “1 V, 0.18 m-area and power efficient UWB LNA utilising active inductors,” Electron. Lett., vol. 44, no. 19, pp. 1127–1129, Nov. 2008. [10] A. Thanachayanont and A. Payne, “VHF CMOS integrated active inductor,” Electron. Let., vol. 32, no. 11, pp. 999–1000, May 1996. [11] D. DiClemente and F. Yuan, “Current-mode phase-locked loops—A new architecture,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 54, no. 4, pp. 303–307, Apr. 2007. [12] B. Razavi, Design of Analog CMOS Integrated Circuits. New York: McGraw-Hill, 2001. [13] M. El-Nozahi, E. Sanchez-Sinencio, and K. Entesari, “A CMOS lownoise amplifier with reconfigurable input matching network,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 5, pp. 1054–1062, May 2009. [14] T. W. Kim and B. Kim, “A 13-dB IIP3 improved low-power CMOS RF programmable gain amplifier using differential circuit transconductance linearization for various terrestrial mobile D-TV applications,” IEEE J. Solid-State Circuits, vol. 41, no. 4, pp. 945–953, Apr. 2006. [15] D. Im, I. Nam, and K. Lee, “A CMOS active feedback balun-LNA with high IIP2 for wideband digital TV receivers,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 12, pp. 3566–3579, Dec. 2010.

[16] P.-I. Mak and R. Martins, “A -enabled mobile-TV RF front-end with TV-GSM interoperability in 1-V 90-nm CMOS,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 7, pp. 1664–1676, Jul. 2010. [17] D. Im, I. Nam, H.-T. Kim, and K. Lee, “A wideband CMOS low noise amplifier employing noise and IM2 distortion cancellation for a digital TV tuner,” IEEE J. Solid-State Circuits, vol. 44, no. 3, pp. 686–698, Mar. 2009. [18] Y.-H. Yu, Y.-S. Yang, and Y.-J. Chen, “A compact wideband CMOS low noise amplifier with gain flatness enhancement,” IEEE J. SolidState Circuits, vol. 45, no. 3, pp. 502–509, Mar. 2010. [19] S. Blaakmeer, E. Klumperink, D. Leenaerts, and B. Nauta, “Wideband balun-LNA with simultaneous output balancing, noise-canceling and distortion-canceling,” IEEE J. Solid-State Circuits, vol. 43, no. 6, pp. 1341–1350, Jun. 2008. Mohsen Moezzi (S’08–M’09) received the B.Sc. and M.Sc. degrees in electrical engineering from the Sharif University of Technology, Tehran, Iran, in 2005 and 2007, respectively, and is currently working toward the Ph.D. degree in electrical engineering at the Sharif University of Technology. His general research interests include high speed, low power, and area efficient CMOS analog circuits and RF building blocks. Mr. Moezzi was ranked first in the 2005 Iranian Student Scientific Olympiad on Electrical Engineering, Honored by Minister of Science.

M. Sharif Bakhtiar received the Ph.D. degree from the University of California at Los Angele, Los Angeles, in 1982. He has been a Consultant for a number of industries and is currently an Associate Professor at the Sharif University of Technology, Tehran, Iran.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

1079

Design-in-Reliable Millimeter-Wave Power Amplifiers in a 65-nm CMOS Process Thomas Quémerais, Laurence Moquillon, Jean-Michel Fournier, Philippe Benech, and Vincent Huard

Abstract—A hot carrier ageing model previously validated on a one-stage 60-GHz power amplifier (PA) is demonstrated to be able to predict the degradation of the characteristic parameters for multistage high-performance millimeter-wave (mmW) PAs. The increase in the threshold voltage, the decrease in the transconductance, and the output conductance of the MOSFETs caused by hot carriers leads to a degradation in performance of the PAs. Consequently, by using this ageing model, the mmW PA lifetime can be extracted. A new PA is then designed, taking into account the ageing effects, and is shown to be reliable during ten years. This amplifier exhibits a power gain of 20 dB, an output 1-dB compression point of 12.5 dBm with 6.6% power-added efficiency, and a saturated output power of 16 dBm at 60 GHz. Index Terms—CMOS millimeter-wave (mmW) circuits, hot carrier, power amplifier (PA), reliability, 65-nm technology.

I. INTRODUCTION

T

HE MARKET of wireless transmission systems is growing and new industrial, scientific, and medical bands will be available for new applications in the millimeter-wave (mmW) frequency range. In parallel, silicon technologies offer transistors with transition frequency greater than 100 GHz. This allows the realization of fully integrated RF analog circuits on silicon, which is a key feature for future mmW communication systems. The CMOS power amplifier (PA) is one of the most challenging blocks in a transmitter due to the important reliability constraints existing on MOS transistors and losses in the integrated passive components. The feasibility of mmW PAs has been demonstrated previously in SiGe and CMOS technologies. The impact of hot carriers on PA performances has been studied at mmW frequencies in [1]. It is shown that, during operation, common-source class-A PA degradation is due to the hot carrier injection phenomenon. Reducing the transistor channel length turns the hot carriers into an important reliability issue [2]–[8]. Carriers in the channel can gain high energy (hot carrier) in the pinch-off region and cause an avalanche effect very similar to what happens in a reverse-biased p–n junction. The collisions of hot carriers with

Manuscript received September 22, 2011; revised January 05, 2012; accepted January 06, 2012. Date of publication February 03, 2012; date of current version April 04, 2012. T. Quémerais, L. Moquillon, and V. Huard are with STMicroelectronics, 38920 Crolles, France (e-mail: [email protected]; [email protected]; [email protected]). J.-M. Fournier and P. Benech are with IMEP-LHAC, UMR INPG/UJF/US/ CNRS, 38016 Grenoble Cedex, France (e-mail: [email protected]; Philippe. [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2184133

the atomic bonds at the interface of the substrate and gate oxide leads to the generation of dangling bonds, also known as interface traps [8]. These traps affect different parameters of the MOS. Effects of hot carriers on the RF characteristics of a single NMOS transistor have been before reported [2]–[4]). Studying the PA reliability consists of investigating the MOS transistor degradation with time when stressed under high-voltage levels. In this paper, an ageing study is done on a high-performance four-stage PA designed in a CMOS 65-nm process. The used ageing model is validated by comparison with the measurements of the PA characteristic parameters (small and large signals) degradation after 50 h of stress under V (nominal power supply) with dBm at 60 GHz. This enables us to extract this amplifier lifetime by simulating the drift of its gain and its saturated output power over time. The results are presented in Section V. In Sections VI and VII, a reliable mmW PA designed in the same 65-nm process is then presented. II. CIRCUIT DESIGN METHODOLOGY The PA described in this paragraph will only be used to validate the ageing model at 60 GHz in a high-performance amplifier. To observe a significant degradation, the PA, voluntarily, is not reliable. During ageing time, the circuit is stressed with a biasing higher than the maximum authorized voltage in a CMOS V) and with an input power equiva65-nm process ( lent to its input 1-dB compression point at 60 GHz. To design a mmW PA, a thin-film microstrip line model [9] and an accurate mmW MOS model are developed [10]. For this purpose, an existing Penn State Philips (PSP) model dedicated to RF application is used and improved by an extrinsic model. This model includes parasitic elements due to drain, source, and gate accesses like series resistors, coupling and substrate capacitors, and access lines. The amplifier design methodology will be fully described in this paragraph. In a first step, electromigration constraints at 105 C are included in the design flow. In this way, the maximum current that can be driven by a transistor and by metal lines as a function of physical and geometrical parameters has been calculated. The width of the drain access (Fig. 1) is 5 m according to design rules. Thus, the maximum current in the drain access is limited to 0.45 mA to respect the electromigration rule at 105 C, when three metal layers are stacked to design the access. of the CMOS technoloFurthermore, from [11], the peak gies is constant and obtained when the MOS are biased under a mA m . In the presented amplicurrent of to have higher fier, the MOS are biased close to their peak gain for a given compression point.

0018-9480/$31.00 © 2012 IEEE

1080

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

TABLE I FOUR-STAGE CMOS PA PERFORMANCES

Fig. 1. Scheme of the MOS accesses with critical density current path.

Fig. 2. Output compression point and gain of MOS transistors with , and m width biased in class A versus the load resistance

, . Fig. 3. Schematic of the CMOS 65-nm four-stage PA including element values.

These two last conditions lead to determine the optimal finger width (Fig. 1) that can be used for a MOS to respect the 105 C electromigration rules m

(1)

In a second step, the MOS total width will be determined. On Fig. 2, the output compression point and the power gain of three transistors MOS with three different widths ( , , and m), a gate length of 65 nm and biased in class A, are plotted versus their load resistance value. Three areas are also reported in this figure: area 1, area 2, and area 3. Area 1, corresponding to a transistor width of m, is the area where the value of the biasing drain current density does not respect the rules of electromigration at 105 C. In the same way, Area 2 and 3, respectively, corresponds to the transistors width of and m. By using Fig. 2, a MOS biased in class A with a total width of 180 m is a good candidate to be used in the last stage of the amplifier by considering its relatively high and gain. The amplifier is composed of four class-A stages with common source topology, as shown in Fig. 3. The four transistors M1–M4 have a 60-nm gate length and are biased with V. Transistor M4 has a total width of 180 m and is biased at 0.4 mA m , which correspond to the peak

[11]. In this biasing condition, the MOS have the highest performances in terms of power gain and compression point [11]. For the last stage, the dc power consumption of 84 mW enables a theoretical maximum output 1-dB compression point ( ) of 13.5 dBm ( , from [11]). M3 has a total width of 180 m and is biased at mA. M1 and M2 are biased under a lower current to enhance the power-added efficiency (PAE), and drive 58 mA each with a total width of 160 m. The measured performances of the circuit at V are summarized in Table I. Fig. 3 shows the schematic and Fig. 4 shows the microphotograph of the PA implemented in 65-nm technology. The die size is 1.5 0.8 mm . III. EXPERIMENTAL PROCEDURE When biasing the amplifier at an operating point higher than the nominal supply voltage ( V), strong electric fields are generated inside the transistor. This reduces the time at which the hot carrier effects can be observed. Nevertheless, too high drain voltage applied to the transistor will break the oxide. Moreover, high temperature in a range from 25 C to 125 C has a low impact on the hot carrier phenomenon occurring in transistors integrated in CMOS technologies with gate length larger than 65 nm [5], [6]. For smaller gate length technologies,

QUÉMERAIS et al.: DESIGN-IN-RELIABLE mmW PAs IN 65-nm CMOS PROCESS

Fig. 4. Microphotograph of the four-stage PA.

the temperature accelerates the hot carrier phenomenon [7]. The degradation of the PA during time will be accelerated. Consequently, new consideration must be integrated in the circuit design in reliability flow. Anyway, the developed reliability model takes into account the temperature effect [7]. A model of degradation under hot carrier stress, to study the PA ageing, is implemented in the Mentor Graphics Eldo simulator and the main principle of this model are described in [1] and [8]. To study the impact of RF hot carrier on PA performances, the power gain, input, and output matching ( and ), output saturated power ( ), and output 1-dB compression point ( ) are measured before and after the hot carrier stress. The measurements ( -parameters and output power) are before ageing and after 20 and 50 h of stress. The RF hot carrier stress is done with V, V, which correspond to a drain current of mA and with dBm at 58 GHz, which corresponds to the PA input 1-dB compression point. This RF stress signal magnitude is chosen to observe significant degradation (higher than the error of measurement) of the PA parameters after 50 h of stress and to be close to standard operation of the amplifier. Nevertheless, longer stress time could be used. Moreover, to avoid oxidation of the RF probe during the stress, the RF probe and the device-under-test (DUT) are included in a Karl Süss vacuum chamber at a constant temperature of 22 C. Due to the complexity of the 60-GHz ageing on vacuum, only three PA where stressed with the same results concerning their reliability.

1081

Fig. 5. Simulated and measured degradation of the PA V and dBm at 58 GHz. with

-parameter stressed

Fig. 6. Simulated and measured degradation of the PA V and dBm at 60 GHz. with

-parameter stressed

Fig. 7. Simulated and measured degradation of the PA V and dBm at 60 GHz. with

-parameter stressed

IV. COMPARISON BETWEEN MEASUREMENT AND SIMULATION The hot carrier ageing model used has been previously validated in [1] for small and large signals at mmW frequencies to study the degradation of a one-stage PA. The following paragraph is focused on the model validity on high-performance multiple stage amplifiers. Figs. 5–7, respectively, present the measured and simulated - and -parameters and the output power at 58 GHz of the amplifier before and after a stress of 50 h with V and dBm at 58 GHz. The difference between the measured and simulated bandwidth around 60 GHz shown in Figs. 5 and 6 comes from losses

not taken into account in the metal–oxide–metal (MOM) capacitor models used for coupling and decoupling the RF and dc signal. A relative gain drift of 15% is measured at 58 GHz after the amplifier was stressed with V with dBm during 50 h, while has only a relative drift lower than 3% at 60 GHz. A relative output power drift of 24% is measured at 58 GHz after the amplifier was stressed with V

1082

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 8. PA gain and maximum output power decreasing in percent during the time.

with dBm during 50 h. The good agreement between measurements and simulation validates the reliability model to predict the degradation of the mmW PA. As explained in [1], when studying the gain and output power relative drift expression with time, the main contributor for parameters degradation of the PA is the threshold voltage degradation of the MOS transistors. V. DISCUSSION ON THE PA AGEING Industrial constraints consider that a PA is not reliable when at least one of its characteristic parameters has decreased by more than 10% after ten years of standard operation ( V and ). V is the maximum voltage authorized to be applied on the drain of the MOS transistor in the STMicroelectronics CMOS 65-nm technology. The percent change over time in these parameters when a PA operate under V and is investigated for the first time in this paper. The four-stage amplifier operates under V with an input power of 0 dBm corresponding to its input compression point. Using the validated ageing model, the decreasing in percent of the gain and of the maximum output power over time is shown in Fig. 8 when the PA operates under standard conditions. The drift of of the PA is not shown in Fig. 8 because it is equivalent to the drift of the saturated output power, as demonstrated in [1]. Fig. 8 shows that the amplifier power gain and saturated output power have decreased, respectively, by 10% after 110 days and 170 days of continuous operation. Indeed, this circuit is far from being considered reliable with industrial criteria. Considering the previous study, it is possible to design a reliable class-A PA operating at 60 GHz. VI. RELIABLE AMPLIFIER DESIGN DESCRIPTION As explained in Section II, studying the PA reliability consists in investigating the MOS transistor degradation with time when subjected to high-voltage levels. It can then be possible to determine the global circuit lifetime. It is demonstrated in [1] that to lower the degradation of threshold voltage of the MOS transistor, and consequently the PA performances, the bias

voltage must be lowered. This constraint requires limitation on MOS size and voltage swing, which impacts the amplifier performances and especially the output 1-dB compression point. Therefore, to maintain the output power from a reliable amplifier working under reduced bias voltage ( V), a power recombination technique is used [12]–[14]. An optimization of the MOS layout then increases the global gain of the circuit even when the bias voltage is lower than V. The amplifier is composed of two five-stage class-A PAs in parallel using a common-source topology, as shown in Fig. 9. Transistors M1–M5 have a 60-nm gate length and are biased with V. The PAs fifth-stage design methodology is the same as the one used for the four-stage amplifier presented in Section II. The transistor drain voltage is now lowered to 1 V. Transistor M5 has a total width of 180 m and is composed of 100 fingers, as explained previously, and have a dc power consumption of 80 mW. The M5 maximum available gain (MAG) considering the MOS extrinsic model presented in [10] is about 6 dB. Transistors M4 and M3 have a total width of 180 and 160 m, respectively, and are biased at mA and mA. M1 and M2 are, respectively, biased under a lower current to enhance the PAE, and drive 45 mA each with a total width of 150 m. The input and output impedances of the two five-stage amplifiers are matched to 50 . The stability of the circuits is ensured by a short line connected to the source of the MOS that acts as a degenerative inductor. In a second step, a passive network is used to split the input power of the five-stage amplifiers and to present 50input impedance at the input of the amplifier. This network also include series transmission lines, dc feed stubs, and series decoupling capacitors. The total power losses in the passive networks are 10 dB at 60 GHz, for an overall power gain of 30 dB. Fig. 10 shows the photograph of the PA implemented in 65-nm technology. The circuit die size is 2 mm . The capacitors are 3-D multifinger integration MOM structures. -parameters measurements of the amplifier are done using an Anritsu ME7808C broadband vector network analyzer (VNA) and a semiautomatic Cascade S300 station. A line–reflect–reflect–match (LRRM) VNA calibration was used. Output power and compression point measurements are done using the VNA 65-GHz test set with an external PA at the input of the DUT. Power calibrations are done via an Agilent V8486A power sensor coupled with an Agilent E4418B power meter. The RF probes used are Cascade Microtech Infinity Probe in a ground–signal–ground (GSG) configuration. VII. COMPARISON BETWEEN MEASUREMENT AND SIMULATION Figs. 11 and 12 show the small- and large-signal measurement of the amplifier with corresponding simulations. The measured output power at saturation is 16 dBm, and the is 12.5 dBm at 60 GHz with a total power gain of 20 dB. The PAE is 6.6% for a consumption of 600 mA under a 1-V supply. All the MOS are biased with V. - and -parameters are below 10 dB at 60 GHz, which ensure an input and output matching close to 50 . The results presented in Figs. 11 and 12 show an excellent agreement between measurements and simulations at small and

QUÉMERAIS et al.: DESIGN-IN-RELIABLE mmW PAs IN 65-nm CMOS PROCESS

1083

Fig. 9. Schematic of the 60-GHz reliable PAs including element values.

Fig. 11. Simulated and measured PA -parameters.

Fig. 10. Microphotograph of the PA.

large signals. As shown in Table II, the presented PA is at the state-of-the-art by considering the high-output , the power gain, and the PAE. References [10], [14], and [15] also present high-performance circuits. Nevertheless, their reliability is in question. Concerning [15], the drain voltage is 1 V. The simulations presented in Fig. 13 show a degradation of 10% of the

performances of the PA when biased under V. Thus, a higher drain voltage cannot keep the circuit reliable in this technology. The PA of [14] is in a cascode topology and biased under a total drain voltage of 1.2 V. In this circuit in class A operation, theoretically, MOS cannot have a drain voltage higher than 1.2 V, which imply that the PA is reliable. Nevertheless the ac voltage repartition per transistor is not well known and they could operate in nonsafe region. Only experimentation could answer to this question.

1084

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

operation. The presented circuit can be considered as reliable during ten years considering industrial constraints. IX. CONCLUSION

Fig. 12. Simulated and measured output power and gain versus input power at 60 GHz.

TABLE II CMOS PAs STATE-OF-THE-ART

A fully integrated design-in-reliable PA in a 65-nm CMOS process, operating at 60 GHz, has been presented. The amplifier has 10-dB small-signal gain in a frequency range of 55–65 GHz. The obtained results are above the state-of-the-art by considering the high and power gain value with the relatively high PAE. These performances have been obtained due to an accurate microstrip line model validated for a large characteristic impedance range and to an accurate extrinsic MOS model for mmW frequencies. Furthermore, a complete validation of a hot carrier ageing model has been made. This work enables us to design a ten-year reliable circuit considering industrial constraints. ACKNOWLEDGMENT The authors want to thank S. Pruvost, P. Garcia, D. Pache, and D. Gloria, all with STMicroelectronics, Crolles, France, for their help on this work. The authors would also like to thank N. Corrao and X. Mescot, both with IMEP-LAHC, Grenoble, France, for the amplifier ageing measurements and small- and large-signal measurements. REFERENCES

Fig. 13. PA gain and maximum output power decreasing at 60 GHz during the dBm and V. time when operating under

VIII. AGEING SIMULATIONS The designed amplifier operates under V and with an input power equal to its input compression point of dBm. Using the validated hot carrier ageing model, the gain and power to saturation drifts are observed over time in Fig. 13 when the circuit operates normally. Fig. 13 shows that the drift of the amplifier gain is lower than 10% after ten years of normal

[1] T. Quémerais, L. Moquillon, V. Huard, J.-M. Fournier, P. Benech, N. Corrao, and X. Mescot, “Hot carrier stress effect on a CMOS 65 nm 60 GHz one stage power amplifier,” IEEE Electron. Devices Lett., vol. 31, no. 9, pp. 927–929, Sep. 2010. [2] Y. Shen, J. Lee, and H. Shin, “Hot carrier stress effect on the performance of 65 nm CMOS low noise amplifier,” in IEEE ICICDT, Austin, TX, May 2009, pp. 249–252. [3] E. Xiao, “Hot carrier effect on CMOS RF amplifier,” in IEEE IRPS, San Jose, CA, 2005, pp. 680–682. [4] C. D. Presti, F. Carrara, A. Scuderi, S. Lombardo, and G. Palmisanol, “Degradation mechanisms in CMOS power amplifier comparison to the DC case,” in IEEE IRPS, Phoenix, AZ, 2007, pp. 86–92. [5] A. Bravaix, D. Goguenheim, N. Revil, M. Varrot, and P. Mortini, “Effects of high temperature on performances and hot-carrier reliability in DC/AC stressed 0.35 m n-MOSFETs,” in IEEE ESSDERC, Stuttgart, Gemany, 1997, pp. 584–587. [6] C. R. Parthasarathy, A. Bravaix, C. Guérin, M. Denais, and V. Huard, “Design-in reliability for 90–65 nm CMOS nodes submitted to hotcarriers and NBTI degradation,” Lecture Notes Comput. Sci., vol. 46, pp. 191–200, 2007. [7] V. Huard, C. R. Parthasarathy, A. Bravaix, C. Guerin, and E. Pion, “CMOS device design-in reliability approach in advanced nodes,” in IEEE IRPS, Montreal, QC, Canada, 2009, pp. 624–633. [8] C. Hu, S. C. Tam, F.-C. Hsu, P.-K. Ko, T.-Y. Chan, and K. W. Terrill, “Hot-electron-induced MOSFET degradation—Model, monitor, and improvement,” IEEE J. Solid-State Circuits, vol. SSC-20, no. 1, pp. 295–305, Feb. 1985. [9] T. Quémerais, L. Moquillon, J.-M. Fournier, and P. Benech, “65-, 45-, and 32-nm aluminium and copper transmission-line model at millimeter-wave frequencies,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 9, pp. 2426–2433, Sep. 2010. [10] T. Quémerais, L. Moquillon, S. Pruvost, J.-M. Fournier, P. Benech, and N. Corrao, “A CMOS class-A 65 nm power amplifier for 60 GHz applications,” in IEEE SiRF, New Orleans, LA, Jan. 2010, pp. 120–123. [11] T. Yao, M. Q. Gordon, K. K. W. Tang, K. H. K. Yau, M.-T. Yang, P. Schvan, and S. P. Voinigescu, “Algorithmic design of CMOS LNAs and PAs for 60-GHz radio,” IEEE J. Solid-State Circuits, vol. 42, no. 5, pp. 1044–1057, May 2007.

QUÉMERAIS et al.: DESIGN-IN-RELIABLE mmW PAs IN 65-nm CMOS PROCESS

[12] K. Raczkowski, S. Thijs, W. De Raedt, B. Nauwelaers, and P. Wambacq, “50-to-67 GHz ESD-protected power amplifiers in digital 45 nm LP CMOS,” in IEEE ISSCC Symp., San Francisco, CA, Feb. 2009, pp. 382–384. [13] M. Bohsali and A. M. Niknejad, “Current combining 60 GHz CMOS power amplifiers,” in IEEE RFIC Symp., Boston, MA, Jun. 2009, pp. 31–34. [14] B. Martineau, V. Knopik, A. Siligaris, F. Gianesello, and D. Belot, “A 53-to-68 GHz 18 dBm power amplifier with an 8-way combiner in standard 65 nm CMOS,” in IEEE ISSCC Symp., San Francisco, CA, Feb. 2010, pp. 428–429. [15] J.-W. Lai1 and A. Valdes-Garcia, “A 1 V 17.9 dBm 60 GHz power amplifier in standard 65 nm CMOS IEEE,” in IEEE ISSCC Symp., San Francisco, CA, Feb. 2010, pp. 424–425.

Thomas Quémerais was born in Guérande, France, on May 1982. He received the M.S. and Ph.D. degree in physics of semiconductors and microwaves from the Grenoble Institute of Technology (INPG), Grenoble, France in 2007 and 2010, respectively. His doctoral research concerned the electrical characterization, modeling, and reliability study of RF and mmW passive and active circuits in SiGe BiCMOS and advanced CMOS technologies. Since 2010, he has been with STMicroelectronics, Crolles, France. His principal research interests are in the RF and mmW silicon device modeling and electrical characterization.

Laurence Moquillon received the M.S. and Ph.D. degrees from the University of Limoges, Limoges, France, in 2001. Her doctoral research concerned the study of microwave planar ring resonator multipole active filters while with the Microwave and Optical Communication Research Institute (now XLIM), Limoges, France. Since 2001, she has been with STMicroelectronics, Crolles, France. Her principal research interests are RF and mmW circuit designs for wireless communication using SiGe BiCMOS and advanced CMOS technologies.

1085

Jean-Michel Fournier received the Electronic Engineering degree from the National Engineer School (ENSEEIHT), Toulouse, France, in 1974, and the M.S. and Ph.D. degrees in solid-state physic from the University Claude Bernard, Lyon, France, in 1975 and 1979, respectively. In 1979, he joined the Research and Development in Microelectronic Department, France Telecom, Grenoble, France, where he was involved with analog MOS application-specific integrated-circuit (ASIC) development (high-speed video amplifiers, Gmc filters, device modeling). From 1992 to 1996, he was in charge of the Analog Design Group, where his interest was focused on the BiCMOS process for RF applications. Since 1996, he has been a Professor with the School Electronic and Physic (PHELMA), INPG, Grenoble, France. With the IMEP-LAHC Laboratory, his main research interest is the design of analog RF and mmW integrated circuits in CMOS technology.

Philippe Benech received the M.S. degree in microelectronics from the University of Montpellier, Montpellier, France, in 1987, and the Ph.D. degree in instrumentation from the University Joseph Fourier, France, Grenoble, France, in 1990. Since 2000, he has been a Professor with the University Joseph Fourier, and a Researcher with IMEPLAHC, Grenoble, France. His field of interest is in the domain of integration of passive components and functions for telecommunications.

Vincent Huard received the B.S. degree in physics and M.S. degree in electrical engineering from the Institut National Polytechnique de Grenoble, Grenoble, France, in 1996 and 1997, respectively, and the Ph.D. degree in physics from the University of Grenoble, Grenoble, France, in 2000. He was with the French Atomic Energy Commission (CEA), Grenoble, France, where he was involved with the molecular beam epitaxy (MBE) growth of II–VI-based doped heterostructures and their magneto-optical and electrical characterizations. From 2000 to 2001, he was a Visiting Scholar with the University of California, where he was involved with devices made of ferromagnetic materials on top of semiconductors. In 2002, he joined Philips Semiconductors, as a Reliability Engineer, where he was involved with oxide and device reliability. Since 2007, he has been with STMicroelectronics, Crolles, France, where he is involved with designing reliability, reliability modeling, and product operating lifetime tests. His research interests include NBTI, hot-carrier degradation both at the wafer and product levels, and design for reliability.

1086

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

A Waveform-Dependent Phase-Noise Analysis for Edge-Combining DLL Frequency Multipliers Fang-Ren Liao and Shey-Shi Lu, Senior Member, IEEE

Abstract—Output phase noise for edge-combining delay-locked loops (DLLs) is derived in this study, which is obtained by decomposing the synthesized output waveform into a noise-free signal and a corresponding noise perturbation on it. The noise-free signal, which is affected by the systematic errors as the phase offset between phase detector inputs or delay mismatches among delay cells, possesses a periodic steady-state solution, and therefore leads to output spur. The noise perturbation, on the other hand, will be upconverted to the frequency-multiplied output based on this solution. A general analysis approach is provided that can be applied to the case such as the change of the frequency multiplication factor or the variation of the output duty cycle. The theory is verified by a programmable edge-combining DLL, which has been realized in a CMOS 90-nm technology. The predicted output phase noise has close agreement with simulation results, as well as the measurement data when the frequency multiplication factor changes.

Fig. 1. DLL-based frequency synthesizers realized by an external edge combiner.

Index Terms—Delay-locked loop (DLL), edge combiner, frequency multiplier, noise transfer function (NTF), periodic steady state (PSS), phase noise, spur. Fig. 2. Two edge-combining outputs with the same

I. INTRODUCTION

F

REQUENCY synthesizers or clock generators are essential building blocks for many applications. During the past decade, lots of research has focused on the implementation of the phase-locked loop (PLL) type of frequency synthesizer. Nevertheless, the DLL-based frequency synthesizer has become more and more popular recently due to the emergence of ultra-wideband (UWB) communication [1]–[3]. The edge-combining delay-locked loop (DLL) shown in Fig. 1 is considered as the first priority for this application due to its capability of generating wide output frequency. Despite numerous papers presented in this area, however, almost no work, except in [4], provides phase-noise analysis at the frequency-multiplied output in contrast to its PLL counterpart, whose noise models associated with noise transfer functions (NTFs) have been proposed and analyzed either for integer type [5]–[7] or for the fractional type [8]–[11]. In [4], the edge-combining DLL is categorized as a special case of phase realigned phase-locked loops (RPLLs) by assuming that the phase-shift factor is 1. The noise model with the division ratio in Manuscript received June 14, 2011; revised December 01, 2011; accepted December 19, 2011. Date of publication February 10, 2012; date of current version April 04, 2012. This work was supported by the National Science Council of the Republic of China, Taiwan, under Grant NSC 100-2221-E-002-247-MY3. The authors are with the Graduate Institute of Electronic Engineering, National Taiwan University, Taipei 106, Taiwan (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2183379

.

the feedback path is then applied to explain phase noise of the edge-combining DLL with the multiplication factor of . However, since the output frequency of the edge-combining DLL can be varied by changing the edge combining sequence directly, output phase noise will differ if a different number of or different spacing between the edge transitions are adopted. Fig. 2 shows two 3 examples from a DLL with 12 delay stages. In this case, although both outputs have the same design param, output phase noise will not be eters, i.e., and equal due to different edge transitions used by the edge combiner. The noise model in [4] then finds difficulty in predicting this edge-transition dependent phase noise, leading to the incompleteness of the phase-noise analysis. In contrast to phase noise, output spur of the edge-combining DLL has been analyzed extensively in [1] and [12] [13], which show that either the static phase offset in the loop or delay mismatches among the delay cells in the voltage-controlled delay line (VCDL) will produce periodic timing skew at each edge transition. This indicates two things. One is that the periodic steady-state (PSS) solution of the edge-combining DLL is derived from which the output spur is obtained; the other is that the PSS solution is affected by the systematic mismatches. Since the noise jitter at the edge-combining output is superimposed on this PSS solution, output phase noise will be modified when the PSS solution varies. Based on this observation, this paper then derives the NTFs to the edge-combining output by superimposing the noise jitters of the DLL on this static output waveform. The derived noise theory will also reveal the fundamental

0018-9480/$31.00 © 2012 IEEE

LIAO AND LU: WAVEFORM-DEPENDENT PHASE-NOISE ANALYSIS FOR EDGE-COMBINING DLL FREQUENCY MULTIPLIERS

difference between phase noise of the edge-combining DLL and that of the PLL, i.e., frequency synthesizing is realized off loop by an external edge combiner or realized in the closed loop by a frequency divider. If the frequency synthesizing is realized in the closed loop, the closed-loop response changes when the output frequency modifies. However, if the frequency synthesizing is realized off loop, the closed loop response can remain the same, even though the output frequency varies. The success of the proposed theory is verified through the programmable edge-combining DLL in [14] realized in a CMOS 90-nm technology, which changes the frequency multiplication factor by modifying the edge-combining sequence. Thus, even if the frequency multiplication factor changes, the theory still predicts the result well, as will be evidenced later. This paper is organized as follows. NTFs from noise sources in the DLL to the DLL output will be reviewed first in Section II so that the -domain noise representation and the time-domain difference equations are related. In Section III, phase noise for the edge-combining output is proposed based on the PSS solution derived from output spur analysis. Section IV is devoted to the experimental verification. Finally, a conclusion is summarized in Section V. II. DLL NTFs Noise description for DLLs can be divided into two categories, i.e., in time domain by difference equations [12], [15] or in frequency domain by NTFs [16]. Different noise information can be obtained by using different analysis approaches. Time-domain difference equations provide static jitter description, whereas frequency-domain NTFs provide noise components contributed from different frequencies. Although these two noise descriptions are different, they can be linked through the following noise relations as:

1087

Fig. 3. Timing diagram of the DLL output when the input reference jitter is injected.

From (1), the present DLL output is related to the previous input clock noise and the previous control voltage noise. Any noise at the input clock or at the control voltage has to propagate through the whole delay chain to appear at the DLL output. The noise effect will be reflected at the next time instant. This phenomenon is known as the jitter peaking, as shown in Fig. 3 [16]. Noise from the PD, on the other hand, responds immediately at the PD output. The direction of the noise at the PD output makes the DLL output vary in the same direction to which the input clock changes. Equation (3) is presented by considering the integration property provided by a simple capacitor as the loop filter. From these three equations, the variance of the DLL output noise, , can be related to that of each noise source in the DLL by using the calculation approach in [15, Appendix]. The static time-domain jitter equations can thus be obtained. Frequency-domain NTFs can also be obtained from these three equations by assuming that noise sources are uncorrelated to each other and the loop behavior is linear as far as the noise is concerned. If the input clock noise is considered first while neglecting the other noise sources in the DLL, the NTF from the input clock to the DLL output, , can be presented as (4) where

and are the transform of , respectively, with , and is the loop gain of the DLL. Similarly, the NTFs from the PD, CP, and VCDL to the DLL output can be derived, respectively, as and

(1) (2)

(3) and are the timing jitters at the input referwhere ence clock and at the DLL output, respectively, with delay cells adopted in the VCDL, and are the added jitters by the PD and by the th delay cell in the VCDL, respectively, with , , is the total noise jitter at the VCDL output, is the noise charge provided by the charge pump (CP), , and are the gains of the CP, and the th delay cell in the VCDL, respectively, denotes the total gain of the VCDL, is the noise at the PD output, and is the control voltage fluctuation.

(5)

(6) where is the equivalent -domain input-referred jitter of the PD/CP to the PD

1088

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 4. (a) Noise model for the DLL. (b) Distributed representation of the VCDL.

Fig. 5. NTFs from the: (a) the input reference clock, (b) input-referred PD/CP, and (c) VCDL to the DLL output for different loop gain

input, and are the transforms of and , respectively. From the NTFs derived above, we see that the expression for the NTF from the input clock to the DLL output is similar to what [16] presents. There is an additional delay factor in (4), which demonstrates that the change of the input clock will appear at the DLL output after one clock delay, as described previously. The NTF from the input-referred PD/CP to the DLL output is low-pass filtered. The effect of the input-referred PD/CP noise on the DLL output will also be reflected after one clock delay. Equation (6) describes that a zero is encountered first and followed by a pole, which is a high-pass filtered NTF, as described in [12]. From the above derivation, noise description in both categories is related. Fig. 4 displays the -domain noise model, including various noise sources in the DLL, based on time-domain difference equations in (1)–(3). A lump noise model for the VCDL is used in Fig. 4(a), whereas the distributed noise representation of the VCDL is showed in Fig. 4(b), which will be adopted for the following phase-noise analysis. Note that if the VCDL noise is ignored, the noise model in Fig. 4(a) is reduced to that presented in [16]. Fig. 5 displays the magnitude of (4)–(6) for different loop gain when the input frequency is 850 MHz. NTFs from each noise source in the DLL to the control voltage fluctuation can also be derived from (1)–(3) or from the noise model in Fig. 4(a) as

(7)

.

(8)

(9) is the transform of . The relations where of the noise equations (7)–(9) on output phase noise of an edge-combining DLL frequency multiplier will be discussed in Section III. III. PHASE-NOISE ANALYSIS FOR EDGE-COMBINING DLLs Phase-noise analysis for edge-combining DLLs will be derived in this section. The proposed phase-noise theory is derived based on spurious analyses in [12] and [13]. In [12] and [13], the effect of the static phase offset between PD inputs is equivalent to a static timing skew for each delayed output from the VCDL. This static timing skew deviates the edge-combining voltage pulse from its ideal transition time and generates output spur. Since the noise jitters are superimposed on the synthesized waveform, the transition instants will be advanced or retarded depending on the magnitude of the noise jitter. The output phase noise is then affected if the PSS solution varies. The phase-noise theory is verified by the edge-combining DLL in [14], whose frequency multiplication factor can be modified

1089

LIAO AND LU: WAVEFORM-DEPENDENT PHASE-NOISE ANALYSIS FOR EDGE-COMBINING DLL FREQUENCY MULTIPLIERS

Fig. 6. (a) Frequency-multiplied output with the input offset of the PD included. (b) Output decomposition into a series of periodical pulse signals.

among 6, 3, and 2 so that even if the frequency multiplication factor changes, the theory still predicts the result well. A. Fourier Series Representation of the Edge-Combining DLL Output

, Similarly, the expression for the other pulse signals, can be obtained by using the same approach. The frequencymultiplied output without timing jitters, , is derived as (12)

Fig. 6(a) shows the frequency-multiplied output of an edgecombining DLL. is the input reference frequency and is the frequency-multiplied output. , for to in this case, is the th delayed output from the VCDL. The transition time of is expressed as , where and the input offset of the PD is included. If the DLL in Fig. 6(a) is noiseless and locked, the frequencymultiplied output can be considered as a periodic signal with its output waveform repeated at every input clock period . By linearity, the periodic signal can be decomposed into periodic pulse signals [12], [13], for to with denoting the frequency multiplication factor, as shown in Fig. 6(b). Since are all periodic, the shifted pulse signals can be represented by their Fourier series coefficients, respectively [13]. Here, to simplify the analysis, we assume that the voltage transition for is ideal and a rectangular voltage pulse at the frequency-multiplied output is achieved. The Fourier series coefficient, taking the pulse signal as an example, is then calculated as

where

is expressed as

(13) Thus, the PSS solution of i.e., if there is no phase error in the VCDL are matched reduced to

is derived. In an ideal case, and delay cells , (13) can be if

if

(14)

where is an integer, indicating that the synthesized output is times the reference frequency without generating output spur. The magnitude of the output signal is . B. Output Phase Noise for Frequency Multiplication of Without Considering Phase Offset and Delay Mismatches

(10) where the amplitude of the pulse, input reference clock, and then presented as

, is is the angular frequency of the is the harmonic number. is (11)

Output phase noise of the edge-combining DLL will be derived in this section. Fig. 7 shows the effect of the noise jitters provided by the DLL on . In Fig. 7, the noisy synthesized voltage pulse can be decomposed into a noise-free signal and a corresponding noise perturbation superposed on it. The noise perturbation advances or retards the transition instant of and by and , respectively, where and , belonging to cyclostationary

1090

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 8. Noise accumulation at the rising and falling transitions of the frequencymultiplied output.

proach. Thus, the overall noise components in the frequency domain is denoted as Fig. 7. Decomposition of the pulse signal corresponding noise perturbation.

into the noise-free signal and the

processes [9], are total noise at the outputs of the first and second delay cells. The height of remains the same as . As and are small, the noise pulses can be approximated by an ideal periodic delta-function impulse [8], [17] with the amplitude or , i.e., the noise components are approximated as (17) where

is defined as

(15) This is equivalent to a discrete time noise accumulation in contrast to the continuous time noise integration in [18]. The frequency-domain relationship can be found by taking the Fourier transform of the above expression, leading to

(16) This expression reveals that the Fourier transform of and consists of multiple copies of the Fourier transforms of , , and , , that are shifted in frequency from one another with spacing and scaled in magnitude by and , respectively. Here, due to the sampling process, the noise component whose frequency is higher than is folded back and the spectra of the noise jitter are defined in 0 to [19]. Thus, we can assume that negligible frequency aliasing occurs between the copies of . The same assumption is applied to the spectrum of as well. The frequency-domain relationship for the noise perturbation on other voltage pulses can be derived by using the similar ap-

(18) Since the th edge transition is synthesized by the th delay cell in the VCDL, the noise jitter associated with the th edge transition is equal to that of the th delay cell output, as illustrated in Fig. 8. Thus, by using , as shown in Fig. 4(b), can be arranged as (19), shown at the bottom of the following page, where . and are (20a) (20b) Equation (19) then shows a general expression of including various effects such as the static phase error between the PD input and delay mismatches among delay cells. Since in (19) is composed of the noise sources generated by the input clock, PD/CP, and VCDL, as seen from Section II, further decomposition is required to obtain a general noise formula. Equation (19) can be simplified if the same

1091

LIAO AND LU: WAVEFORM-DEPENDENT PHASE-NOISE ANALYSIS FOR EDGE-COMBINING DLL FREQUENCY MULTIPLIERS

conditions in the previous section are achieved, i.e., and . Based on these assumption, the power spectral density (PSD) of , is derived as (the derivation is described in the Appendix)

(21) , , and are the PSDs of the phase flucwhere tuations for the input reference clock, input-referred PD/CP, and VCDL, respectively. From (21), the output phase noise, which is defined as the ratio between the noise power in a unit bandwidth at an offset frequency with respect to the carrier to the carrier power, is derived as

Fig. 9. (a) Edge-combining DLL when .

,

. (b) Jitter accumulation for

, and

are expressed

as

(23a)

(23b)

(22) , , and are where the NTFs from the input reference clock, input-referred PD/CP, and VCDL to the frequency-multiplied output, respectively.

.. .

.. .

.. .

(23c)

.. .

.. .

.. .

.. .

.. .

.. .

.. . (19)

1092

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 10. NTFs from the: (a) input reference clock, (b) input-referred PD/CP, and (c) VCDL to the edge-combining DLL output for

and .

Fig. 11. Proposed noise model for the edge-combining DLL.

Although (23) is derived by assuming , the same expression can be applied to the case when , as shown in Fig. 9, where Fig. 9(a) shows the case when and in addition to , and Fig. 9(b) shows the jitter example for . Since output phase noise is dependent on the synthesized waveform, which is determined by the edge-combining sequence, the proposed noise theory shows a general analysis approach that can include different design parameters, such as input phase offset, delay mismatches among the delay cells, and output duty cycle. C. Phase-Noise Model Comparison Fig. 10(a)–(c) shows the magnitude plots of (23a)–(23c) versus different noise frequencies when MHz, , and and . From Fig. 10, we see that since the phase fluctuation of the delay cells is affected by the control voltage noise, the NTFs of the control voltage will be transferred to the edge-combining output directly. Besides, a scaling factor is required to take account of the noise accumulation by the VCDL. As a result, the noise at the input clock and at the VCDL output have noise peaking characteristics at the edge-combining output, whereas the noise at the PD/CP will be low-pass filtered. Note that since the noise components at low offset frequencies are periodically reset before they take effect, i.e., the noise peaking or the noise filtering phenomenon is ignored at low offset frequencies, and phase noise of the input reference, input-referred PD/CP, and VCDL are upconverted to the frequency-multiplied output by approximately , , and , respectively. This indicates that if the phase-noise difference among the input reference, the input-referred PD/CP, and the VCDL is not large,

Fig. 12. Programmable edge-combining DLL frequency multiplier in [14].

phase noise of the frequency-multiplied output will be mainly dominated by that of the input reference clock. Fig. 11 shows the proposed noise model of the edge-combining DLL from which the output phase noise is intentionally separated into the loop noise and the offset noise . From this noise model, we see that since the frequency multiplication of the edge-combining DLL is realized off-loop by an edge combiner, the DLL loop dynamic remains the same when varies. Thus, similar phase-noise profiles, which are mainly due to the input reference clock if the phase-noise difference among various noise sources are not large, will appear at the edge combiner output. The coefficient in , for example, is also determined by the PSS solution, which, in turn, is affected by the phase offset in the closed loop or the delay mismatch among the delay cells. This coefficient is independent of the noise frequency. The proposed theory then shows one of

1093

LIAO AND LU: WAVEFORM-DEPENDENT PHASE-NOISE ANALYSIS FOR EDGE-COMBINING DLL FREQUENCY MULTIPLIERS

Fig. 13. Theoretical phase noise with corresponding noise components presented versus simulated phase noise for: (a)

, (b)

, and (c)

.

the differences as compared with the noise model in [4], which models the edge-combining DLL as a special case of the RPLL by assuming . in [4] is upconverted to the output, which is fed back through the divider to become a loop. The effect of the on output phase noise is frequency dependent after taking the closed loop into consideration. The derived noise theory then displays a noise model that approaches the real operation condition more. D. Phase Noise With Phase Error Included NTFs in (23) are derived by assuming that there is no phase error and the delay cells in the VCDL are matched. A more precise expression can be obtained when the phase offset is considered, where . The delay factors and , when , are reduced to

Fig. 14. Phase-noise comparison between the measured data and the predicted results.

(24a) (24b) The

expression in (14) becomes (26c) (25)

where is added by considering that the output waveform is edge combined by the delay stages having distance of among themselves. The coefficients in (23) are modified as

From (26), we see that if the output phase noise is dominated by that of the input reference clock, the same phase noise is produced even if the output spur differs, as will be evidenced later. IV. VERIFICATION FOR PHASE-NOISE ANALYSIS

(26a)

(26b)

A. Theory Versus Simulation The derived phase-noise theory is verified by using the programmable edge-combining DLL in [14], as shown in Fig. 12. The edge-combining DLL has different frequency multiplication factors, which are 6, 3, and 2. Design parameters are A, pF, and ns/V. Phase-noise simulation was done by using the SpectreRF, which solves the PSS solution first and then analyzes the output phase noise at the th harmonic input frequency. The theoretical and simulated phase noise when and MHz is shown in Fig. 13(a), assuming that there is no phase error and

1094

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 15. Measured spurs by using the: (a) current-splitting CP and (b) current-steering CP for

, and

MHz.

the delay cells are matched. The corresponding noise contributions from the input clock, input-referred PD/CP, and VCDL after frequency multiplication are also shown in the figure as , , and , respectively. From Fig. 13(a), the calculated output phase noise is close to what is obtained by the simulation result. The main noise contributor to the output phase noise comes from the input clock noise, which is mainly due to the input buffer in this case. Fig. 13(b) and (c) presents the calculated output phase noise with the simulated results for and , respectively, which also show that the derived theory predicts the output phase noise well. Note that since the DLL remains in the same locked condition, the same , , and are applied to noise calculation, as previously mentioned.

B. Measurement Results The comparison between the measured data and calculated output phase noise is illustrated in Fig. 14. From Fig. 14, we see that the measured phase noise, which achieve 121.4, 127.4, and 129.7 dBc Hz at 1-MHz frequency offset for and , respectively, follow the theoretical prediction closely. Note that the increase of the measured phase noise when the offset frequency is lower than around 10 kHz is due to the noise increase of the signal generator at low offset frequencies, leading to noise degradation at the input clock. Output phase noise is then degraded accordingly. From this experiment, the success of phase-noise analysis is verified even when the frequency multiplication factor changes. Fig. 15 displays two output spurs generated through the same edge-combining DLL by adopting different CP topologies [14]. Under this condition, i.e., and MHz, the spur effect on phase-noise performance is presented in Fig. 16, where the lighter line and the darker line are corresponding to the phase noise in Fig. 15(a) and (b), respectively. From this

Fig. 16. Spur effects on phase-noise performance.

experiment, it reveals that almost the same phase-noise performance is achieved as predicted in (26a). Hence, the validness of the proposed theory with phase error included is also evidenced. V. CONCLUSION The DLL noise description has been reviewed and a noise theory has been proposed to predict the phase noise of edgecombining DLLs. The proposed theory is based on the PSS solution on which the noise jitters are superimposed. Therefore, system parameters such as the phase offset between PD inputs, delay mismatches among the delay cells, output duty cycle, etc. can be included in the noise calculation in contrast to the conventional noise theory. The success of the theory is verified not only for the specific frequency multiplication case (i.e., when is ), but also for the case when the frequency multiplication factor varies.

LIAO AND LU: WAVEFORM-DEPENDENT PHASE-NOISE ANALYSIS FOR EDGE-COMBINING DLL FREQUENCY MULTIPLIERS

1095

APPENDIX Equation (21) will be derived here. When the harmonic number is equal to , and . in (19) can be reduced to

(29) With and , the PSD expression is reduced to (21). ACKNOWLEDGMENT The authors would like to thank Dr. S.-A. Yu, Maxlinear Inc., Irvine, CA, for discussion and the reviewers for suggestions and comments. The Chip Implementation Center (CIC), HsinChu, Taiwan, and the United Microelectronics Corporation (UMC), HsinChu, Taiwan, are appreciated for technical support and chip implementation, respectively.

(27) which reveals the noise accumulation by the DLL. With , , and

effect

, as described in Section II, the expression for in phase fluctuation of the noise sources can be derived by replacing , , and , and using (7)–(9) as

(28) . Therefore, the PSD where [20] for , are derived by noting that the delay cells in the VCDL are assumed matched, i.e., each delay cell has the same PSD , as

REFERENCES [1] T. C. Lee and K. J. Hsiao, “The design and analysis of a DLL-based frequency synthesizer for UWB application,” IEEE J. Solid-State Circuits, vol. 41, no. 6, pp. 1245–1252, Jun. 2006. [2] D. Daly et al., “A pulsed UWB receiver SoC for insect motion control,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2009, pp. 200–201. [3] J. Y. Chang, C. W. Fan, and S. I. L. Liu, “A frequency synthesizer for mode-1 MB-OFDM UWB applications,” in Int. VLSI Design, Automat., Test Symp., Apr. 2009, pp. 219–222. [4] S. Ye, L. Jansson, and I. Galton, “A multiple-crystal interface PLL with VCO realignment to reduce phase noise,” IEEE J. Solid-State Circuits, vol. 37, no. 12, pp. 1795–1803, Dec. 2002. [5] W. Wilson, U. Moon, K. Lakshmikumar, and L. Dai, “A CMOS selfcalibrating frequency synthesizer,” IEEE J. Solid-State Circuits, vol. 35, no. 10, pp. 1437–1444, Oct. 2000. [6] M. Mansuri and C. Yang, “Jitter optimization based on phase-locked loop design parameters,” IEEE J. Solid-State Circuits, vol. 37, no. 11, pp. 1375–1382, Nov. 2002. [7] X. Gao, E. Klumperink, P. Geraedts, and B. Nauta, “Jitter analysis and a benchmarking figure-of-merit for phase-locked loops,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 56, no. 2, pp. 117–121, Feb. 2009. [8] M. Perrott, M. Trott, and C. Sodini, “A modeling approach for fractional-N frequency synthesizers allowing straightforward noise analysis,” IEEE J. Solid-State Circuits, vol. 37, no. 8, pp. 1028–1038, Aug. 2002. [9] K. Kunder, “Predicting the phase noise and jitter of PLL-based frequency synthesizers,” The Designer’s Guide Community, 2009. [Online]. Available: http://www.designers-guide.org fractional[10] B. De Muer and M. Steyaert, “On the analysis of frequency synthesizers for high-spectral purity,” IEEE Trans. Circuits Syst. II, Analog Digit. Signal Process., vol. 50, no. 11, pp. 784–793, Nov. 2003. [11] H. Arora, N. Klemmer, J. Morizio, and P. Wolf, “Enhanced phase noise modeling of fractional- frequency synthesizers,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 52, no. 2, pp. 379–395, Feb. 2005. [12] J. Zhuang and T. Du, “Noise, spur characteristics and in-lock error reduction of DLL-based frequency synthesizers,” in Proc. Int. Commun. Circuits Syst. Conf., 2004, pp. 1443–1446. [13] O. Casha et al., “Analysis of the spur characteristics of edge-combining DLL-based frequency multipliers,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 56, no. 2, pp. 132–136, Feb. 2009. [14] F. R. Liao and S. S. Lu, “A programmable edge-combining DLL with a current-splitting charge pump for spur suppression,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 57, no. 12, pp. 946–950, Dec. 2010. [15] R. van de Beek, E. Klumperink, C. Vaucher, and B. Nauta, “Low-jitter clock multiplication: A comparison between PLLs and DLLs,” IEEE Trans. Circuits Syst. II, Analog Digit. Signal Process., vol. 49, no. 8, pp. 555–566, Aug. 2002. [16] M. Lee et al., “Jitter transfer characteristics of delay-locked loops-theories and design techniques,” IEEE J. Solid-State Circuits, vol. 38, no. 4, pp. 614–621, Apr. 2003. [17] H. Darabi and A. Abidi, “Noise in RF-CMOS mixers: A simple physical model,” IEEE J. Solid-State Circuits, vol. 35, no. 1, pp. 15–25, Jan. 2000.

1096

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

[18] A. Hajimiri and T. Lee, “A general theory of phase noise in electrical oscillators,” IEEE J. Solid-State Circuits, vol. 33, no. 2, pp. 179–194, Feb. 1998. [19] S. Levantino et al., “Phase noise in digital frequency dividers,” IEEE J. Solid-State Circuits, vol. 39, no. 5, pp. 775–784, May 2004. [20] B. Razavi, RF Microelectronics. Upper Saddle River, NJ: PrenticeHall, 1998. Fang-Ren Liao was born in Taipei, Taiwan, in 1980. He received the B.S. and M.S. degrees in electrical engineering from National Taiwan University, Taipei, Taiwan, in 2002 and 2005, respectively, and is currently working toward the Ph.D. degree in electronic engineering at National Taiwan University. From 2007 to 2008, he was an Intern with AlcatelLucent Ball Laboratories, Murray Hill, NJ, where he was involved with frequency synthesizers. In 2010, he joined VIA Telecom, Taipei, Taiwan. His research interests include PLLs, DLLs, and millimeter-wave integrated circuits.

Shey-Shi Lu (S’89–M’91–SM’92) was born in Taipei, Taiwan, in 1962. He received the B.S. degree from National Taiwan University, Taipei, Taiwan, in 1985, the M.S. degree from Cornell University, Ithaca, NY, in 1988, and the Ph.D. degree from the University of Minnesota at Minneapolis–St. Paul, in 1991, all in electrical engineering. His M.S. thesis concerned the planar doped barrier hot electron transistor. His doctoral dissertation concerned the uniaxial stress effect on AlGaAs–GaAs quantum well/barrier structures. In August 1991, he joined the Department of Electrical Engineering, National Taiwan University, where he is currently a Professor. From August 2007 to July 2010, he was also the Director of the Graduate Institute of Electronics Engineering, National Taiwan University. His current research interests are in the areas of RF integrated circuits (RFICs)/monolithic microwave integrated circuits (MMICs) and micromachined RF components.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

1097

802.11a Compliant Spatial Diversity Receiver IC in 0.25- m BiCMOS Michael Wickert, Student Member, IEEE, Uwe Mayer, Student Member, IEEE, and Frank Ellinger, Senior Member, IEEE

Abstract—This paper presents an integrated 802.11a compliant receiver integrated circuit (IC), which is capable of processing four antenna signals using RF multiple-input multiple-output (RF-MIMO) schemes. Following four low-noise amplifiers, the weighting is performed using Cartesian vector modulators, whose output signals are combined and down-converted. The baseband filters, variable gain amplifiers (VGAs), a quadrature voltage-controlled oscillator, and digital interfaces are integrated. Experimental results demonstrate the performance of the individual components, as well as the RF-MIMO capabilities of the whole chip. The IC achieves a receiver noise figure of 3.6 dB, a phase noise of 113 dBc Hz at 1-MHz offset, and an equivalent Cartesian weighting precision of 6 6 bit. An RF input signal of up to 35.3 dBm (1-dB compression) can be processed, while the maximum RF path gain amounts to 28.6 dB. The baseband VGA provides further 9–61-dB gain in 64 steps. In total, the chip consumes only 508 mW of power and its size amounts to 7.5 mm in 0.25- m SiGe BiCMOS technology. Index Terms—BiCMOS, diversity receiver, 802.11a, RF integrated circuit (RFIC), RF multiple-input multiple-output (RF-MIMO), wireless local-area network (WLAN).

I. INTRODUCTION

Integrated 802.11a transceivers have been widely available for more than five years [4]–[6] and the operation principle of adaptive antenna combining, which is closely related to RF-MIMO, was already applied in [7]. However, implementations of a complete integrated receiver, including analog baseband using RF-MIMO are still missing. The integrated circuit (IC) described in [8] performs a sort of analog weighting, but conducts combining at baseband and thus needs four mixers. The receiver of [9] uses phase shifting of two antennas only, which cannot extract the same MIMO channel benefits compared to complex weighting. In contrast, this paper reports the design strategies and demonstrates the first successful operation of a four-antenna fully integrated RF-MIMO receiver in low-cost BiCMOS technology. Section II describes the architecture of this receiver IC and illustrates the target system. Section III is devoted to the design of each building block and reports the particular measurement results. Section IV discusses the receiver performance and compares it to other state-of-the-art designs, before conclusions are drawn in Section V. II. SYSTEM DESIGN

R

ECENT performance benefits of wireless local-area network (WLAN) communication were gained using multiple antennas to exploit the diversity properties of the wireless channel [1]. New standards supporting such multiple-input multiple-output (MIMO) operation modes often evolve slower than the applications demand and older standards are completely excluded from those enhancements. Therefore, RF-MIMO introduced in [2] presents an excellent alterative by performing the required weighting operation totally in the RF domain. It uses phase shifters and variable gain amplifiers (VGAs) or vector modulators (VMs), as described in [2], to exploit spatial diversity. Of course, the maximum performance gain compared to full MIMO is limited [3]. However, in practical signal-to-noise ratio (SNR) limited channels, a similar gain is reported. In this manner, existing standards can benefit from MIMO features at a low complexity and power increase.

Manuscript received September 29, 2011; revised December 13, 2011; accepted December 14, 2011. Date of publication January 31, 2012; date of current version April 04, 2012. This work was supported by the European Community’s Seventh Framework Programme (FP7/2007-2013) under Grant 213952. The authors are with the Chair for Circuit Design and Network Theory, Technische Universität Dresden (TUD), Dresden 01062, Germany (e-mail: [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2184297

Fig. 1 depicts the transceiver system, which bases on the direct conversion topology to eliminate image rejection and narrowband IF filter needs. The extension for the RF-MIMO operation is placed in the RF part of the receiver. This work focuses on the integration of all receive signal path modules leaving few off-chip components: phase-locked loop (PLL), antenna switch, and analog-to-digital converter (ADC). Two serial peripheral interfaces (SPIs) control the frontend chip, one dedicated for weights and the other one transports for general commands, such as RF channel or gain settings. The receive path comprises four low-noise amplifiers (LNAs), which amplify the incoming antenna signals before a VM performs the desired complex weighting of each branch. Afterwards, the combiner coherently sums up the four signals and leads them to the in-phase/quadrature (I/Q) down-conversion mixer. Concurrently, a received signal strength indicator (RSSI) generates a dc representation of the combined RF signal. The received baseband signal is fed to a eighth-order channel filter and a VGA providing 64 gain steps. Finally, dedicated line drivers provide enough output power to drive the off-chip ADCs. III. IMPLEMENTATION AND RESULTS Fig. 2 shows the developed receiver IC, whose die size is 7.5 mm with 100 pads. The circuit was implemented in IHP

0018-9480/$31.00 © 2012 IEEE

1098

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 1. Integrated RF-MIMO receiver in the WLAN transceiver system.

Fig. 3. Photograph of the receiver mounted on a test PCB. Fig. 2. Chip photograph of the receiver IC (die size: 2.9

2.6 mm ).

0.25- m SiGe BiCMOS technology using differential signaling for circumventing interference and coupling problems via supply or ground lines. Test and characterization is eased by means of RF buffers placed after one antenna branch and after the combiner. CMOS switches allow extracting and inserting baseband signals after the mixer. For measurement and evaluation purposes, the IC was mounted onto a test printed circuit board (PCB) along with the mentioned necessary off-chip components, voltage regulators, and test terminals (see Fig. 3). A. LNA The dynamic range of a received 802.11a compliant signal is defined by the standard [10] and covers a power range from

82 to 30 dBm at the antenna port. Adding the losses of the off-chip components in the receive path of the system, a maximum received power of 35 dBm was the design target. The LNA consists of two closely located differential pairs with resistive loads shown in Fig. 4. In order to obtain a flat frequency response and a high linearity, the second stage uses resistive feedback. The simulated data is presented including models for the off-chip losses. The model for the antenna switch (JRC NJG1617K11) and bandpass-filter (TDK DEA205425BT2028A4) mounted on a PCB was measured, while the balun (JTI 5515BP15C1020) is represented using the model given by the manufacturer (see Fig. 5). A switchable test buffer enables the measurements of the front-end characteristic at the output of the VMs. Fig. 6 presents the measured frequency response

WICKERT et al.: 802.11a COMPLIANT SPATIAL DIVERSITY RECEIVER IC

Fig. 4. Schematic of the variable gain LNA (gain is adjusted by

1099

). Fig. 7. Measured and simulated input compression versus RF gain at 5.4 GHz.

Fig. 8. Architecture of the employed vector modulators. Fig. 5. Characteristics of the passive’s losses.

Fig. 6. Measured and simulated RF gain, NF and input matching at full gain.

of the receiver that covers the whole target band (3-dB range: from 4.7 to 5.8 GHz). All on-chip RF components are designed inductorless, and thus, are inherently very broadband. Note that the bandwidth limit in Fig. 6 comes from the off-chip frequency-selective components bandpass filter and balun. The obtained results in gain and noise figure (NF) closely match our predictions. Since the on-board NF of measured 7 dB at 5.5 GHz matches the predicted 6.5 dB, the RF integrated circuit (RFIC) alone is considered to operate close to its simulated NF of 3 dB. Remaining differences can be attributed to bondwires and device tolerances. The standard [10] assumes a system NF of 10 dB, which can be easily fulfilled by this IC. The gain of the LNA can be trimmed via the bias current of the differential pair by approximately 15 dB, thus extending the processible input power range. Refer to Fig. 7 for the compression curves of the RF blocks as a function of the LNA gain setting. In simulation, the chip is capable of handling 2-dB higher

Fig. 9. (a) VGA with inherent sign inversion. (b) Simplified small-signal equivalent.

input power. However, at the lowest gain setting, the input compression still amounts to 35.3 dBm. B. VM In contrast to inductor-based I/Q generation [12], the VM bases on an improved version of [11] with all-pass networks for I/Q generation and subsequent variable gain amplification. However, the VGAs are replaced by a modified Gilbert cell topology (cf. Figs. 8 and 9). The signal is fed to a pair of common base stages that are cross-coupled at the output with the particular transistors of the opposing signal phase, thus enabling a controllable signal cancellation with high phase stability.

1100

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 10. Calculated control linearity of VGA.

The control voltages and are derived from current mirrors, which, in turn, are sourced by a differential current steering digital-to-analog converter (DAC) providing the corresponding weight at 8-bit resolution. If we assume ideal current mirrors, the collector currents of , and , ideally follow the DAC currents and , respectively. Since the sum of both currents is always , the input impedance effective for the input signal is independent of the weight setting. We derive the gain from

Fig. 11. Measured and simulated normalized vector space of one VM with 5-bit resolution at 5.4 GHz.

(1) and the small-signal equivalent circuit under the conditions as

where is the temperature voltage. In case of small following well-known linear relationship is obtained:

(2) , the (3)

values obtained from practical SiGe However, with the technologies (here, 15 ), one not only obtains a significant reduction in gain (cf. Fig. 10), but furthermore, the VGA yields a slightly nonlinear control behavior with a max integral nonlinearity (INL) of 3.1% for the DAC current to gain characteristic (see Fig. 10). Despite this nonideality, compared to [11] a more uniform coverage of the complex plane is achieved as the small gaps close around the axes are removed. Enabling a switchable test buffer at the output of the combiner allows the measurement of the signal space of a single VM, as well as the observation of the resulting combined signals. The resulting measured RF signal weighting is shown in Fig. 11. The weight control range amounts to more than 40 dB, while the root mean square (rms) phase error is only 0.4 . The nonidealities, especially in the higher gain areas, limit the weighting precision to effective 6 6 bits for real and

Fig. 12. Measured and simulated noise figure versus RF gain at 5.5 GHz.

imaginary components. Weight changes were observed to settle in less than 200 ns, but the measurements were limited by the RSSI speed. The noise contribution for varying RF gain due to changed weight amplitudes is shown in Fig. 12. Here, the excellent performance of the LNA over varying RF gain is validated since it shows only very little contribution of noise from the subsequent RF stages (VM, buffer, combiner) at reduced gain due to weight reductions. A reduction of 3 dB does not yet influence noise, and 10 dB reduction only yields 3-dB increase in the NF. If the weights are reduced by more than 20 dB, the NF obviously increases by the same amount. C. Combiner Summing up of the four weighted antenna signals is conducted in the current domain, whereby the voltage to current conversion is performed by common base input stages (cf. Fig. 13). For an effective switch-off of unused branches, the bias voltage of the common base stage can be turned off, yielding a measured isolation of 34 dB. The totem-pole topology [13] ensures sufficient linearity of the output stage, if all four antennas are combined, since this is equivalent to an increase of dynamic range up to 12 dB. Fig. 14 demonstrates the coherence of the combiner, which was evaluated by feeding two antennas and stepping through

WICKERT et al.: 802.11a COMPLIANT SPATIAL DIVERSITY RECEIVER IC

1101

Fig. 15. Schematic of the RSSI.

Fig. 13. Combiner with common base

stages and totem-pole output driver.

Fig. 16. Measured and simulated RSSI response versus input power at 5.4 GHz.

Fig. 14. Measured vector space of two combined antenna signals at 5.4 GHz.

one VM, while the other VM is held at a fixed weight setting. Note that one of the colored data fields is actually a full vector space representation similar to Fig. 11, but at lower resolution. D. RSSI Signal power detection is an important task for a receiver as it needs to adopt the VGAs at RF and at baseband upon changing input power levels. An RSSI circuit based on the design of [14] was implemented (cf. Fig. 15). The signal is sensed after the combining circuit by a detector cell with a differential current output. This current is then transformed into log domain using a simple, but fast logarithmic amplifier. A second log amplifier uses a reference current in order to eliminate process and temperature variations of the output. Fig. 16 shows the response of the RSSI circuit with respect to the incident RF power. A range of 42 dB can be detected by the circuit with an average slope of 25 mV/dB. The measured full swing rise time of 330 ns is faster than the fall time 1 s since a small detector current needs longer to discharge the parasitics at its output nodes. E. VCO, PLL, and Mixer The VCO is implemented as a differential Colpitts oscillator running at 11 GHz (cf. Fig. 17). The subsequent divide-by-2 cir-

Fig. 17. Differential 11-GHz Colpitts VCO.

cuit generates an I- and Q-phased signal, which can cover the 5.37–6.13-GHz range. The tuning characteristics (cf. Fig. 18) reveal that the frequency range is covered by three VCO subbands, which are selected by means of switched fixed capacitors parallel to , in order to reduce the oscillator phase noise. VCO control is conducted by an off-chip PLL (ADF4157) yielding a phase noise of 87.4 dBc Hz at 100-kHz offset and 113.4 dBc Hz at 1-MHz offset measured at 5.4 GHz. The employed direct down-conversion mixer bases on the passive mixer core with facilities for altering the dc level of the output lines described in [17]. For better linearity, a regulation loop is incorporated, which forces the switch transistor’s bias voltages to follow the dc level at the outputs. The mixer output amplifier contains a second-order low-pass and first-order high-pass filter to eliminate alias effects in the subsequent switched capacitor (SC) filter and dc offsets resulting from self-mixing effects. The measured 3-dB corner frequencies are 19 MHz and 300 kHz, respectively, and thus, are higher than expected due to filter tolerances, but the effect on system performance should be negligible since only the

1102

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 20. Measured and simulated frequency response of eighth-order baseband filter. Fig. 18. Measured tuning characteristic of integrated VCO.

Fig. 19. Block diagram of the analog baseband circuitry.

lowest subcarrier located at 312 kHz is subject to this degradation. Measured at baseband, the total I/Q imbalance amounts to less than 0.7 dB and 9.1 over the whole channel bandwidth.

Fig. 21. Measured performance of the eighth-order SC filter and baseband VGA.

F. Baseband Filter and Amplifier The analog signal conditioning in the baseband has to accomplish two major tasks. It should provide a low-pass filter characteristic with a steep stopband response and it should be able to cover the dynamic range of the signal in order to deliver a constant signal level to the subsequent ADCs. To achieve both in one circuit, an eighth-order SC low pass filter with included 64-step gain control capability was implemented (cf. Fig. 19). The SC clock is 80 MHz. Furthermore, a decimator stage running at 160 MHz is placed in front of the second biquad to suppress images from the SC sampling process near their clock frequency. Four differential second-order biquad filters can be adjusted in their gain by a switchable capacitor array at the particular input. By proper selection of the gain steps, a logarithmic scale of measured 0.82 dB/step (designed 1 dB) was achieved. Offset errors were suppressed by auto-zeroing techniques. The frequency response of Fig. 20 closely matches the requirements, the 3-dB corner is found at 8.45 MHz. Fig. 21 summarizes the properties of the filter. The gain can be steered in widely uniform steps from 9.4 up to 61.4 dB. The passband ripple rises from 1 dB up to 4 dB at high gain settings. The attenuation of the closest subcarrier of the adjacent channel at 11.8 MHz amounts at least 25 dB. The measured decimator suppression is better than 6 dB. G. Digital Interface and Registers For control of all receiver settings, a general-purpose digital interface based on a three-wire SPI protocol is implemented on-chip. The used digital design flow allows a simulated SPI speed of up to 200 MHz, which enables rapid transfers of gain

settings, which is essential for fast and correct automatic gain control (AGC) settling at the beginning of each 802.11a frame. The standard [10] devotes ten short training (ST) symbols of each 0.8 s for coarse frequency estimation, signal detection, and AGC settling. However, AGC settling should be accomplished within two ST symbols at maximum for best synchronizer results [18]. To access the weights applied by the vector modulators a second SPI is integrated using the same design methodology. For a quick change between optimum weights of particular users and default weights, a register file of four memory cells per antenna is incorporated. Furthermore, four hard-wired orthogonal sets of weights used by the planned training schemes are included and can be directly applied to the VMs. Synchronization of weight changes is assured by a separate set_weights signal, which is used to load the VM DACs. IV. RESULTS DISCUSSION The performance metrics of comparable receivers typically refer to the chip boundaries, which is why the nonidealities of our test PCB have to be deducted. Also, for comparison reasons, the power consumption should be referred to one RX channel, including the corresponding fraction of the complete LO generation. A fair area comparison should also base on the area allocated to one receive chain including pads. As can be seen from Table I, the performance of a single receive chain (NF, phase noise, input linearity) of this IC fits well into recently published transceiver ICs [9], [10], [16]. It has the lowest NF and lowest area per antenna port of all fully integrated receivers. Especially when considering the technology

WICKERT et al.: 802.11a COMPLIANT SPATIAL DIVERSITY RECEIVER IC

1103

TABLE I COMPARISON OF STATE-OF-THE-ART MIMO RECEIVERS FOR WLAN

Integrated RX chain, LO generation, analog baseband and digital control

difference, the power consumption (approximately the same) and area need (approximately 20% lower) of our IC is significantly below [4]. The extreme low-power demand of [8] is attributed to the lack of a local oscillator (LO) generation, which, in our case, consumes 59% of the complete power, because the off-chip PLL demands a power-hungry off-chip drive of the LO signal. The IC of [9] also performs RF combining of two branches and expects 3-dB sensitivity improvement; however, it only uses steerable phase shifters, which strongly limits the performance gain due to spatial diversity. The resolution and accuracy of this phase shifter is not stated. Both of the two RX full-MIMO receivers [4], [16] require twice the baseband processing power of a single stream, which significantly relativizes their advantage in power consumption compared to this IC. Real-world tests of previous RF-MIMO works presented in [19] show that proper weighting of four antenna signals translates into an SNR gain dB yielding a double-coverage range or twice the throughput compared to single-input single-output (SISO). This matches closely to the imitated field test results of 5.9-dB SNR increase in [8]. Compared to [19], the SNR gain by RF-MIMO is even higher in an integrated receiver because of better noise performance and higher weighting accuracy. This underlines the enormous potential of this RF-MIMO receiver for future transceiver systems because it allows the power efficient exploitation of spatial diversity. Real-world tests of previous RF-MIMO works presented in [19] show that proper weighting of four antenna signals translates into an SNR gain dB yielding a double coverage range or twice the throughput compared to SISO. This matches closely to the imitated field test results of 5.9-dB SNR increase in [8]. Compared to [19], the SNR gain by RF-MIMO is even higher in an integrated receiver because of better noise performance and higher weighting accuracy. This underlines the enormous potential of this RF-MIMO receiver for future transceiver systems because it allows the power-efficient exploitation of spatial diversity.

*No VCO/PLL power included

Also, integrating a low-power LO generation into this chip would reduce the total power consumption significantly. Moreover, since the baseband processing effort of an RF-MIMO receiver is only slightly higher than for a SISO system, the overall power consumption of an RF-MIMO system is further reduced compared to full-MIMO systems. V. CONCLUSION The presented RFIC is the first reported fully integrated diversity receiver IC incorporating the whole signal path from the RF to the analog baseband for an existing WLAN standard. It was designed to support RF-MIMO operation with four antennas to existing 802.11a systems and fulfills its design goals closely in most respects. High weighting accuracy (6 bit without calibration) is achieved using a modified Gilbert structure as the VGA. The inherent nonlinearity has been analyzed and found to remain below 3.1% INL. The high weighting speed ( 200 ns limited by measurement limitation) allows using sophisticated training algorithms for precise tracking of optimum weights. From previous studies, the expected SNR benefit compared from RF-MIMO to SISO of this receiver IC in a complete RF-MIMO transceiver system is estimated to be at least 6 dB. The receiver performance measures are comparable to latest reported receiver ICs. The required power consumption 1 and area need ( 20 less) is significantly better than latest state-of-the-art receivers, especially if the applied low-cost technology node (0.25- m BiCMOS) and reduced power and complexity of the baseband processor is considered as well. Since our approach does not require bulky inductors in the RF stages, it can be easily extended to support other bands/standards if the VCO is shifted to another frequency or further divider stages enable the use in the second-generation (2G) or third-generation (3G) WiMAX bands. Considering further reductions in power consumptions by using a more efficient LO generation and the strongly reduced baseband processing requirements compared to full-MIMO, transceivers using the presented IC and its design methodology

1104

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

will provide power-efficient performance enhancements to existing 802.11a or other systems. ACKNOWLEDGMENT The authors thank Altium Limited for their support on PCB design software. REFERENCES [1] S. Barbarossa, Multiantenna Wireless Communication Systems. Boston, MA: Artech House, 2005. [2] R. Eickhoff, R. Kraemer, I. Santamaria, and L. Gonzalez, “Developing energy-efficient MIMO radios,” IEEE Veh. Technol. Mag., vol. 4, pp. 34–41, Mar. 2009. [3] J. Via et al., “A general criterion for analog Tx–Rx beamforming under OFDM transmissions,” IEEE Trans. Signal Process., vol. 58, no. 4, pp. 2155–2167, Apr. 2010. [4] Y. Palaskas et al., “A 5-GHz 108-Mb/s 2 2 MIMO transceiver RFIC with fully integrated 20.5-dBm P1dB power amplifiers in 90-nm CMOS,” IEEE J. Solid-State Circuits, vol. 41, no. 12, pp. 2746–2756, Dec. 2006. [5] L. Nathawad et al., “An IEEE 802.11a/b/g SoC for embedded WLAN applications,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., 2006, pp. 1430–1439. [6] T.-M. Chen et al., “A low-power fullband 802.11a/b/g WLAN transceiver with on-chip PA integrated 802.11abg frontend,” IEEE J. SolidState Circuits, vol. 42, no. 2, pp. 983–991, Feb. 2007. [7] A. Wittneben and U. Dettmar, “A low cost noncoherent receiver with adaptive antenna combining for high speed wireless LANs,” in Proc. IEEE 47th Veh. Technol. Conf., 1997, pp. 173–177. [8] J. Paramesh, R. Bishop, K. Soumyanath, and D. J. Allstot, “A four-antenna receiver in 90-nm CMOS for beamforming and spatial diversity,” IEEE J. Solid-State Circuits, vol. 40, no. 12, pp. 2515–2524, Dec. 2005. [9] C. P. Lee et al., “A multistandard, multiband SoC with integrated BT, FM, WLAN radios and integrated power amplifier,” in IEEE Int. SolidState Circuits Conf. Tech. Dig., 2010, pp. 454–455. [10] Wireless LAN Medium Access Control (MAC) and Physical Layer (PHY) Specifications, IEEE Standard 802.11a-1999 (R2003), Part 11, 2003. [11] U. Mayer, M. Wickert, R. Eickhoff, and F. Ellinger, “Multiband mixedsignal vector modulator IC,” in Radio Freq. Integr. Circuits Symp., Jun. 2011, pp. 1–4. [12] N. Joram, U. Mayer, R. Eickhoff, and F. Ellinger, “Fully integrated active CMOS vector modulator for 802.11a compliant diversity transceivers,” in IEEE Int. Microw., Commun., Antennas, Electron. Syst. Conf. , 2009, pp. 1–4. [13] M. Wickert, R. Wolf, and F. Ellinger, “ Analysis of totem-pole drivers in SiGe for RF and wideband applications,” Int. J. Microw. Wireless Technol., pp. 1–9, 2011. [14] U. Mayer, M. Wickert, and F. Ellinger, “Design of received signal strength indicators for RF-MIMO systems,” in Res. Microelectron. Electron. Conf., 2010, pp. 1–4. [15] J. Park, S.-N. Kim, Y.-S. Roh, and C. Yoo, “A direct-conversion CMOS RF receiver reconfigurable from 2 to 6 GHz,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 9, pp. 2326–2333, Sep. 2010. [16] K. Lim et al., “A 2 2 MIMO tri-band dual-mode direct-conversion CMOS transceiver for worldwide WiMAX/WLAN applications,” IEEE J. Solid-State Circuits, vol. 46, no. 7, pp. 1648–1658, Jul. 2011. [17] M. Wickert and F. Ellinger, “A 4.2–6.2 GHz low-power CMOS mixer core with DC offset correction for RF-MIMO WLAN,” in IEEE Int. Microw., Commun., Antennas, Electron. Syst. Conf., 2011, pp. 1–4.

[18] M. Krstic, A. Troya, K. Maharatna, and E. Grass, “Optimized lowpower synchronizer design for the IEEE 802.11a standard,” in Proc. IEEE Int. Acoust., Speech, Signal Process. Conf., 2003, pp. 333–336. [19] “MIMAX: Advanced MIMO systems for MAXimum reliability and performance, Deliverable D6.3—System Integration and Demonstration,” Univ. Cantabria, Santander, Spain, Mar. 2011. Michael Wickert (S’11) was born in Wurzen, Germany, in 1982. He received the Masters degree in electrical engineering from the Technische Universität Dresden (TUD), Dresden, Germany, in 2007, and is currently working toward the Ph.D. degree in integrated frontends for WLAN radios at TUD.

Uwe Mayer (S’09) was born in Dresden, Germany, in 1981. He received the Diploma degree in electrical engineering from the Technische Universität Dresden (TUD), Dresden, Germany, in 2007, and is currently working toward the Ph.D. degree at TUD. His main research interests include the design of RFICs for diversity transceivers.

Frank Ellinger (S’97–M’01–SM’06) was born in Friedrichshafen, Germany, in 1972. He received the Electrical Engineering degree from the University of Ulm, Ulm, Germany, in 1996, and the MBA degree and Ph.D. degree in electrical engineering and Habilitation degree in high-frequency circuit design from ETH Zürich (ETHZ), Zürich, Switzerland, in 2001 and 2004, respectively. Since August 2006, he has been a Full Professor and Head of the Chair for Circuit Design and Network Theory, Technische Universität Dresden (TUD), Dresden, Germany. He is currently a member of the Management Board and Coordinator of the communications area in the German excellence cluster project Cool Silicon. From 2001 to 2006, he was Head of the RFIC Design Group, Electronics Laboratory, ETHZ, and a Project Leader with the IBM/ETHZ Competence Center for Advanced Silicon Electronics, hosted by IBM Research, Rüschlikon, Switzerland. He has been coordinator of the European Union (EU) funded projects RESOLUTION and MIMAX. He has authored or coauthored over 170 refereed scientific papers, most of them IEEE journal contributions. He holds three patents. He authored Radio Frequency Integrated Circuits and Technologies (Springer, 2008). Prof. Ellinger was an IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Distinguished Microwave Lecturer (2009–2011). He was the recipient of several awards including the IEEE MTT-S Outstanding Young Engineer Award, the ETHZ Medal, the Denzler Award, the Rohde&Schwarz/Agilent/GerotronEEEfCOM Innovation Award (two-time recipient), and the Young Ph.D. Award of the ETHZ.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

1105

9-GHz Wideband CMOS RX and TX Front-Ends for Universal Radio Applications Sven Karsten Hampel, Member, IEEE, Oliver Schmitz, Student Member, IEEE, Marc Tiebout, Member, IEEE, Koen Mertens, and Ilona Rolfes, Member, IEEE

Abstract—Wideband receiver (RX) and transmitter (TX) RF front-ends for wireless universal radio applications are presented. The RX is comprised of a two-stage low-noise amplifier (LNA) applying feedback and shunt peaking, a combiner buffer for performance boosting, and an inverter-based in-phase/quadrature (IQ) down-conversion mixer. The wideband LNA provides input matching of better than 10 dB from dc to beyond 10 GHz. The conversion gain (CG) of the RX front-end has a peak value of 31 dB with a 3-dB bandwidth up to 9 GHz. The minimal noise figure is 6 dB and kept below 9 dB within the entire operational bandwidth. The RX has a linearity in terms of intermodulation distortion of better than 12 dBm. The direct conversion TX involving inverter-based IQ modulator and Darlington-type pre-power amplifier features operation up to 9 GHz with 10-dB mean CG and an average output power of 4 dBm at 1-dB compression level. Excluding buffers and local oscillator generation, the RX and TX dissipate 54 and 84 mW, respectively, from a 1.2-V voltage supply. The circuit prototypes have been fabricated in a standard 65-nm CMOS low-power process without any additional RF options and occupy an area of only 0.77 mm and 0.53 mm , respectively. Index Terms—CMOS, low-noise amplifier (LNA), mixer, multistandard, power amplifier (PA), transceiver, universal radio, wideband.

I. INTRODUCTION

W

ITHIN THE last two decades, a large number of new standards for wireless communication (cellular, PAN, LAN, MAN) evolved. The resulting crowded spectrum is illustrated in Fig. 1. The users’ demand for flexibility and mobility has pushed the evolution of transceivers compatible with as many different standards and frequency bands as possible. Especially, the allocation of 7.5 GHz of bandwidth for ultrawideband (UWB) operation from 3.1 to 10.6 GHz entails challenges for the design of analog RF building blocks for receiver

Manuscript received September 25, 2011; revised November 29, 2011; accepted December 13, 2011. Date of publication January 31, 2012; date of current version April 04, 2012. S. K. Hampel was with the Institut für Hochfrequenztechnik und Funksysteme, Leibniz Universität Hannover, 30167 Hannover, Germany. He is now with Intel Mobile Communications GmbH, D-85579 Neubiberg, Germany (e-mail: [email protected]). O. Schmitz was with the Institut für Hochfrequenztechnik und Funksysteme, Leibniz Universität Hannover, 30167 Hannover, Germany. He is now with Sennheiser Electronic GmbH & Co. KG, D-30900 Wedemark, Germany (e-mail: [email protected]). M. Tiebout and K. Mertens are with Infineon Technologies Austria AG, 9500 Villach, Austria (e-mail: [email protected]; [email protected]). I. Rolfes is with the Lehrstuhl für Hochfrequenzsysteme Ruhr Universität Bochum, D-44780 Bochum, Germany (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2183383

Fig. 1. Spectral mask of existing wireless services up to 10 GHz.

(RX) and transmitter (TX) applications. Additionally, keeping up with the technology scaling pushed by the digital domain results in operation under low-voltage conditions, which limits the obtainable performance and imposes additional challenges. For a few years, industrial and academic research has focused on circuit solutions for implementing so-called universal radios capable of operating in all existing standards. From a conceptual point of view, several possible solutions exist, all of them having their pros and cons. First of all, one can think of a purely digital software-defined radio (SDR) with maximum flexibility as proposed by Mitola in 1995 [1]. The major problem of this concept is that ADCs with a sample rate for signals up to 6 GHz, or even beyond 10 GHz as in case of UWB, do not exist yet. Furthermore, the power consumption of such an ADC and the required digital signal processor (DSP) will be tremendous from today’s point of view [2]. In [3], an initial SDR-based concept for wireless receivers with focus on clock-programmable down-sampling with embedded filtering for services up to 6 GHz is proposed. A different approach often used for multimode transceivers relies on multiple RF front-ends in parallel (e.g., see [4]). The major drawback is that each front-end must be tuned and optimized individually, resulting in less reuse, higher costs due to large silicon area, and interface complexity. In contrast, a switchable front-end realization suffers from the problem that some services need to be used concurrently. Beyond that, the huge bandwidth of the covered spectrum and the multitude of services that have to be covered exacerbate the implementation of full reconfigurability via switchable inductors and capacitors. Stringent linearity requirements of cellular services impede the application of switchable inductorless approaches, as proposed in [5].

0018-9480/$31.00 © 2012 IEEE

1106

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

A very promising concept is a wideband realization covering the targeted services with a single RF front-end. Although this concept requires the use of several RF filters or a tuneable filter at the RX input and TX output, it is the preferable concept, justified by the fact that off-chip bandpass filters are needed anyway and keeping in mind the huge operational bandwidth of UWB. Up to now, a lot of effort has been spent on the realization of such wideband transceivers, either targeting frequencies up to approximately 2.5 GHz (see [6]) or including all important services up to 6 GHz (see [7]). Beside standalone full-band UWB transceivers [8]–[10], some extremely broadband realizations incorporate all services up to 6 GHz and full UWB operation [11]–[13]. In terms of chip fabrication, state-of-the-art deep-submicrometer mixed-signal CMOS is the targeted technology for highvolume applications, due to the highest integration capability yielding a minimal bill-of-materials and minimal costs. Therefore, this paper deals with the design and implementation of 9-GHz wideband multistandard in-phase/quadrature (IQ) RX and TX front-ends conceptually shown in Fig. 2. Section II focuses on the circuit implementation of the different building blocks. Section II-A introduces the proposed wideband low-noise amplifier (LNA) with a detailed explanation of the input and noise matching realization, whereas Section II-B briefly highlights the second stage of the LNA, incorporating gain-peaking for roll-off compensation. Here, a combiner for improving the isolation between the RF and local oscillator (LO) signals as well as performance boosting is also presented. In Section II-C, the pre-power amplifier (PA), based on a Darlington topology, is discussed in detail. The characteristics and advantages of the implemented folded mixer topology are briefly highlighted in Section II-D and the resulting up- and down-conversion circuits are presented. A short explanation of the IQ-frequency generation circuit is given in Section II-E, including the basic current mode logic (CML)-based divider, as well as the applied LO buffer circuitry. The obtained results are presented in Section III. Finally, this paper concludes with a short summary and performance comparison in Section IV.

Fig. 2. Block diagram of proposed IQ wideband RX and TX front-ends.

cascode feedback, or dual feedback. Approaches like noise and distortion canceling can additionally be applied for further improvements. A closer look shall be taken onto the basic equations for the input impedance , the noise factor , and the voltage gain of the common shunt feedback amplifier shown in Fig. 3(a). For low-frequency operation, the frequency-dependent components can be neglected and the following terms can be derived: (1) (2)

II. RF FRONT-END BUILDING BLOCK DESIGN A. Wideband Input-Matching LNA In order to fulfill the input matching, peak gain, and noise demands within the huge operational bandwidth from near dc up to frequencies of approximately 10 GHz, the aspired universal radio needs to be equipped with a two-stage LNA. From a system-level point of view, input and noise matching are the most stringent requirements of the first stage, whereas moderate gain already relaxes the noise requirements of the subsequent blocks. Therefore, the second stage of the LNA is intended to further increase the absolute gain and to provide the required 3-dB bandwidth. To achieve the required impedance matching at the RX input, one of the most often used and most promising topology for wideband LNA realizations has been chosen: the shunt feedback topology in Fig. 3(a). This technique offers maximum flexibility concerning circuit optimization based on the multitude of different implementation techniques, e.g., reactive feedback,

(3) is the feedback resistor, is the MOS device Here, transconductance, and is the load resistor. Furthermore, includes the source impedance , as well as the technology parameters , , and with for . While is usually fixed at 50 and , , and are determined by the underlying technology, the designer can mainly influence the noise factor by , , and . It becomes obvious that all three parameters have to be maximized, to minimize . Increasing at a fixed dc current will lead to a larger voltage drop across this resistor. This reduces the available output voltage swing, which turns out to be critical in deep-submicrometer CMOS technologies with low supply voltages, e.g., 1.2 V in 65-nm technology. On the other hand, a larger biasing current has to be handled to increase , which further exacerbates the

HAMPEL et al.: 9-GHz WIDEBAND CMOS RX AND TX FRONT-ENDS

1107

Fig. 3. Shunt feedback LNA. (a) Conceptual schematic. (b) Small-signal circuit. (c) Full equivalent input impedance including investigated matching network , ), and additional load capacitance for peaking . topologies ( ,

optimization. The best way to solve this problem is the use of a nMOS–pMOS current reuse shunt feedback inverter, being the most efficient way to maximize the transconductance by a fixed dc current, , without decreasing the output voltage swing. The resulting load becomes and consequently the term in (2) including an external vanishes. Furthermore, in (1) becomes the intrinsic voltage gain ,1 which is the maximum achievable value for the underlying technology node. After solving this problem, one can now concentrate on the optimization of the shunt feedback inverter’s noise and matching performance. From (2), it has already been deduced that noise minimization comes along with increasing and . At first glance, (1) reveals that this is in compliance with the adjustment of the dc or low-frequency input impedance. To find the limit for this optimization procedure, it shall now be concentrated on the frequency-dependent complex input impedance of the inverter circuit . In a first step, (gate–source, pad, and ESD capacitance) is neglected in order to simplify the expression. Only involving a general feedback capacitance (incorporating the transistor’s intrinsic gate–drain capacity) and (drain–source capacitance at the output and gate–source capacitance of the next stage), shown in Fig. 3(b), results in the following expression for :

(4) . This equation already shows that even with without the dominating gate–source capacitance, the topology has low-pass behavior due to the two poles. Before a detailed investigation of these terms can start, reasonable boundaries for distinct expressions and variables have to be found. First of all, a targeted input matching of 10 dB at the upper corner frequency, with respect to a source impedance of , calls for an impedance of roughly . With an intrinsic gain of approximately [14], is theoretically obtained as an upper bound. Accounting for the low-pass behavior of the impedance, as well as the need for an input reflection of better than 15 dB 1Of course the voltage gain of an inverting amplifier is negative. To simplify the investigated equations within this paper, the negative sign has been dropped while the absolute value stays the same.

at (very) low frequencies leads to . Assuming to be sufficiently large, can be seen as the upper limit for and thus for the optimization of . A closer look shall now be taken onto the pole-zero constellation of real and imaginary part, assuming that and with . Separating for real and imaginary part yields (5) (6) (7) (8) (9) Assuming first the ideal case of a vanishing imaginary part, the real part shall be investigated. Simply increasing and will shift down (5) and (8) in frequency (larger transistor increases parasitics, and thus ), whereas (9) remains nearly constant ( , ). The goal is to find a constellation in which the zero occurs earlier in frequency than the first pole and to furthermore guarantee a certain shift between the two poles to achieve adequate peaking in the real part. This peaking is beneficial, because in a low-pass configuration the real part decreases with increasing frequency. By peaking the real part, a bandwidth extension can be achieved comparable to the analysis concerning the gain carried out in [15]. Due to the limits for and outlined above, (5) can mainly be influenced by the capacitance ratio represented in terms of . Aiming at the aspired pole-zero-constellation (5), (8) and (9) yield the following criteria: (10) Including the imaginary part, the poles stay the same, whereas the additional zeros have to be investigated. The constraints for conjugate complex zeros are (11) Finally, by comparing (10) and (11), it can be seen that the beneficial peaking of the real part is accompanied by conjugate

1108

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

complex zeros in the imaginary part. Moreover, it can be deduced that a maximization of and for minimum noise contribution requires a large , and hence a large output capacitance. This tuning device is finally realized by an additional load capacitance at the expense of gain roll-off. Additionally it has to be guaranteed that the real part is approximately 25 or larger (see above) and the imaginary part vanishes at the desired RF corner frequency. This is a nontrivial task, as it can be noticed that (11) always coincides with (10). Furthermore, the conjugate complex zeros of the imaginary part reveal a stronger dependency on . Thus, maximizing while simultaneously shifting the upper bound by increasing leads to strongly capacitive behavior at frequencies around the peaking in the real part. Here, the necessity of an on-chip inductor for tuning out the imaginary part becomes obvious. Thus, an optimum balance between maximum matching bandwidth and noise performance with a minimal number of on-chip passives can be achieved. Furthermore, the series inductor at the gate is beneficial for simultaneous noise and impedance matching [16]. In accordance with [17], the on-chip inductor can further be used to improve the voltage gain while boosting the effective transconductance by its quality factor . The consideration of can be done by simply splitting up into the gate–source capacitance and a parasitic input capacitance comprised of electrostatic discharge (ESD) and pad capacitance, and placing the inductor in front of or in between those two capacitances. The resulting LC network can be seen as either - or -matching network for the complex input impedance , as shown in Fig. 3(c). In order to verify the outlined optimization procedure and to underline the necessity of an on-chip inductor for maximum matching bandwidth ( -match) in contrast to a simple bond-wire or off-chip inductor ( -match), simulation results are given in Table I. The examined characteristics are the maximum 10-dB corner frequency and the noise figure 2 as well as the 3-dB (NF) in decibels at 10 GHz bandwidth of the voltage gain . The transistor width , length , and the feedback resistor have been kept constant at 160 m, 60 nm, and 450 to guarantee the same and at low frequencies (LFs) with dB. In contrast , , , as well as , an additional load capacitance for tuning and , respectively [see above and Fig. 3(c)], have all been varied between 0 and an optimum value with respect to the maximum matching bandwidth for the particular configuration. It can be seen that adding in conjunction with the -match yields the best overall performance and the largest matching bandwidth in particular if the different configurations with peaking capacitance are evaluated. Furthermore, the positive influence of the on-chip inductor on the NF and the gain roll-off can also be identified by means of the results in Table I. The results therefore reveal the benefit of the on-chip inductor in contrast to simply using a bond-wire. Especially when using highly capacitive ESD diodes at the LNA input, the -network with the on-chip inductor has superior behavior compared to the -network 2Due to upcoming high-frequency noise the NF at 10 GHz is usually higher than at moderate gigahertz frequencies. Therefore, this quantity serves better to . examine the noise performance of the outlined procedure than

TABLE I EVALUATION OF DIFFERENT LNA INPUT STAGE TOPOLOGIES

Fig. 4. Complete circuit schematic of the differential two stage wideband LNA including combiner buffer at the output and ESD diodes at the input.

using a simple bond-wire. Finally, the optimum value for has been found with 0.8 nH. B. Shunt Peaking Stage and Distortion Canceling The complete LNA schematic is shown in Fig. 4. The basic idea of the second stage is a cascode topology with the input transconductance again being realized by an inverter ( to in Fig. 4). The shunt feedback current-steered transconductance of the cascode with high ohmic input impedance k is equipped with the nMOS cascode devices and . Additionally, shunt peaking by means of and is performed to compensate the gain roll-off of the first stage at higher frequencies. The values of nH and have been chosen carefully to obtain maximum bandwidth extension at operational frequencies around 10 GHz. This extraordinary inverter cascode boosts the transconductance, while simultaneously reducing the voltage drop across , thus leading to both high gain (HG) and good linearity. Additionally, the two output nodes at the cascode and transconductance device can be used to further improve the circuit performance. A combiner buffer, involving to , has been added to increase the LO-RF isolation with respect to the following

HAMPEL et al.: 9-GHz WIDEBAND CMOS RX AND TX FRONT-ENDS

1109

down-conversion mixer, and to furthermore improve the circuit performance. While and are buffering the cascode output signal in-phase, and are inverting amplifiers with their gates cross coupled to the inverter outputs. Assuming good matching, the nonlinear voltages at the transconductance output and the cascode output can be canceled [18]. While the quality of the canceling effect depends on exact amplitude and phase relation, this effect is frequency dependent. Although assuming ideal conditions for proper cancellation, it is a challenging if not impossible task to achieve exact compensation over a huge operational bandwidth. C. Darlington-Type Pre-PA One of the major bottlenecks within TX design for universal radio is the pre-PA circuit, which has to ensure wideband gain frequency response and broadband power performance simultaneously. The consideration of multiple-input multiple-output (MIMO) transmission schemes within present wireless standards and the emergence of wireless low power links represented by UWB radio have slightly relaxed these demands. Nevertheless, meeting all amplifier specifications over several gigahertz of bandwidth within a single circuit design in standard CMOS remains a challenging task. Distributed amplifier approaches have widely been proposed (e.g., see [19]–[21]) since they offer excellent performance in terms of operational bandwidth. However, they suffer from high power dissipation and large chip dimensions and are therefore not suitable for a further front-end integration. The approach proposed in [22] involved a pseudodifferential feedback amplifier together with a dual resonant load tank aiming at IEEE 802.11a/b/g operation. Although delivering competitive results in terms of output power and power dissipation, the presented concept is restricted to the 2.5- and 5–6-GHz bands. Therefore, this approach is not suitable for the aspired universal radio concept. By adopting a push–pull amplifier and using an envelope signal injection biasing scheme in [23] operation from 0.2 to 6.3 GHz with an larger than 4 dBm could already be obtained. Recently, it has been shown in [24] that the adoption of a Darlington-type amplifier topology can achieve good results in terms of broadband gain and power performance even when implemented in CMOS technology. This circuit technique, classically used in GaN HEMT and GaAs MESFET amplifier design (e.g., see [25] and [26]), has large gain-bandwidth products and higher efficiency compared to distributed amplifier approaches. Having 3.6 V available as voltage supply within a 0.18- m CMOS technology, the proposal in [24] presents a modified version of the basic Darlington amplifier structure involving an additional cascode device within the amplifier output stage. However, this approach is not feasible for low voltage processes since it heavily deteriorates the achievable linearity performance. The 1.2-V 65-nm CMOS technology used for the design reported herein therefore solely leaves the classical Darlington topology as basis for the pre-PA. The schematic of the implemented pre-PA is shown in Fig. 5. Herein, the fully differential Darlington amplifier is formed by the nMOS devices with input and output stage being ac coupled by . Inductive source degeneration in

Fig. 5. Darlington pre-PA schematic (biasing not shown).

terms of and is applied in the first stage increasing linearity and compensating the gain roll-off at higher frequencies. Both stages are biased independently in order to obtain maximum output power. Bond-wires serve as RF chokes for the amplifier output stage. A pi-type matching network comprised of , , and the parasitic capacitance at the drain nodes of and guarantees a wideband impedance match to the external differential 100- impedance. Finally, all devices have a width of m and minimum gate length of nm. In conjunction with the aforementioned circuit techniques, this results in a very good tradeoff between power dissipation, linearity, and operational bandwidth. D. Up- and Down-Conversion Mixer Simultaneous optimization of conversion gain (CG), linearity, and NF is a hard task, especially in mixer design. This is due to their dependency on the biasing current [27] (12) (13) (14) with being the factor for a square LO, being the load resistor, and the process constant . Moreover, is the flicker noise voltage and and are the period and slope of the LO signal. Simply increasing the bias current will increase the CG, but leads to a larger voltage drop across the load resistor. This, in

1110

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 8. Conceptual schematic of implemented LO generation block.

the shunt peaking RF load stage compensating for the large input capacitance of the subsequent pre-PA stage. E. LO Generation Fig. 6. Circuit schematic of the folded down-conversion mixer including baseband output buffer.

Fig. 7. Circuit schematic of IQ modulator switching quads and summing network.

turn, reduces the available voltage swing at the output, deteriorates the switching action, and additionally increases direct low-frequency noise at the output. Breaking the tradeoff calls for a decoupling of the RF bias current from the switching stage. The schematic of the proposed down-conversion mixer topology is illustrated in Fig. 6 [27]. The transconductance stage consists of current reuse shunt feedback amplifiers – similar to those already introduced in Section II-A. In contrast to [27], peaking coils have been inserted at the source terminal of the switches, – to compensate for the indirect noise mechanism introduced by the parasitic tail capacitance and the front-end gain roll-off at higher frequencies. The implemented TX IQ modulator evolves from a similar mixer core. The transconductance stage comprised of – is kept as IF input stage, while the subsequent switching tank is directly dc coupled to its output nodes. In order to stabilize these nodes, huge capacitances of pF have been inserted between the transconductance drain connection and ground, respectively. This technique establishes a proper bypass to ground for high-frequency LO leakage currents otherwise deteriorating a stable operating point. The two switching quads of the IQ modulator are finally merged within the summing network depicted in Fig. 7. Herein and show the individual nMOS switching devices and and represent

For measurement purposes of the IQ front-ends, a divider with subsequent buffers has been placed on-chip. This circuit provides the IQ-signal generation, whereas the LO source itself is an external signal generator. The conceptual schematic of the divider is shown in Fig. 8. The IQ divider consists of D-latches with resistive loads. In order to meet highest demands on image rejection, stringent IQ phase-matching requirements have to be fulfilled. This leads to relatively large devices in the divider circuit resulting in substantial capacitive parasitics. Therefore, in order to maintain proper operation even for frequencies up to 10 GHz, rather small values of 100 have been chosen for the resistive divider loads. This pushes the resulting low-pass pole at the divider output nodes to beyond 10 GHz assuring wideband LO division within the entire aspired frequency range. The designed LO buffer circuitry is directly dc coupled to the divider output nodes. Current–source biased differential nMOS pairs with resistive loads again guarantee a broadband frequency response and provide sufficiently large LO swings for the IQ modulator and demodulator. III. MEASUREMENT RESULTS The chips have been fabricated in a standard digital 1.2-V 65-nm CMOS process without any additional RF options. The process features one poly layer, six copper layers, and one aluminum top-level metallization. The chip dimensions are 1170 m 660 m for the RX and 1170 m 460 m for the TX. The corresponding chip photographs are shown in Fig. 9(a) and (b). The circuits have partially been bonded on a printed circuit board (PCB) for measurement purposes. Measurements have been carried out with the individual RF inputs/outputs probed on wafer with differential ground–signal–signal–ground (GSSG) probes (100- m pitch), while the bonded IF outputs/inputs have been connected to external equipment via coaxial SMA connectors. First, the following results deal with the performance of the RX front-end, where the main characteristics have been measured at an IF of 75 MHz. Fig. 10 shows the measured RX matching and gain performance referred to a differential external 100- impedance in comparison to the post-layout simulation results, indicated by

HAMPEL et al.: 9-GHz WIDEBAND CMOS RX AND TX FRONT-ENDS

1111

Fig. 11. Measured and simulated RX NF.

Fig. 9. Chip micrographs. (a) RX front-end prototype. (b) TX front-end prototype.

Fig. 10. Measured and simulated RX CG and input matching.

the black curve with solid symbols and the red curve (in online version) with open symbols, respectively. In terms of input matching, provided by the first inverter stage of the wideband LNA, the circuit has an input reflection coefficient of better than 10 dB from dc up to beyond 10 GHz and a very good match

Fig. 12. Measured and simulated RX input referred linearity.

between measurement and simulation. The CG in HG mode exhibits a peak value of 31 dB at 1.5 GHz and a 3-dB corner frequency of 9 GHz, which indicates the operational bandwidth of the circuit. Although the post-layout simulation and measurement results fit very well, the absolute value from the ideal performance (dashed red curve in online version) deviates by 1.6 dB. Furthermore, the aspired gain enhancement at 8.5 GHz provided by the shunt peaking stage is missing. This is mainly caused by additional wiring capacitances and resistances in the layout that could not have been modeled accurately within the design phase. Additionally the results for a bias-dependant lowgain (LG) mode are shown. The gain maximum at 1.5 GHz is reduced to 18.75 dB, while offering the same operational bandwidth as in the HG mode. Here, a lack of 0.75 dB in peak gain as well as a steeper roll-off compared to the simulation data can be observed. Nevertheless, the presented performance indicates a good match between the aspired and measured performance. Finally, the good LO-RF isolation of better than 55 dB prevents the circuit from deterioration caused by LO leakage (e.g., dc offset by self mixing, re-radiation, etc.). The measured double-sideband (DSB) NF is depicted in Fig. 11. Here, a minimum NF of 6 dB is achieved at 1 GHz. Within the entire 3-dB-frequency range up to 9 GHz, the NF is smaller than 9 dB. The results obtained from the simulation

1112

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 13. Measured RX IQ phase and gain mismatch.

Fig. 16. Measured and simulated TX CG.

Fig. 14. Time-domain waveform of RX IQ output at 5.5-GHz RF frequency.

Fig. 17. Measured and simulated TX output power @ 1-dB compression.

Fig. 15. Measured and simulated TX output matching.

reveal an NF of less than 7.5 dB within the whole operational bandwidth. The higher measured NF can partially be ascribed to additional layout parasitics. Especially at higher frequencies, frequency-dependent effects like induced gate noise increase rapidly with additional coupling via capacitances. Moreover, a deterioration of the switching action at the mixer quads, due

Fig. 18. Measured TX output spectrum at a nominal output power level of 0 dBm (external SMA attenuator, dc-blocking capacitors, and balun circuitry account for additional 15-dB insertion loss).

to a limited IQ divider performance that has been observed, increases the noise contribution of the mixer rapidly. The 3-dB flicker-noise corner frequency was determined to be at approximately 25 kHz, which is an appropriate value.

HAMPEL et al.: 9-GHz WIDEBAND CMOS RX AND TX FRONT-ENDS

1113

TABLE II PERFORMANCE COMPARISON OF STATE-OF-THE-ART CMOS UWB AND MULTISTANDARD RECEIVERS

TABLE III PERFORMANCE COMPARISON OF STATE-OF-THE-ART CMOS UWB AND MULTISTANDARD TRANSMITTERS

The results concerning the circuit linearity in terms of input compression point dB and intermodulation distortion (iIP3) are highlighted in Fig. 12. The dB of the front-end is higher than 22 dBm with a maximum of 17 dBm at 7 GHz. The results of the iIP3, obtained from the performed two-tone measurement with a tone spacing of 10 MHz, show qualitatively similar behavior as the dB curves, with a nearly constant shift of approximately 9 dB. Here, the iIP3 ranges from 12.2 dBm at 0.5 GHz up to 8.3 dBm at 9 GHz. Both curves reveal a small offset compared to the simulation results, which is quite acceptable if we keep in mind the complexity of modeling nonlinear large-signal behavior, as well as layout parasitics. Finally, Fig. 13 plots the IQ phase and gain mismatch of the RX chain versus RF frequency. With a phase mismatch ranging from 1.8 to 5 and a gain deviation smaller than 1.3 dB, the circuit offers proper IQ operation over such a huge bandwidth. To further underline the obtained IQ results, Fig. 14 shows the time-domain waveforms of the IF outputs at 5.5-GHz RF frequency. Now the corresponding measurement results for the TX front-end will be discussed. Fig. 15 shows the measured and simulated TX output matching. Herein the red curve (in online version) without symbols indicates the simulation results obtained when connecting the drain nodes of and in Fig. 5 to via an ideal RF choke. A typical pi-match characteristic is obtained, peaking at approximately 9.2 GHz and offering a matching of better than 10 dB up to 11 GHz. A similar characteristic can be found for the output matching in case of measurement with connected to and by means of dc probes (grey curve—empty boxes). With a slightly less capacitive behavior than expected by simu-

lation, the measured matching curve peaks at roughly 9.8 GHz, offering good matching conditions up to beyond 10 GHz. The results for measurement with bonded are represented by the black curve marked with black circles. As can be seen, ripples appear in the characteristic, especially in the frequency range from dc to 4 GHz. These ripples can be ascribed to frequency-dependent bond-wire PCB interaction. However, apart from slight resonance effects at 1, 3.5, and 4.5 GHz, the aspired 10-dB match is maintained within the entire envisaged frequency range. Measured and simulated TX CG are shown in Fig. 16. The curves have similar characteristics with the measurement results having a little less gain than expected by simulation. This mean offset can be explained by a slightly more resistive top-level wiring than has been accounted for in simulation. Both curves indicate a 3-dB corner frequency of roughly 9 GHz with measured and simulated peak gains of 11.3 and 12.6 dB, respectively. Around 1, 3.5, and 4.5 GHz, the measured CG has minimal values being in close compliance with the measured output matching curve revealing points of minimal matching at exactly those frequencies. The average measured CG within the entire passband is 10 dB. Fig. 17 shows the measured and simulated output power at 1-dB compression level. As has already been noted in case of the CG, measurement and simulation results converge with a small offset. Dips observed in the CG characteristic are directly reflected in the linearity performance. Nevertheless, the average measured output referred compression point of 4 dBm is an excellent value regarding the envisaged frequency range from dc to 9 GHz. The resulting output spectrum for a 5-GHz LO signal and in-phase and quadrature IF signals at 10 MHz with nom-

1114

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

inal output power of 0 dBm is shown in Fig. 18. Peripheral equipment in the form of an external 10-dB SMA attenuator, dc blocks, and balun circuitry accounts for additional 15-dB insertion loss leading to 15.3 dBm for the upper sideband, as depicted in Fig. 18. The lower sideband appears with additional 30-dB attenuation referred to the upper sideband indicating proper matching and operation of the implemented IQ modulator circuit. With approximately 35 dBc, the LO leakage points out appropriately matched differential devices and a good circuit layout. Actually, the spectrum shown in Fig. 18 depicts the worst case scenario, as the sideband rejection and the LO leakage remain above 30 dBc and below 35 dBc within the entire frequency range. IV. CONCLUSION This paper has presented the design and implemetation of 9-GHz wideband RX and TX IQ front-ends for universal radio applications in 65-nm CMOS technology. Both provide a bandwidth covering all of the established wireless standards up to 9 GHz. Table II shows the obtained RX performance compared to previously reported designs. In terms of operational bandwidth, the RX offers a huge (relative) bandwidth, which is superior to all stated references. As has been shown by the outlined design procedure concerning the input matching capabilities of the LNA, this was the major goal of the design. Furthermore, the presented approach offers the highest conversion gain limited to the RF front-end. This is only beaten by [7], wherein no detailed information about the distinct LNA mixer performance has been given. Although usually the dc-current depending performance characteristics, like NF or iIP3, have to be traded with bandwith, thanks to circuit implementations like shunt peaking and distortion cancelling, as well as folding within the down-conversion mixer, the front-end also has very good noise and linearity behavior. Whereas the NF of 6 dB is located within the midrange, only [11] achieves an out-performance with less power dissipation at the cost of a much smaller operational bandwidth. Moreover, [3] and [7] consume approximately 1.5 times and two times more dc power and are limited to an upper frequency of operation of 6 and 5 GHz, respectively. The linearity in terms of input referred compression also reveals an adequate value with dBm, keeping in mind the lower conversion gain and the larger dc current of the referenced designs. Finally, to offer an overall comparison, a figure-of-merit (FOM) has been calculated accounting for all of the listed performance criteria. The FOM is defined as [35] (15) Here, the presented design offers an excellent FOM only surpassed by [30] with a 1.25 dB higher value, whereas here no information about the supply voltage is given and the circuit offers no IQ operation. Considering that the presented IQ design operates at 1.2 V only, the entire front-end has excellent performance, especially with respect to low-voltage operation capabilities.

Table III compares the implemented IQ TX front-end to state-of-the-art CMOS multistandard and UWB transmitters that have already been reported in literature. The table confirms the TX’s outstanding performance in terms of bandwidth and linearity. Besides the TX reported herein, operation up to 9 GHz is solely achieved by [31] with drawbacks regarding the output power. The output power level of larger than 3 dBm at 1-dB compression level, as has been measured for our TX, is only obtained by [33] and [23] on their part being limited to highest frequencies around 6 GHz. The remarkable combination of bandwidth and output power has been enabled by employing the Darlington approach for the pre-PA design inherently featuring a wideband gain and output power characteristic. Beyond that, the TX has a die size of only 0.67 mm , which is the smallest area among the reported transmitters of Table III. As has been outlined above, both IQ front-ends offer the largest operational bandwidth and perform very well in all of their performance characteristics, compared to recently published state-of-the-art realizations aiming for comparable applications.

REFERENCES [1] J. Mitola, “The software radio architecture,” IEEE Commun. Mag., vol. 33, no. 5, pp. 26–38, May 1995. [2] A. Abidi, “The path to the software-defined radio receiver,” IEEE J. Solid-State Circuits, vol. 42, no. 5, pp. 954–966, May 2007. [3] R. Bagheri, A. Mirzaei, S. Chehrazi, M. Heidari, M. Lee, M. Mikhemar, W. Tang, and A. Abidi, “An 800-MHz-6-GHz software-defined wireless receiver in 90-nm CMOS,” IEEE J. Solid-State Circuits, vol. 41, no. 12, pp. 2860–2876, Dec. 2006. [4] B. Bakkaloglu, P. Fontaine, A. Mohieldin, S. Peng, S. J. Fang, and F. Dulger, “A 1.5-V multi-mode quad-band RF receiver for GSM/EDGE/ CDMA2K in 90-nm digital CMOS process,” IEEE J. Solid-State Circuits, vol. 41, no. 5, pp. 1149–1159, May 2006. [5] O. Schmitz, S. Hampel, K. Mertens, M. Tiebout, and I. Rolfes, “A highly linear, differential gyrator in 65 nm CMOS for reconfigurable GHz applications,” in 35th Eur. Solid-State Circuits Conf., Sep. 2009, pp. 124–127. [6] N. Poobuapheun, W.-H. Chen, Z. Boos, and A. Niknejad, “An inductorless high dynamic range 0.3–2.6 GHz receiver CMOS front-end,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., Jun. 2009, pp. 387–390. [7] V. Giannini, P. Nuzzo, C. Soens, K. Vengattaramane, J. Ryckaert, M. Goffioul, B. Debaillie, J. Borremans, J. Van Driessche, J. Craninckx, – GHz software-defined radio receiver and M. Ingels, “A 2-mm in 45-nm digital CMOS,” IEEE J. Solid-State Circuits, vol. 44, no. 12, pp. 3486–3498, Dec. 2009. [8] G. Cusmai, M. Brandolini, P. Rossi, and F. Svelto, “A 0.18- m CMOS selective receiver front-end for UWB applications,” IEEE J. SolidState Circuits, vol. 41, no. 8, pp. 1764–1771, Aug. 2006. [9] O. Werther, M. Cavin, A. Schneider, R. Renninger, B. Liang, L. Bu, Y. Jin, J. Rogers, and J. Marcincavage, “A fully integrated 14 band, 3.1 to 10.6 GHz 0.13 m SiGe BICMOS UWB RF transceiver,” IEEE J. Solid-State Circuits, vol. 43, no. 12, pp. 2829–2843, Dec. 2008. [10] H. Zheng, S. Lou, D. Lu, C. Shen, T. Chan, and H. Luong, “A 3.1 GHz–8.0 GHz single-chip transceiver for MB-OFDM UWB in 0.18- m CMOS process,” IEEE J. Solid-State Circuits, vol. 44, no. 2, pp. 414–426, Feb. 2009. [11] S. Lee, J. Bergervoet, K. Harish, D. Leenaerts, R. Roovers, R. van de Beek, and G. van de Weide, “A broadband receive chain in 65 nm CMOS,” IEEE Int. Solid-State Circuits Conf. Tech. Dig., pp. 418–612, Feb. 2007. [12] R. van de Beek, J. Bergervoet, H. Kundur, D. Leenaerts, and G. van de Weide, “A 0.6-to-10 GHz receiver front-end in 45 nm CMOS,” IEEE Int. Solid-State Circuits Conf. Tech. Dig., pp. 128–601, Feb. 2008. [13] S. Hampel, O. Schmitz, M. Tiebout, and I. Rolfes, “Wideband inductorless minimal area RF front-end,” in 35th Eur. Solid-State Circuits Conf. Tech. Dig., Sep. 2009, pp. 96–99.

HAMPEL et al.: 9-GHz WIDEBAND CMOS RX AND TX FRONT-ENDS

[14] J. Pekarik, D. Greenberg, B. Jagannathan, R. Groves, J. Jones, R. Singh, A. Chinthakindi, X. Wang, M. Breitwisch, D. Coolbaugh, P. Cottrell, J. Florkey, G. Freeman, and R. Krishnasamy, “RFCMOS technology from 0.25 m to 65 nm: The state of the art,” in IEEE Custom Integr. Circuits Conf., Oct. 2004, pp. 217–224. [15] S. Shekhar, J. Walling, and D. Allstot, “Bandwidth extension techniques for CMOS amplifiers,” IEEE J. Solid-State Circuits, vol. 41, no. 11, pp. 2424–2439, Nov. 2006. [16] D. Shaeffer and T. Lee, “A 1.5-V, 1.5-GHz CMOS low noise amplifier,” IEEE J. Solid-State Circuits, vol. 32, no. 5, pp. 745–759, May 1997. [17] S. Joo, T.-Y. Choi, and B. Jung, “A 2.4-GHz resistive feedback LNA in 0.13- m CMOS,” IEEE J. Solid-State Circuits, vol. 44, no. 11, pp. 3019–3029, Nov. 2009. [18] S. Blaakmeer, E. Klumperink, D. Leenaerts, and B. Nauta, “Wideband balun-LNA with simultaneous output balancing, noise-canceling and distortion-canceling,” IEEE J. Solid-State Circuits, vol. 43, no. 6, pp. 1341–1350, Jun. 2008. [19] R.-C. Liu, C.-S. Lin, K.-L. Deng, and H. Wang, “A 0.5–14-GHz 10.6-dB CMOS cascode distributed amplifier,” in VLSI Circuits Symp. Tech. Dig., Jun. 2003, pp. 139–140. [20] C. Grewing, K. Winterberg, S. van Waasen, M. Friedrich, G. Puma, A. Wiesbauer, and C. Sandner, “Fully integrated distributed power amplifier in CMOS technology optimized for UWB transmitters,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., Jun. 2004, pp. 87–90. [21] C. Lu, A.-V. Pham, M. Shaw, and C. Saint, “Linearization of CMOS broadband power amplifiers through combined multigated transistors and capacitance compensation,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 11, pp. 2320–2328, Nov. 2007. [22] G. Albasini, L. Mori, I. Betti, and R. Castello, “A multi-standard WLAN RF front-end transmitter with single-spiral dual-resonant tank loads,” in 32nd Eur. Solid-State Circuits Conf., Sep. 2006, pp. 348–351. [23] S. Kousai, D. Miyashita, J. Wadatsumi, A. Maki, T. Sekiguchi, R. Ito, and M. Hamada, “A 1.2V 0.2-to-6.3 GHz transceiver with less than 29.5 dB EVM@-3 dBm and a choke/coil-less pre-power amplifier,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., 2008, pp. 214–608. [24] P.-C. Huang, K.-Y. Lin, and H. Wang, “A 4-17 GHz Darlington cascode broadband medium power amplifier in 0.18- m CMOS technology,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 1, pp. 43–45, Jan. 2010. [25] K. Krishnamurthy, “Broadband GaAs MESFET and GaN HEMT resistive feedback power amplifiers,” IEEE J. Solid-State Circuits, vol. 35, no. 9, pp. 1285–1292, Sep. 2000. [26] K. W. Kobayashi, “Linearized Darlington cascode amplifier employing GaAs PHEMT and GaN HEMT technologies,” IEEE J. Solid-State Circuits, vol. 42, no. 10, pp. 2116–2122, Oct. 2007. [27] S. Hampel, O. Schmitz, M. Tiebout, and I. Rolfes, “Inductorless lowvoltage and low-power wideband mixer for multistandard receivers,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 5, pp. 1384–1390, May 2010. [28] S. Blaakmeer, E. Klumperink, D. Leenaerts, and B. Nauta, “The blixer, a wideband balun–LNA–I/Q–mixer topology,” IEEE J. Solid-State Circuits, vol. 43, no. 12, pp. 2706–2715, Dec. 2008. [29] M. Soer, E. Klumperink, Z. Ru, F. van Vliet, and B. Nauta, “A 0.2-to-2.0 GHz 65 nm CMOS receiver without LNA achieving 11 dBm IIP3 and 6.5 dB NF,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2009, pp. 222–223, 223a. [30] L. Cai and R. Harjani, “1–10 GHz inductorless receiver in 0.13 m CMOS,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., Jun. 2009, pp. 61–64. [31] A. Tanaka, H. Okada, H. Kodama, and H. Ishikawa, “A 1.1 V 3.1-to-9.5 GHz MB-OFDM UWB transceiver in 90 nm CMOS,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2006, pp. 398–407. [32] J. Bergervoet, K. Harish, S. Lee, D. Leenaerts, R. van de Beek, G. van de Weide, and R. Roovers, “A wimedia-compliant UWB transceiver in 65 nm CMOS,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2007, pp. 112–590. [33] J. Koskinen, P. Eloranta, P. Seppinen, P. Kosonen, and A. Parssinen, “A wideband OFDM transceiver implementation for beyond 3G radio systems,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., Jun. 2007, pp. 193–196. [34] M. Ingels, C. Soens, J. Craninckx, V. Giannini, T. Kim, B. Debaillie, M. Libois, M. Goffioul, and J. Van Driessche, “A CMOS 100 MHz to 6 GHz software defined radio analog front-end with integrated prepower amplifier,” in 33nd Eur. Solid-State Circuits Conf., Sep. 2007, pp. 436–439.

1115

[35] H. Zhang, X. Fan, and E. Sinencio, “A low-power, linearized, ultrawideband LNA design technique,” IEEE J. Solid-State Circuits, vol. 44, no. 2, pp. 320–330, Feb. 2009.

Sven Karsten Hampel (S’05–M’11) was born in Hildesheim, Germany, in 1979. He received the Dipl.-Ing. and Dr.-Ing. degrees in electrical engineering from Leibniz Universität Hannover, Hannover, Germany, in 2006 and 2011, respectively. From 2006 to 2011, he was a Research Assistant with the Institute of Radio Frequency and Microwave Engineering, Leibniz Universität Hannover. Since May 2011, he has been with Intel Mobile Communications GmbH, Munich, Germany, where he is involved with high-speed logic circuits. His main research was focused on the design of reconfigurable and wideband radio front-ends for multistandard applications in RF-CMOS. His work also included research on inductorless approaches. He is also interested in antenna design for wideband and MIMO/Diversity communication systems, as well as the investigation of high-impedance surfaces.

Oliver Schmitz (S’05) was born in Hannover, Germany, in 1979. He received the Dipl.-Ing. and Dr.Ing. degrees in electrical engineering from Leibniz Universität, Hannover, Germany, in 2006 and 2011, respectively. From 2006 to 2011, he was a Research Assistant with the Institute of Radiofrequency and Microwave Engineering, Leibniz Universität Hannover. Since 2011, he has been a Project Manager with Sennheiser Electronic GmbH & Co. KG, Wedemark, Germany, where he has been involved with product development in the field of professional wireless microphone systems.

Marc Tiebout (S’90–M’92) was born in Asse, Belgium, in 1969. He received the M.S. degree in electrical and mechanical engineering from the Katholieke Universiteit Leuven, Leuven, Belgium, in 1992, and the Ph.D. degree in electrical engineering from the Technical University of Berlin, Berlin, Germany, in 2004. In 1993, he joined Corporate Research and Development, Microelectronics, Siemens AG, Munich, Germany, where he designed analog integrated circuits in CMOS and BiCMOS technologies. In 1997, he started the design of RF devices and building blocks in sub- m CMOS technologies. From 1999 to 2005, he was with Infineon Technologies AG, Munich, Germany, where he was involved with RFCMOS circuits and transceivers for cellular wireless communication products and conducted highest frequency RFCMOS research for 17- and 24-GHz applications. Since 2006, he has been with Infineon Technologies Austria AG, Villach, Austria. He has authored or coauthored over 30 IEEE publications. He holds over ten patents. His recent research has includes Wimedia-UWB (system architect) and radar applications. His main research interest concerns low-power high-frequency circuits and systems in CMOS.

Koen Mertens was born in Antwerpen, Belgium, in 1971. He received the M.Sc. and Ph.D. degrees in electrical engineering from the Katholieke Universiteit Leuven, Heverlee, Belgium, in 1998 and 2005, respectively. In 2004, he joined Infineon Technologies Austria AG, Villach, Austria. His research interests include RF integrated circuit (RFIC) design for wireless communication systems.

1116

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Ilona Rolfes (M’06) was born in Hagen, Germany, in 1973. She received the Dipl.-Ing. and Dr.-Ing. degrees in electrical engineering from the Ruhr-University Bochum, Bochum, Germany, in 1997 and 2002, respectively. From 1997 to 2005, she was with the High Frequency Measurements Research Group, Ruhr-University, as a Research Assistant. From 2005 to 2009, she was a Junior Professor with the Department of Electrical Engineering, Leibniz Universität Hannover, Hannover, Germany, where

in 2006 she became Head of the Institute of Radiofrequency and Microwave Engineering. Since 2010, she has led the Department for High Frequency Systems, Ruhr-University Bochum. Her fields of research are concerned with high-frequency measurement methods for vector network analysis, material characterization, noise characterization of microwave devices, as well as sensor principles for radar systems and wireless solutions for communication systems.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

1117

A 24-GHz CMOS UWB Radar Transmitter With Compressed Pulses Jaemo Yang, Student Member, IEEE, Gitae Pyo, Student Member, IEEE, Choul-Young Kim, Associate Member, IEEE, and Songcheol Hong, Member, IEEE

Abstract—A fully integrated 24-GHz CMOS ultra-wideband (UWB) radar transmitter for short-range automotive application is presented. For high-range resolution and improved signal-to-noise ratio, a pulse compression technique using binary phase code is adopted. Design issues of UWB radar transmitter are investigated based on fundamental pulse theory. A pulse former, which operates as a switch to generate a pulse modulated carrier signal and a bi-phase modulator for pulse compression, is proposed. The proposed transmitter achieves 4-GHz output signal bandwidth, which means a minimum range resolution of 7.5 cm, and the total dc power dissipation is 63 mW. Index Terms—Automotive radar, binary phase modulation, CMOS, compressed pulse, pulse compression technique, ultra-wideband (UWB), UWB radar transmitter. Fig. 1. Carrier-based UWB radar transmitter.

I. INTRODUCTION

S

INCE THE Federal Communications Commission (FCC) and the European Telecommunication Commission institutes (ETSI) established regulations for ultra-wideband (UWB) short-range vehicular radar (SRR) systems in the 22–29- and 22–26.625-GHz bands with maximum emissions of 41.3 dBm/MHz [1], [2], research and development of silicon-based solution for SRR applications has gained significant momentum. Even though SRR systems, which have utilized compound semiconductor technologies, have already been demonstrated, because of their inefficiency in terms of cost, they have not proliferated in the automotive sensor market for widespread use [3]. Realization of SRR has been reported in silicon–germanium (SiGe) and other compound processes [4]–[8]. The automotive radar sensors for car safety systems adopt long-range sensors in the vehicle’s front bumper, enabling adaptive cruise control (ACC) with a typical range of 150 m and a range resolution on the order of 1 m. However, for a 360 safety zone around the vehicle, several short-range sensors are usually Manuscript received October 23, 2011; accepted December 17, 2011. Date of publication February 14, 2012; date of current version April 04, 2012. This work was supported in part by the Daejeon Metropolitan City and Daejeon Technopark through the Research and Development for Regional Industry, and in part by the Korean Government (MEST) (No. 2011-0000389) under the National Research Foundation of Korea (NRF) Grant. J. Yang, G. Pyo, and S. Hong are with the Department of Electrical Engineering, Korea Advanced Institute of Science and Technology (KAIST), Daejeon 305-701, Korea (e-mail: [email protected]). C.-Y. Kim is with the Electronics Engineering Department, Chungnam National University, Daejeon 305–764, Korea. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2184136

mounted around the vehicle to detect objects at short ranges of 40 m, which enable parking aid, blind spot detection, collision avoidance, side-impact warning, and stop-and-go applications [9]. In the SRR system, increasing the number of radar sensors provides improved performance, such as the reliability of the system and the angular resolution and accuracy [10]. However, the cost and power consumption may prove to be serious obstacles to the application of the SRR system. Power consumption may not be a primary concern for the automotive radar; however, as the number of radar sensors increases, the total power consumption becomes significant. Also, one of the main reasons for the present stagnation of SRR sensor penetration to the market, especially in middle and lower class vehicles, is the cost inefficiency [11]. Since low power, small form factor, and low cost are imperative for automotive applications, CMOS implementation is attractive and can make the SRR sensors affordable to the customer [12]. In this study, a carrier-based UWB radar transmitter is adopted [4], as shown in Fig. 1. A conventional carrier-based UWB radar transmitter consists of a continuous wave (CW) source, a switch or pulse former, and a pulse generator. In this type of radar transmitter, a switch or pulse former to modulate the phase-locked CW signal is one of the most crucial blocks for radar performance. The pulsewidth of a UWB radar signal decides the range resolution [13]; on/off characteristics decide the sensitivity and the detection probability of the radar receiver [4]. Finally, the modulation to obtain a compressed pulse is controlled by the aforementioned pulse-forming network. The modulation allows to sort out its own signal when a number of radars are in operation at the same time and in the same area. The pulse compression technique improves the signal-to-noise

0018-9480/$31.00 © 2012 IEEE

1118

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

ratio (SNR) at the receiver, as well as the range resolution of the radar [13]. This paper first investigates the design issues of UWB radar transmitters for short-range automotive applications based on analytic calculations. An UWB radar transmitter is implemented with CMOS process and adopts a pulse compression technique using a binary phase modulation. A pulse former using a Gilbert cell is proposed to implement the transmitters. The proposed pulse former acts as a switch to generate a pulse-modulated 24-GHz UWB signal. At the same time, it acts as a bi-phase modulator to make a binary phase coded pulse modulated signal to have compressed pulses. It ideally provides zero leakage due to its configuration. In conventional UWB transmitters, a pulse former using a differential amplifier with on/off switch is widely adopted [4]–[8], [14]. In this type of switch, there must be a leakage signal at isolation state caused by parasitic capacitances of the active device. Also, the switch and bi-phase modulator are two different circuits. Thus, the dc power consumption and chip size are increased. Here, we report the first pulse former that provides the functions of a switch and a bi-phase modulator with the same circuit. In Section II, the design issues of the UWB radar transmitter with the pulse former and the FCC regulation are addressed. The circuit design and its operation principles are explained in Section III. Measurement results are presented in Section IV. Finally, Section V provides concluding remarks. II. DESIGN ISSUES OF UWB RADAR TRANSMITTER A. Pulse Repetition Frequency (prf) The conventional UWB radar transmitter generates the pulsemodulated RF carrier signal at the constant timing interval. The frequency at which the pulses are sent is called prf. The UWB radars that are synchronized to the clock with certain prfs result in range ambiguities [13]. The prf is given by (1) where is the speed of light and is the maximum unambiguous range. The maximum unambiguous range is often considered to be the same as the maximum detectable range. For example, a maximum detectable range of 50 m corresponds to 3 MHz of the prf. In order to increase the SNR or the detection probability of the radar, a longer pulse with higher pulse energy is required [13]. However, to meet the average power emission rules of the FCC using a longer pulse, either the prf or the peak power of the pulse must be decreased [12]. Thus, the variable pulsewidth control system is adopted to improve the radar performance, and the prf and output power control scheme must be utilized [4]–[6]. B. Pulse Bandwidth As stated before, for UWB radar in short-range applications such as parking aids, a range resolution of less than 10 cm is required [4]. The range resolution of the radar is given as follows [13]: (2)

Fig. 2. Time-domain waveform and frequency spectrum of UWB radar. (a) Time-domain waveform. (b) Frequency spectrum.

denotes the range resolution, where , , and are the speed of light, pulsewidth, and pulse bandwidth of the radar, respectively. Thus, for a range resolution of 10 cm, an output pulse of about 666-ps width (i.e., wider bandwidth than 1.5 GHz) is needed [4]. Therefore, the spectral occupancy of the main lobe of the pulse has to be at least 3-GHz wide and more likely needs to be of the order of 4-GHz wide to include various margins [4]. From (2), a short pulse improves the range resolution of the radar. However, utilizing such short pulses also decreases the average transmitted power. Since the average transmitted power of the pulse is directly related to the SNR of the receiver, the shorter pulse degrades the probability of detection, increases the probability of false alarm, and results in poor range accuracy [13]. Thus, it is desirable to increase the pulsewidth and the average power while maintaining the range resolution. This can be made possible by using pulse compression techniques. To this end, a binary phase modulation using Barker code is commonly adopted in UWB radars [13]. In this study, a binary phase coded pulse compression [binary phase-shift keying (BPSK)] technique is realized. C. Frequency Spectrum Calculations for Regulation As shown in Fig. 1, the output of a carrier-based UWB radar transmitter consists of a train of discontinuous sine-wave packets. Each sine-wave packet is cut out by a switch from a CW source. However, the finite isolation characteristics of the switch or the pulse former cause local oscillator (LO) leakage between sine wave packets, which may sometimes cause the significant problem of violating the FCC regulation [4]. The time-domain waveform and frequency spectrum of the UWB pulse-radar

YANG et al.: 24-GHz CMOS UWB RADAR TRANSMITTER WITH COMPRESSED PULSES

1119

Fig. 4. Block diagram of the UWB radar transmitter.

Fig. 5. Schematics of the designed 24-GHz VCO and frequency divider. (a) 24-GHz VCO. (b) Frequency divider.

respectively. Also, is known as the duty factor or the duty cycle, and it is given by (4)

Fig. 3. Simulated frequency spectrum with 0-dBm output power and 15-dBi antenna gain. (a) 1-ns pulses with 2.5-MHz prf. (b) 0.5-ns pulses with 4-MHz prf.

transmitter signal, including the LO leakage signal, are illustrated in Fig. 2. and stand for pulse repetition interval and pulsewidth, which are the inverse of a prf and pulse bandwidth, respectively. The time-domain waveform of Fig. 2(a) is similar to the amplitude modulation of an RF carrier by a pulse train. The amplitude-modulated single tone results in the spectra above and below the carrier frequency. The “main-lobe” in the center and the “sidelobe” of the frequency spectrum of Fig. 2(b) are shown as a group of spectral lines. The amplitude of the spectral lines forming the main lobe and sidelobe follows the shape of a sinc function. The center-line spectral power at the carrier frequency can be derived from the pulse desensitization correction factor [15], and it is given by (dBm)

(dBm

(3)

and denote the spectral power at the carrier where frequency and the peak power of the UWB radar transmitter,

Although the carrier leakage between the consecutive pulses has much smaller amplitude than the transmitted UWB pulse signal, this leakage signal is accumulated to be a large power over a much longer period of time than the pulsewidth. If the average leakage power is comparable to the intended signal, it will be added to the spectrum as a single tone, as shown in Fig. 2(b) [4]. The single tone would make the radar transmitter violate the FCC regulation or lower the spectral efficiency of the radar, and it is necessary to reduce the leakage power below a negligible level. By using (3) and (4), the leakage power can be derived and is shown as follows: dBm

dBm

(5)

where and denote the spectral leakage power and peak leakage power, respectively. In the case of a pulsewidth of 0.5 ns and prf of 2.5 MHz, the duty factor becomes 0.00125. The spectral power at the carrier frequency of the pulse signal will be 58 dBm from the pulses of 0-dBm peak output power. The peak leakage power should be below 58 dBm not to exceed the pulse spectrum. The average emission level of the UWB radar allocated in the 22–29-GHz band should not exceed 41.3 dBm/MHz by FCC rule [1]. The average effective isotropic radiated power (EIRP) of the pulse with 4-GHz bandwidth is given as follows: dBm

dBm

(6)

1120

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 6. Schematics of the designed output driver amplifier and pulse former. (a) Output driver amplifier. (b) Pulse former.

where stands for the average EIRP. The peak EIRP can be calculated by using a duty factor of 0.00125 and the average EIRP dBm

dBm

(7)

where denotes the peak EIRP. If the antenna gain of 15 dBi is assumed, the peak output power of the transmitter should be 8 dBm to maximize the output power. A power amplifier with variable gain control capability may be needed to maximize the performance of a UWB radar [4]. 0-dBm output power is chosen in our study since it is enough to use as an SRR. An output spectrum analysis of the UWB radar transmitter is demonstrated by system simulation using Agilent ADS. In Fig. 3, the frequency spectrum at the antenna with a pulsewidth of 0.5 and 1 ns with 0-dBm output power, 15-dBi antenna gain, and 60 dB of switch isolation are shown. As mentioned before, to meet the average power emission rule of the FCC, a different prf is adopted for each pulsewidth. In this case, prf of 2.5 and 4 MHz are adopted, respectively. III. CIRCUIT DESIGN In Fig. 4, a simplified block diagram of the proposed UWB radar transmitter is shown. The transmitter includes a 24-GHz voltage-controlled oscillator (VCO), frequency divider, pulse former, pulse generator, buffer amplifiers, and output driver amplifier. The first buffer amplifier is added after the VCO to reduce the loading effect of the frequency divider and the second buffer. The buffer amplifier is injected to minimize the switching effect of the pulse former. The proposed pulse former operates as a switch to generate a pulse modulated carrier signal and a binary phase modulator to compress pulsewidths. Since two different circuits are adopted for the switch and the bi-phase modulator in previously reported work [4], [5], [14], more dc power is required. The pulse former adopts the Gilbert cell to minimize LO leakage and is driven by the pulse generator, which is triggered by the external clock with the frequency of the prf. The pulsewidth and the mode of the UWB radar transmitter are controlled by the pulse generator. Finally, the output driver amplifier acts as a matching network.

Fig. 7. Pulse generator. (a) Block diagram of pulse generator. (b) Transient waveform at each node.

A. VCO, Frequency Divider, and Output Driver Amplifier To generate a 24-GHz CW signal, the drain-to-source feedback VCO topology is adopted. For low loss and a high quality factor, the asymmetric transformer is used, as shown in Fig. 5(a) [16]. A current source is inserted to control the dc current of the VCO. Frequency tuning is achieved through accumulation-mode MOS varactors, which is controlled by external voltage. Despite efforts to reduce the phase noise of the VCO, the close-in phase noise of the VCO will corrupt the extraction of Doppler information from received signals. Thus, a phase-locked loop (PLL) is required to reduce the phase noise near the carrier frequency. Thus, a frequency divider is designed for an external PLL to relax the feasibility of 24-GHz operation. The frequency divider of an injection locked topology [17] is used. The schematic of the frequency divider is shown in Fig. 5(b). By adjusting the size of the transistor, the divider operates as an injection-locked divider rather than a Miller divider [17]. The source follower buffer follows after the divider. As shown in Fig. 6(a), the output driver amplifier adopts the differential cascode topology with the transformer resonant load, which operates as a matching network and differential-to-single converter at the same time. The turn ratio of

YANG et al.: 24-GHz CMOS UWB RADAR TRANSMITTER WITH COMPRESSED PULSES

1121

Fig. 9. Chip photograph of the fabricated UWB radar transmitter (1.2 1.2 mm including pads).

Fig. 8. Simulation results of the UWB radar transmitter. (a) Transient waveform. (b) Output spectrum.

the transformer is 2:1, of which the primary and secondary inductance are 280 and 140 pH, respectively. Also, electrostatic discharge (ESD) galvanic isolation for the output of transmitter is provided by the transformer [5]. The output driver amplifier is designed to have 0-dBm output power and bandwidth of more than 4 GHz. B. Pulse Former Fig. 6(b) shows the schematic of the proposed pulse former. To minimize the switching time, the current steering technique through the transistor of and is used [4]. The 24-GHz RF signal is introduced to differential pairs and . These differential pairs are connected through their common virtual grounds to another differential pair . The transistors ( and ) consisting of differential pair operate as on/off switches and choose which differential pair ( or ) is going to be connected to the current source. The operation mode is classified into the transmission mode and the isolation mode and controlled by induced gate voltages ( and ), which are generated by the pulse generator, as will be shown in Section III-C.

In the transmission mode, dc current should flow through either differential pair or , and the circuit appears as a standard differential amplifier that can provide gain to an input RF signal. The other differential pair should be turned off perfectly for the pulse former to have high gain. In the isolation mode, the current through differential pairs and are the same and the amount of each dc current is half of the total dc current through the current source. Summation and cancellation of differential ac current at the output node of the pulse former ideally result in zero leakage. By this nature, the leakage signal caused by the parasitic capacitance of the active device is perfectly cancelled out if the perfect symmetry is assumed. However, the perfect symmetry of the proposed pulse former based on a Gilbert cell is determined by the symmetry of the input differential signal and the layout of the Gilbert cell. The former is determined by the VCO and buffer amplifier, and the latter, which is the decisive factor of the symmetry, is hard to predict exactly. Thus, the layout of the pulse former should be carried out carefully and the extensive electromagnetic (EM) simulations and post-layout simulations were carried out to consider the effect of the layout of the pulse former. As mentioned above, the transmitter operates not only in the pulse mode, but also in the compressed pulse mode. In the pulse mode, only one of differential pairs and is selected and turned on during the pulsewidth. However, in the case of the compressed pulse mode, differential pairs and are selected and turned on alternately. Thus, the output signal of the pulse former in the compressed pulse mode is the binary phase-modulated sine-wave pulse. C. Pulse Generator As mentioned above, the proposed transmitter operates in the pulse mode or the compressed pulse mode. Thus, the pulse generator makes various types of short pulse signals depending on

1122

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 11. Measured power level of the leakage signal.

mode is half of the pulsewidth of the pulse mode. In the compressed pulse mode, the dc free binary phase code is adopted. Thus, a null point exists in the center line of the output spectrum and is also shown in Fig. 8(b). IV. MEASUREMENT RESULTS

Fig. 10. Measured phase noise of the fabricated VCO and divider. (a) VCO. (b) Divider.

the mode. The output pulse signal of the pulse generator drives the differential pair of the pulse former shown in Fig. 6(b). In the pulse mode, the pulse generator selects only one of the differential pairs ( or ) during the pulsewidth and no phase inversion occurs. In the compressed pulse mode, the differential pairs are selected alternatively. A block diagram of the pulse generator is shown in Fig. 7(a). The variable delay circuit in Fig. 7(a) consists of four unit-sized inverters and varactors are inserted between those inverters. As shown in Fig. 7(a) and (b), a clock signal with a period of a pulse-repetition interval is induced as an input to the pulse generator. The delayed replicas of the input with each time delay of and compared to the input edge are provided at nodes and through the cascaded variable delay circuits. The XOR operations of the input and its delayed replicas generate a pulse-mode signal with a pulsewidth of at node and compressed pulsemode signal with a pulsewidth of at nodes and . Through the MUX at the final stage, the output pulse depending on the mode control signal is chosen. By changing the capacitance of the varactor at the output node of each inverter, the capacitor charging time is varied, resulting in a different delay time. Thus, the pulsewidth of the output signal from XOR is controlled. The result of a transient simulation and its discrete Fourier transform of the proposed pulse former driven by the pulse generator are shown in Fig. 8. Considering a typical antenna gain of 15 dBi, a prf of 30 MHz is adopted in this simulation. As can be seen clearly in Fig. 8(a), the pulsewidth of the compressed pulse

A microphotograph of the fabricated UWB radar transmitter using a 0.13- m CMOS process is shown in Fig. 9. The chip occupies an area of 1.2 1.2 mm , including the pads area and test pattern and consumes 42 mA from a 1.5-V supply. The output spectrum and phase noise are obtained from the Agilent E4440A spectrum analyzer and its phase-noise measurement kits. The Agilent 86100A sampling oscilloscope was used to measure the transient waveform. The phase noise of the VCO and the divider are given in Fig. 10. As shown in Fig. 10, the measured phase noise of the VCO and the divider is 104.14 and 111.17 dBc/Hz at 1-MHz offset. FCC rule imposes that the center frequency at which the highest level emission occurs must be greater than 24.075 GHz in the case of UWB radar for short-range automotive application [1]. The output frequency of the VCO is tuned from 23.76 to 24.56 GHz and covers the FCC rules. From isolation mode measurement, the power level of the leakage signal is measured to be 59 dBm and is shown in Fig. 11. The output spectrum of the fabricated transmitter with a prf of 30 MHz, considering a typical antenna gain of 15 dBi, is shown in Fig. 12. The resolution bandwidth (RBW) of 1 MHz, the video bandwidth (VBW) of 1 MHz, and the root mean square (rms) detector mode are applied to the spectrum analyzer. The bandwidth of the measured spectrum is 1.5–2 GHz in the pulse mode and 3–4 GHz in the compressed pulse mode. It is clear that the spectrum of the compressed pulse mode is about two times the spectrum of the pulse mode. As mentioned above, a frequency null point in the center of the spectrum by the binary phase coded pulse compression is shown. The LO leakage at center frequency is also visible as a single tone because of the continuous leakage, but it is too small to be neglected. In case of the pulse mode, carrier leakage is almost nonexistent.

YANG et al.: 24-GHz CMOS UWB RADAR TRANSMITTER WITH COMPRESSED PULSES

1123

Fig. 12. Measured spectrum of the pulses from the fabricated chip. (a) Pulse mode spectrum of 1.5-GHz bandwidth. (b) Pulse mode spectrum of 2-GHz bandwidth. (c) Compressed pulse mode spectrum of 3-GHz bandwidth. (d) Compressed pulse mode spectrum of 4-GHz bandwidth.

Fig. 13. Measured time-domain waveform of the pulses from the fabricated chip. (a) Pulse-mode waveform of 1.25-ns pulsewidth. (b) Pulse-mode waveform of 0.83-ns pulsewidth. (c) Compressed pulse-mode waveform of 0.66-ns pulsewidth. (d) Compressed pulse mode waveform of 0.5-ns pulsewidth.

The measured transient waveform of the transmitter is shown in Fig. 13. Since the absence of the trigger signal, which is correlated to the measured signal, exact sampling by a sampling oscilloscope is impossible [18]. Thus, the pulse-modulated carrier signal is not shown clearly. However, the envelope of the measured transient waveform in the compressed pulse mode is

divided, as shown in the simulation result of Fig. 8(a). Based on the above measurement results, the operation of the binary phase coded pulse compression using the proposed pulse former is clearly demonstrated. Table I summarizes the circuit’s characteristics in comparison with another transmitter in [19] designed for the same application.

1124

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

TABLE I TRANSMITTER PERFORMANCE COMPARISON

V. CONCLUSION We have proposed a 24-GHz fully integrated CMOS UWB compressed pulse radar transmitter. The proposed pulse former operates as a switch to generate a pulse-modulated carrier signal and a bi-phase modulator for pulse compression. By adopting the Gilbert cell, the LO leakage is cancelled substantially and leakage power as low as 59 dBm is achieved. In this paper, the design procedure of the UWB radar transmitter based on fundamental pulse-radar theory has been presented and proven by system simulation and implementation. The proposed UWB radar transmitter achieves a minimum pulsewidth of 0.5 ns, which guarantees a range resolution of 0.075 m, which is the requirement of the short-range automotive radar. The output spectrum is compliant with FCC rules assuming the antenna gain of 15 dBi.

REFERENCES [1] “First report and order, revision of part 15 of the Commission’s rules regarding ultra wideband transmission systems,” FCC, Washington, DC, ET Docket 98–153, 2002. [2] Electromagnetic Compatibility and Radio Spectrum Matters (ERM); Short Range Devices; Road Transport and Traffic Telematics (RTTT); Short Range Radar Equipment Operating in the 24 GHz Range; Part 1: Technical Requirements and Methods of Measurement, ETSI EN Standard 302 288-1, 2009. [3] G. Rollmann, P. Knoll, M. Mekhaiel, V. Schmid, and H. L. Blöcher, “Short range radar (SRR) system for automotive applications,” IEEE MTT/AP German Newslett., vol. 8, no. 1, pp. 4–8, 2004. [4] I. Gresham, A. Jenkins, R. Egri, C. Eswarappa, N. Kinayman, N. Jain, R. Anderson, F. Kolak, R. Wohlert, S. Brawell, J. Bennett, and J. Lanteri, “Ultra-wideband radar sensors for short-range vehicular applications,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 9, pp. 2105–2122, Sep. 2004.

[5] E. Ragonese, A. Scuderi, V. Giammello, and E. Messina, “A fully integrated 24 GHz UWB radar sensor for automotive applications,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., 2009, pp. 306–307. [6] V. Jain, F. Tzeng, L. Zhou, and P. Heydari, “A single-chip dual-band 22–29-GHz/77–81-GHz BiCMOS transceiver for automotive radars,” IEEE J. Solid-State Circuits, vol. 44, no. 12, pp. 3469–3485, Dec. 2009. [7] T. Fukuda, N. Negoro, S. Ujita, S. Nagai, M. Nishijima, H. Sakai, T. Tanaka, and D. Ueda, “A 26 GHz short-range UWB vehicular-radar using 2.5 Gcps spread spectrum modulation,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 1311–1314. [8] P. Zhao, H. Veenstra, and J. R. Long, “A 24 GHz pulse-mode transmitter for short-range car radar,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., Jun. 2007, pp. 425–428. [9] M. Klotz, “An automotive short-range high resolution pulse radar network,” Ph.D. dissertation, Dept. Telecommun., Tech. Univ. Hamburg–Harburg, Harburg, Germany, 2002. [10] A. Oncu, B. B. M. W. Badalawa, and M. Fujishima, “22–29 GHz ultrawideband CMOS pulse generator for short-range radar applications,” IEEE J. Solid-State Circuits, vol. 42, no. 7, pp. 1464–1471, Jul. 2007. [11] I. Gresham, N. Jain, T. Budka, A. Alexanian, N. Kinayman, B. Ziegner, S. Brown, and P. Staecker, “A compact manufacturable 76–77-GHz radar module for commercial ACC applications,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 1, pp. 44–58, Jan. 2001. [12] V. Jain, S. Sundararaman, and P. Heydari, “A 22–29-GHz UWB pulseradar receiver front-end in 0.18- m CMOS,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 8, pp. 1903–1914, Aug. 2009. [13] M. Skolnik, Introduction to Radar Systems. New York: McGrawHill, 1980. [14] B. Schleicher, C. A. Ulusoy, and H. Schumacher, “A biphase modulator circuit for impulse radio-UWB applications,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 2, pp. 115–117, Feb. 2010. [15] Electromagnetic Compatibility and Radio Spectrum Matters (ERM); Radio Equipment to be Used in the 24 GHz Band; System Reference Document for Automotive Collision Warning Short Range Radar, ETSI TR Standard 101 982, 2002. [16] J. Yang, C. Kim, D. Kim, and S. Hong, “Design of a 24 GHz CMOS VCO with an asymmetric-width transformer,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 57, no. 3, pp. 173–177, Mar. 2010. [17] J. Lee and B. Razavi, “A 40-GHz frequency divider in 0.18- m CMOS technology,” IEEE J. Solid-State Circuits, vol. 39, no. 4, pp. 594–601, Apr. 2004. [18] “What is the difference between an equivalent time sampling oscilloscope and a real-time oscilloscope?,” Agilent Technol., Loveland, CO, Appl. Note 1608: 5989-8794EN, 2001. [19] A. Scuderi, E. Ragonese, and G. Palmisano, “24-GHz ultra-wideband transmitter for vehicular short-range radar applications,” IET Circuits Devices Syst., vol. 3, pp. 313–321, 2009.

Jaemo Yang (S’05) received the B.S. degree in electrical engineering from Chungnam National University (CNU), Daejeon, Korea, in 2005, the M.S. degree in electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2007, and is currently working toward the Ph.D. degree in electrical engineering at KAIST. His research interests include RF and millimeterwave integrated circuits in CMOS technologies for short-range radar.

Gitae Pyo (S’10) received the B.S. and M.S. degrees in electronics and electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2009 and 2011, respectively, and is currently working toward the Ph.D. degree in electrical engineering at KAIST. His main research interests cover RF CMOS circuits and radar system.

YANG et al.: 24-GHz CMOS UWB RADAR TRANSMITTER WITH COMPRESSED PULSES

Choul-Young Kim (S’04–A’07) received the B.S. degree in electrical engineering from Chungnam National University (CNU), Daejeon, Korea, in 2002, and the M.S. and Ph.D. degrees in electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2004 and 2008, respectively. From March 2009 to February 2011, he was a Postdoctoral Research Fellow with the Department of Electrical and Computer Engineering, University of California at San Diego (UCSD), La Jolla. He is currently an Assistant Professor of electronics engineering with Chungnam National University, Daejeon, Korea. His research interests include millimeter-wave integrated circuits and systems for short-range radar and phased-array antenna applications.

1125

Songcheol Hong (S’87–M’88) received the B.S. and M.S. degrees in electronics from Seoul National University, Seoul, Korea, in 1982 and 1984, respectively, and the Ph.D. degree in electrical engineering from The University of Michigan at Ann Arbor, in 1989. In May 1989, he joined the faculty of the Department of Electrical Engineering and Computer Science, Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea. In 1997, he held short visiting professorships with Stanford University, Palo Alto, CA, and Samsung Microwave Semiconductor, Suwon, Korea. His research interests are microwave integrated circuits and systems including power amplifiers for mobile communications, miniaturized radar, millimeter-wave frequency synthesizers, and novel semiconductor devices.

1126

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Experimental Characterization of Chiral Uniaxial Bianisotropic Composites at Microwave Frequencies Farhad Bayatpur, Member, IEEE, Alireza V. Amirkhizi, and Sia Nemat-Nasser

Abstract—This paper presents an experimental procedure for retrieving the effective constitutive parameters of chiral materials. Unlike past research that primarily deals with isotropic materials, this study considers a lossy uniaxial bianisotropic slab with a nonzero chirality along its axial direction. First, plane-wave scattering off the uniaxial slab in a free-space environment is studied analytically. This forward analysis gives insight into the problem and the choice of proper independent measurements required for the inverse process, i.e., retrieving the slab constitutive parameters from its -parameters. Based on this analysis, three sets of co-polarized and cross-polarized -parameters are required, including both the transmission and reflection coefficients of the slab. Given the measured scattering data, the complex permittivity, permeability, and chirality tensors are determined numerically using the results of the analytic study. To test the performance of the new retrieval method, an array of 2 56 long, metallic helices is designed and fabricated for operation at -band. Having the same handedness, the helices are closely spaced and held in parallel to each other in a wooden frame in order to create an effective uniaxial chiral medium. A conventional transmission/reflection setup measures the array scattering parameters, which are fed into the retrieval process to obtain the effective parameters. The measured parameters well model the array scattering response, exhibiting a significant averaged chirality of 0.4 over 5.5–8.7 GHz and a plasmonic behavior at 7.1GHz. Index Terms—Bianisotropic, chiral, constitutive parameters, metamaterials, retrieval.

I. INTRODUCTION

E

NGINEERED composite structures with prescribed macroscopic electromagnetic characteristics have been the subject of intense research over the past decade. Drawing a lot of attention, negative refraction index has been the objective of many researchers in this multidisciplinary area. Inspired by the Veselago’s hypothesized double negative medium [1], a structure with such behavior was invented by Smith et al. [2]. While the past research has been focused on permittivity

Manuscript received June 23, 2011; revised December 14, 2011; accepted December 16, 2011. Date of publication February 15, 2012; date of current version April 04, 2012. This work was supported in part by the Air Force Office of Scientific Research (AFOSR)/Multidisciplinary University Research Initiative (MURI) under Grant FA9550-06-1-0337 to Kent State University, under Sub-Award 444286-P8061719 to the University of California at San Diego, and under the AFOSR Grant FA9550-09-1-0528 to the University of California at San Diego. F. Bayatpur was with the Center of Excellence for Advanced Materials, Department of Mechanical and Aerospace Engineering, University of California at San Diego, La Jolla 92093-0416 USA. He is now with the Wireless Semiconductor Division, Avago Technologies, San Jose, CA 95131-1008 USA. A. V. Amirkhizi and S. Nemat-Nasser are with the Center of Excellence for Advanced Materials, Department of Mechanical and Aerospace Engineering, University of California at San Diego, La Jolla 92093-0416 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2012.2184386

and permeability, the material constitutive parameters directly related to the refraction index, some researchers have taken a chiral approach toward the negative refraction [3]. Utilizing chirality in designing a desired refraction index, Lakhtakia introduced the chiral nihility medium with a negative index [3]. Having effective null permittivity and permeability parameters, this chiral material, like the Veselago’s medium, was shown theoretically to support backward-wave propagation with a negative index. This approach was further developed in [4] and [5]. Later, [6] claimed that a uniaxially chiral medium may actually support more eigenwaves with a negative index compared with isotropic chiral media and the left-handed materials. Experimental demonstration of the negative index through chirality is still an ongoing research [7]–[10], demanding more research on the design, fabrication, and characterization of such structures. Scattering properties of chiral materials have been well studied over the years [11], [12]. Being one of the constitutive parameters describing a material, chirality relates to the polarization distortion (rotation) imposed on an electromagnetic wave passing through the material. This rotary effect is generated as a result of the coupling between the electric and magnetic fields of the wave [13]. Depending on its handedness, a circularly polarized wave impinging upon a chiral material produces different responses. This observation establishes the basis for fabricating chiral composites. Small elements with a certain handedness, once arranged properly in a given volume, construct an effective chiral medium. Examples of such elements include metallic helices [14] and omega elements [15] embedded in a host dielectric medium. Characterization of isotropic chiral materials has been addressed previously both in theory and experiment [16]–[19]. Isotropic samples of this kind are described by four scalar parameters and often are represented using the constitutive rela, [17]. Scattering analysis tions and of a normally incident plane-wave upon a planar sample of the isotropic material [11] reveals that one reflection and two transmission measurements are enough for extraction of its constitutive parameters [18]. The measurements are performed using a conventional quasi-optical transmission/reflection (T/R) setup [20]–[24]. Engineered chiral composites, as mentioned above, often use asymmetrical and anisotropic building blocks. Such composites have direction-dependent properties, and as a result, they are described using a more general tensorial form of the constitutive relations. This paper explores the characterization of bianisotropic media. The theory of the wave scattering from such materials (forward analysis) is well established [11], [25], [26]. As for the inversion, i.e., retrieving the constitutive parameters from the -parameters, researchers either utilize simplifying

0018-9480/$31.00 © 2012 IEEE

BAYATPUR et al.: EXPERIMENTAL CHARACTERIZATION OF CHIRAL UNIAXIAL BIANISOTROPIC COMPOSITES AT MICROWAVE FREQUENCIES

assumptions, e.g., a lossless condition or a unity permeability, or use optimization techniques. A fully numerical optimization approach based on the simulated annealing was proposed in [27] to characterize a composite consisting of small unidirectional helices in an epoxy medium. A helix medium was characterized numerically in [28] through an appropriate averaging process. The average field quantities were computed and then used to calculate the material parameters using the constitutive relations. A homogenization model was employed in [29] to characterize a similar helix structure. Using an approximate analysis, this model used a permittivity tensor, permeability tensor, and magnetoelectric tensor to describe the helix medium. Although the magnetoelectric tensor is a qualitative measure of the chirality, this study investigates an explicit form of this constitutive parameter. A retrieval method was reported in [30] for a biaxial bianisotropic chiral structure. The nondiagonal (nonaxial) form of the chirality tensor made the retrieval process analytically feasible for a lossless condition. To account for the loss, a numerical method was provided [30]. An S-ring resonator medium [31] was introduced as an effective biaxial bianisotropic material. The diagonal form of the single-entry chirality tensor modeling this medium was claimed to make the analytical calculation of the constitutive parameters impractical [31]. Instead, these parameters were calculated approximately from analytical formulations for a simplified case, assuming a lossless S-ring medium and a unity effective permeability. In another attempt, a fully numerical optimization method was provided in [32]. Assuming a general bianisotropic medium, this method used the differential evolution [33] and the Nelder–Mead simplex [34] techniques for optimization. Starting from the lowest frequency in the band of interest, this method first optimized the solution for the permittivity and permeability tensors assuming zero chirality at the lowest frequency. Next, to proceed to higher frequencies, linear extrapolation of the results at the two previous frequency points was used for initializing the optimization. This method requires running an extremely involved optimization routine at each frequency step, which makes the retrieval process computationally intense [32]. In addition, this method seems improper when the cross-polarization (chirality) is not negligible at the lower frequencies in the band. Here, we aim at developing a semianalytical approach for characterization of lossy uniaxial bianisotropic media with an axial chirality. In the following, first a brief discussion on the analysis of the problem is provided. The result of this analysis is a system of equations obtained from the boundary conditions at the air/chiral medium interface. This will lead us to Section II, in which the necessary scattering coefficients needed in this analysis are determined. Next, experimental aspects of the design and the T/R measurement procedure are described, where a 2 56 element array of long helices is fabricated and measured at normal incidence. Having a periodicity much smaller than the electromagnetic wavelength, this array can be approximated as an effective medium of the type of interest [25]. Finally, the retrieved constitutive parameters are presented, followed by a discussion on the accuracy of the results. It will be shown that in light of physical insight into the problem, the optimization portion of the retrieval process can become very short and robust.

1127

II. FORWARD PROBLEM ANALYSIS As mentioned earlier, to model the dependence of a material properties on the direction, tensorial forms of the constitutive relations are used [17] (1) (2) Parameters , , , and are 3 3 complex-valued matrices. For reciprocal materials, it can be shown that , , and [17], where stands for the transpose matrix. Consider a 2-D periodic array of long helices placed on the – -plane with their axes parallel with . The helices have the same handedness and their period is the same along and . At frequencies where the period is much smaller than the electromagnetic wavelength , this array can be treated as a whole homogenous material in the macroscopic regime [11]. Given this arrangement of the helices in the array, two major directions are specified; the axial direction (optical axis), which is , and the transverse direction. The transverse direction could be either or . This is because of the symmetry on plane, as the array is invariant with respect to 90 rotation around its axis . Plane-wave excitation of a helix parallel with the wave polarization [35] generates a scattered magnetic field component, which is parallel with the incidence polarization. This indicates the ability of the helix in generating coupled fields and rotating the polarization. Given its coupling mechanism and symmetry properties, the helix array is represented through the constitutive tensors [25]

In these matrices, subscripts and verse directions, respectively.

denote the axial and trans-

A. Dispersion Relation Consider a plane-wave propagating along in chiral medium with the constitutive parameters provided above. In this section, the characteristics of the wave vector over frequency are determined. To solve for eigenvalues of the propagation vector, the constitutive relations [see (1) and (2)] along with the Maxwell’s equations (listed below) are used to find a matrix equation governing the behavior of either of the or fields. The eigenvalues of the this problem construct the dispersion relation

1128

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

where is in general a complex vector. Solving the equations for the field and rewriting the equations for the field gives the following matrix equation for the electric field [17]:

where for a vector as follows:

, and is the identity matrix. is defined as a 3 3 matrix shown

The results of this eigenvalue problem are shown in (3) [17]. It can be shown that if and , then corresponds with a wave , and is the propagation constant for a wave , [11]

(3) Each eigenvalue here corresponds with an eigenvector, representing the propagating polarizations inside a chiral medium of the type described above. The eigenvectors for the propagation along are calculated as functions of the eigenvalues to be (4) where

is a measure of ellipticity of the polarization. Notice that for propagation in the -direction , changes sign. B. Uniaxial Bianisotropic Chiral Slab in Air: T/R Analysis The geometry of the problem is shown in Fig. 1. The uniaxial layer has a thickness of and its optical axis is along . Two orthogonal polarizations are assumed as the incident wave in the following; one parallel and the other perpendicular to the optical axis. 1) Parallel Polarization Incidence: This section considers an incident polarization parallel with the optical axis of the uniaxial slab. The axial incident plane-wave is , which represents a -polarized plane-wave propagating along . The total electric field in the three regions shown in Fig. 1 are

Fig. 1. Geometry of the problem: 2-D one-layer structure consisting of the uniaxial slab in the air. The optical axis is in the -direction, and the excitation is . normally incident upon the slab and traveling along

By defining proper reference phase planes, the unknown coefficients ( through ) can be related to the scattering parameters. Assuming that and are, respectively, the reference planes for the input (port 1) and the output (port 2), , , , and we get . The superscripts refer to the receive/transmit polaris the cross-polarized izations, respectively. For example, reflection coefficient meaning that the transmitter is polarized parallel with the optical axis , while the receiver has perpendicular polarization . By enforcing the continuity of the tangential fields at the two air-slab interfaces located at and , we arrive at a set of eight equations as follows: a) b) c) d) e) f)

g) Given the Maxwell equations and constitutive relations, the magnetic fields in each region can be derived. This relationship for a propagation of the form of is provided in the following:

h) (5)

BAYATPUR et al.: EXPERIMENTAL CHARACTERIZATION OF CHIRAL UNIAXIAL BIANISOTROPIC COMPOSITES AT MICROWAVE FREQUENCIES

1129

A. Estimate Solution: General Approximation Method

where (6) 2) Perpendicular Polarization Incidence: For the perpendicular excitation, the incident wave is of the form of . The electric fields in the three regions shown in Fig. 1 for this case are

Using the reference phase planes defined in Section II-B.1, we have , , , and . Similar to the parallel case, by applying the boundary conditions, the following eight equations are derived: a) b) c) d) e) f)

g)

h)

The first, and perhaps critical, step in solving a system of nonlinear equations numerically is to find a “good” estimate solution. Serving as the initial input value, this estimate solution reduces the computation cost. To find such an estimate, we need to go further back and focus on our knowledge about chiral composites. Specifically, we need to establish an understanding on how -parameters respond to a small perturbation in the constitutive parameters, especially the chirality . An array of metallic helix elements placed in parallel is an effective uniaxial medium [11]. Depending on the handedness of its elements in the array, such a composite can be either nonchiral or chiral. The chirality is negligible when the neighboring elements have opposite handedness and is significant when all the helices use the same handedness. To closely investigate the effect of handedness (chirality) on the permittivity and permeability tensors, a sensitivity analysis is performed using an Ansoft HFSS full-wave simulator. A single helix is used as the building blocks of two different arrays: one with alternating handedness (nonchiral) and another with a fixed handedness (chiral). Both arrays use the same geometrical parameters. The sensitivity analysis reveals only a slight difference between the co-polarized -parameters of the two arrays. The cross-polarized responses, however, differ substantially. This implies that co-polarized -parameters have much less dependence on , and therefore, can be well estimated given the other constitutive parameters, i.e., . Conversely, the co-polarized data by itself should be sufficient to find an estimate of . In other words, this study suggests that the chiral and nonchiral arrays have similar permittivity and permeability parameters. 1) Estimating Permittivity and Permeability Tensors: As suggested in Section III-A, the zeroth-order approximation with respect to is first used to determine the obtain the first order values of . To do this, a conventional method first introduced in [21] is used here. Equations (8)–(10) describe this method, demonstrating the equations relating the -parameters to the characteristics of a slab material with the thickness of in the air [21]

(7)

(8) (9)

III. RETRIEVAL OF CONSTITUTIVE PARAMETERS In this section, a procedure for extraction of the constitutive parameters of the uniaxial chiral material described above is provided. Ideally, one would analytically solve the two sets of equations derived from the forward analysis in Section II for the constitutive parameters. However, the two sets shown in (5) and (7) are too complicated to solve analytically or numerically, for example, using Mathematica. A semianalytical method for retrieving the constitutive parameters is discussed here. This method simply first seeks a good estimate solution for the problem and next uses a numerical routine to polish the estimate solution. The goal of the numerical analysis is to achieve a reasonable match between the measured and estimated scattering parameters.

where parameters

and

are defined as

(10) To calculate and from the measured and , (8) and (9) are used to calculate and , which, in turn, determine the wavenumber and the wave impedance . and can be extracted from and [22]. Assuming , the propagation constant (3) is reduced to for the axial incidence (Section II-B.1) and to for the perpendicular incidence (Section II-B.2).

1130

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

After calculating , the co-polarized axial and perpendicular reflection/transmission coefficients of the chiral slab are used to determine the estimates and , respectively. 2) Estimating Chirality: The estimate constitutive parameters obtained in the previous section, , are used here to calculate an estimate chirality. In this calculation, the zeroth-order approximation of the -vector (3) with respect to is utilized. This is a reasonable starting point as the chirality is typically a small quantity . With these assumptions, parameters and become independent of , and and become inversely proportional to [see (6)]. This simplifies the two nonlinear sets of equations describing the boundary conditions [see (5) and (7)] to linear systems with respect to . To obtain an estimate chirality , the linear version of (5) is solved here. Representing the boundary conditions in the axial analysis, this set includes eight linear equations and five unknowns . All the -parameters in this set are mea. surable, except for a cross-polarized reflection coefficient This is due to the antenna system used for the measurement, which operates with a fixed polarization at a time. This limitation is circumvented by eliminating from the overdetermined set. Appearing in (5) b) and f), this reflection coefficient enforces the continuity of the cross-polarized electric and magnetic fields, respectively. To eliminate , (5) b) and f) are combined to one equation, leaving a total number of seven equations. Five equations out of the seven equations are then chosen arbitrarily to solve for the five unknowns including . Here, we and [see choose two equations involving each of the (5) a) and c)], two equations including [see (5) d) and h)], [combination and the equation remained after eliminating of (5) b) and f)]. Once the estimate solution for all the constitutive parameters is known, the forward analysis formulation is used to calculate the corresponding approximate wave amplitude coefficients ( for axial and for perpendicular). The result of this approximate analysis is the estimated values for 13 unknowns in the inverse problem . B. Fine Tuning the Estimate Solution In this section, a simple iterative scheme is used to minimize the deviation of the estimated -parameters from the measured ones. As the initial value for this analysis, the approximate solution obtained in Section III-A is employed. Next, a scalar error function is defined in order to minimized the deviation. The forward analysis of the chiral slab presented in Section II results in a total of 16 equations, shown in (5) and (7). As mentioned earlier, the cross-polarized reflection coefficients are eliminated to arrive at a total of 14 equations. In each equation, the left-hand side is the known measurable data, whereas the right-hand side is calculated from the estimate solution. An error element is associated to each equation and is defined as the difference between the data (left-hand) and calculation (right-hand) sides of that equation. This constructs an error vector with 14 elements , given that there are 14 equations. The scalar error function used here is the length of the error vector scaled by a weight vector .

Fig. 2. Experimental setup. (a) Four helix elements in the array. (b) T/R measurement setup consisting of two lens-horn antennas connected to a VNA. The helices are placed in a test frame in an array fashion.

Weighting is necessary here as the error elements ( ’s) are absolute values and need to be normalized

A MATLAB routine called “fminsearch” is used to minimize . Being based on the simplex method of Lagarias et al. [36], this routine finds a local minima for the error. Iterating this method for a small number of steps ( 5–6), one can achieve a perfect agreement between the measured and calculated (tuned) scattering data. IV. EXPERIMENT A. Design, Fabrication, and Measurement Setup A chiral uniaxial sample is fabricated for experiment [37]. The sample is a 2 56 element array of metallic helices placed in a test frame. The helices use the same handedness and are placed in parallel (along ) to produce an enhanced chirality and a uniaxial behavior, respectively. The array arrangement for two periods is shown in Fig. 2(a). In this figure, stands for the center-to-center element spacing along and , is the array thickness, and is the inner radius of the helix. Each helix has a wire thickness of , a pitch size of , and a length of along . The helix structure parameter values are provided in Table I. A free-space T/R setup, shown in Fig. 2(b), is employed here to measure the -parameters required for the retrieval process.

BAYATPUR et al.: EXPERIMENTAL CHARACTERIZATION OF CHIRAL UNIAXIAL BIANISOTROPIC COMPOSITES AT MICROWAVE FREQUENCIES

1131

TABLE I HELIX ARRAY DESIGN PARAMETERS

The T/R setup consists of two lens-horn antennas of the same model that are placed on an optical table. An 8510C vector network analyzer (VNA) is connected to the antennas for collecting the scattering data. Facing one another, the antennas are twice their focal length apart and are aligned with the test slab. The slab is placed halfway between the antennas on their focal plane [22], [23]. The setup is aligned such that the antennas’ main beams are normal to the slab at its center. For calibration, the conventional thru-reflect-line (TRL) procedure is performed to maintain a good calibration [21]. The measured responses are further polished using the time-domain gating feature of the VNA to eliminate undesired reflections. To minimize the diffractions from its edges, the test slab should be large enough to capture the antenna main beam. Unlike conventional horns, a lens-horn antenna generates a collimated beam; and therefore, smaller samples can be characterized using this setup. The operation bandwidth of the antenna, however, is limited by the lens to 8.5 GHz. To find the minimum dimensions for the test slab, each antenna is measured in isolation for beamwidth at its focal plane, which is 350 mm away from the antenna. Simply, a small horn antenna is used as a receiver to measure the power distribution on the focal plane. The measured received power on the - and -planes (along and in Fig. 2(b), respectively) suggests that in order for the incident power to be 10 dBm lower on the edges of the sample compared to its center, the sample should be at least 350-mm long (in ) and 230 mm-wide (in ). In the T/R setup, a critical element whose accuracy directly affects the measurement, especially in terms of phase, is the slab-antenna distance control. This is due to the high-frequency band at which the measurements are performed. In our setup, each antenna holder is bolted to a translation stage on the optical table, which allows for a precise control of the antennas’ spacing by a fraction of a millimeter. The sample positioning, however, degrades the overall accuracy to 0.5 mm. Each millimeter corresponds to 8 phase shift at 7 GHz. To remedy this accuracy issue, a proper averaging is applied on the phase of the measured reflection coefficients [23].

Fig. 3. Initial calculated -parameters of the uniaxial helix array compared with the measured data. The calculations use the estimate values for the consti, , and ). (a) Magtutive parameters. Axial incidence scattering ( , , and ). nitude. (b) Phase. Perpendicular incidence scattering ( (c) Magnitude. (d) Phase. A good agreement is achieved.

B. Experimental Retrieval Process , , , , Given the measured -parameters ( ideally), the helix array is fully characterand ized using the retrieval process of the constitutive parameters presented in Section III. To do this, an estimate solution first is obtained from the measured co-po, as discussed in larized -parameters Section III-A.1. Next, this estimate solution is used to approximate (see Section III-A.2). Given the estimate constitutive values, the expected -parameters are then calculated from the forward analysis in Section II. The calculated -parameters obtained from this approximate analysis compared with the

measured data are shown in Fig. 3(a)–(d). The calculations’ results agree fairly well with the measured -parameters, justifying the assumptions made in this approximation. The estimate result, therefore, is a good starting point for the final step, which is fine tuning the constitutive parameters. The estimate solutions for the constitutive parameters are shown in Fig. 4(a) and (b). To minimize the deviations of the calculated -parameters from the measured ones, the iterative process discussed in Section III-B is applied next. Using the estimate solution as its initial values, this process generates an accurate solution

1132

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 4. Estimate values for the constitutive parameters of the uniaxial helix array. (a) Axial and transverse permittivity and permeability

. (b) Axial chirality

, which is normalized to the speed of wave in air, .

in a small number of steps. The calculated scattering properties of the helix array, after numerical tuning, compared with the measured -parameters are provided in Fig. 5(a)–(d). As shown, calculations agree perfectly with the measurements, both in magnitude and phase, demonstrating the accuracy of the retrieval process. The retrieved constitutive parameters for the helix array are shown in Fig. 6(a) and (b), exhibiting a significant chirality over the 5.5–8.7-GHz band. The normalized chirality is measured to be 0.4 on average in reflects the plasmonic bethis band. Axial permittivity havior of the metallic array, demonstrating a zero crossing at 7.1 GHz [see the top, left graph in Fig. 6(a)]. In comparison, the estimate [see Fig. 4(a) and (b)] and the tuned solutions for the constitutive parameters of the helix array are indeed close, thus showing the validity of the approximate analysis discussed in Section III-A. This helped to ease the minimization (tuning) process. Nevertheless, these values are well within the range predicted for similar composites in earlier work [27]–[29]. V. CHARACTERIZATION AND MEASUREMENT PERFORMANCE To verify both the measurement accuracy and the modeling technique presented above, a mixture of analytical calculations and a full-wave approach is presented here. Essentially, if the measurements are done properly and the characterization is correct and unique, the model should be able to predict the behavior of the same chiral medium at different thicknesses. Here, we check the thickness dependence: the effective constitutive parameters obtained for the fabricated two-layer helix array are used to predict the frequency behavior of a simulated three-layer version of the same array. Using the same parameter values provided in Table I, the three-layer array is simulated in HFSS to obtain all of its co- and

Fig. 5. Tuned calculated -parameters of the uniaxial helix array compared with the measured data. The calculations use the tuned values for the consti, , and ). (a) Magtutive parameters. Axial incidence scattering ( nitude. (b) Phase. Perpendicular incidence scattering ( , , and ). (c) Magnitude. (d) Phase. Perfect agreement is achieved.

cross-polarized scattering coefficients. Next, the array -parameters are calculated analytically using the measured constitutive parameters of the thin array [see Fig. 6(a) and (b)]. These constitutive parameters feed the forward calculation of -parameters, presented in Section II, assuming a three-layer thick uniaxial chiral slab. The calculated -parameters compared to the full-wave simulation results are provided in Fig. 7(a)–(d), demonstrating a good agreement between the model and the full-wave predictions. In another test, this approach was applied to the case of a one-layer helix array, which led to a similar close agreement. This process confirms that the characterization method provided in this paper is accurate and the solutions are unique.

BAYATPUR et al.: EXPERIMENTAL CHARACTERIZATION OF CHIRAL UNIAXIAL BIANISOTROPIC COMPOSITES AT MICROWAVE FREQUENCIES

1133

Fig. 6. Tuned extracted constitutive parameters of the uniaxial helix array. and (a) Axial and transverse permittivity . (b) Axial chirality permeability , which is normalized to the speed of wave in air, .

It should be mentioned that there are some minor discrepancies between the measured scattering data and the HFSS simulated results that are included in this performance test. In other words, the agreement shown in Fig. 7(a)–(d) would have been almost perfect if the constitutive parameters, used for forward calculations, had been extracted from the full-wave simulations rather than the measured data. Nevertheless, the test results exhibit a good prediction by the analytical model. This not only verifies the characterization method, but also implies that the measured data should be very close to the full-wave simulations’ results. We have observed that in the results not shown in this paper. This provides high levels of confidence in the accuracy of the measurement system. To assure quality performance, we have also tested a number of samples with known isotropic dielectric constants using our T/R setup. The test results are consistent and repeatable. Finally, it is necessary to emphasize that the problem discussed in this paper assumes that a homogeneous model exists. This is an accurate approximation so long as the array periodicity is smaller than the operating wavelength . At higher frequencies, this approximation might be less accurate as the homogeneity assumption is invalid. Another practical difficulty in characterization is associated with the resonance behaviors. This includes half-wave element resonances, in periodic arrays of small elements, and geometry-based resonances related to the slab thickness (for instance, when the slab thickness becomes a half-wavelength). At resonance, the phase measurement becomes unreliable, and so does the characterization. Such conditions degrade the performance of any experimental homogenization based on the scattering characteristics of the material under test.

Fig. 7. Calculated -parameters of a three-layer version of the uniaxial helix array compared with the full-wave simulation results. The calculations use the measured values for the constitutive parameters of the fabricated two-layer , , and ). (a) Magnihelix array. Axial incidence scattering ( , , and ). tude. (b) Phase. Perpendicular incidence scattering ( (c) Magnitude. (d) Phase. The model predicts the behavior of the three-layer array reliably.

VI. CONCLUSIONS A new method for retrieval of the constitutive parameters of a uniaxial bianisotropic medium has been presented in this paper. Given the co-polarized scattering parameters of a slab sample of the medium, the permittivity and permeability tensors describing the uniaxial medium are first calculated approximately. This is done by assuming a zero chirality or equivalently ignoring the cross-polarized -parameters. The approximate tensors are then used to calculate the first-order approximation of the chirality. The forward calculation of the -parameters from

1134

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

the estimate constitutive parameters reveals that this initial solution predicts the measured scattering data fairly well, thus significantly easing the follow-up tuning step. For fine tuning the estimate solution, a simple numerical routine is used, which results in a perfect match between the model and the measurement results after 5 iterations. To test the new retrieval method, a composite material of the type of interest is designed and fabricated. This composite is made up of an array of long helices that are closely placed in parallel. The array has two layers and 56 columns. Having the same handedness, the helices construct a chiral slab with a uniaxial behavior. A T/R setup measures the -parameters of the helix array. The measurements are performed for two orthogonal polarizations, one along the material axis and the other perpendicular to the axis. Given its -parameters, the array is fully characterized using the new method. The retrieved constitutive parameters well predict the measured scattering response of the array over the 5.5–8.7-GHz band, demonstrating a significant chirality of 0.4 and a plasmon frequency of 7.1 GHz. The measured constitutive parameters are within the range predicted in earlier work concerning similar helix composites. Finally, for verification, the extracted model for the two-layer array is employed to predict the frequency response of a thicker version of the array with three layers of helix. The model predictions agree reliably with the -parameters obtained from the full-wave simulations of the three-layer array. This validates the accuracy of the new experimental characterization technique and the robustness of the extracted constitutive parameters. ACKNOWLEDGMENT The authors would like to thank A. Samiee and J. Isaacs, both with the Center of Excellence for Advanced Materials, University of California at San Diego, La Jolla, for their assistance in this work. REFERENCES [1] V. G. Veselago, “The electrodynamics of substances with simultaneously negative values of epsilon and ,” Sov. Phys.—Usp., vol. 10, no. 509, pp. 509–514, 1968. [2] D. R. Smith, W. Padilla, D. Vier, S. Nemat-Nasser, and S. Schultz, “Composite medium with simultaneously negative permeability and permittivity,” Phys. Rev. Lett., vol. 84, , no. 4184, pp. 4184–4187, 2000. [3] A. Lakhtakia, “An electromagnetic trinity from ‘negative permittivity’ and ‘negative permeability’,” Int. J. Millim. Infrared Waves, vol. 22, pp. 1731–1734, 2001. [4] S. Tretyakov, I. Nefedov, A. Sihvola, S. Maslovski, and C. Simovski, “Waves and energy in chiral nihility,” J. Electromagn. Waves Appl., vol. 17, no. 5, pp. 695–706, 2003. [5] J. B. Pendry, “A chiral route to negative refraction,” Science, vol. 306, no. 5700, pp. 1353–1355, 2004. [6] Q. Cheng and T. J. Cui, “Negative refractions in uniaxially anisotropic chiral media,” Phys. Rev. B, Condens. Matter, vol. 73, 2006, Art. ID 113104. [7] E. Plum et al., “Metamaterial with negative index due to chirality,” Phys. Rev. B, Condens. Matter, vol. 79, 2009, Art. ID 035407. [8] S. Zhang et al., “Negative refractive index in chiral metamaterials,” Phys. Rev. Lett., vol. 102, 2009, Art. ID 023901. [9] R. Singh et al., “Terahertz metamaterial with asymmetric transmission,” Phys. Rev. B, Condens. Matter, vol. 80, 2009, Art. ID 153104. [10] X. Xiong et al., “Construction of a chiral metamaterial with a U-shaped resonator assembly,” Phys. Rev. B, Condens. Matter, vol. 81, 2010, Art. ID 075119.

[11] I. V. Lindell, A. H. Sihvola, S. A. Tretyakov, and A. J. Vitanen, Electromagnetic Waves in Chiral and Bi-Isotropic Media. Norwood, MA: Artech House, 1994, ch. 8. [12] A. Lakhtakia, V. K. Varadan, and V. V. Varadan, Time-Harmonic Electromagnetic Fields in Chiral Media. Berlin, Germany: Springer-Verlag, 1989. [13] D. L. Jaggard and N. Engheta, “Chirality in electrodynamics: Modeling and applications,” in Directions in Electromagnetic Wave Modeling, H. L. Bertoni and L. B. Felson, Eds. New York: Plenum, 1991. [14] W. S. Weiglhofer, A. Lakhtakia, and J. C. Monzon, “Maxwell–Garnett model for composites of electrically small uniaxial objects,” Microw. Opt. Technol. Lett., vol. 6, no. 12, pp. 681–684, Sep. 1993. [15] M. M. I. Saadoun and N. Engheta, “A reciprocal phase shifter using novel pseudochiral or medium,” Microw. Opt. Technol. Lett., vol. 5, pp. 184–188, 1992. [16] A. H. Sihvola and I. V. Lindell, “Bi-Isotropic constitutive relations,” Microw. Opt. Technol. Lett., vol. 4, pp. 295–297, 1991. [17] J. A. Kong, Electromagnetic Wave Theory. Cambridge, MA: EMW, 2000, ch. 2. [18] R. Ro, V. V. Varadan, and V. K. Varadan, “Experimental study of chiral composites,” Proc. SPIE–Int. Soc. Opt. Eng., vol. 1558, pp. 269–287, 1991. [19] R. Zhao, T. Koschny, and C. M. Soukoulis, “Chiral metamaterials: Retrieval of the effective parameters with and without substrate,” Opt. Exp., vol. 18, no. 14, pp. 14553–14567, 2010. [20] G. F. Engen and C. A. Hoer, “Thru-reflect-line: An improved technique fo calibrating the dual six-port automatic network analyzer,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 12, pp. 987–993, Dec. 1979. [21] A. M. Nicolson and G. F. Ross, “Measurement of the intrinsic properties of materials by time-domain techniques,” IEEE Trans. Instrum. Meas., vol. IM-19, no. 4, pp. 377–382, Nov. 1970. [22] D. K. Ghodgaonkar, V. V. Varadan, and V. K. Varadan, “Free-space measurement of complex permittivity and complex permeability of magnetic materials at microwave frequencies,” IEEE Trans. Instrum. Meas., vol. 39, no. 2, pp. 387–394, Apr. 1990. [23] N. Gagnon, J. Shaker, P. Berini, L. Roy, and A. Petosa, “Material characterization using a quasi-optical measurement system,” IEEE Trans. Instrum. Meas., vol. 52, no. 2, pp. 333–336, Apr. 2003. [24] L. F. Chen, C. K. Ong, C. P. Neo, V. V. Varadan, and K. V. Varadan, Microwave Electronics: Measurement and Materials Characterization. Chichester, U.K.: Wiley, 2004, ch. 7. [25] I. V. Lindell and A. H. Sihvola, “Plane-wave reflection from uniaxial chiral interface and its application to polarization transformation,” IEEE Trans. Antennas Propag., vol. 43, no. 12, pp. 1397–1404, Dec. 1995. [26] S. A. Tretyakov and A. A. Sochava, “Novel uniaxial bianisotropic materials: Reflection and transmission in planar structures,” Progr. Electromagn. Res., vol. 9, pp. 157–179, 1994. [27] C. Y. Chen and K. W. Whites, “Effective constitutive parameters for an artificial uniaxial bianisotrpic chiral medium,” J. Electromagn. Waves Appl., vol. 10, pp. 1363–1388, 1996. [28] A. V. Amirkhizi and S. Nemat-Nasser, “Numerical calculation of electromagnetic properties including chirality parameters for uniaxial bianisotropic media,” Smart Mater. Struct., vol. 17, 2008, Art. ID 015042. [29] M. G. Silveirinha, “Design of linear-to-circular polarization transformers made of long densely packed metallic helices,” IEEE Trans. Antennas Propag., vol. 56, no. 2, pp. 390–401, Feb. 2008. [30] X. Chen, B. I. Wu, J. A. Kong, and T. M. Grzegorczyk, “Retrieval of the effective constitutive parameters of bianisotropic metamaterials,” Phys. Rev. E, Stat. Phys. Plasmas Fluids Relat. Interdiscip. Top., vol. 71, pp. 46610–46618, 2005. [31] X. Cheng, H. Chen, L. Ran, B. I. Wu, T. M. Grzegorczyk, and J. A. Kong, “Negative refraction and cross polarization effects in metamaterial realized with bianisotropic S-ring resonator,” Phys. Rev. B, Condens. Matter, vol. 76, 2007, Art. ID 024402. [32] X. Chen, T. M. Grzegorczyk, and J. A. Kong, “Optimization approach to the retrieval of the constitutive parameters of slab of general bianisotropic medium,” Progr. Electromagn. Res., vol. 60, pp. 1–18, 2006. [33] R. Storn and K. Price, “Differential evolution—A simple and efficient heuristic for global optimization over continuous spaces,” J. Global Optim., vol. 11, pp. 341–359, 1997. [34] J. A. Nelder and R. Mead, “A simplex method for function minimization,” Comput. J., vol. 7, pp. 308–313, 1965. [35] F. Guérin, P. Bannelier, and M. Labeyrie, “Scattering of electromagnetic waves by helices and application to the modelling of chiral composites. I: Simple effective medium theories,” J. Phys. D, Appl. Phys., vol. 28, pp. 623–642, 1995.

BAYATPUR et al.: EXPERIMENTAL CHARACTERIZATION OF CHIRAL UNIAXIAL BIANISOTROPIC COMPOSITES AT MICROWAVE FREQUENCIES

[36] J. C. Lagarias, J. A. Reeds, M. H. Wright, and P. E. Wright, “Convergence properties of the Nelder–Mead simplex method in low dimensions,” SIAM J. Optim., vol. 9, no. 1, pp. 112–147, 1998. [37] C. J. Schuil, A. V. Amirkhizi, F. Bayatpur, and S. Nemat-Nasser, “Composites with mechanically tunable plasmon frequency,” Smart Mater. Struct., vol. 20, pp. 115012–115016, 2011.

Farhad Bayatpur (S’06–M’10) received the B.Sc. degree in electrical engineering from the Sharif University of Technology, Tehran, Iran, in 2005, and the M.S. and Ph.D. degrees in electrical engineering from The University of Michigan at Ann Arbor, in 2007 and 2009, respectively. From 2009 to 2011, he was a Post-Doctoral Researcher with the Center of Excellence for Advanced Materials, Department of Mechanical and Aerospace Engineering, University of California at San Diego, La Jolla. He is currently an R&D Engineer with the Wireless Semiconductor Division, Avago Technologies, San Jose, CA. He is currently interested in engineered materials and structures with novel electromagnetic behavior.

Alireza V. Amirkhizi received the B.Sc. degree in civil engineering from the Sharif University of Technology, Tehran, Iran, in 2000, and the Ph.D. degree in mechanical engineering from the University of California at San Diego, La Jolla, in 2006. He is currently a Research Scientist with the Center of Excellence for Advanced Materials, University of California at San Diego. La Jolla. His current research is focused on material-by-design and composite metamaterials.

1135

Sia Nemat-Nasser is a Distinguished Professor of Mechanics of Materials and Director of the Center of Excellence for Advanced Materials, Department of Mechanical and Aerospace Engineering, University of Californiat at San Diego. He was the Founding Director of the Materials Science and Engineering Graduate Program, University of California at San Diego. He was the Co-Director and Director of the Institute for Mechanics and Materials, National Science Foundation (NSF) (1992–1999) and the John Dove Isaacs Chair in Natural Philosophy (1995–2000). He has authored, coauthored, or edited over 20 books and proceedings. He has authored or coauthored over 470 scientific papers and has presented over 430 lectures and seminars at scientific meetings and at various institutions with over 35 being plenary or keynote lectures. His current research interests include experimentally based analytical/computational, nanoscale modeling of response and failure modes of materials, particularly multifunctional structural systems (e.g., structural composites with tunable electromagnetic functionality, thermal management, self-healing, self-sensing and metamaterials with negative refractive index), polyelectrolytes and ionic polymer metal composites as soft-actuators and sensors, shape-memory alloys, bio-hybrid interfaces and their shortand long-term functionality, advanced metals and ceramics, elastomers, granular materials, and hybrid composites. Dr. Nemat-Nasser is a member of the National Academy of Engineering (NAE) (2001). He is an honorary member of the World Innovation Foundation. He is an honorary member and Life Fellow of the American Society of Mechanical Engineers (ASME). He has organized over 40 scientific workshops and meetings, including organization of the 2001 Mechanics and Materials Summer Conference, jointly sponsored by ASME’s Materials and Applied Mechanics Divisions, ASCE Engineering Mechanics Division, and the Society of Engineering Science. He was the recipient of the Society of Engineering Science (SES) 2002 Prager Medal, the ASME 2002 Nadai Medal, the International Technology Institute’s Willard Rockwell Medal 2003, the ASME 2006 Thurston Lecture Award, the SEM 2006 Lazan Award, the California State University Sacramento/Sacramento State Alumni Distinguished Service Award (2008), the American Society of Civil Engineers (ASCE) 2008 von Karman Medal, the ASME 2008 Timoshenko Medal, and the Society of Experimental Mechanics (SEM) 2009 Murray Medal. He is an SEM Fellow (2011). In 2008 the Materials Division, ASME, established “The Sia Nemat-Nasser Early Career Medal.” In 2009, SEM established the Sia Nemat-Nasser Medal, and honored him as its first recipient (2011).

1136

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Reproduction of the Effects of an Arbitrary Radiated Field by Ground Current Injection Paolo S. Crovetti, Member, IEEE

Abstract—The possibility of reproducing the effects on an electronic equipment of an arbitrary electromagnetic (EM) field excitation for effective EM interference susceptibility assessment is explored in this paper. To this purpose, a method to recreate the effects of a given EM field over an equipment under test by proper RF current injection on its reference conductor is derived from EM theory. The effectiveness and the practical feasibility of this approach is discussed on the basis of full-wave EM simulations and experimental results. Index Terms—Beamforming, electromagnetic compatibility (EMC) tests, electromagnetic (EM) field, electromagnetic interference (EMI) immunity tests.

I. INTRODUCTION

T

HE significance of microwave measurements performed to assess the immunity to electromagnetic interference (EMI) of an electronic system is strongly related to their repeatability and to their effectiveness in reproducing the EMI excitation undergone by the equipment under test (EUT) in the field [1]. For this reason, EMI immunity tests have been standardized with consideration to real application scenarios. In particular, since EMI issues have been historically related to radiated interference from radio and TV transmitters, immunity tests, in which an EUT in an anechoic chamber undergoes a plane-wave excitation, have been first introduced [2], [3]. Similarly, specific immunity tests have been proposed considering typical EMI sources in automotive [4]–[6], industrial [7], [8], biomedical [9]–[11], telecom [12], and other applications. In recent years, however, the environment in which electronic systems operate has become more and more electromagnetically polluted because of the widespread diffusion of mobile phones, personal digital assistants (PDAs), wireless local area networks (WLANs), wireless sensor networks, radars, and high-speed digital circuits, which generate electromagnetic (EM) fields with a wavelength that is often comparable with the physical dimensions of electronic circuits. As a consequence, most standard immunity tests employed thus far are no longer representative of EMI excitations undergone by an EUT in the field and different RF measurement techniques need to be considered for effective EMI testing. Manuscript received July 22, 2011; revised December 20, 2011; accepted December 22, 2011. Date of publication January 27, 2012; date of current version April 04, 2012. The author is with the Department of Electronics, Politecnico di Torino, Turin 10129, Italy (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2183382

To this end, novel methods like surface scan [13], rotating field [14], and reverberation chamber (RC) [15] tests have been recently proposed in the literature. In the surface scan and in the rotating field test, however, an EUT undergoes specific excitations, which are possibly different from its environmental operating conditions. On the other hand, RC tests are suitable to test an EUT under a variety of complex EM field excitations, which resemble the present-day EM environment. Nonetheless, the actual field distribution applied on the EUT in RC tests is almost impossible to be estimated and only a statistical immunity assessment can be obtained. Furthermore, statistically significant RC tests below 100 MHz require large impractical facilities to be performed. While tests proposed thus far are mainly intended to reproduce the characteristics of realistic EMI sources, the possibility of reproducing the effects of arbitrary EM excitations on an electronic equipment is explored in this paper to address present-day challenges of EMI immunity assessment. To this purpose, a suitable formulation of the field equivalence principle [16]–[18], often invoked as a theoretical tool in microwave and electromagnetic compatibility (EMC) modeling and simulation [19]–[21], is presented as a constructive method to recreate the effects of an arbitrary EMI excitation on an EUT. On the basis of this result, a multipoint ground current injection (GCI) test for EMI immunity assessment is proposed and its effectiveness in reproducing a target EMI excitation is verified on the basis of full-wave EM simulations and experimental results. This paper is organized as follows. In Section II, the possibility of reproducing an arbitrary EM field distribution over an EUT is first discussed on the basis of EM theory, and then the GCI technique is proposed to approximate a given field distribution using multiple RF sources controlled in amplitude and phase by beamforming techniques [22]–[24]. In Section III, the effectiveness of the proposed GCI technique in approximating practically relevant EM field distributions over a conductive surface is discussed on the basis of fullwave EM simulations, while in Section IV, the experimental validation of the GCI technique is addressed. In Section V, a GCI test performed on a printed circuit board (PCB) is presented as an application example and the EMI-induced voltages at PCB trace terminations obtained by simulations in the presence of a radiated field excitation are compared with the same voltages reproduced by a simulated GCI test. In Section VI, some possible GCI-based tests for EMI immunity assessment, to be developed in future work, are suggested. Finally, in Section VII, some concluding remarks are drawn.

0018-9480/$31.00 © 2012 IEEE

CROVETTI: REPRODUCTION OF EFFECTS OF ARBITRARY RADIATED FIELD BY GCI

1137

Fig. 1. Generic EUT undergoing EM field excitation including one reference conductor and several nonreference conductors. Fig. 2. Detail of the EUT in Fig. 1.

II. THEORY A generic electronic equipment embedded in a continuous wave (CW) EM field, possibly representing EMI in present-day EM environment, is now considered. In such an equipment, a reference conductor (EUT reference or EUT ground) is defined, and it is supposed that relevant information content is associated to voltages between a couples of points , where the top points are on one nonreference conductor, and the bottom points are on the EUT reference. With regard to such a configuration, which is depicted in Fig. 1, it will be shown that the effects of any external EM field excitation can be equivalently described in terms of a surface charge (or surface current) distribution over the EUT reference conductor. Considering this equivalence, the possibility of reproducing the effects on the EUT of an arbitrary EM field excitation is then discussed.

where is the magnetic permeability of the medium at the conductor interface. Both (3) and (4) follow directly from Maxwell’s equations [25]. Taking into account (1)–(4), any physically consistent EM field distribution over source-free EUT conductors is fully specified in terms of either the surface current density or the surface charge density . On the basis of this result, EMI-induced RF disturbances superimposed onto EUT nominal voltages between a top point on one nonreference conductor and a bottom point on the EUT reference can be expressed in terms of the surface charge density under practical operating conditions. Voltages , in fact, are defined as (5)

A. EM Field—Surface Charge Equivalence With reference to the configuration of Fig. 1, under the assumption that EUT conductors are ideal, the tangential electric field and the normal magnetic field over the surface of each conductor are zero, and the EM field distribution , over the surface of any EUT conductor is therefore fully specified in terms of the normal electric field and the tangential magnetic field, or equivalently, in terms of the surface current density (1) where is the unit normal vector to conductor surfaces, and of the surface charge density

where the line integral, which depends, in general, on the integration path for microwave fields [25], is evaluated along a straight line, as depicted in Fig. 2. Under the hypotheses that the distance between top and bottom points and is much less than the wavelength , the electric field in (5) can be assumed to be constant along the integration path and equal to the electric field at the bottom point . Since the electric field can be expressed in terms of the EUT reference surface charge by (2), EMI-induced disturbances onto the nominal voltages can be evaluated as

(2) where is the dielectric displacement vector and is the permittivity of the dielectric medium at the conductor interface, which is possibly varying over the conductor surface . Moreover, as long as source-free conductors are considered, in (1) and in (2) are related to each other by the surface current continuity equation (3) where is the 2-D vector differential operator on the conductor surface, and by the charge diffusion equation (4)

(6) and finally, by performing the integration in (6), as (7) where is the angle defined by the normal to the EUT reference conductor at the bottom point and by the straight line including and .

1138

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

The hypothesis that is well verified up to millimeter-wave frequencies in present electronic systems, where the distance between signal and reference conductors of a PCB is typically a few hundreds of micrometers and the distance between signal and reference metal interconnects in an integrated circuit is in the micrometer range. As a consequence, RF disturbances superimposed onto nominal voltages of a practical electronic equipment operating in a realistic EM environment, which potentially give rise to EMI-induced failures, can be uniquely specified in terms of the surface charge density , or alternatively, in terms of the surface current density , induced by the EM field on the EUT reference conductor. In other words, the operating conditions of an EUT embedded in a generic EM field can be reproduced provided that the surface charge (or the surface current) induced on its reference conductor by EM field excitation are replicated. On the basis of this result, a method to recreate the operating conditions of an equipment embedded in an arbitrary EM environment for effective EMI immunity testing is discussed in the following. B. Reproduction of an EM Environment by Surface Current Injection Taking into account of the equivalence discussed thus far, the effects of a generic EM field on an electronic equipment can be reproduced for EMI immunity assessment by forcing a suitable charge (or current) distribution over the surface of the EUT reference conductor. To this purpose, by substituting (4) in (3), it can be observed that the surface charge density over a source-free conductor surface satisfies the 2-D homogeneous Helmholtz equation (8) where . From the uniqueness of the solution of the homogeneous Helmholtz equation with Neumann boundary conditions (9) along any closed path on , where is the normal to on the surface and is a given function of the linear position along the curve , as depicted in Fig. 3(a), it follows that any physically consistent charge distribution over the source-free conductive surface is fully specified in terms of the normal current density over the contour , which physically represents the charge flowing from the boundary per unit time and per unit length. This result can be regarded as a 2-D formulation of the field equivalence principle [16], [17] and it can be stated under very general hypotheses by the approach presented in [18]. On the basis of the above considerations, any given physically consistent surface charge distribution over the reference conductor of an EUT can be reproduced provided that a suitable boundary current density is forced on a closed path on , as depicted in Fig. 3(b), where current sources distributed along and lumped in the normal direction, so that the distance tends to zero, are included to this purpose. Taking into account that, as long as (7) is valid, the effects of an EM field on an EUT are uniquely specified in terms of the surface charge

Fig. 3. (a) Surface charge distribution on a source-free conductor with Neumann boundary conditions on the closed line . (b) Definition on an arbitrary surface charge distribution by a controlled linear current density along the boundary . (c) GCI test setup.

distribution on its reference conductor, it follows that, under the hypotheses of Section II-A, the operating conditions of an EUT embedded in an arbitrary EM field can be reproduced provided that a suitable surface current is forced along a closed path on the EUT reference conductor. This approach is exploited in the GCI method proposed in the following. C. GCI Method The results obtained thus far can be exploited to recreate the EM environmental operating conditions of an EUT by injecting RF currents on the boundary of a closed path on its reference conductor. In practice, however, an arbitrary boundary current density cannot be forced in each point of a closed can line and only an approximation of the excitation be achieved by performing RF current injection at points along using RF sources controlled both in magnitude and phase, as illustrated in Fig. 3(c). The number of sources to be employed to sample properly is related to the regularity of the surface charge distribution to be reproduced, i.e., with the minimum number of modes (spatial harmonics) that are required in order to approximate it properly. As a rule of thumb, , uniformly spaced sources, where is the length of and is the wavelength corresponding to the maximum test frequency, can be employed, so that the distance between two next sources is less than . Such sources can be implemented using variable attenuators and phase shifters, as schematically depicted in Fig. 4, exploiting similar RF circuits and techniques developed in recent years for beamforming [22]–[24]. In order to reproduce the EM environment in which operates an EUT by the GCI method, the amplitude and phase of RF ex-

CROVETTI: REPRODUCTION OF EFFECTS OF ARBITRARY RADIATED FIELD BY GCI

1139

On the basis of (10), the excitation vector providing the best approximation of the target charge distribution can be evaluated by minimizing the mean square error over the reference conductor surface

(12) with respect to the magnitude and the phase of the components of the source vector , i.e., as the source vector for which (13) In practice, the minimization (13) can be carried out over a including points taken on the surface . By discrete set so doing, the mean square error can be written as (14)

Fig. 4. Generation of RF sources controllable in magnitude and in phase by a beamforming equipment.

citations to be applied need to be evaluated. To this purpose, it is supposed that the total EM field1 , in which the EUT is embedded, is known. In practice, such a distribution can be estimated on the basis of measurements and/or of EM field simulations with reference to typical EM noise sources located close to the EUT in realistic application scenarios. Provided that the EM field distribution over the EUT in its operating environment is known, the surface charge on the reference conductor can be evaluated from the normal dielectric displacement vector by (2) and the magnitude and the phase values of the excitations achieving the best approximation of the charge distribution can be computed by a least mean square (LMS) approach. To this purpose, for any frequency , the overall charge distribution in any point due to the excitations can be evaluated by superposition as (10)

of test points where the sum is extended on a set of , which should be chosen so that the charge distribution is properly sampled. Equation (14) can be put in the compact matrix form (15) where

is an matrix including the samples of the transfer functions from the excitations to the test points and is an column vector including the samples of the target charge distribution at the same points. Due to the linear dependence of the charge distribution on the excitation coefficients , the input excitation vector for which

where is the phasor corresponding to the incident power wave at test port ,

(16) can be explicitly evaluated as

(11) is the frequency domain transfer function2 relating the CW charge distribution at point of the reference conductor to a unitary-amplitude CW excitation at frequency applied at port , is the source vector, and . The dependency of on frequency will not be explicitly indicated hereafter to simplify the notations. 1For an EUT subjected to an incident wave excitation, it is supposed that the total fields, i.e., incident plus scattered field, are known. 2Such a transfer function has the dimensions of a surface charge over the square root of power and is therefore measured in C m W

(17) is the Moore–Penrose pseuwhere the matrix doinverse of [26]. On the basis of (17), the incident power wave excitations that should be applied to approximate the EM operating environment of an EUT by a GCI test can be obtained from the target EM field distribution on a set of points of the EUT reference surface and from the transfer functions in matrix , which relate the charge density at test points to GCI excitations. The effectiveness of this approach in reproducing real EM excitations will be discussed in Sections III–VII on the basis of computer simulations and experimental results.

1140

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

III. GCI TEST EFFECTIVENESS IN REPRODUCING AN EM FIELD PATTERN In this section, the effectiveness of the GCI method is discussed on the basis of EM simulations performed by the HFSS full-wave EM simulator with reference to a specific test structure. After the test structure is introduced, the EM field distributions induced over it under different radiated excitations are evaluated by EM simulations and the incident power waves at GCI test ports approximating such field distributions are calculated by (17). Finally, the EM field distributions obtained by GCI are evaluated and compared with those arising from the original radiated excitations. A. Test Structure and its Characterization The GCI setup in Fig. 5(a), with RF excitation ports, is considered in this section. Such a setup includes a 80 cm 80 cm copper plane with an octagonal-shaped slot filled with an octagonal-shaped patch of copper with a radius cm centered in the origin of a Cartesian coordinate system. The edges of the slot and of the patch are separated by a 1-cm gap. In the middle of each side of the octagon, an RF test port is placed. Such a port is obtained from a coaxial cable, whose shield is connected to the metal plane out of the octagon and whose central conductor is connected to the inner octagonal surface, as depicted in Fig. 3(c). The coaxial cables are connected to eight 50- RF sources that can be controlled in magnitude and phase by beamforming techniques, as illustrated in Fig. 4(b). With reference to the aforementioned structure, an array of 11 11 test points equally spaced over a 10 cm 10 cm square test area centered in the origin is considered, and the transfer functions

Fig. 5. Configuration and excitations considered in simulation: (a) Basic Configuration. (b) GCI test on a PCB.

wave excitations to be applied in order to approximate the FF, NF, and 3S field distributions by the GCI method are evaluated by (17). The normalized magnitude and phase of such incident power waves are plotted in Fig. 6 for the three test cases. Finally, the GCI test setup is simulated applying the calculated excitations and the normal electric field over the test area, obtained from this simulation, is compared with the target field distribution . The results of such analysis are reported and discussed in the following. B. EM Simulation Results

relating the RF charge fluctuation at each test point to the incident CW RF power waves at each test port are evaluated by EM simulations and included in the matrix in (17). Three scenarios with different CW RF excitations at GHz are then considered as test cases. • Far-field (FF) test case: incident plane wave, with electric field V m, oriented along and propagating in the negative direction (source #1 in Fig. 5(a). • Near-field (NF) test case: unitary RF current element excitation located at (0,0,10 cm) with a length cm oriented along the -axis [source #2 in Fig. 5(a)]. • Three-source (3S) test case: superposition of the FF and NF excitations plus a unitary linear current excitation (source #3 in Fig. 5) located at cm cm cm with a length cm and oriented along the -axis. For each scenario, the surface EM field over the ground plane is evaluated by EM simulations and considered as the target field to be reproduced by the GCI method. To this purpose, the corresponding surface charge over the test area is evaluated by (2) and its samples at the test points are included in the vector in (17). From the matrix and the vector obtained from EM simulations, as described above, the complex incident power

The accuracy of the GCI method in reproducing the effects of radiated fields over the test area in the FF, NF, and 3S test cases is now discussed on the basis of the results of the EM simulations described above. In Fig. 7(a) and (b), in particular, the normalized amplitude and the phase of the field component in the test points for the FF excitation is reported, while in Fig. 7(c) and (d), the same quantities obtained by the GCI test are shown. Moreover, the magnitude error between the replicated and the target field distribution, expressed in decibels with respect to the maximum magnitude element dB , i.e., dB

(18)

and the weighted phase error3 (19) 3The weighted phase error defined in (19) is considered in order to highlight is the phase error in correspondence of points where the magnitude of the relevant, masking not significant large phase errors in correspondence of points is negligible. in which the magnitude of

CROVETTI: REPRODUCTION OF EFFECTS OF ARBITRARY RADIATED FIELD BY GCI

1141

Fig. 8. Magnitude and weighted phase error between target and approximated field distribution for the different test cases. (a) FF—magnitude. (b) FF—phase. (c) NF—magnitude. (d) NF—phase. (e) 3S—magnitude. (f) 3S—phase. Fig. 6. Magnitude and phase of the normalized incident power wave excitations to be applied to the RF injection ports.

phase error is also reported. The mean values and the standard deviations of such statistical distributions are reported in Table I. It can be observed that the GCI method achieves a rather good approximation of the field distribution in both cases and in most of the test points an error of less than 5% between the original and reproduced field can be appreciated. In order to highlight the impact of the number of RF sources on the accuracy of the GCI test, the same setup in Fig. 4 has been considered by using only sources. The LMS-approximated currents for this case have been specifically computed. The mean values and the standard deviations of the amplitude and phase errors for each field pattern are reported in Table I. It can be observed that the reduction in the number of sources has brought about an increased error in both amplitude and phase. Nonetheless, the mean amplitude error is less than 10% and the average weighted phase error is less than 2 . IV. EXPERIMENTAL VALIDATION

Fig. 7. FF test case. (a) Target distribution (relative magnitude). (b) Target distribution (phase). (c) Eight-source GCI approximation (relative magnitude). (d) Eight-source GCI approximation (phase).

are reported in Fig. 8 for each . It can be observed that the magnitude error is below 20 dB and the normalized phase error is less than 5 for almost all the points and for all the test cases. In order to discuss the approximation level of the GCI method in further detail, the statistical distribution of the error between the magnitude of the original and of the reproduced field distribution is reported in Fig. 9 for the three test cases. In the same figure, the statistical distribution of the weighted

The effectiveness of the GCI method, which has been discussed thus far with reference to computer simulation results, is now verified on the basis of experimental results. To this purpose, the test setup and the experiments, which have been performed, are first described. Experimental results are then presented and discussed. A. Test Setup and Validation Tests In order to validate the GCI method proposed in this paper, a test board with the same structure depicted in Fig. 4 in a 1:3 scale, i.e., with an octagonal slot filled with an octagonal-shaped patch of copper with a radius cm, is considered and a test frequency of 3 GHz has been chosen so that the dimensions in terms of wavelength of the test structure are the same

1142

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 10. Experimental test setup.

Fig. 9. Statistical distribution of the magnitude and weighted phase errors of the reproduced field distribution in the test points. TABLE I MEAN AND STANDARD DEVIATION OF THE MAGNITUDE AND OF THE PHASE ERRORS FOR EIGHT-PORT AND FOUR-PORT GCI TESTS

as in simulations. The choice of a scaled EUT and of the test frequency is related to specific limitations of the equipment employed for this validation and not to intrinsic limitations of the GCI method. Unlike the structure in Fig. 5(a), the test board considered for validation includes a single RF excitation port located in the middle of one side of the octagon, where a sub-miniature type A (SMA) connector is placed so that its shield is soldered to the external metal plane and its central conductor is connected to the inner octagonal patch. Seven 50- terminations, obtained from seven couples of 100- surface-mounted device (SMD) resistors in parallel, are connected between the octagonal patch and the external plane in correspondence of the other seven RF ports of the structure in Fig. 5(a). With reference to such a test structure, an array of 11 11 test points equally spaced over a 3 cm 3 cm square test area centered in the origin is considered and the transfer functions (20)

relating the RF charge fluctuation at each test point to the incident CW RF power wave injected at the test port, which correspond to a column of the matrix in (17), are first measured. To this end, the test board is placed in a surface field scan equipment, which includes a vertical electric field probe with an output SMA connector, mounted on a controllable -axis micropositioner, and two motorized -micropositioners by which the position of the antenna over the board can be controlled, as shown in Fig. 10. The SMA connector on the board is connected to port #1 of a vector network analyzer (VNA), whose port #2 is connected to the -field probe and the scattering parameters measured with the probe placed above the test points are acquired by properly controlling the slides and the VNA by a PC. Taking into account that the voltage arising at the -field probe SMA connector terminated on a matched load , when the probe is over point , is related to the field component by , where is a frequency-dependent calibration factor ( m GHz from calibration data) that , where , and from (2), , it follows that the transfer functions in (20) can be expressed in terms of measured parameters as (21) On the basis of (21), all the transfer functions with can be obtained from measurements involving a single GCI test port, taking into account that the symmetry of the structures and all the elements of in (17) can therefore be obtained. As described for simulations, different target field distributions, to be reproduced by the GCI method, are considered: in the first test case (dipole antenna), the EM field arising from a vertical dipole antenna, placed at 15 cm from the center of the octagon, and measured by the surface scan equipment described before, is considered, while in the second test case (plane wave), the calculated surface EM field distribution arising from a planewave excitation like source #1 in Fig. 5(a) is considered. With reference to such test cases, the elements of the target vector in (17) are calculated.

CROVETTI: REPRODUCTION OF EFFECTS OF ARBITRARY RADIATED FIELD BY GCI

1143

TABLE II EXPERIMENTAL RESULTS—MEAN AND STANDARD DEVIATION MAGNITUDE AND OF THE PHASE ERRORS

OF THE

application of the excitations is finally calculated by superposition using the measured transfer functions and it is compared with the target distribution in the following. B. Experimental Results

Fig. 11. Experimental results, dipole antenna target distribution. (a) Target distribution (relative magnitude). (b) Target distribution (phase). (c) GCI approximation (relative magnitude). (d) GCI approximation (phase).

The field distributions that can be obtained by the GCI technique on the basis of the experimental data collected as described above are now compared with the target field distributions. In Fig. 11(a) and (b), in particular, the normalized amplitude and the phase of the field component in the test points for the dipole antenna test case4 is reported, while in Fig. 11(c) and (d), the same quantities reproduced by GCI are plotted. The statistical distribution of the magnitude error and of the weighted phase error , defined as in (18) and (19), is reported in Fig. 12 for the dipole antenna and for the plane wave excitations considered above. The mean values and the standard deviations of such distributions are reported in Table II. By comparing Fig. 9 with Fig. 12 and Tables I and II, it can be observed that the accuracy of the field reproduced by the GCI method using experimental data is comparable to the one obtained in simulations and sometimes better. Taking into account of the results of such an experiment, it is expected that a target field distribution can be properly reproduced by an actual multisource GCI equipment. V. REPRODUCTION OF EMI-INDUCED VOLTAGES BY GCI In order to discuss the effectiveness of the GCI test to assess the immunity to EMI of a simple electronic equipment, a 10 cm 10 cm 0.16 cm square PCB, with an FR-4 dielectric layer and three metal traces on the surface, oriented as depicted in Fig. 13, has been placed in the GCI test setup considered in simulations, as illustrated in Fig. 5(b), and the effectiveness of the GCI method in reproducing RF voltages induced at the PCB trace terminations, which could give rise to EMI-induced failures in integrated circuits connected to PCB traces, is discussed on the basis of simulations. A. Induced Terminal Voltages

Fig. 12. Experimental results: statistical distribution of the magnitude and weighted phase errors of the reproduced field distribution in the test points.

The GCI excitations approximating the test case field distributions are then evaluated by (17) on the basis of the meavectors. Under the assumption of sured matrix and of the linearity, the field distribution expected from the simultaneous

In order to verify the effectiveness of the GCI method with reference to PCB setup in Fig. 5(b), the vertical electric field distribution over the test area resulting from the FF, NF, and 3S excitations over the test area of such a setup has been first evaluated by simulations and reproduced by the GCI method, as illustrated in Section III. The original and the reproduced field distributions for the FF case are compared in Fig. 14. 4For the dipole antenna test case, the elements of and of depend on the . The excitations calculated probe calibration factor for a scaling factor field distributions are therefore not influenced by (17) and the normalized by this factor.

1144

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

GCI TEST

ON

TABLE III PCB—INDUCED TERMINAL VOLTAGES

the phase of disturbances originated at the PCB track terminations are reproduced by the GCI test with a rather good accuracy. Hence, the RF voltages, which are responsible of EMI-induced failures in integrated circuits included in electronic systems, can be effectively reproduced by the GCI method in the considered EUT. VI. GCI-BASED IMMUNITY TESTS The results, which have been presented thus far, suggest that the GCI method can be exploited in the EMC field for EMI immunity testing under realistic conditions. To this purpose, two possible GCI-based immunity tests, i.e., the field reproduction test and the immunity scan test, are outlined in the following in order to illustrate the potential of the GCI approach. The implementation of such tests will be addressed in future work. Fig. 13. Tracks and port numbering in the PCB considered.

Fig. 14. PCB setup— FF test case. (a) Target distribution (relative magnitude). (b) Target distribution (phase). (c) GCI approximation (relative magnitude). (d) GCI approximation (phase).

The voltages arising at the trace terminations A–F in Fig. 13 have then been evaluated by (7) on the basis of the target and to the GCI field distributions obtained as above, assuming that the top terminal of each port is on one PCB track, an the corresponding bottom terminal is on the perpendicular to reference plane passing for the top terminal point. Such RF voltages for GHz are compared in magnitude and phase in Table III. The results in the table highlight that both the magnitude and

A. Field Reproduction Test The field reproduction test is a straightforward application of the GCI technique to EMI immunity assessment. In such a test, the immunity to EMI of an EUT is assessed by characterizing its possible operating environment(s), either experimentally or by simulations, and by calculating from (17) the normalized excitation vector(s) , where , to reproduce the EUT environmental operating conditions by GCI. With reference to such a vector, EUT immunity level can be assessed by performing a GCI test with excitations , increasing the test power until a failure is detected. If the minimum test power inducing a failure in the EUT operation is indicated as , the quantity can be considered as a measure of the immunity of the EUT in a given application scenario: an EUT with dB will fail in the field, while an EUT with dB will operate properly and the parameter is a setup-independent measure of the EUT immunity safety margin in the target application, which can be considered to formulate EMI immunity specifications in a meaningful and unambiguous way. It should be also remarked that the overall incident RF power required to reproduce standard test conditions (e.g., plane-wave excitation) by GCI can be much lower than the RF incident power to be applied in a standard test (e.g., according to [3]) since the RF excitation in GCI tests is focused on the EUT rather than scattered in the surrounding environment. For example, eight 1-W power amplifiers in the GCI setup of Fig. 4 are sufficient to reproduce the effects of the 100-V/m plane-wave excitation (test case FF) over the structure of Fig. 5, whereas the same excitation can be obtained in the anechoic chamber test

CROVETTI: REPRODUCTION OF EFFECTS OF ARBITRARY RADIATED FIELD BY GCI

setup prescribed in [3] with an RF power amplifier in the kilowatt range. B. Immunity Scan Test Since any realistic EMI excitation can be reproduced—in principle—by GCI, a GCI immunity scan test can be performed to highlight the most critical EM excitations for a given EUT. To this end, different normalized complex vectors are generated according to either a random or a specified sequence, sampling the space of all possible excitations. GCI tests on the EUT with excitations are then performed and the minimum incident power inducing a failure in the EUT operation is recorded for each vector . By this approach, EMI excitations, which are most critical for a given EUT, can be highlighted. Moreover, the lowest value of obtained in such test can be considered as a uniquely specified worst case immunity benchmark for the EUT. The EUT operation can be tested by this method for a variety of excitations, like in an RC test [15]. Unlike RC tests, however, the characteristics of the most critical EMI field patterns are highlighted, providing valuable information on the origin of EMI susceptibility issues in an EUT and on the countermeasures that can be taken to enhance its immunity. Moreover, unlike RC tests, significant GCI tests can be performed in rather small facilities even at low frequency. VII. CONCLUSION The reproduction of the operating EM environment of an electronic EUT by a suitable multipoint GCI for effective EMI immunity testing has been explored. To this purpose, the possibility of recreating the effects of an arbitrary EM field excitation by forcing a suitable surface current along a closed path over its reference conductor has been stated on the basis of EM theory. The GCI method has then been proposed to reproduce the effects of a given field distribution on an electronic equipment by injecting RF signals with controlled amplitude and phase on its reference conductor and both the effectiveness and the practical feasibility of GCI tests have been verified on the basis of full-wave computer simulations and experiments. The results presented in this paper highlight that GCI can be suitable to test the operation of an electronic equipment under very general EM field excitations and pave the way for novel EMI immunity tests to be developed in the near future. Moreover, the proposed GCI technique can be exploited for any other application in which the generation of a spatially controlled microwave EM field distribution is required. REFERENCES [1] M. T. Ma, M. Kanda, M. L. Crawford, and E. B. Larsen, “A review of electromagnetic compatibility/interference measurement methodologies,” Proc. IEEE, vol. 73, no. 3, pp. 388–411, Mar. 1985. [2] C. B. Pearlston, “Electromagnetic susceptibility testing techniques for airborne equipment,” IEEE Trans. Radio Freq. Interf., vol. RFI-5, no. 1, pp. 3–13, Mar. 1963. [3] EMC Testing and Measurement Techniques—Radiated, Radio-Frequency, Electromagnetic Field Immunity Test, 3rd ed., IEC 61000-4-3, 2008. [4] Road Vehicles—Component Test Methods for Electrical Disturbances From Narrowband Radiated Electromagnetic Energy, ISO 11452, 2004.

1145

[5] B. E. Roseberry and R. B. Schulz, “A parallel-strip line for testing RF susceptibility,” IEEE Trans. Electromagn. Compat., vol. EMC-7, no. 2, pp. 142–150, Jun. 1965. [6] P. S. Crovetti and F. Fiori, “A critical assessment of the closed-loop BCI immunity test performed in compliance with ISO 11452–4,” IEEE Trans. Instrum. Meas., vol. 60, no. 4, pp. 1291–1297, Apr. 2011. [7] EMC—Generic Immunity Standard—Part 2: Industrial Environment, EN 50082-2, 1995. [8] EMC—Testing and Measurement Techniques—Electrical Fast Transient/Burst Immunity Test, 2nd ed., EC 61000-4-4, 2004. [9] Medical Electrical Equipment Part 1: General Requirements for Safety. Collateral Standard: Electromagnetic Compatibility—Requirements and Tests, 3rd ed., IEC 60601-1-2, 2007. [10] K. Wake, A. Mukoyama, S. Watanabe, Y. Yamanaka, T. Uno, and M. Taki, “An exposure system for long-term and large-scale animal bioassay of 1.5-GHz digital cellular phones,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 2, pp. 343–350, Feb. 2007. [11] C.-K. Tang, K.-H. Chan, L.-C. Fung, and S.-W. Leung, “Electromagnetic interference immunity testing of medical equipment to secondand third-generation mobile phones,” IEEE Trans. Electromagn. Compat., vol. 51, no. 3, pp. 659–664, Aug. 2009. [12] H. Y. D. Yang, “Analysis of RF radiation interference on wireless communication systems,” IEEE Antennas Wireless Propag. Lett., vol. 2, no. 1, pp. 126–129, 2003. [13] A. Boyer, S. Bendhia, and E. Sicard, “Characterisation of electromagnetic susceptibility of integrated circuits using near-field scan,” Electron. Lett., vol. 43, no. 1, pp. 15–16, Jan. 2007. [14] K. Murano and Y. Kami, “A new immunity test method,” IEEE Trans. Electromagn. Compat., vol. 44, no. 1, pp. 119–124, Feb. 2002. [15] R. D. Leo and V. M. Primiani, “Radiated immunity tests: Reverberation chamber versus anechoic chamber results,” IEEE Trans. Instrum. Meas., vol. 55, no. 4, pp. 1169–1174, Aug. 2006. [16] S. R. Rengarajan and Y. Rahmat-Samii, “The field equivalence principle: Illustration of the establishment of the non-intuitive null fields,” IEEE Antennas Propag. Mag., vol. 42, no. 4, pp. 122–128, Aug. 2000. [17] K.-M. Chen, “A mathematical formulation of the equivalence principle,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 10, pp. 1576–1581, Oct. 1989. [18] J. C. Monzon, “Validity of Huygens’ principle and the equivalence principle in inhomogeneous bianisotropic media,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 11, pp. 1995–2001, Nov. 1993. [19] M.-K. Li and W. C. Chew, “Wave-field interaction with complex structures using equivalence principle algorithm,” IEEE Trans. Antennas Propag., vol. 55, no. 1, pp. 130–138, Jan. 2007. [20] X. Duan, R. Rimolo-Donadio, H.-D. Brüns, and C. Schuster, “A combined method for fast analysis of signal propagation, ground noise, and radiated emission of multilayer printed circuit boards,” IEEE Trans. Electromagn. Compat., vol. 52, no. 2, pp. 487–495, May 2010. [21] C. Feng and Z. Shen, “A hybrid FD-MoM technique for predicting shielding effectiveness of metallic enclosures with apertures,” IEEE Trans. Electromagn. Compat., vol. 47, no. 3, pp. 456–462, Aug. 2005. [22] R. A. York and T. Itoh, “Injection- and phase-locking techniques for beam control [antenna arrays],” IEEE Trans. Microw. Theory Tech., vol. 46, no. 11, pp. 1920–1929, Nov. 1998. [23] P. Aiken and P. Diament, “Design of a phased-array driver with controllable phases and magnitudes,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 5, pp. 1558–1564, May 2004. [24] B. Cetinoneri, Y. A. Atesal, and G. M. Rebeiz, “An 8 8 butler matrix in 0.13 m CMOS for 5.6 GHz multibeam applications,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 2, pp. 295–301, Feb. 2011. [25] R. E. Collin, Foundations for Microwave Engineering, 2nd ed. New York: Wiley–IEEE Press, 2000. [26] R. Penrose, “A generalized inverse for matrices,” Proc. Cambridge Phil. Soc., vol. 51, pp. 406–413, 1955. Paolo S. Crovetti (S’00–M’03) was born in Turin, Italy, in 1976. He received the Laurea (summa cum laude) and Ph.D. degrees in electronic engineering from the Politecnico di Torino, Turin, Italy, in 2000 and 2003, respectively. He is currently with the Department of Electronics, Politecnico di Torino, where he is an Assistant Professor of electronics. His main research interests are in the fields of EMC, RF techniques, nonlinear circuits, and analog and power microelectronics. His latest research activities are mainly focused on the application of multiinput multioutput (MIMO) signal processing and RF techniques in the field of EMC for both emission and immunity assessment.

1146

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Simulation and Measurement of the -Parameters of Obstacles in Periodic Waveguides María Navarro-Tapia, Jaime Esteban, José E. Varela, and Carlos Camacho-Peñalosa, Member, IEEE

Abstract—In recent years, because of the increasing interest in the analysis and design of devices that include obstacles in periodic waveguiding structures, the need for a rigorous and accurate procedure to characterize these obstacles in terms of their scattering parameters has arisen. This paper deals with both the simulation and the measurement of the -parameters of an obstacle (iris, post, slot, etc.) in a periodic waveguide. The proposed simulation approach makes use of a commercial electromagnetic simulator to extract the -parameters and removes the need to resort to any kind of equivalent model for the periodic waveguide. As regards the measurements, a quick and simple technique has been developed as an alternative to the costly manufacture of calibration standards. With the purpose of verifying both procedures, two different practical problems have been addressed, namely, the characterization of a single post in a post-wall waveguide and the computation of the admittance of a slot in a waveguide with dielectric-filled corrugations. Good agreement is found between simulations and measurements, which confirms the reliability and accuracy of the proposed procedures. Index Terms—Corrugated waveguides, measurement, periodic structures, scattering parameters, simulation, slot antennas, waveguide obstacles.

I. INTRODUCTION

I

N RECENT years, the analysis and design of microwave and millimeter-wave periodic waveguiding structures has gathered momentum, mainly due to electromagnetic-bandgap (or photonic-bandgap) waveguides [1]–[3], the so-called composite right/left-handed lines [4] and waveguides [5]–[7], and with the appearance of new waveguiding technologies, such as the substrate-integrated waveguide (SIW) [8], [9]. The design of devices in these periodic structures usually includes the use of obstacles, such as irises [10], [11], slots [7], [12], [13], and posts [14]. Thus, there is a need for a rigorous procedure for their simulation, measurement, and even for multimode equivalent-circuit models [15]. Manuscript received July 19, 2011; revised January 09, 2012; accepted January 12, 2012. Date of publication February 16, 2012; date of current version April 04, 2012. This work was supported by the Spanish Ministerio de Educación y Ciencia and the European Regional Development Funds under Grant TEC2006-04771, by the Spanish Ministerio de Ciencia e Innovación under Grant CSD2008-00066, and by the Junta de Andalucía under Grant P10-TIC-6883. M. Navarro-Tapia, J. E. Varela, and C. Camacho-Peñalosa are with the Departamento de Ingeniería de Comunicaciones, Escuela Técnica Superior de Ingeniería de Telecomunicación, Universidad de Málaga, 29071 Málaga, Spain (e-mail: [email protected]; [email protected]; [email protected]). J. Esteban is with the Departamento de Electromagnetismo y Teoría de Circuitos, Escuela Técnica Superior de Ingenieros de Telecomunicación, Universidad Politécnica de Madrid, 28040 Madrid, Spain (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2185944

Fig. 1. Periodic waveguide of a period

with an obstacle.

Fig. 1 shows a periodic transmission medium that can be inhomogeneous, i.e., can be filled with several dielectrics. In the waveguide, there is an obstacle (iris, post, slot, etc.) either lossless or lossy, radiating or not. If one of the Bloch modes of the periodic structure impinges from the left or from the right of the obstacle, reflected and transmitted mode waves will be generated. The relationship between these waves is accounted for in the -parameters, referred to the Bloch mode, representing the obstacle. The aim of this paper is to introduce a procedure to obtain these -parameters by using commercial electromagnetic simulators, and not resorting to equivalent, simplified or homogenized models of the waveguide. The procedure can be expanded to any number of modes in order to obtain the generalized scattering matrix that would relate impinging and reflected mode amplitudes between all the propagating and evanescent Bloch modes of the structure. The simulation approach is based on a series of concepts borrowed from [16] and adapted to periodic structures. In the adaptation, it is important to note that in the periodic structure the mode field configuration is that of a Bloch mode. Therefore, the field pattern only reproduces itself (except for a propagation factor) at equivalent transverse planes, i.e., at the similar planes of the different periods. The approach proposed herein shares with [16] the need for an external technique that provides the mode field pattern, and the ability to be applied to any of the modes of the structure, either propagating or evanescent. A generalized scattering matrix, referred to the waveguide Bloch modes, can thus be obtained. However, in this paper, only results for the fundamental mode are presented, since this is the only mode for which the –parameters can be easily measured and the simulation procedure thus assessed. The validation of the proposed simulation procedure requires a measurement method. In fact, the measurement of impedances and scattering parameters in periodic waveguides is an old and almost forgotten discipline that grew out of the

0018-9480/$31.00 © 2012 IEEE

NAVARRO-TAPIA et al.: SIMULATION AND MEASUREMENT OF THE

-PARAMETERS OF OBSTACLES IN PERIODIC WAVEGUIDES

development of particle accelerators [17]–[19]. Nowadays, the measurements can be carried out in an easier manner with a vector network analyzer (VNA), which requires a calibration procedure to de-embed the test fixture used in the measurement. The most usual procedures, such as the open-short-load (OSL) or the thru-reflect-line (TRL) calibrations, could have been considered. However, the OSL requires specific known loads, which can be almost impossible to obtain in the case of periodic structures. On the other hand, the TRL calibration does not require the standards to be fully characterized, but two lines (the so-called “thru” and “line”) with a known difference in length. Note that in a periodic waveguide the repeatability of the connection between a transition or launcher and both the “thru” and the “line” can only be ensured for a “line” that differs in length from the “thru” in a multiple of the period. Since in the TRL calibration the measurement errors are the function of the difference between the lengths of “thru” and “line”, the use of the TRL calibration in periodic waveguides would have given unavoidable (and fixed) frequency ranges of high measurement uncertainty. In any case, the manufacturing of different lengths of a periodic waveguide to be used as TRL standards can become involved and expensive. On the other hand, the displacement of a sliding load is a relatively simple procedure and, for this reason, the measurement method proposed in this paper relies on a large number of measurements on the periodic waveguide, when terminated in a sliding reactive load. The procedure to obtain the -parameters of obstacles from electromagnetic simulations is detailed in Section II, whereas in Section III the measurement procedure is explained. Simulated and measured results for some examples of obstacles in two periodic waveguides are presented in Section IV. Results for metallic and dielectric posts in a post-wall waveguide are shown in Sections IV-A and IV-B, and for a radiating slot in a waveguide with dielectric-filled corrugations in Section IV-C. II. SIMULATIONS For a closed periodic waveguide, such as the one shown in Fig. 1, the field distribution of any of its Bloch modes is difconstant plane. The ferent at any cross section, i.e., at any and ) can only be mode fields at two planes ( easily related to each other when both planes are spaced at a with an integer . multiple of the period , i.e., Let us consider the field at all the equivalent planes such that with an integer , and let be the transverse electric field of one of the Bloch modes (the th mode) of the periodic the propagation constant of its zeroth-order waveguide, and Floquet harmonic. Then

If we denote transverse modal fields at

(1) and , the planes can be written as

Fig. 2. Longitudinal section of a periodic waveguide terminated at both ends, with an obstacle and a source, as considered for its electromagnetic simulation.

by means of an electromagnetic field simulator based on a numerical method in the frequency domain, such as the finite-element method. In principle, the analysis could have also been carried out in the time domain in a more efficient manner. However, an inherent limitation of the wide-band, time-domain method of [16] is the change with frequency of the transverse-field distriand , and these changes have shown to be signifbutions icant in some cases (for instance, in the waveguide presented in Section IV-C). When carrying out the simulation, there is no need to design either the waveguide ports or the loads matched for the Bloch mode, but simply to use a field source able to excite the desired Bloch mode from the left-hand side of the obstacle with a non-negligible amplitude. The simulation of the geometry represented in Fig. 2 will provide a total field that includes the and Bloch mode we are interested in, propagating in the directions at both the left- and right-hand sides of the discontinuity, with different amplitudes. Obviously, the total field can be expanded in terms of the Bloch modes of the periodic and be the transverse electric and magwaveguide. Let netic fields, and the propagation constant of the th Bloch mode, as implicitly computed by the simulator. Then, the transverse fields extracted from the simulation at a given frequency planes in the periodic waveguide, can be written at the either on the left- or right-hand side of the obstacle, as (4) (5) where and are the amplitudes of the th Bloch mode propagating in the and directions, respectively, and and are the remainder of the electric and magnetic fields not related to the th Bloch mode, but associated to all the other Bloch modes of the waveguide. Following [16], the mode voltage and current can be defined as field integrals over the waveguide cross section . The voltage is defined as

(6)

(2) (3) Now, let us suppose that a geometry, such as the one shown in Fig. 1, has been terminated as it is shown in Fig. 2, and analyzed

1147

where (7)

1148

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

and (8) must be negligible if an orthogonal set of modes is considered. For the current, the definition is

(9) where

Fig. 3. Longitudinal section of a periodic waveguide with an obstacle, terminated with a sliding load, as considered for its measurement. A transition is embedded between the periodic waveguide and the port of a VNA.

(10) and and

must also be negligible. If voltage and current are to be related to power waves as

(11)

(18)

and

where is an integer, and an exact expression for the voltage derivative is

(12) (13) it is obvious that the impedance

can be obtained from (14)

The power-wave amplitudes can be obtained from voltage, current and impedance as (15) (16) Therefore, the wave amplitudes and can be obtained with (15)–(16), once the propagation constant is known and the impedance is obtained from (14) using (7) and (10), which in turn would require the knowledge of and . Unfortunately, during the electromagnetic simulation of the structure of Fig. 2, the field simulator will not provide either the field patterns of the Bloch modes of the periodic waveguide or their propagation constants. The solution comes again from [16]. , , and now be the Bloch mode field patterns Let (templates) and propagation constant obtained from, or approximated by, a procedure independent of the field simulator that has been used to simulate the obstacle in the periodic waveguide. The impedance and the propagation constant can be estimated as

(17)

(19) and a similar expression is obtained for the current derivative. Therefore, voltage and current derivatives can be initially estiinstead of the unknown . A value is then obmated using tained for from the derivatives by using (18). This new value of can be used to reobtain the derivatives by means of its exact expressions, and to refine the value of the propagation constant in an iterative manner. It is worth remembering that the propagation constant obtained by the first term in (18) corresponds to the zeroth-order harmonic of the Bloch mode. Therefore, a suitable value of the integer should be used in (18) in order to ensure the continuity of with frequency. By repeating this process on the left- and right-hand sides of the obstacle for two independent excitations (the most simple option is to place the field source at both sides of the obstacle) the -parameters of the obstacle, for the chosen Bloch mode, can be computed at the desired reference planes. III. MEASUREMENT PROCEDURE The experimental extraction of the -parameters of an obstacle, such as the one shown in Fig. 1, is based on a large number of one-port measurements using a sliding reactance in the periodic waveguide at frequencies at which only the fundamental Bloch mode propagates. Thus, the -parameters to be obtained (measured) are those related to the fundamental mode only. The measurements are carried out at the port of a VNA. Obviously, an embedded transition, as shown in Fig. 3, is required in order to connect the VNA to the periodic waveguide. This transition should be previously characterized (unterminated, in the definition of [20]), since it must be de-embedded to obtain the -parameters of the obstacle. This characterization is made by means of a series of measurements of the empty periodic waveguide (empty in the sense of not being loaded with

NAVARRO-TAPIA et al.: SIMULATION AND MEASUREMENT OF THE

1149

-PARAMETERS OF OBSTACLES IN PERIODIC WAVEGUIDES

The

equations can be solved in these three unknowns to

obtain the well-known minimum least squares estimates

,

and . This procedure does not completely characterize the embedded transition nor the reflecting load. Fortunately, to extract the -parameters of the obstacle there is no need to obtain the estimation for all those parameters independently. B.

Fig. 4. Equivalent circuits for the measurements. (a) Measurement in the absence of the obstacle for the partial unterminating of the transition. (b) Measurement in the presence of the obstacle the -parameters of which are to be computed.

the obstacle to be measured) and the knowledge of the propagation constant. Both the unterminating of the transition and the extraction of the -parameters of the obstacle could have been carried out by means of iterative procedures, such as nonlinear least squares [21], orthogonal distance regression [22], or genetic algorithms [23]. However, in the most usual case of symmetric obstacles in periodic waveguides with a symmetric period (which is the case in the examples in Section IV) a partial unterminating and full -parameter extraction can be carried out by means of the more simple and direct linear least squares method.

-Parameter Extraction

Now, let be the -parameters of the obstacle at some reference planes. Assuming both symmetry and reciprocity, the determination of the obstacle requires only the knowledge of and . In the presence two parameters, of the obstacle, the measurement is represented by the equivalent circuit in Fig. 4(b), where the left-hand reference plane of from the transition, and the obstacle is at a fixed distance the previously used sliding load is placed at series of lengths away from the right-hand side reference plane of the obstacle. The value is the number of measurements carried out in the presence of the obstacle. , The measured reflection coefficient at the VNA port, when the sliding load is placed at a distance , will now be (22) which can be linearized as

A. Partial Unterminating of the Embedded Transition

(23)

Let be the -parameters of the transition, assumed to be reciprocal, between the VNA port and the periodic waveguide. , , Only three parameters fully characterize the transition: and . In the absence of the obstacle, the measurement is represented by the equivalent circuit in Fig. 4(a), where a sliding load is placed in a number of difaway from the transition. Because ferent positions at lengths of the periodic nature of the waveguide, the same physical load can only reproduce the same electrical load (and reflection co) if the difference between lengths is a multiple of efficient , where the period . Then, a suitable choice is to use is an integer , and is the number of positions used and measurements carried out. , when the The measured reflection at the VNA port, , can be written as sliding load is placed at a distance

or, as a linear equation in the unknowns , as

,

Using the estimations previously obtained for and

,

,

(24)

(20)

which is again one of a set of linear equations in the unknowns , and . This equation system is to be solved by linear least squares, to obtain the estimations , and . From these three values the reflection coefficient is computed as

and

(25)

(21)

For the sliding load a structure that tries to reproduce a short circuit has been used. Therefore, a reflection coefficient

1150

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

is expected, and the sign to be chosen in (25) is the one that procloser to . Now, the -parameters of the vides the phase of obstacle are derived as (26) (27) can be obtained, if required, from a single where the sign of transmission measurement. These results can be improved by any of the aforementioned methods [21]–[23], which could even provide some error estimations. However, the aim of this paper is only to propose a quick and simple measurement procedure, and to verify the simulation method introduced in Section II.

Fig. 5. Dimensions of an air-filled, post-wall, SIW-like waveguide with mm, a full-height metallic or dielectric post (shaded) obstacle ( mm, mm, and mm). The shaded rectangle is the area over which the mode fields are considered for the simulation procedure of Section II.

IV. RESULTS Two examples of obstacles in periodic waveguides have been considered, in order to validate both the simulation and the measurement procedures. The first example is the characterization, in terms of -parameters, of a single metallic or dielectric post in a post-wall, SIW-like waveguide, whose dimensions have been selected to make the periodicity and leakage effects more conspicuous. The second example concerns the computation and measurement of the admittance of a radiating slot in a waveguide with dielectric-filled corrugations, which is directly applicable in antenna design. A. A Metallic Single-Post Obstacle in a SIW-Like Waveguide As a first example, let us consider a post-wall waveguide, made up of two parallel rows of cylindrical metallic posts, as shown in Fig. 5. An extra single post is added, to play the role of the obstacle to be measured. The waveguide is not a true SIW, as far as no dielectric substrate is used, and the upper and lower plates are supported by the posts themselves. The waveguide dimensions, given in Fig. 5, are also far from the usual dimensions of the SIWs. The reason is that our ultimate purpose was to check the accuracy of the simulation and measurement methods. Therefore, we have chosen a sufficiently low frequency range, and a separation between posts (and thus a period ) large enough so that the periodicity effects are high enough to be appreciable. On the other hand, air has been used instead of a dielectric to fill the waveguide, which has made possible the measurement with a sliding short circuit, as described in Section III. The simulations were carried out by means of a commercial software based on the finite-element method (HFSS). In the simulation, the SIW-like waveguide was fed by a rectangular waveguide of width and height , and it is not terminated, i.e., the plates are abruptly ended, as in Fig. 5, and radiate into the surrounding space (which in turn is terminated by absorbing boundary conditions). Obviously, such a feeding and termination produce some reflection that is neither avoided nor problematic, as mentioned in Section II. The simulator has provided the electromagnetic field at some transverse planes, specifically at the rectangular areas limited by the posts and the plates, such as the one shaded in Fig. 5. and , used in (6) and (9) to obtain The mode templates the mode voltage and current, have also been obtained at these

rectangular areas by the procedure described in [24], which in [24, Fig. 16]. addition has provided the propagation constant Note that the solutions (i.e., the Bloch modes) of the SIW-like waveguide of Fig. 5, which is an air-filled open periodic structure, are forward waves. Therefore, these Bloch modes are improper leaky modes, since they will always have at least one fast space harmonic, which is the zeroth-order one [24]. Limiting the region where the fields are evaluated to the aforementioned rectangle is not only a question of convenience, but necessary to obtain computable integrals, since the fields of the improper modes grow indefinitely in the transverse direction out of the post rows. For the measurements, a sliding short circuit has been used that spans from one of the post rows into the other (see the inset measurements have been made for the partial in Fig. 6). unterminating procedure (no obstacle in the waveguide), and when the metallic single post is placed in the guide for its -parameter extraction. Figs. 6 and 7 show the simulated and measured results for two cases of a metallic single-post obstacle, at the same trans, but at two different positions along verse location the waveguide period ( and ). For all the prehave been chosen sented results, the reference planes at the center of the single post. Both simulation and measurement results are quite similar for both post positions, which was expected, since the SIW behaves essentially as a closed rectangular waveguide. In fact, the SIW can be well modeled as a closed rectangular waveguide with an effective width for which closed formulas are available [25]–[27]. However, the dimensions of the SIW-like waveguide presented herein are out of the range of validity of those closed formulas. By adjusting the phase constant of the equivalent rectangular waveguide to that of the SIW-like one, a width of 42.6 mm has proven to be the best value in this case. Figs. 6 and 7 include the simulation results of the posts in a rectangular waveguide of such a width. All the simulation results shown for the amplitude of the scattering parameters (in Fig. 6) and for their phases (Fig. 7) show good agreement with each other, but only up to 7 GHz. For higher frequencies, the two positions of the posts are distinguishable from each other and from the equivalent-width waveguide. This fact is mainly due to radiation (which does not exist in the closed equivalent waveguide), significant at higher

NAVARRO-TAPIA et al.: SIMULATION AND MEASUREMENT OF THE

-PARAMETERS OF OBSTACLES IN PERIODIC WAVEGUIDES

Fig. 6. Magnitude of the -parameters of a metallic single-post obstacle in two positions in the waveguide described in Fig. 5. Markers: simulation. Continuous mm and . Post 1: lines: measurements. For both posts . Post 2: . The dashed lines depict the results for the posts in an equivalent rectangular waveguide of width 42.6 mm. The inset shows the waveguide with the upper plate removed. The single-post obstacle, the coaxial transition, and the sliding short circuit can be seen.

1151

Fig. 8. Magnitude of the -parameters of a Nylon-66 single-post obstacle in the waveguide described in Fig. 5. Markers: simulation. Continuous line: measurements. Dashed lines: equivalent rectangular waveguide. Dielectric , mm, , and . The post: inset shows the post in the waveguide with the upper plate removed.

5.17 GHz) gives unreliable and meaningless measurements, and has been removed from all figures. The results obtained with the simulation procedure proposed in this paper show good agreement with the measurements, for both positions of the post and in the whole frequency range. B. A Dielectric Single-Post Obstacle in a SIW-Like Waveguide

Fig. 7. Phase of the -parameters of two metallic single-post obstacles in the waveguide described in Fig. 5. Markers: simulation. Continuous lines: measurements. Dashed lines: equivalent rectangular waveguide. Post 1 and Post 2 as in Fig. 6.

frequencies, and dependent on the position of the single post throughout the waveguide period. With respect to the measurements, it is worth mentioning that the method proposed in Section III relies on the different reflection coefficients measured for different positions of a sliding load. However, this sliding load can only be displaced by multiples of the pitch and thus there are only two truly different , not enough for the determeasurements when mination of the -parameters. As a result, the frequency range is between 170 and 190 (from 4.89 up to where

A second example makes use of the same SIW-like waveguide, this time with a lossy dielectric post as an obstacle. In the the case of a post on the inside of the waveguide simulated and measured results for the magnitude of the -parameters are shown in Fig. 8 along with the results of the simulation of the same post in the equivalent 42.6-mm-wide rectangular waveguide. Agreement between the simulation and the measurements is good again, with a deviation of the resonant frequency for the equivalent-waveguide results. In the case of the dielectric post aligned with one of the post the results are shown in Fig. 9. Agreerows of the SIW ment is as good as in the previous examples. However, in this case, there is no possible equivalent-waveguide problem, since the post is out of what would be the equivalent waveguide. C. A Radiating Longitudinal Slot in a Waveguide With Dielectric-Filled Corrugations The rectangular waveguide with dielectric-filled corrugations [5] supports both forward and backward propagation, and can be tuned to obtain a fundamental Bloch mode with a continuous transition from one type of propagation to the other [28]. For this reason, it was originally proposed in [29] as an alternative to the standard waveguide in the design of slot array antennas with enhanced scanning capabilities. The design of this kind of an antenna [13], [30] can be carried out by means of the Elliott’s design procedure [31], which requires the characterization of the

1152

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 9. Magnitude of the -parameters of a Nylon-66 single-post obstacle in the waveguide described in Fig. 5. Markers: simulation. Continuous line: meaand . surements. Dielectric post as in Fig. 8 but with

Fig. 10. Dimensions of a waveguide with dielectric-filled corrugations with a mm, radiating slot in the upper broad wall as an obstacle ( mm, mm, mm, mm, mm, ). The shaded rectangle is centered over a corrugation tooth. and

slots as radiating elements. For a longitudinal slot, for example, it means obtaining its equivalent shunt admittance, with which the equivalent transmission line of the supporting waveguide is loaded. It is important to note that the slot characterization is a key step, and the final success of the design will mainly depend on the quality and accuracy of this process. A longitudinal slot in a waveguide with dielectric-filled corrugations is shown in Fig. 10. The fundamental Bloch mode of the unslotted waveguide propagates from 7.58 to 9 GHz with a negative propagation constant as a backward wave, and from 9 GHz on with a positive propagation constant as a forward wave. Then, for this example, simulated and measured results have been obtained in both frequency ranges of forward- and backward-wave propagation of the fundamental mode. The slot has been simulated with HFSS as described in Section II. In the simulation, the corrugated waveguide was fed by, and terminated in, a standard WR-90 rectangular waveguide. From the simulation, the fields were extracted at the

transverse planes coincident with the centers of the corrugation teeth, i.e., in the rectangles like the one shaded in Fig. 10. The mode templates and the propagation constant were obtained by means of a procedure similar to that described in [32], but for inhomogeneous corrugated waveguides. The waveguide was built with input and output stepped transitions to WR-90 (see inset in Fig. 11). For the measurements, has been used. By a sliding short circuit of cross section mm , taking advantage of the short waveguide period a large number of measurements were carried out. In the parmeasurements were used, tial unterminating procedure while in the -parameter extraction the number of measure. This large number of measurements was ments was helpful in overcoming a problem similar to that explained for the SIW-like waveguide. In the corrugated waveguide, like in the SIW, since the sliding short circuit is displaced along the guide in one-period steps, there are only two different electrical loads . But in the cor(and thus measurements) when rugated waveguide the problem is even worse, since at the transition from the backward- to the forward-propagation frequency 0, and only one measurement is made for range all of the sliding-load positions. Fortunately, the high number of and values) helps to reduce the unmeasurements (large 3 certainty. Only the frequency range where has had to be discarded (and has been removed from the results shown in Fig. 11). Actually, this is a good example of how the procedure of a large number of measurements with a sliding load, described in Section III, can be advantageous over more common procedures such as the TRL calibration. By using a suitable “line” standard (five periods larger than the “thru”, in this case) and following a well-known rule of thumb [21], the TRL calibration would have required the range 20 to be discarded, i.e., from 8.80 up where to 9.25 GHz. By means of the sliding load there is no need to build a new corrugated waveguide section, and the discarded frequency range is slightly narrower (from 8.85 up to 9.17 GHz). , Fig. 11 shows the equivalent shunt admittance of a slot, as obtained from simulation and measurement, normalized to the characteristic admittance of the equivalent transmission line of the corrugated waveguide, . The value of the admittance is obtained from the -parameters of the slot when comat puted (measured) with coincident reference planes the center of the slot, through the expression (28) Good agreement can be observed both at the backward-wave propagation frequency range (below 9 GHz) with a slot admit, and at the fortance root-mean-square (RMS) error of ward-wave propagation range (above 9 GHz) with an RMS error . of As previously mentioned, the ability to obtain this shunt admittance is a key step in ensuring accurate designs of slot array antennas [13], [30]. A typical example is shown herein for a longitudinal slot in the waveguide described in Fig. 10, at a frequency belonging to the backward-wave propagation band. depends on both the offset and the length of the Since slot, a reasonable range of lengths and offsets, which are suitable for most applications, have been chosen. After obtaining

NAVARRO-TAPIA et al.: SIMULATION AND MEASUREMENT OF THE

-PARAMETERS OF OBSTACLES IN PERIODIC WAVEGUIDES

1153

V. CONCLUSIONS

Fig. 11. Normalized equivalent shunt admittance of a longitudinal radiating slot in the waveguide with dielectric-filled corrugations described in Fig. 10. Markers: simulation. Continuous lines: measurements. Slot length mm, width mm, and offset mm. The inset shows the waveguide with the upper broad wall removed. The slot in the upper wall and the stepped transition to standard waveguide can be seen.

A procedure for the extraction of the -parameters of obstacles in periodic waveguides from electromagnetic simulations has been presented. The method does not need to resort to homogeneized or equivalent waveguides, and is based on the concepts and procedures presented in [16], which have been successfully adapted to the particularities of periodic waveguides. The simulations with the adapted method are carried out in the frequency instead of the time domain because of the non-negligible change with frequency of the transverse-field distribution of the modes of periodic structures. Some examples have illustrated the accuracy of the proposed approach. In the case of the -parameter extraction of singlepost obstacles in a SIW-like waveguide, the method has proven to overcome some limitations of the equivalent waveguide customarily used to this end. Even an example of a dielectric-post obstacle, for which no equivalent-waveguide problem is available, has also been presented, with good agreement between simulations and measurements. As a last example, the characterization of the admittance of a slot in a waveguide with dielectric-filled corrugations has been shown. To the authors’ knowledge this is the first time that the -parameters have been obtained for an obstacle with respect to the backward-wave mode of a periodic waveguide. This result has direct application to slot array antenna design, and solves the lack of an alternative equivalent waveguide for its determination. In addition, a measurement procedure has been presented, in order to check the simulated results. The proposed method relies on a large number of measurements carried out by terminating the periodic waveguide in a sliding reactive load. Therefore, it is only applicable for frequencies where the dimensions of the waveguide allow an accurate enough positioning procedure. The proposed method can be somewhat tedious, but avoids the manufacturing of calibration waveguide standards, which is certainly an involved and costly task. The measurement method has been applied to both the corrugated waveguide and the SIW-like waveguide, since in both cases a sliding short circuit was available. It is worth mentioning that in the case of a true SIW—or other dielectric-filled transmission lines, such as the strip-line or the microstrip—the proposed method will also be practicable, although by means of a destructive approach (based on repeated cutting and short-circuiting). REFERENCES

Fig. 12. Simulated normalized equivalent shunt admittance of a longitudinal radiating slot in the waveguide with dielectric-filled corrugations described in GHz, as a function of slot length, , and offset, . Slot width Fig. 10 at mm.

the -parameters from simulations, and having applied (28), the resulting admittance values are brought together in Fig. 12, which shows both the real and imaginary components of the admittance. It is worth mentioning that the set of curves in Fig. 12 can be handled in a more standard way, just by renormalizing the slot admittances to the resonant conductance for each slot offset and plotting them versus the ratio of slot length to resonant length, which leads to a universal pair of curves for the specified frequency useful for computational purposes [31].

[1] V. Radisic, Y. Qian, R. Coccioli, and T. Itoh, “Novel 2-D photonic bandgap structure for microstrip lines,” IEEE Microw. Guided Wave Lett., vol. 8, no. 2, pp. 69–71, Feb. 1998. [2] F.-R. Yang, K.-P. Ma, Y. Qian, and T. Itoh, “A novel TEM waveguide using uniplanar compact photonic-bandgap (UC-PBG) structure,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 11, pp. 2092–2098, Nov. 1999. [3] H. Mosallaei and Y. Rahmat-Samii, “Periodic bandgap and effective dielectric materials in electromagnetics: Characterization and applications in nanocavities and waveguides,” IEEE Trans. Antennas Propag., vol. 51, no. 3, pp. 549–563, Mar. 2003. [4] A. Lai, T. Itoh, and C. Caloz, “Composite right/left-handed transmission line metamaterials,” IEEE Microw. Mag., vol. 5, no. 3, pp. 34–50, Sep. 2004. [5] I. Eshrah, A. Kishk, A. Yakovlev, and A. Glisson, “Rectangular waveguide with dielectric-filled corrugations supporting backward waves,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 11, pp. 3298–3304, Nov. 2005.

1154

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

[6] T. Iwasaki, H. Kamoda, T. Derham, and T. Kuki, “A composite right/left-handed rectangular waveguide with tilted corrugations for millimeter-wave frequency scanning antenna,” in 38th Eur. Microw. Conf. (EuMC), Oct. 2008, pp. 563–566. [7] S. Liao, Y. Chen, J. Wei, and J. Xu, “Unequally spaced resonant slottedwaveguide antenna array based on the infinite wavelength propagation property of composite right/left-handed waveguide,” IEEE Antennas Wireless Propag. Lett., vol. 9, pp. 451–454, 2010. [8] J. Hirokawa and M. Ando, “Single-layer feed waveguide consisting of posts for plane TEM wave excitation in parallel plates,” IEEE Trans. Antennas Propag., vol. 46, no. 5, pp. 625–630, May 1998. [9] D. Deslandes and K. Wu, “Integrated microstrip and rectangular waveguide in planar form,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 2, pp. 68–70, Feb. 2001. [10] X.-P. Chen, K. Wu, and Z.-L. Li, “Dual-band and triple-band substrate integrated waveguide filters with Chebyshev and quasi-elliptic responses,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 12, pp. 2569–2578, Dec. 2007. [11] H. Grubinger, H. Barth, and R. Vahldieck, “An LTCC-based 35-GHz substrate-integrated-waveguide bandpass filter,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig. (MTT), Jun. 2009, pp. 1605–1608. [12] L. Yan, W. Hong, G. Hua, J. Chen, K. Wu, and T. J. Cui, “Simulation and experiment on SIW slot array antennas,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 9, pp. 446–448, Sep. 2004. [13] M. Navarro-Tapia, J. Esteban, and C. Camacho-Peñalosa, “Beam-scanning performance of a slot array antenna on a composite right/lefthanded waveguide,” in Proc. 41st Eur. Microw. Conf. (EuMC), Oct. 2011, pp. 575–578. [14] M. Bozzi, L. Perregrini, and K. Wu, “Modeling of conductor, dielectric, and radiation losses in substrate integrated waveguide by the boundary integral-resonant mode expansion method,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 12, pp. 3153–3161, Dec. 2008. [15] M. Bozzi, L. Perregrini, and K. Wu, “Direct determination of multi-mode equivalent circuit models for discontinuities in substrate integrated waveguide technology,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2006, pp. 68–71. [16] W. Gwarek and M. Celuch-Marcysiak, “Wide-band S-parameter extraction from FD-TD simulations for propagating and evanescent modes in inhomogeneous guides,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 8, pp. 1920–1928, Aug. 2003. [17] E. Jaynes, “The concept and measurement of impedance in periodically loaded wave guides,” J. Appl. Phys., vol. 23, no. 10, pp. 1077–1084, Oct. 1952. [18] R. Kyhl, “The use of non-euclidean geometry in measurements of periodically loaded transmission lines,” IRE Trans. on Microw. Theory Tech., vol. MTT-4, no. 2, pp. 111–115, Apr. 1956. [19] W. Gallagher, “Measurement techniques for periodic structures,” Microwave Lab., Stanford Univ., Stanford, CA, 1960, Tech. Rep. ML-767. [20] R. F. Bauer and P. Penfield Jr., “De-embedding and unterminating,” IEEE Trans. Microw. Theory Tech., vol. MTT-22, no. 3, pp. 282–288, Mar. 1974. [21] D. Williams, “De-embedding and unterminating microwave fixtures with nonlinear least squares,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 6, pp. 787–791, Jun. 1990. [22] D. Williams, J. Wang, and U. Arz, “An optimal vector-network-analyzer calibration algorithm,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 12, pp. 2391–2401, Dec. 2003. [23] A. Adalev, N. Korovkin, M. Hayakawa, and J. Nitsch, “De-embedding and unterminating microwave fixtures with the genetic algorithm,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 7, pp. 3131–3140, Jul. 2006. [24] J. E. Varela and J. Esteban, “Analysis of laterally open periodic waveguides by means of a generalized transverse resonance approach,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 4, pp. 816–826, Apr. 2011. [25] Y. Cassivi, L. Perregrini, P. Arcioni, M. Bressan, K. Wu, and G. Conciauro, “Dispersion characteristics of substrate integrated rectangular waveguide,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 9, pp. 333–335, Sep. 2002. [26] F. Xu and K. Wu, “Guided-wave and leakage characteristics of substrate integrated waveguide,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 66–73, Jan. 2005. [27] M. Salehi and E. Mehrshahi, “A closed-form formula for dispersion characteristics of fundamental SIW mode,” IEEE Microw. Wireless Compon. Lett., vol. 21, no. 1, pp. 4–6, Jan. 2011.

[28] M. Navarro-Tapia, J. Esteban, and C. Camacho-Peñalosa, “Initial assessment of a waveguide with dielectric-filled corrugations as a technology for slot antennas with backward-to-forward scanning capabilities,” Metamaterials, vol. 3, no. 3–4, pp. 174–184, Nov.–Dec. 2009. [29] I. Eshrah, A. Kishk, A. Yakovlev, and A. Glisson, “Spectral analysis of left-handed rectangular waveguides with dielectric-filled corrugations,” IEEE Trans. Antennas Propag., vol. 53, no. 11, pp. 3673–3683, Nov. 2005. [30] M. Navarro-Tapia, “Analysis and design of slot array antennas on composite right/left-handed waveguides,” Ph.D. dissertation, Dept. Ingeniería de Comunicaciones, Univ. de Málaga, Málaga, Spain, 2011. [31] R. S. Elliott, Antenna Theory and Design. Englewood Cliffs, NJ: Prentice-Hall, 1981. [32] J. Esteban and J. Rebollar, “Characterization of corrugated waveguides by modal analysis,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 6, pp. 937–943, Jun. 1991.

María Navarro-Tapia received the Telecommunication Engineer and Ph.D. degrees from the Universidad de Málaga (UMA), Málaga, Spain, in 2006 and 2011, respectively. In 2005, she was a granted student with the Laboratory of Electromagnetics and Acoustics, École Polytechnique Fédérale de Lausanne, Lausanne, Switzerland. Since March 2006, she has been a Research Assistant with the Department of Communication Engineering, UMA. She was a Visiting Scholar with both the Electromagnetism and Circuit Theory Department, Universidad Politécnica de Madrid, Madrid, Spain, during 2007 and 2008, and with the Electronic Engineering Department, Universitá degli Studi di Roma “Tor Vergata”, Rome, Italy, from April to August 2009. Her current research interest is focused on the antenna design and the analysis and applications of composite right/left-handed waveguides. Dr. Navarro-Tapia was the recipient of a Spanish Ministry of Education and Science Scholarship (2007–2011).

Jaime Esteban received the Ingeniero de Telecomunicación and Dr. Eng. degrees from the Universidad Politécnica de Madrid, Spain, in 1987 and 1990, respectively. Since January 1988, he has been with the Departamento de Electromagnetismo y Teoría de Circuitos, Universidad Politécnica de Madrid. In 1990, he became Profesor Interino and, in 1992, Profesor Titular de Universidad. From 2005 to 2009, he was the Head of Studies of the Escuela Técnica Superior de Ingenieros de Telecomunicación, Universidad Politécnica de Madrid. His research topics include the analysis and characterization of waveguides, transmission lines, planar structures and periodic structures, the analysis and design of microwave and millimeter-wave passive devices, and numerical optimization techniques (genetic algorithms and evolution programs). His present research is focused on the analysis and applications of composite right-left-handed transmission lines and waveguides. Dr. Esteban was the recipient of a Spanish Ministry of Education and Science Scholarship (1988–1990).

José Enrique Varela was born in 1985 in Madrid, Spain. He received the Ingeniero de Telecomunicación degree from the Universidad Politécnica de Madrid, Spain, in 2008, where he is currently working toward the Ph.D. degree from the Departamento de Electromagnetismo y Teoría de Circuitos. Since 2008, he has been a Research Assistant at the Departamento de Ingeniería de Comunicaciones, Universidad de Málaga, Málaga, Spain. His main research interests are the development of analytical techniques for the analysis of periodic structures, the synthesis of surface impedances, and the electromagnetic field interaction with the human body.

NAVARRO-TAPIA et al.: SIMULATION AND MEASUREMENT OF THE

-PARAMETERS OF OBSTACLES IN PERIODIC WAVEGUIDES

Carlos Camacho-Peñalosa (S’80–M’82) received the Ingeniero de Telecomunicación and Doctor Ingeniero degrees from the Universidad Politécnica de Madrid, Madrid, Spain, in 1976 and 1982, respectively. From 1976 to 1989, he was with the Escuela Técnica Superior de Ingenieros de Telecomunicación, Universidad Politécnica de Madrid, as a Research Assistant, an Assistant Professor, and an Associate Professor. From September 1984 to July 1985, he was a Visiting Researcher with the Department of Electronics, Chelsea College, University of London, London, U.K. In 1989, he

1155

became a Full Professor with the Universidad de Málaga, Málaga, Spain. He was the Director of the Escuela Técnica Superior de Ingeniería de Telecomunicación (1991–1993), Vice-Rector (1993–1994), and Deputy Rector (1994) of the Universidad de Málaga. From 1996 to 2004, he was the Director of the Departamento de Ingeniería de Comunicaciones, Universidad de Málaga. From 2000 to 2003, he was Co-Head of the Nokia Mobile Communications Competence Centre, Málaga, Spain. His research interests include microwave and millimeter solid-state circuits, nonlinear systems, and applied electromagnetism. He has been responsible for several research projects on nonlinear microwave circuit analysis, microwave semiconductor device modeling, and applied electromagnetics.

1156

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Low-Cost 60-GHz Smart Antenna Receiver Subsystem Based on Substrate Integrated Waveguide Technology Fan Fan He, Ke Wu, Fellow, IEEE, Wei Hong, Senior Member, IEEE, Liang Han, and Xiao-Ping Chen

Abstract—In this paper, a low-cost integrated 60-GHz switchedbeam smart antenna subsystem is studied and demonstrated experimentally for the first time based on almost all 60-GHz substrate integrated waveguide (SIW) components including a slot antenna, 4 4 Butler matrix network, bandpass filter, sub-harmonically pumped mixer, and local oscillator (LO) source. In this study, an antenna array, a Butler matrix, and a bandpass filter are integrated and fabricated into one single substrate. Instead of using a 60-GHz LO source, a 30-GHz LO source is developed to drive a low-cost 60-GHz sub-harmonically pumped mixer. This 30-GHz LO circuit consists of 10-GHz SIW voltage-controlled oscillator and frequency tripler. Following the frequency down-conversion of four 60-GHz signals coming from the 4 4 Butler matrix and a comparison of the four IF signals executed in the digital processor based on the maximum received power criterion, control signals will be feed-backed to drive the single-pole four-throw switch array and then the beam is tuned in order to point toward the main beam of the transmit antenna. In this way, the arriving 60-GHz RF signal can be tracked effectively. All designed components are verified experimentally. The proposed smart receiver subsystem that integrates all those front-end components is concluded with satisfactory measured results. Index Terms—Beamforming, Butler matrix, smart antenna, 60 GHz, sub-harmonically pumped mixer, substrate integrated waveguide (SIW), switched beam.

I. INTRODUCTION

T

HE WORLDWIDE introduction of the unlicensed frequency band around a 60-GHz frequency range has opened up new avenues and created new opportunities for high data-rate wireless applications [1], [2]. The massive amount of available spectrum covering the 57–64-GHz range in the U.S. is larger than the total of all other unlicensed spectrums, which leads to a low-cost implementation of high data-rate demanding wireless applications [3], [4]. Being much higher than the power limits of other unlicensed spectrums, the equivalent

Manuscript received May 20, 2011; revised December 22, 2011; accepted December 28, 2011. Date of publication February 10, 2012; date of current version April 04, 2012.This work was supported in part by the Canada Research Chair Program, by the Canadian Natural Sciences and Engineering Research Council (NSERC) under a Strategic Grant, and under Quebecer FQRNT funds. F. F. He, K. Wu, L. Han, and X.-P. Chen are with the Poly-Grames Research Center, Department of Electrical Engineering, École Polytechnique de Montréal, Montréal, QC, Canada H3T 1J4. W. Hong is with the State Key Laboratory of Millimeter Waves, Southeast University, Nanjing 210096, China. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2184127

isotropic radiated power (EIRP) limit on the transmit signal imposed by the Federal Communications Commission (FCC) is 40 dBm, which augments the attractiveness of this spectrum [2]. Possible applications include, but are not limited to, wireless high-quality video transfer including uncompressed HDTV signals, point-to-point wireless data links replacing optical links, and video/music transfer from/to portable devices, all of which are required to provide link speeds in the gigabit/second range [5], [6]. The main limitations associated with the 60-GHz frequency range are high propagation loss including oxygen absorption, immaturity of the circuit technology, high directivity of the antennas, and limited wall penetration. These limitations, however, can also be desirable in some cases because they can reduce the interference and increase the frequency reuse, and hence, the network security. The possibility of reduced interference and higher frequency reuse makes the 60-GHz band an attractive solution for short-range indoor broadband communications. While helping in alleviating the problem of high propagation losses, the use of highly directive antennas with high gain in communication systems necessitates a perfect beam alignment of the transmitter and receiver because a small mismatch can cause signal degradation of several decibels [7], [8] or even out of range. An adaptive smart antenna system can solve the alignment problem by adaptively steering the beams of the transmitter or the receiver to maximize the signal power at all times. Many authors have proposed solutions in attempt to overcome the power requirement and alignment challenges of the 60-GHz systems using antenna arrays [7]–[9]. Even though smart antenna systems can solve the alignment and propagation loss problems, additional channels to the RF front-end will increase the already high hardware costs several folds while exponentially increasing the computational requirements of the system. Low gain and large beamwidth array elements are extensively used in [10]–[12] to increase the angular coverage, but a similar requirement for a large number of RF channels make the situation worse. Therefore, much simpler antenna beam-switching systems, employing several highly directional elements, is desirable to steer the beam to predefined directions with negligible computational complexity and costs. The switched-beam antenna using the Butler matrix network [9]–[14] is a cost-effective approach to implementing an adaptive antenna in the microwave and millimeter-wave range. Recently, substrate integrated waveguide (SIW) structures have attracted much attention from both academia and industry communities. A SIW can be synthesized in the substrate by

0018-9480/$31.00 © 2012 IEEE

HE et al.: LOW-COST 60-GHz SMART ANTENNA RECEIVER SUBSYSTEM BASED ON SIW TECHNOLOGY

metallic via-arrays utilizing the standard printed circuit board (PCB) or low-temperature co-fired ceramic (LTCC) process. Microwave and millimeter-wave components based on SIW techniques, which can be easily integrated with other planar circuits, have the advantages of high- factor, low insertion loss, and high power capability. Therefore, a number of applications based on the SIW technique have been reported in [15]–[19]. Specially, a number of 60-GHz components and systems have been designed and demonstrated with good results using the SIW techniques [20]–[29]. However, not all circuits in those reported 60-GHz RF front-end systems were developed using the SIW techniques. This paper describes the design of a low-cost 60-GHz switched-beam smart antenna receiver subsystem based on the SIW technique, which presents a high-density integration of front-end components into one single substrate. Described in Section II are the system design and analysis of the proposed smart antenna subsystem. In Section III, the antenna and all circuits in the 60-GHz RF front-end of interest are designed including the filter, Butler matrix, mixer, and local oscillator (LO). Section IV presents the design of IF circuits block and digital control circuits block as parts of the subsystem. In Section V, the entire smart antenna subsystem with integrated building blocks is demonstrated and measured with good results. II. SYSTEM DESIGN CONSIDERATIONS ON 60-GHz SWITCHED-BEAM SMART ANTENNA RECEIVER SUBSYSTEM Fig. 1 illustrates the configuration of the proposed switchedbeam smart antenna system. The 60-GHz base-station receiver consists of three sectors, each of which covers a 120° area. Each sector is composed of one 4 4 Butler matrix antenna subsystem. In this subsystem, the SIW slot antenna, SIW Butler matrix, and SIW bandpass filter are all integrated together into one substrate. This design is able to overcome the interconnection and integration problem between such millimeter-wave circuits and radiating elements. Here, a SIW linear slot array antenna is chosen because it has over 120 3-dB beamwidth in the -plane and a gain higher than a microstrip patch antenna, which is very important in millimeter-wave applications. The work frequency of this antenna is specified from 58 to 60.5 GHz. In order to avoid the problem of grating lobes, an array spacing of a half-wavelength in free space is normally chosen. Four SIW linear slot array slot antennas are connected with a 4 4 SIW Butler matrix that is used to generate four fixed beams covering an area of 120 . A SIW bandpass filter working from 58.5 to 63 GHz is then connected with each input port of the Butler matrix. To amplify the received signal, a ceramic substrate with 10-mil thickness is necessary for wire-bonding the 60-GHz low-noise amplifier (LNA) chip die. To down-convert the 60-GHz signals to the IF of 1.5 GHz, a sub-harmonically pumped mixer using antiparallel diode pairs is designed, which considers low mutual coupling effects. It is well known that a high-power 60-GHz signal source is very expensive and difficult to design. The sub-harmonically pumped mixer is used with the driving of a low pumping frequency, and

1157

Fig. 1. Configuration of the proposed switched-beam smart antenna subsystem.

the cost of the system is reduced accordingly. In addition, this mixer provides AM noise suppression and no requirement of dc-bias circuits. Instead of using a 60-GHz LO source in our case, a 30-GHz LO source is developed to drive the sub-harmonically pumped mixer. This LO circuit consists of a SIW 10-GHz VCO proposed in Section III, drive amplifiers, and a 10-to-30-GHz SIW tripler. After the frequency down-conversion of four 60-GHz signals, we can obtain four IF signals. RF chains are defined in the subsystem as channels 1–4 from left to right, as shown in Fig. 1. Each IF signal is filtered, amplified, coupled to the detector, and finally sent to an Advanced RISC Machines (ARM) processor to judge the maximum received power among the four IF signals by an algorithm of comparison. Following the comparison of the four IF signals, a control signal will drive the single-pole four-throw (SP4T) switch circuits, and the beam is then tuned and pointed accordingly to the main beam of the transmit antenna. That is to say, the arriving 60-GHz RF signal can be effectively tracked, which is the principal function of the proposed smart antenna system with the beam-switched technique. Details of those circuits in the proposed system are described below. In this study, the receiver system is a heterodyne structure. The second IF-to-baseband down-conversion is neglected because we only consider how to automatically switch the beam in the study. Thus, this is also called an IF adaptive beamswitched system. The IF adaptive structure can sharply decrease the cost and complexity of the baseband circuits. Meanwhile, the IF adaptive structure presents a much better cost–performance tradeoff than its RF adaptive counterpart because it is currently difficult to design a low-cost 60-GHz switch and a detector with a good performance compared with IF components.

1158

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 2. Simulated normalized radiation patterns in of one sub-array.

III. DESIGN

- and

-plane at 59.5 GHz

ANTENNA AND RF FRONT-END PROPOSED SMART ANTENNA

OF THE

OF THE

A. Design of the SIW Feed Slot Array Antenna As mentioned in Section II, the SIW linear slot array antenna is chosen for the smart antenna system in this study. This antenna can easily be integrated with other circuits with minimized interference, which leads to a cost-effective subsystem. Some SIW slot antenna arrays and beam-forming networks have been developed [19]–[28]. In this study, the 60-GHz SIW slot antenna array is proposed with the maximum gain of 22 dBi and the corresponding efficiency of about 68% [24]. Therefore, the theory and procedure of the SIW slot antenna are not described here. In the proposed system, only one sub-array from [24] is used and is fabricated on the substrate Rogers/Duroid 6002 with 20-mil thickness and dielectric constant . The simulated bandwidth defined for 10-dB return loss is 2.3 GHz from 58.5 to 60.7 GHz. The 3-dB beamwidth of -plane radiation pattern is about 140 , which is found very suitable for the 4 4 Butler matrix beam forming architecture, as shown in Fig. 2. The antenna also provides a high gain of about 13.5 dBi. B. 60-GHz RF Front-End Design In this section, the proposed 60-GHz SIW hybrid integrated subsystem is developed using the SIW components, including passive and active circuits, except for the LNA. In this design, the 60-GHz RF front-end is composed of a SIW Butler matrix, filters, sub-harmonically pumped mixers, 60-GHz LNAs, and 30-GHz LO source, as described by our experimental prototype in Fig. 3. First of all, the SIW Butler matrix is studied and developed. Next, a 60-GHz SIW bandpass filter is designed for its use between the Butler matrix and the LNA. Subsequently, a section of conductor-backed coplanar waveguide (CBCPW) is used to connect and match the LNA circuit and the filter. Further, the 60-GHz LNA is used to amplify the received signal after the filter. Afterwards, the 60-GHz

Fig. 3. Photograph of the RF Front-end with the antenna array.

sub-harmonically pumped mixer is developed as a frequency down-converter. Finally, the 30-GHz LO is designed that incorporates a SIW VCO and a tripler according to the requirements of the subsystem. 1) SIW Butler Matrix: In this system, we use the conventional 4 4 Butler matrix composed of 90 hybrids, crossovers, and 0 phase shifters, as shown in Fig. 4. In our designed Butler matrix, the 90 hybrids and crossovers are realized with the SIW short-slot couplers [29]. To achieve relative flat phase differences between the ports of the Butler matrix, the self-compensating SIW phase shifter [30] is adopted in our design. The structure of a phase shifter consists of delay lines (SIW bends) and a section of wider SIW. To validate the design, the Butler matrix is simulated using the HFSS package and measured with the slot antenna. However, the developed eight-port Butler matrix cannot be directly measured because of the lack of -band connectors in our laboratories. In fact, it is not an accurate and guaranteed way to using multiple -band connectors to test multiport circuits, as the frequency response of those connectors are generally not uniform and it would be difficult to identify the source of the problem if any. Table I shows the simulated performance of the Butler matrix in 58–61 GHz where ports 1–4 are input ports and ports 5–8 are output ports. Simulated transmission coefficients suggest that

HE et al.: LOW-COST 60-GHz SMART ANTENNA RECEIVER SUBSYSTEM BASED ON SIW TECHNOLOGY

1159

Fig. 4. Configuration of the Butler matrix network with antenna.

TABLE I PERFORMANCE OF THE BUTLER MATRIX Fig. 6. Simulated and measured frequency responses and group delay of the SIW bandpass filter.

Fig. 5. Physical description of the SIW cavity filter.

the entire Butler matrix has the insertion loss of about 1.4 dB at 59.5 GHz. The Butler matrix integrated with the slot antenna array is simulated and then measured in system in Section V. The return losses and isolations are greater than 19 dB in the working band. From the simulated -plane radiation patterns, it can be observed that the main beam directions are at 42 corresponding to input ports 2 and 3, and 15 to input ports 4 and 1, respectively. The simulated gain is 18 dBi when port 1 or 4 is excited. 2) 60-GHz SIW BandPass Filter: In a typical receiver architecture, it is necessary to apply a bandpass filter before the LNA. The 60-GHz SIW filter is naturally chosen for our subsystem design because it has an excellent performance and also an easy integration with the Butler matrix. As with the filter design in [31], a four-order Chebyshev SIW cavity filter is designed, as shown in Fig. 5. Details of the parameters of the filter are mm, mm, mm, , and . In our measurement, a -band test fixture and thru-reflect-line (TRL) calibration method are used. Fig. 6 shows simulated and measured frequency responses of the filter. The insertion loss and return loss in the passband are around 1.2 dB and greater than 15 dB from 58 to 63 GHz, respectively. 3) 60-GHz LNA: In the RF front-end, a three-stage GaAs monolithic microwave integrated circuit (MMIC) LNA Hittite HMC-ALH382, which has a high dynamic range and operating

Fig. 7. Measured frequency responses of the 60-GHz LNA model.

frequency range between 57–65 GHz, is used. This die chip LNA features 20 dB of small-signal gain, 4 dB of noise figure (NF), and an output power of 12 dBm at 1-dB compression from a 2.5-V supply voltage. It is necessary to use a miniature hybrid microwave integrated circuit (MHMIC) process to fabricate an LNA model with the HMC-ALH382 chip on a ceramic substrate with 10-mil thickness and dielectric constant . A CBCPW is used as the transmission line to connect the LNA chip and other components. Fig. 7 displays measured frequency responses of the LNA model. The measured gain of the LNA is about 19 dB at 59.5 GHz. 4) 60-GHz Sub-Harmonically Pumped Mixer: The prototype of the sub-harmonically pumped mixer is the same as the up-converter proposed in [32], except that the SIW filter is replaced by a section of SIW in the 60-GHz mixer. The section of SIW is designed with the cutoff frequency at 50 GHz so high LO/RF and IF/RF isolations can be obtained. In this design, the mixer is designed with an LO frequency of 29 GHz and an IF frequency of 1.5 GHz. The circuit is designed and fabricated on a Rogers/Duroid 6010 substrate with a dielectric constant of 10.2 and thickness of 0.254 mm. The Schottky antiparallel

1160

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 10. Diagram of the SIW frequency tripler.

Fig. 8. Measured conversion losses versus RF frequency.

Fig. 9. Basic block diagram of the

-band LO source.

diode pair used is MGS802 from Aeroflex/Metalics Inc., Londonderry, NH. The measured conversion loss will remain around 16 dB when the LO input power level is larger than 11 dBm, where the RF signal is fixed at 59.5 GHz with the input power level of 20 dBm and LO frequency is 29 GHz. Therefore, the minimized LO input power level of 11.5 dBm is chosen to pump the diode pair. Fig. 8 shows measured conversion losses versus the IF frequency when the IF signal is swept from 58.4 to 62 GHz with a constant input power level of 20 dBm and the LO signal is fixed at the frequency of 29 GHz with 11.5-dBm power level. The measured 1-dB compression power is 3 dBm. 5) -Band LO Source Model: To drive the 60-GHz subharmonically pumped mixer, a -band LO source model with a SIW VCO and a SIW frequency tripler is designed. Fig. 9 plots the basic block diagram of the proposed -band LO source. The RF power is developed by the SIW VCO presented in our studies [33]. This VCO can produce the RF signal with an output power of 6.5–9.8 dBm from 9.36 to 9.81 GHz. As the -band buffer amplifier, RFMD’s broadband InGaP/GaAs MMIC amplifier NBB-310 is used to drive the SIW frequency tripler. At least an 15-dBm power level can be produced at the output of the amplifier. Through the SIW frequency tripler, the signal is converted from 9.36–9.81 to 28.08–29.43 GHz. To meet the power requirement of the LO of the sub-harmonically pumped mixer, Hittite’s -band power amplifiers (PAs) HMC566LP4 and HMC499LC4 are cascaded to obtain the power level of 20–22 dBm. The designed tripler is a balanced passive multiplier utilizing a planar Schottky antiparallel diode pair MGS802 from Aeroflex/Metalics Inc. A passive multiplier has the advantages of being wideband and stable due to no dc supply. Using the

Fig. 11. Conversion loss versus input frequency for the designed frequency tripler.

antiparallel diode pair to build a tripler, the even harmonics are suppressed inherently. That is, all even harmonics are shorted by the antiparallel diode pair. Fig. 10 shows the diagram of the SIW frequency tripler. At the input of the tripler, a open-circuited stub on the right side of a diode pair is used to provide a shorted terminal for frequency, where is the fundamental frequency. A section of SIW with the cutoff frequency of 25 GHz is fabricated on the left side of the diode pair to suppress the fundamental and second harmonics and then provide a good isolation at the output. The circuit is fabricated on a Rogers/Duroid 6010 substrate with a dielectric constant of 10.2 and a thickness of 0.254 mm. The -band frequency tippler exhibits a measured conversion loss of 14.8–16 dB for the input power of 11 dBm over the frequency band of 27–36 GHz, as shown in Fig. 11. At the output frequency of 29 GHz, the conversion loss is about 15 dB. Fig. 12 displays the measured output power versus the input power of the frequency tripler at the output frequency of 29 GHz. Using the circuits described here, the source is constructed as shown in Fig. 13. Fig. 14 shows the output frequency and power of the frequency tripler versus the varactor tuning voltage in the designed SIW VCO. As has been pointed out above, the mixer needs 11.5-dBm LO power to pump the diode pair. Thus, the subsystem needs the LO power of at least 17.5 dBm because there are four mixers in system. It can be seen that the designed -band source can meet the power requirement for the LO. IV. IF CIRCUITS BLOCK WITH CONTROL BLOCK The above section has described the four received 59.5-GHz RF signals at the four ports of the Butler matrix, which are am-

HE et al.: LOW-COST 60-GHz SMART ANTENNA RECEIVER SUBSYSTEM BASED ON SIW TECHNOLOGY

Fig. 12. Output power versus input power at 29 GHz.

Fig. 13. Photograph of the

-band source model.

Fig. 14. Output frequency and power of the frequency tripler versus varactor tuning voltage in VCO.

plified and frequency down-converted to the four 1.5-GHz IF signals from IF1 to IF4, where IF1–IF 4 mean the four IF signals from channels 1 to 4, respectively. This following part describes how to compare the four IF signals and judge which channel receives the maximum power, and then switch the beam to the main direction. The block diagram of the IF circuit, which consists of IF low-pass filters, amplifiers, couplers, power detector, and dc

1161

Fig. 15. Block diagram of IF circuit with control block.

filter, is shown in Fig. 15. The AVX low-pass filter has an insertion loss of 0.5- and 3-dB bandwidth of 2.6 GHz. After the AVX low-pass filter, an Infineon silicon–germanium broadband MMIC amplifier BGA614 is used to amplify the IF signal. The amplifier has a typical gain of 16 dB and an NF of 2 dB. In order to generate the four IF signals of comparison, four 10-dB couplers are used, which were purchased from Johanson Technology Inc., Camarillo, CA. The four coupling signals are then introduced to power detector AD8313 from Analog Devices, Norwood, MA, and the four main IF signals to SP4T switch AS204 from Skyworks Inc., Woburn, MA, respectively. Detector AD8313 has a wide bandwidth of 0.1–2.5 GHz and a high dynamic range of 70 3.0 dB. The minimum detectable input signal power is about 75 dBm with output dc voltage of about 0.5 V. The AS204-80 is a high-isolation SP4T field-effect transistor (FET) integrated circuit (IC) nonreflective switch with a driver. The insertion loss is 0.5 dB and the isolation is 43 dB at 1.5 GHz. At each IF input port, the minimum IF input power of 65 dBm can be detected with an output dc voltage of 0.53 V. That is, only a 1.5-GHz IF signal from a mixer with over 65 dBm can be detected to judge which output of the Butler matrix has the maximum received signal. Passing through the dc filter, the four detected dc signals are then converted to digital signals by ADCs and sent into a [digital signal processing (DSP)] model. In this design, Atmel AT91SAM7SE512 is used as the DSP unit. AT91SAM7SE512 is an ARM processor that provides integrated ADCs. This ADC has 10-bit resolution mode, and the conversion results are reported in a common register for all channels, as well as in a channel-dedicated register. The interval time between two samplings is 1 ms, which is enough for indoor communications because most people walk at an average speed of 1.2–1.4 m/s. V. EXPERIMENTS AND RESULTS Before we test the entire receiver subsystem with a digital block, one channel of the receiver is measured from RF filter to IF coupler. Fig. 16 shows receiver’s NF and gain in the

1162

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 16. Measured receiver’s NF and gain versus RF frequency.

Fig. 18. Experimental setup diagram of the 60-GHz switched-beam smart antenna subsystem.

Fig. 19. Photograph of experimental setup of the 60-GHz switched-beam smart antenna subsystem. Fig. 17. Measured receiver’s output power and gain versus RF input power.

working band. Fig. 17 shows measured receiver’s output power and gain versus RF input power. The input 1-dB compression point power is 18 dBm. The entire subsystem with the ICs and components is measured using a 60-GHz experimental setup built as shown in Figs. 18 and 19. In the setup, a 60-GHz horn antenna (Quinstar QWH-VPRR00) with 24-dBi gain at 59.5 GHz and an Anritsu 37397C vector network analyzer (VNA) are used as the transmitting antenna and the transmit signal source, respectively. There is a -band cable connected between the horn antenna and VNA, which has an insertion loss of 12 dB. The receiver is fixed at a stand with distance away from the transmit antenna. The distance can be changed by adjusting the transmit antenna’s position. In fact, the receiver in the center of the circle with a radius of and the transmit antenna is on the circumference of the same circle. The transmit antenna can be manually rotated around the receiver from 90 to 90 to measure the beams of the subsystem. To observe how the beams are switched while the transmit antenna is rotated around the receiver, the

output IF signal of the SP4T switch is fed to signal analyzer R&S FS2040. Meanwhile, -band LO circuits and IF circuits are connected with the receiver fixed in the stand. Before the switchable function of the subsystem is tested and demonstrated, the total channel gain of the RF front-end including an antenna is calculated by the received IF signals at the output of the mixer. Channel gain can be expressed (1) where is the received IF signal power, is path loss in free space, is the transmit signal power, is the gain of the transmit antenna, is the gain of the received slot antenna, is the gain of the LNA, is the loss of the Butler matrix, is the insertion loss of the filter, is the conversion loss of the sub-harmonically pumped mixer, and is the insertion loss of the interconnection line coplanar waveguide (CPW). In the measurement, IF powers from the mixers of channels 1 and 2 are measured while is set as 7 dBm at 59.5 GHz and distance is 30 cm, as well as the beam of the transmit antenna

HE et al.: LOW-COST 60-GHz SMART ANTENNA RECEIVER SUBSYSTEM BASED ON SIW TECHNOLOGY

1163

TABLE II PERFORMANCE COMPARISON

From the above section, it is known that the IF circuits can receive the minimum IF signals of 65 dBm. Therefore, the minimum received RF signal power of channels 1 and 2 are 78.5 and 76 dBm. After the performance measurements of each channel, RF front-end, IF circuits, and ARM evaluation board are all connected to test the proposed switchable function of the subsystem. The test method is to observe the IF signals from the IF circuits in the signal analyzer while the transmit horn antenna is rotated around the receiver. The measured IF relative power versus the rotating angle is plotted in Fig. 21. It has been found that the measured results not only agree very well with the simulated -plane pattern, but also indicate that the beam can be successfully and adaptively switched to track the transmitted beam. Finally, this study is compared with some other previously published 60-GHz phased-array receiver studies, as shown in Table II.

Fig. 20. Calculated and measured gains of channels 1 and 2.

VI. CONCLUSION Fig. 21. Measured normalized received IF signal power versus scan angle at 59.5 GHz.

aligned to beams 1 and 3 of the subsystem, respectively. 61 dB, which can be calculated by

dB

is

(2)

Fig. 20 shows the calculated and measured gains of channels 1 and 2 according to the measured IF signal. In channel 1 and channel 2, the measured gains are about 13.5 and 11 dB, respectively. However, the measured gains are less than the calculated counterparts by about 3 dB, which may be caused by the additional insertion losses of interconnects and the Butler matrix. The channel gain decreases sharply at low frequency because there is the stopband of a 60-GHz bandpass filter. However, the channel gain goes down slowly at high frequency because frequency is out of the working frequency range of the antenna.

In this paper, a low-cost switched-beam smart antenna receiver susb-system has been studied, developed, and demonstrated on the basis of all 60-GHz components designed and fabricated with SIW technology including a slot antenna, 4 4 Butler matrix network, bandpass filter, sub-harmonically pumped down-conversion mixer, and LO source. In this system, the IF control circuit block and adaptive algorithm in the ARM are developed, respectively. Through a comparison algorithm in the ARM processor, the four beams are switched adaptively with a different main beam of the transmit signal. Thus, the realized subsystem is very suitable for low-cost 60-GHz indoor communication. ACKNOWLEDGMENT The authors would like to thank the Rogers Corporation, Rogers, CT, for providing free samples of dielectric substrates. The authors are also grateful to S. Dubé, Poly-Grames Research Center, Montréal, QC, Canada, and A. Traian, Poly-Grames Research Center, for the fabrication of our experimental prototypes.

1164

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

REFERENCES [1] “Amendment of parts 2, 15 and 97 of the Commission’s rules to permit use of radio frequencies above 40 GHz for new radio applications,” FCC, Washington, DC, 1995. [2] “FCC 95-499” FCC, Washington, DC, 1995. [Online]. Available: [Online]. Available: ftp://ftp.fcc.gov/pub/Bureaus/Engineering_Technology/Orders/1995/fcc95499.txt [3] “Frequency range 29.7 MHz to 105 GHz and associated European table of frequency allocations and utilizations,” Eur. Radio Commun. Commission, Copenhagen, Denmark, 2004. [Online]. Available: http://www.ero.dk [4] P. Smulders, “Exploiting the 60 GHz band for local wireless multimedia access: Prospects and future directions,” IEEE Commun. Mag., vol. 40, no. 1, pp. 140–147, Jan. 2002. [5] B. Bosco, R. Emrick, S. Franson, J. Holmes, and S. Rockwell, “Emerging commercial applications using the 60 GHz unlicensed band: Opportunities and challenges,” in Proc. Wireless Microw. Technol. Conf., Dec. 2006, pp. 1–4. [6] K. Ohata, K. Maruhashi, M. Ito, S. Kishimoto, K. Ikuina, T. Hashiguchi, N. Takahashi, and S. Iwanaga, “Wireless 1.25 Gb/s transceiver modules utilizing multilayer co-fired ceramic technology,” in IEEE Int. Solid-State Circuits Conf., Feb. 7–9, 2000, vol. 1, pp. 298–468. [7] B. Bosco, R. Emrick, S. Franson, J. Holmes, and S. Rockwell, “Emerging commercial applications using the 60 GHz unlicensed band: Opportunities and challenges,” in Proc. Wireless Microw. Technol. Conf., Dec. 2006, pp. 1–4. [8] K. Ohata et al., “Wireless 1.25 Gb/s transceiver modules utilizing multilayer co-fired ceramic technology,” in IEEE Int. Solid-State Circuits Conf., Feb. 7–9, 2000, pp. 120–123. [9] H. Tanaka and T. Ohira, “A single-planar integrated self-heterodyne receiver with a built-in beam-steerable array antenna for 60-GHz-band video transmission systems,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2004, vol. 2, pp. 735–738. [10] G. Grosskopf et al., “Maximum directivity beam-former at 60 GHz with optical feeder,” IEEE Trans. Antennas Propag., vol. 51, no. 11, pp. 3040–3046, Nov. 2003. [11] M. Bona, L. Manholm, J. P. Starski, and B. Svensson, “Low-cost compact Butler matrix for a microstrip antenna,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 9, pp. 2069–2075, Sep. 2002. [12] G. Tudosie, H. Barth, and R. Vahldieck, “A compact LTCC Butler matrix realization for phased array applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2006, pp. 441–444. [13] H.-S. Wu, C.-K. C. Tzuang, and R.-B. Wu, “ -band 32-GHz planar integrated switched-beam smart antenna,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 565–568. [14] C.-W. Wang, T.-G. Ma, and C.-F. Yang, “A new planar artificial transmission line and its applications to a miniaturized Butler matrix,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 12, pp. 2792–2801, Dec. 2007. [15] K. Wu, D. Deslandes, and Y. Cassivi, “The substrate integrated circuits—A new concept for high-frequency electronics and optoeletronics,” in 6th Int. Telecommun. Modern Satellite, Cable, Broadcast. Service Conf., Oct. 2003, vol. 1, pp. P-III–P-X. [16] D. Deslandes and K. Wu, “Integrated microstrip and rectangular waveguide in planar form,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 2, pp. 68–70, Feb. 2001. [17] F. F. He, X. P. Chen, K. Wu, and W. Hong, “Electrically tunable substrate integrated waveguide reflective cavity resonator,” in Proc. Asia–Pacific Microw. Conf., Dec. 2009, pp. 119–122. [18] C. L. Zhong, J. Xu, Z. Y. Yu, and Y. Zhu, “ -band substrate integrated waveguide Gunn oscillator,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 7, pp. 461–463, Feb. 2008. [19] L. Yan, W. Hong, G. Hua, J. Chen, K. Wu, and T. J. Cui, “Simulation and experiment on SIW slot array antennas,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 9, pp. 446–448, Sep. 2004. [20] M. Ohira, A. Miura, and M. Ueba, “60-GHz wideband substrate-integrated-waveguide slot array using closely spaced elements for planar multisector antenna,” IEEE Trans. Antennas Propag., vol. 58, no. 3, pp. 993–998, Mar. 2010. [21] C. J. Chen and T. H. Chu, “Design of a 60-GHz substrate integrated waveguide Butler matrix—A systematic approach,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 7, pp. 1724–1733, Jul. 2010.

[22] P. Chen, W. Hong, Z. Kuai, and J. Xu, “A double layer substrate integrated waveguide Blass matrix for beamforming applications,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 6, pp. 374–376, Jun. 2009. [23] J. Xu, Z. N. Chen, X. Qing, and W. Hong, “Bandwidth enhancement for a 60 GHz substrate integrated waveguide fed cavity array antenna on LTCC,” IEEE Trans. Antennas Propag., vol. 59, no. 3, pp. 826–832, Mar. 2011. [24] X.-P. Chen, K. Wu, L. Han, and F. F. He, “Low-cost high gain planar antenna array for 60-GHz band applications,” IEEE Trans. Antennas Propag., vol. 57, no. 1, pp. 64–71, Jan. 2009. [25] W. Hong, B. Liu, G. Q. Luo, Q. H. Lai, J. F. Xu, Z. C. Hao, F. F. He, and X. X. Yin, “Integrated microwave and millimetre wave antennas based on SIW and HMSIW technology,” in Int. Antenna Technol. Workshop: Small and Smart Antennas Metamater. Appl., Mar. 2007, pp. 69–72. [26] K. K. Samanta, D. Stephens, and I. D. Robertson, “60 GHz multichip-module receiver with substrate integrated waveguide antenna and filter,” Electron. Lett., vol. 42, no. 12, pp. 701–702, 2006. [27] B. Pan, Y. Li, G. E. Ponchak, M. M. Tentzeris, J. Papapolymerou, and J. , “A low-loss substrate-independent approach for 60-GHz transceiver front-end integration using micromachining technologies,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 12, pp. 2779–2788, Dec. 2008. [28] S. Cheng, H. Yousef, and H. Kratz, “79 GHz slot antennas based on substrate integrated waveguides (SIW) in a flexible printed circuit board,” IEEE Trans. Antennas Propag., vol. 57, no. 1, pp. 64–71, Jan. 2009. [29] S. Yamamoto, J. Hirokawa, and M. Ando, “A half-sized post-wall short-slot directional coupler with hollow rectangular holes in a dielectric substrate,” IEICE Trans. Electron., vol. 88, no. 7, pp. 1387–1394, Jul. 2005. [30] Y. J. Cheng, W. Hong, and K. Wu, “Broadband self-compensating phase shifter combining delay line and equal-length unequal-width phaser,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 1, pp. 2792–2801, Jan. 2010. [31] X. P. Chen, K. Wu, and D. Drolet, “Substrate integrated waveguide filter with improved stopband performance for satellite ground terminal,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 3, pp. 674–683, Mar. 2009. [32] F. F. He, W. Hong, K. Wu, J. X. Chen, H. B. Zhu, and H. J. Tang, “Substrate integrated waveguide sub-harmonically pumped up-converter antenna for spatial power combining,” in IET Proc. Microw., Antennas Propag., 2009, vol. 8, no. 3, pp. 1172–1178. [33] F. F. He, K. Wu, W. Hong, X. P. Chen, and L. Han, “A low phase noise VCO using a novel tunable substrate integrated waveguide resonator,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 12, pp. 3452–3458, Dec. 2010. [34] M. Tahesh, J. Chen, C. Marcu, L. Kong, S. Kang, A. M. Niknejad, and E. Alon, “A 65 nm CMOS 4-element sub-34 Mw/element 60 GHz phased-array transceiver,” IEEE J. Solid-State Circuits, vol. 46, no. 12, pp. 3018–3012, Dec. 2011. [35] E. Cohen, C. Jakobson, S. Ravid, and D. Ritter, “A thirty two element phased-array transceiver at 60 GHz with RF-IF conversion block in 90 nm flip chip CMOS process,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., May 2010, pp. 457–460. [36] S. K. Reynolds, A. S. Natarajan, M.-D. Tsai, S. Nicolson, J.-H. C. Zhan, D. Liu, D. G. Kam, O. Huang, A. Valdes-Garcia, and B. A. Floyd, “A 16-element phased-array receiver IC for 60-GHz communications in SiGe BiCMOS,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., May 2010, pp. 461–464.

Fan Fan He was born in Nanjing, China. He received M.S. degree in mec-electrical engineering from Xidian University, Xi’an, China, in 2005, and is currently working toward the Ph.D. degree in electrical engineering at both Southeast University, Nanjing, China, and the École Polytechnique de Montréal, Montréal, QC, Canada. He is currently an exchange student with the École Polytechnique de Montréal. His current research interests include advanced microwave and millimeterwave components and systems.

HE et al.: LOW-COST 60-GHz SMART ANTENNA RECEIVER SUBSYSTEM BASED ON SIW TECHNOLOGY

Ke Wu (M’87–SM’92–F’01) is a Professor of electrical engineering and Tier-I Canada Research Chair in RF and millimeter-wave engineering with the École Polytechnique de Montréal. Montréal, QC, Canada. He holds the first Cheung Kong endowed chair professorship (visiting) with Southeast University, the first Sir Yue-Kong Pao chair professorship (visiting) with Ningbo University, and an honorary professorship with the Nanjing University of Science and Technology, Nanjing University of Post Telecommunication, and City University of Hong Kong. He has been the Director of the Poly-Grames Research Center and the founding Director of the Center for Radiofrequency Electronics Research of Quebec (Regroupement stratégique of FRQNT). He has also held guest and visiting professorship with many universities worldwide. He has authored or coauthored over 800 referred papers and a number of books/book chapters. He has served on the editorial/review boards of many technical journals, transactions, and letters, as well as scientific encyclopedia as an editor and guest editor. He holds numerous patents. His current research interests involve substrate integrated circuits (SICs), antenna arrays, advanced computer-aided design (CAD) and modeling techniques, wireless power transmission, and development of low-cost RF and millimeter-wave transceivers and sensors for wireless systems and biomedical applications. He is also interested in the modeling and design of microwave photonic circuits and systems. Dr. Wu is a member of the Electromagnetics Academy, Sigma Xi, and URSI. He is a Fellow of the Canadian Academy of Engineering (CAE) and the Royal Society of Canada (The Canadian Academy of the Sciences and Humanities). He ws an IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Distinguished Microwave Lecturer (2009–2011). He has held key positions in and has served on various panels and international committees including having been the chair of Technical Program Committees, International Steering Committees, and international conferences/symposia. He will be the general chair of the 2012 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS). He is currently the chair of the joint IEEE chapters of MTTS/APS/LEOS, Montréal, QC, Canada. He is an elected IEEE MTT-S Administrative Committee (AdCom) member for 2006–2015 and was chair of the IEEE MTT-S Member and Geographic Activities (MGA) Committee. He was the recipient of many awards and prizes including the first IEEE MTT-S Outstanding Young Engineer Award, the 2004 Fessenden Medal of the IEEE Canada, and the 2009 Thomas W. Eadie Medal of the Royal Society of Canada.

Wei Hong (M’92–SM’07) was born in Hebei Province, China, on October 24, 1962. He received the B.S. degree from the Zhenzhou Institute of Technology, Zhenzhou, China, in 1982, and the M.S. and Ph.D. degrees from Southeast University, Nanjing, China, in 1985 and 1988, respectively, all in radio engineering. Since 1988, he has been with the State Key Laboratory of Millimeter Waves, Southeast University, where he is currently a Professor and the Associate Dean of the Department of Radio Engineering. In 1993 and from 1995 to 1998, he was a short-term Visiting Scholar with

1165

the University of California at Berkeley and the University of California at Santa Cruz, respectively. He has been engaged in numerical methods for electromagnetic problems, millimeter-wave theory and technology, antennas, electromagnetic scattering and RF technology for mobile communications, etc. He has authored or coauthored over 200 technical publications. He authored Principle and Application of the Method of Lines (in Chinese) (Southeast Univ. Press, 1993) and Domain Decomposition Method for EM Boundary Value Problems (in Chinese) (Sci. Press, 2005). Prof. Hong is a Senior Member of the China Institute of Electronics (CIE). He is vice-president of the Microwave Society and Antenna Society, CIE. He has been a reviewer for many technical journals including the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION and is currently an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He was a two-time recipient of the First-Class Science and Technology Progress Prize of the State Education Commission (1992 and 1994), the Fourth-Class National Natural Science Prize (1991), and the First- and Third-Class Science and Technology Progress Prize of Jiangsu Province. He was also the recipient of the Foundations for China Distinguished Young Investigators Award and the “Innovation Group” Award of the National Science Foundation of China.

Liang Han (S’07) was born in Nanjing, China. He received the B.E. (with distinction) and M.S. degrees from Southeast University, Nanjing, China, in 2004 and 2007, respectively, both in electrical engineering, and is currently working toward the Ph.D. degree in electrical engineering at the École Polytechnique de Montréal, Montréal, QC, Canada. His current research interests include advanced computer-aided design (CAD) and modeling techniques and development of multifunctional RF transceivers.

Xiao-Ping Chen was born in Hubei Province, China. He received the Ph.D. degree in electrical engineering from the Huazhong University of Science and Technology, Wuhan, China, in 2003. From 2003 to 2006, he was a Post-Doctoral Researcher with the State Key Laboratory of Millimeter-waves, Radio Engineering Department, Southeast University, Nanjing, China, where he was involved with the design of advanced microwave and millimeter-wave components and circuits for communication systems. In May 2006, he was a Post-Doctoral Research Fellow with the Poly-Grames Research Center, Department of Electrical Engineering, École Polytechnique de Montréal, Montréal, QC, Canada, where he is currently a Researcher Associate. He has authored or coauthored over 30 referred journals and conference papers and some proprietary research reports. He has been a member of the Editorial Board of the IET Journal. He holds several patents. His current research interests are focused on millimeter-wave components, antennas, and subsystems for radar sensors. Dr. Chen has been a reviewer for several IEEE publications. He was the recipient of a 2004 China Postdoctoral Fellowship and the 2005 Open Foundation of the State Key Laboratory of Millimeter-Waves, Southeast University.

1166

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Digital Predistortion Using a Vector-Switched Model Sepideh Afsardoost, Thomas Eriksson, and Christian Fager

Abstract—A switched behavioral model considering memory effects with a special focus on “difficult” amplifier architectures is proposed in this paper. The proposed model is shown to be very low-complex and linearly identifiable. A digital predistorter based on the proposed model is implemented for a 100-W 2.6-GHz Doherty power amplifier that has been considered difficult in other reports, and it is shown that the proposed models can successfully linearize the amplifier, both for a WCDMA signal and a more wideband long-term evolution signal. In particular, the proposed model and the digital predistorter is shown to outperform other state-ofthe-art models and digital predistorters in terms of computational complexity for a given desired accuracy. Index Terms—Memory polynomial (MP), modeling, power amplifiers, predistortion, Volterra series.

I. INTRODUCTION

I

N MOST modern wireless communication systems, such as WCDMA currently used in third-generation (3G) mobile networks or orthogonal frequency division multiple access (OFDMA) in 3GPP long-term evolution (LTE), signals with large envelope variations are employed. RF power amplifiers operating with such signals with high peak-to-average-powerratio (PAPR) are particularly vulnerable to high nonlinear effects, requiring a “backoff” of the output power from the amplifier to limit the distortion level. However, this leads to low power efficiency since the efficiency of RF power amplifiers decrease for lower output power levels. There are several promising amplifier architectures aiming to boost efficiency. Envelope tracking [1], outphasing [2], dynamic load modulation [3], pulsed architectures [4], and the Doherty amplifier [5] are among the most important ones, which, although they are not new, have recently attracted a rapidly increased interest. However, compared to traditional power amplifiers, the highly efficient architectures described above require more sophisticated models to accurately map the inputoutput signals in digital predistortion (DPD) applications. Many of the current DPD implementations use amplifier models with memory to achieve higher linearity for wideband modulated sig-

Manuscript received April 27, 2011; revised November 19, 2011; accepted November 28, 2011. Date of publication February 16, 2012; date of current version April 04, 2012. This work was carried out at the GigaHertz Centre in a joint project supported by the Swedish Governmental Agency for Innovation Systems (VINNOVA), the Chalmers University of Technology, Ericsson AB, Infineon Technologies Austria AG, and NXP Semiconductors BV. S. Afsardoost and T. Eriksson are with Signals and Systems, Chalmers University of Technology, Göteborg 412 96, Sweden (e-mail: s.afsardoost@gmail. com; [email protected]; [email protected]). C. Fager is with Microtechnology and Nanoscience, Chalmers University of Technology, Göteborg 412 96, Sweden, (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2184295

nals. The Volterra series, which is an extension of Taylor series to capture memory effects, is among the most popular models. There are also reduced-complexity Volterra models retaining most of the modeling capabilities, such as the memory polynomial (MP) [6], the generalized memory polynomial (GMP) [7], and the Volterra with dynamic deviation reduction (DDR) [8]. Despite the good performance of the above models, modeling of high-efficiency amplifier architectures, as discussed above, requires more attention. For instance, the Doherty architecture combines the behavior of two or more amplifiers [9]. Therefore, the input–output characteristic typically shows distinctly different behavior at different power levels. Similarly, it has been identified that in the envelope-tracking architecture, the amplifier exhibits very different behavior at different power levels [10], making it difficult to model its behavior with a single model for the entire range of output power. Piecewise curve fitting and switched models have been studied before for amplifier modeling, mainly for memoryless models [11]–[14], but recently also for nonlinear memory [10], [15]–[18] In this paper, we propose a vector-switched (VS) behavioral model for dealing with difficult modeling and predistortion cases. In contrast to many previous approaches, the model proposed here has independent identification and adaptation of each submodel, making it possible to use the best amplifier models from the literature as submodels. It also offers lower run-time complexity compared to other models. It can be tuned, at one end of the scale, to a pure table lookup, and at the other, to a traditional Volterra-based model; but in the experiments, we show that intermediate tuning is better. To support reproduction of our results, and to make further comparisons possible, we have provided MATLAB programs for identification and running the proposed model.1 In short, the proposed VS model is shown to be a simple linearly identifiable model capable of handling the most difficult modeling tasks. In fact, using extensive experimental studies, we show that for the tested cases, the proposed DPD model performs better (in terms of the compromise between run-time complexity and [normalized mean square error (NMSE)/adjacent channel power ratio (ACPR)] than any other tested model. This paper is organized as follows. In Section II, we introduce the structure of the new switched behavioral model. The model identification procedure is presented in Section III. Section IV explains the digital predistorter based on the proposed switched model. Section V discusses the computational complexity of the proposed model. The experimental results are given in Section VI. In Section VII, the so-called discontinuities distortion is discussed. A conclusion is then presented in Section VIII. 1[Online]. GHz+Centre

0018-9480/$31.00 © 2012 IEEE

Available:

http://thomaseriksson-software.wikispaces.com/

AFSARDOOST et al.: DPD USING VS MODEL

1167

Fig. 1. Example of the proposed VS model with one out of four Volterra filters selected by a switch function operating on the complex baseband input sequence. Fig. 2. 2-D Voronoi partition of the amplitude space with six regions. Centroids are denoted via an (x). A set of samples belonging to each region is denoted with different grayscale of the points.

II. NOVEL SWITCHED BEHAVIORAL MODEL In this section, we propose a novel switched behavioral model where the input space is classified into a number of regions, and the output is computed by using separate models corresponding to each region. Each region model has its own set of parameters, which are identified based on different segments of the input-output space. An example of the proposed model with four regions is given in Fig. 1, where the operation of the vector switching function is described as follows. In the proposed algorithm, the input space is partitioned into regions, and the choice of submodel is done based on the current and previous input samples. More specifically, the regions are partitions of the complex space such that

(1) (2) (see also the illustration in Fig. 2). The -dimensional classification of regions is decided based on the complex input vector , which is the current sample input previous samples. The output sample correand the sponding to in the VS model is defined as if

(3)

where is the function assigned to model the behavior in region (these region models can be chosen freely among models in the literature, e.g., the MP). Note that the memory depths of the switching function, , and the submodels, , can be chosen independently. As we will see later, the switch memory is typically chosen as (the switch is only operating on the latest input sample), while the model memory usually must be larger for best performance. The classical (nonswitched) models are special cases of the proposed VS model when the number of regions . If we instead choose a large number of regions, but the simplest possible region models (e.g., a linear submodel, or just a constant value in each region), we have a lookup table (LUT).

A. Switching Regions The switching regions are designed based on the current and previous complex-valued input samples of the training set. In this paper, we perform the vector partitioning over the amplitude space and disregard the phases of the input samples since many power amplifiers tend to change behavior according to input amplitudes [10]. However, the vector switching method is not limited to this choice, but can be defined for other switching functions as well. An advantage with our choice of using the input amplitudes for the switching is that the design of the switching regions is then independent of the amplifier, and need not be redesigned if the amplifier change characteristics due to, e.g., temperature changes. However, it is also clear that further improvements can be achieved by designing the switch based on the characteristics of the amplifier instead; we leave it as future work. The vector partitioning of the input amplitude space can be seen as a vector quantization problem [19] where reasonable decision boundaries between regions are desired. There is a lot of scientific literature on how to use and optimize the regions in a vector quantizer [19]. One possible solution for this problem is to define each region as the set of points closer (in Euclidean than to any sense) to the associated mean or centroid other centroid (4) , and where is the Euclidean norm. In the literature, the described quantizer is often called the Voronoi or nearest neighbor vector quantizer [19]. The design of the switching regions is now limited to finding a set of centroids that can represent the input signal appropriately; we study this in Section III. As described above, we have chosen to base the switching regions on the values of the input signal. This is not the only possible choice, but it is advantageous since it allows us to make the switch independent of the amplifier characteristics, which can change due to internal or external effects, such as temperature changes. Further, we have chosen to design the regions using an Euclidean measure, due to the availability of well-tested and

1168

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

stable algorithms for optimization of such regions. Certainly, these are not the best possible choices in terms of performance; we could further optimize the regions by designing them based on the device-under-test (DUT), but our choice also have its advantages in terms of complexity and simplicity, and as we show later, the performance is very good.

be computed on beforehand in the design process, as shown in Section III. Algorithm 1: The VS algorithm Input: Output:

B. Regional Models

1 Compute

In the VS model, the type of regional models, , can be arbitrarily chosen. In fact, the VS model is highly flexible in terms of the regional models and number of regions in the sense that it can be designed in various ways; from a simple look-up-table model, by choosing a constant value as the local model with a large number of regions, to a full Volterra series model with a few regions. Here, our choices of regional models are Volterra series and GMP, as described below. 1) Volterra Series: For each region, we have used a general Volterra series, which is frequently used in modeling of power amplifiers with nonlinearity and memory effects. The th-order complex Volterra series with memory length is defined as

2 Find the closest centroid by

(5) where only odd-order components and the correct proportion of complex conjugates are used, as discussed in [20]. In the VS framework, the Volterra within each region can be chosen to be of low order, while the entire vector-switched Volterra (VS-V) maintains the ability of a global approximation of the amplifier. 2) GMP: We have also considered the GMP model proposed by Morgan et al. in [7]. The GMP is an extended MP model that includes cross-terms in addition to the original terms of the MP. It has been previously shown that the GMP is a good compromise between model complexity and performance [20]. The th-order GMP model with memory length and cross-term length is formulated in this work as

(6)

where only odd terms are considered, as our earlier studies [20] has shown to be preferable, and is the memory depth defined in (3). In this study, we restricted the model to maintain causality, meaning that terms with positive memory are not included in the model. Algorithm 1 describes how each output sample is computed for each input sample in the VS model. Note that in the algorithm, the centroids in (4) and the model coefficients and in (5) or (6) are assumed to

by full search over the centroid codebook 3 Compute the output signal as Volterra (5) or GMP (6) using the corresponding coefficients of the th region III. OFFLINE IDENTIFICATION PROCEDURE In order to identify the VS model, first the switching regions must be determined. There are different algorithms to generate Voronoi partitions (finding the centroids); the de-facto standard optimization procedure is the generalized Lloyd algorithm (GLA) [21], sometimes also denoted the K-means algorithm. The GLA is a low-complexity and well-tested algorithm in the area of data compression [21]. The GLA is run offline to find a set of centroids that defines the partitions. Of course, other techniques (and certainly, better techniques) can be used to find the optimal partitions; the proposed method has the advantages that is based only on the statistics of the input samples, and therefore independent of amplifier drift, etc., and that the GLA procedure is a well-known and robust technique. An example of such space partitioning, with dimension , is illustrated in Fig. 2. Once the switching regions are designed, the regional models can be identified. It is a simple task to divide the measurement data set according to the classification into the regions and use the data of the corresponding regions for identification. Briefly, the procedure can be described as dividing the input sequence into overlapping vectors with length and time step 1 sample. Each of these vectors can now be classified into one of the classes (using samples for the classification), and the model training is based only on the vectors classified into the actual region (using samples for the model training). Therefore, no samples are disregarded, but instead used in multiple regions. In more detail, we first need to measure and record the input and output of the power amplifier. As the measured data is limited, the number of regions and model parameters should be chosen so that enough amount of data is available for each region. Given the entire input database as , where is the length of the data record, the relative time index vector is denoted as . Clustering the input space into regions, divides the index vector into parts such that (7)

AFSARDOOST et al.: DPD USING VS MODEL

1169

where represents the sub-vector collecting indices corresponding to region as (8) In this study, the least square (LS) estimator is employed to estimate the regional model parameters. The parameters associated to region are estimated based on partitions and , where and are the entire input and output data vectors. Collecting all coefficients belonging to region in a vector as and all input permutations required by the regional model in a matrix as , the LS solution for parameter estimation of region can be expressed as (9) where and are the number of coefficients and the number of samples in region , respectively. We recognize this as the standard pseudoinverse operation that is normally used in traditional Volterra models. In running time as described in algorithm 1, once the region associated to input sample is found, the corresponding output is generated by (5) or (6) using the coefficients .

A. Vector Switching Complexity The vector switching operation consists of finding the nearest neighbor (the vector with the smallest Euclidean distance) to the -dimensional input vector in a codebook of vectors. It requires multiplications, additions, and comparisons [19], [25]. The complexity, in FLOPs, is thus given by (10) where each addition, multiplication and comparison is counted as one FLOP. If the dimension of the switch is (as is often the best choice, as we will see in the experiments), the complexity can be significantly reduced by performing a binary search procedure instead; such a procedure has a complexity of FLOPs [26]. B. Regional Model Complexity • Volterra complexity: The complexity of a Volterra series in terms of its order and its memory length is given by [20, eqs. 4–7]

IV. VS DPD DPD is a well-known linearization technique. Various DPD algorithms have been proposed in the literature thus far with differences in the implementation procedures and model selection [9]. Some of these algorithms are developed for LUT models, which are relatively easily implemented, and some are based on analytical models, such as MPs [6], [22], truncated Volterra models [10], and Hammerstein and Wiener models [23]. A straightforward implementation procedure is to first identify an inverse model by using the output of the power amplifier to predict the input [24]. The predistorter can be implemented by applying the estimated parameters of the inverse model to an identical model that is used to predistort the input. The described method (the indirect learning architecture) is employed here to implement a DPD for the VS model. Even though the VS model consists of multiple sub-models, it can be treated as a conventional model for DPD implementation. Analogous to (9), the inverse model parameters can be identified by replacing instead of and instead of . Now, using obtained parameters, , the predistorted input sample, can be generated from the original input sample . V. COMPLEXITY OF THE VS MODEL With a switched model, the run-time complexity of the model cannot be assessed in terms of model order (as is otherwise common in the literature); the order of the Volterra or GMP in each region is typically very small, but the performance is still high. The most reasonable complexity measures must consider the number of floating point operations per sample (FLOPs) instead [20]. In the VS, the complexity consists of the vector switching complexity and of the regional model complexity, and we evaluate those separately in the following.

(11) where kernel

is the number of coefficients in each

(12) Again, each floating-point addition and multiplication is counted as one FLOP. The first line of (11) is the complexity to compute the monomials of the Volterra (basis construction complexity), and the second line is the complexity to multiply the monomials with the chosen set of parameters, and summing (filtering complexity). • GMP complexity: The complexity of the GMP is given by [20, eqs. 16–18] as

(13) The overall complexity of the VS model is given by the sum of the vector switching complexity (10) and the regional model complexity (11) or (13), . VI. EXPERIMENTAL RESULTS To evaluate the proposed model and DPD, we have made measurements of two different test cases with VS-V and Volterra-series generalized memory polynomial (VS-GMP) as regional models. First, we assess the model performance by comparing the results of VS-V and VS-GMP with standard Volterra and GMP models with different parameter values. We

1170

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 3. Measurement setup.

then present the measurement results implementing a VS-based DPD.

Fig. 4. Performance of the VS model in terms of NMSE (left axis) and ACEPR (right axis) as functions of the number of switching regions, when the model in . each region is a third-order complex Volterra series with memory depth A considerable improvement is obtained as the number of regions increases.

and

A. Experiment Setup In Fig. 3, the measurement setup is illustrated. The modulator is an Agilent E4438C vector signal generator (VSG), and an Agilent 54845A digital sampling oscilloscope (DSO) is used as a vector signal analyzer. The baseband in-phase/quadrature (I/Q) data is generated in MATLAB and downloaded to the VSG. The VSG modulates the data to RF and feeds it to a preamplifier to provide enough power for the main power amplifier. The pre-amplified RF signal is then fed to the power amplifier under test, which is a 100-W peak-power Doherty amplifier (NXP Semiconductors). Both the PA input and output signals are captured by the DSO and sent to the PC again, where they are down-sampled to baseband I/Q data, at a rate of 25 Msamples/s. To decrease the noise variance, a statistical averaging technique is used [27], where 100 averaged measurements serve as the basis for the experimental results. For the experiments, we use a single-carrier WCDMA signal (25 000 samples, peak-to-average power ratio 6.8 dB) with a bandwidth (BW) of 3.84 MHz, modulated to 2.6 GHz. We also use an LTE signal, with a BW of 20 MHz and peak-to-average power ratio of 11 dB, for some of the experiments. Note that model design and performance evaluation are performed using independent sets of samples of the same type of signals (WCDMA, LTE). The performance of the behavioral models in literature is evaluated in terms of many measures [28]. Here we have chosen to evaluate the in-band performance of the model by the NMSE, defined by [29] as (14) To evaluate the out-of-band performance, the ACPR and the adjacent channel error power ratio (ACEPR) are generally used. In this study, ACEPR is used for model performance evaluation, while ACPR is used as the DPD performance indicator. ACEPR and ACPR are defined as

(15)

(16) where and are the Fourier transforms of the model data and the measurement data, respectively. The integration in the denominator is over the in-band channel signal BW and the integration in the numerator is over the adjacent channels. As seen in (15) and (16), the ACPR and ACEPR are defined as the larger values computed for both the lower and upper adjacent channels. B. Performance of the VS Model In Fig. 4, the performance of the VS model is evaluated both in terms of NMSE and ACEPR as a function of the number of switching regions, when the model in each region is a third. We order complex Volterra series with memory depth see that NMSE and ACEPR improve when the number of regions is increased. In order to find the most interesting combination of parameters resulting in the best performance, the VS model is run over a large number of combinations of parameters by varying the number and dimension of regions, as well as the order and memory depth of regional models. For each parameter setting, the NMSE and the complexity in FLOPs is computed and stored. The scatter plot in Fig. 5 is an example for the case with VS-GMP when the number of switching regions is varied in the range from 1 to 64 in one or two dimensions, the nonlinear order of the GMP is varied from 1 to 5, the memory depth is changed from 0 to 4, and the cross-term length is varied from 0 to 2. Once this data is available, the convex hull of the point set is found by the help of the Qhull algorithm.2 The lowermost line of the convex hull represents the most interesting combination of parameters. Those experiments were repeated to optimize for ACEPR instead of NMSE, but the results where very similar and we have not included any figures from this test. 2Qhull code for convex hull estimation, Feb. 2010. [Online]. Available: http:// www.qhull.org

AFSARDOOST et al.: DPD USING VS MODEL

1171

TABLE I VOLTERRA, GMP, VS-V, VS-GMP PARAMETER SETTINGS, AND THE CORRESPONDING MEASUREMENT RESULTS FOR VARIOUS COMPLEXITY/PERFORMANCE COMPROMISES

Fig. 5. VS-GMP model is evaluated for a large range of parameter settings when the number of switching regions is varied in the range from 1 to 64 in one or ), the nonlinear order of the Volterra is or two dimensions ( varied from 1 to 5, the memory depth is changed from 0 to 4, the cross-term length of the GMP submodels is varied from 0 to 2, and the value of FLOPs and NMSE are indicated as dots.

Fig. 6. Comparison between the proposed VS-V and VS-GMP models with conventional models, for a Doherty power amplifier with a WCDMA input. The VS models win in every case.

The result of the described procedure is used to compare the performance of the VS-V and VS-GMP in terms of NMSE and ACEPR versus complexity with their corresponding conventional Volterra and GMP models. The results for VS-V and VS-GMP are presented in Fig. 6. It is noticed that, except for the most low-complex cases, the VS models are at least a factor of 2 less complex than the Volterra or GMP for each NMSE value. Also, comparing VS-GMP with VS-V, we note that the performance of VS-GMP is slightly better. C. DPD Results In Table I, we illustrate some of the best parameter settings associated with the points in Fig. 5 for high-, medium-, and low-complexity levels both for VS-V and VS-GMP models. In the table, we have evaluated the performance for many combinations of nonlinearity order, memory depth, etc., and we also evaluated the complexity (in FLOPs) for all these choices. Among these, we then choose the best-performing models among the subset of results with a complexity close to

Fig. 7. Output spectra of Doherty power amplifier without DPD, with DPD , , , and with based on a conventional GMP model having DPD based on the VS-GMP model having 16 regions and the same parameter setting as the GMP. The input is a WCDMA signal, BW is 3.84 MHz.

30 FLOPs. We repeated for FLOPs around 100 and also around 300. It is seen that 64 switching regions is generally a good choice; however, the number of regions decreases to 16 for the low-complex cases. These parameter settings are used in the DPD structure and the NMSE and ACPR corresponding to each case is computed, which can be compared with the NMSE of 22.55 dB and ACPR of 32.96 dB measured without DPD. It is clear from the results that neither Volterra, nor GMP can properly linearize the DUT, not even if they are allowed high complexity. However, the VS models have no problems in getting good results even for this rather difficult DUT. The power spectra of the power-amplifier output for a WCDMA input are shown in Fig. 7. The upper trace is the power amplifier output showing high spectral regrowth when no linearization is applied. The middle trace is the output spectrum with DPD based on a GMP model with , , . We see that the spectral regrowth is improved and to about 42 dB. Using DPD based on the proposed VS-GMP

1172

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Fig. 8. Output spectra of Doherty power amplifier without DPD, with DPD , , , and with based on a conventional GMP model having DPD based on the VS-GMP model having 16 regions and the same parameter setting as the GMP. The input is an LTE signal, BW is 20 MHz.

model with the same parameter setting for regional models with 16 regions increases the complexity by an insignificant amount of 12 FLOPs, and is shown to impressively further improve the spectral regrowth to about 52 dB. Note that the GMP fails to improve the DPD performance even if we increase the complexity significantly, as is evident from Table I. In Fig. 8 these measurements are repeated with a more wideband LTE signal, with similar results. Fig. 9 shows the AM/AM and AM/PM performance without DPD, with the conventional Volterra-based DPD, and with the proposed VS-V-based DPD using third-order Volterra with memory depth as regional models and 64 regions. It can be seen that the distortion both in phase and amplitude is successfully compensated and the output has a more linear behavior relative to the input by using the VS-V-based DPD compared to the conventional DPD. VII. DISCUSSION One of the concerns about switching models might be the so-called discontinuities distortion that occurs when different models are used for consecutive samples [10]. In most previously proposed models of switched or piecewise type, measures are taken to avoid any switching distortion. However, these measures have the effect that the computational complexity increases significantly, and the possibility to combine the switch with state-of-the-art DPD models is reduced. Further, the models are usually no longer possible to identify in a linear way. For a time-continuous signal, it is obvious that when the signal passes a switching boundary, the output may change stepwise if the models in neighboring regions are not perfectly matched. This can lead to undesired high-frequency components in the output. However, as we motivate here, those effects are smaller than suspected in previous reports, e.g., [10] and [17]. • The signal we feed to the model/predistorter is not a time-continuous signal. In fact, with the time resolution we use, the switching occurs for almost every sample. Such switching will not lead to high-frequency noise;

Fig. 9. AM/AM and AM/PM performance of the Doherty power amplifier with and without VS-based DPD.

instead the switching noise will be close to independent for every sample, making it no different than the modeling noise that is present in all modeling approaches. • The average NMSE/ACEPR in the predistortion is in the order from 40 to 50 dB. The distortion close to the switching boundaries is not considerably higher than the average distortion; if it were, the average would increase. However, there are cases when the switching noise can be suspected to be considerably larger than the modeling errors. If the training set is too small, some parts of the model may not be excited in the training process, and the performance in the validation phase, with independent test data not in the training set, will depend on the extrapolation properties of the model. The implicit assumption of a smooth function, as in a standard Volterra-based model, can help in the extrapolation process, but the switched model is probably less suited for extrapolation and will suffer if the training set is small. To verify our claims here, we have spent a considerable effort to “provoke” some switching distortion in the proposed model, but we have failed. We have tried to use a high number of regions, and to use only few regions, to oversample quite a lot, using simulated nonlinearities with severe nonlinear behavior, etc. to give some hints that we have distortion due to switching, but we have failed in every case. We have also tried to address

AFSARDOOST et al.: DPD USING VS MODEL

the problem theoretically using quantization theory, but failed to predict the amount of distortion that we should see due to the reason that it depends too much on the precise nature of the nonlinearity. Our conclusion is that the setup give us only negligible switching distortion. The final proof of our claims is really the experimental results; the results in terms of NMSE and ACPR of the proposed DPD algorithm are good, even without special consideration of the switching distortion, as long as the training set is not too small. Thus, the method is proved to work nicely for all tested cases. VIII. CONCLUSION A novel switching behavioral model for modeling of nonlinear amplifiers with memory is presented in this paper. With this new model, we show that the accuracy/complexity outperforms other models in the literature. Compared to traditional models, it therefore allows PAs and transmitters with irregular input/output characteristics to be successfully linearized at low computational cost. In particular, we show that the proposed switched model can linearize a Doherty better than state-of-the-art models from literature. Therefore, we strongly believe that it should also perform well for linearization of other emerging amplifier architectures with inherently nonlinear characteristics, such as envelope tracking, outphasing, or dynamic load modulation. REFERENCES [1] A. Saleh and D. Cox, “Improving the power-added efficiency of FET amplifiers operating with varying-envelope signals,” IEEE Trans. Microw. Theory Tech., vol. MTT-31, no. 1, pp. 51–56, Jan. 1983. [2] H. Chireix, “High power outphasing modulation,” Proc. IRE, vol. 23, no. 11, pp. 1370–1392, Nov. 1935. [3] F. Raab, “Efficiency of outphasing RF power-amplifier systems,” IEEE Trans. Commun., vol. COM-33, no. 10, pp. 1094–1099, Oct. 1985. [4] J. Keyzer, J. Hinrichs, A. Metzger, M. Iwamoto, I. Galton, and P. Asbeck, “Digital generation of RF signals for wireless communications with band-pass delta–sigma modulation,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2001, pp. 2127–2130. [5] W. Doherty, “A new high efficiency power amplifier for modulated waves,” Proc. IRE, vol. 24, no. 9, pp. 1163–1182, Sep. 1936. [6] J. Kim and K. Konstantinou, “Digital predistortion of wideband signals based on power amplifier model with memory,” Electron. Lett., vol. 37, no. 23, pp. 1417–1418, Aug. 2001. [7] D. Morgan, Z. Ma, J. Kim, M. Zierdt, and J. Pastalan, “A generalized memory polynomial model for digital predistortion of RF power amplifiers,” IEEE Trans. Signal Process., vol. 54, no. 10, pp. 3852–3860, Oct. 2006. [8] D. Mirri, G. Luculano, F. Filicori, G. Pasini, G. Vannini, and G. Gabriella, “A modified volterra series approach for nonlinear dynamic systems modeling,” IEEE Trans. Circuits Syst. I, Fundamen. Theory Appl., vol. 49, no. 8, pp. 1118–1128, Aug. 2002. [9] S. C. Cripps, Advanced Techniques in RF Power Amplifier Design. Norwood, MA: Artech House, 2002. [10] A. Zhu, P. Draxler, C. Hsia, T. Brazil, D. Kimball, and P. Asbeck, “Digital predistortion for envelope-tracking power amplifiers using decomposed piecewise Volterra series,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 10, pp. 2237–2247, Oct. 2008. [11] J. Cavers, “Amplifier linearization using a digital predistorter with fast adaptation and low memory requirements,” IEEE Trans. Veh. Technol., vol. 39, no. 4, pp. 374–382, Nov. 1990. [12] N. Safari, P. Fedorenko, J. Kenney, and T. Roste, “Spline-based model for digital predistortion of wide-band signals for high power amplifier linearization,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 1441–1444. [13] N. Safari, J. Tanem, and T. Roste, “A block-based predistortion for high power-amplifier linearization,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 6, pp. 2813–2820, Jun. 2006.

1173

[14] K. Gharaibeh, “Behavioral modeling of nonlinear power amplifiers using threshold decomposition-based piece wise linear approximation,” in Radio Wireless Symp., Jan. 2008, pp. 755–758. [15] Y. Shen and J. Tauritz, “A novel wideband PA behavioral model for wireless communication,” in 7th Eur. Wireless Technol. Conf., Oct. 2004, pp. 189–192. [16] Y. Shen and J. Tauritz, “A new PA behavioral model based on the linearization of multivariable nonlinearities and interpolation,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 979–982. [17] N. Safari, T. Roste, P. Fedorenko, and J. Kenney, “An approximation of Volterra series using delay envelopes, applied to digital predistortion of RF power amplifiers with memory effects,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 2, pp. 115–117, Feb. 2008. [18] J. Moon and B. Kim, “Wideband digital feedback predistortion employing segmented memory compensation for linearization of doherty amplifier,” in Eur. Microw. Conf., Sep. 2010, pp. 727–730. [19] A. Gersho and R. M. Gray, Vector Quantization and Signal Compression. Berlin, Germany: Springer, 2005. [20] A. S. Tehrani, H. Cao, S. Afsardoost, T. Eriksson, M. Isaksson, and C. Fager, “A comparative analysis of the complexity/accuracy tradeoff in power amplifier behavioral models,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 6, pp. 1510–1520, Jun. 2010. [21] S. Lloyd, “Least squares quantization in PCM,” IEEE Trans. Inf. Theory, vol. IT-28, no. 2, pp. 129–137, Mar. 1982. [22] L. Ding and G. Zhou, “Effects of even-order nonlinear terms on power amplifier modeling and predistortion linearization,” IEEE Trans. Veh. Technol., vol. 53, no. 1, pp. 156–162, Jan. 2004. [23] T. Liu, S. Boumaiza, and F. Ghannouchi, “Pre-compensation for the dynamic nonlinearity of wideband wireless transmitters using augmented Wiener predistorters,” in Proc. Asia–Pacific Microw. Conf., Suzhou, China, Dec. 2005, vol. 5, pp. 4–7. [24] C. Eun and E. Powers, “A new Volterra predistorter based on the indirect learning architecture,” IEEE Trans. Signal Process., vol. 45, no. 1, pp. 223–227, Jan. 1997. [25] L. M. Po and C. K. Chan, “Adaptive dimensionality reduction techniques for tree-structured vector quantization,” IEEE Trans. Commun., vol. 42, no. 6, pp. 2246–2257, Jun. 1994. [26] K. Sayood, Introduction to Data Compression. New York: Morgan Kaufmann, 1996. [27] C. Fager and K. Andersson, “Improvement of oscilloscope based RF measurements by statistical averaging techniques,” in IEEE MTT-S Int. Microw. Symp. Dig., 2006, pp. 1460–1463. [28] P. Landin, M. Isaksson, and P. Handel, “Comparison of evaluation criteria for power amplifier behavioral modeling,” in IEEE MTT-S Int. Microw. Symp. Dig., 2008, pp. 1441–1444. [29] M. Isaksson, D. Wisell, and D. Ronnow, “A comparative analysis of behavioral models for RF power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 348–359, Jan. 2006. Sepideh Afsardoost received the M.Sc. degree in communication engineering from the Chalmers University of Technology, Göteborg, Sweden, in 2009. She is currently with Ericsson Canada. Her research interests include signal processing in wireless communication systems, behavioral modeling for RF power amplifiers, and nonlinear system identification algorithms.

Thomas Eriksson received the Ph.D. degree in Information Theory from the Chalmers University of Technology, Götebörg, Sweden, in 1996. From 1990 to 1996, he was with the ChalmersUniversity of Technology. In 1997 and 1998, he was with Research, AT&T Laboratories, Murray Hill, NJ. In 1998 and 1999, he was with Ericsson Radio Systems AB, Kista, Sweden. Since 1999, he has been with the Chalmers University of Technology, where he is currently a Professor of communication systems. From 2003 to 2004, he was a Guest Professor with Yonsei University, Seoul, Korea. His research interests include communication, data compression, and modeling and compensation of nonideal hardware components (e.g., amplifiers and oscillators in communication transmitters and receivers).

1174

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Christian Fager received the M.Sc. and Ph.D. degrees in electrical engineering and microwave electronics from the Chalmers University of Technology, Götebörg, Sweden, in 1998 and 2003, respectively. He is currently an Associate Professor and Project Leader with the GigaHertz Centre, Microwave Electronics Laboratory, Chalmers University of Technology. His research interests are in the areas of large-signal transistor modeling and high-efficiency power amplifier architectures. Dr. Fager was the recipient of the 2002 Best Student Paper Award of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

1175

Quadrature Amplitude Modulated Backscatter in Passive and Semipassive UHF RFID Systems Stewart J. Thomas, Student Member, IEEE, Eric Wheeler, Jochen Teizer, and Matthew S. Reynolds, Senior Member, IEEE

Abstract—Passive and semipassive UHF RF identification (RFID) systems have traditionally been designed using scalar-valued differential radar cross section (DRCS) methods to model the backscattered signal from the tag. This paper argues that scalar-valued DRCS analysis is unnecessarily limiting because of the inherent coherence of the backscatter link and the complex-valued nature of load-dependent antenna-mode scattering from an RFID tag. Considering modulated backscatter in terms of complex-valued scattered fields opens the possibility of quadrature modulation of the backscatter channel. When compared with binary amplitude shift keying (ASK) or phase shift keying (PSK) based RFID systems, which transmit 1 bit of data per symbol period, and thus 1 bit per on-chip clock oscillator period, tags employing vector backscatter modulation can transmit more than 1 bit per symbol period. This increases the data rate for a given on-chip symbol clock rate leading to reduced on-chip power consumption and extended read range. Alternatively, tags employing an -ary modulator can achieve higher data throughput at essentially the same dc power consumption as a tag employing binary ASK or PSK. In contrast to the binary ASK or PSK backscatter modulation employed by passive and semipassive UHF RFID tags, such as tags compliant with the widely used ISO18000-6c standard, this paper explores a novel CMOS-compatible method for generating -ary quadrature amplitude modulated (QAM) backscatter modulation. -ary A new method is presented for designing an inductorless QAM backscatter modulator using only an array of switched resistances and capacitances. Device-level simulation and measurements of a four-state phase shift keying (4-PSK)/four-state quadrature amplitude modulated (4-QAM) modulator are provided for a semipassive (battery-assisted) tag operating in the 850–950-MHz band. This first prototype modulator transmits 4-PSK/4-QAM at a symbol rate of 200 kHz and a bit rate of 400 kb/s at a static power dissipation of only 115 nW. Index Terms—Backscatter, backscatter phase rotation, CMOS integrated circuits (ICs), passive RF identification (RFID) tags, quadrature amplitude modulated (QAM) backscatter, quadrature amplitude modulation, ultra-high-frequency (UHF) RFID. Manuscript received March 29, 2011; revised December 20, 2011; accepted December 22, 2011. Date of publication February 15, 2012; date of current version April 04, 2012. This material is based in part upon work supported by the National Science Foundation (NSF) under Grant CBET-0931924 and Grant CMMI-0800858. This work was supported in part by the Janelia Farm Research Campus, Howard Hughes Medical Institute. S. J. Thomas and M. S. Reynolds are with the Department of Electrical and Computer Engieering, Duke University, Durham, NC 27708 USA (e-mail: [email protected]; [email protected]). E. Wheeler was with the Department of Electrical and Computer Engieering, Duke University, Durham, NC 27708 USA. He is now with Google Inc., Mountain View, CA 94043 USA (e-mail: [email protected]). J. Teizer is with the School of Civil and Environmental Engineering, Georgia Institute of Technology Atlanta, GA 30332-0355 USA (e-mail: teizer@gatech. edu). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2185810

Fig. 1. Typical UHF RFID system with binary load modulation yielding ASK or PSK binary demodulated signal.

I. INTRODUCTION

U

LTRA-HIGH frequency (UHF) RF identification (RFID) systems employing modulated backscatter communication links, such as those based on the widely deployed ISO18000-6c or EPC Global Class 1 Generation 2 specification [1], have traditionally been analyzed using techniques first developed to analyze radar systems. This paper demonstrates that scalar valued differential radar cross section (DRCS) techniques are unnecessarily limiting for RFID system analysis because of the inherent coherence of the backscatter link and the complex-valued nature of load-dependent scattering from an RFID tag’s antenna. In most commonly deployed UHF RFID systems, the UHF backscatter link employs a simple binary modulation scheme, such as amplitude shift keying (ASK) or phase shift keying (PSK) backscatter generated by a two-state modulation of the impedance presented to a transponder’s antenna (Fig. 1). Since tag to reader distance is generally unknown a priori, most readers employ homodyne in-phase/quadrature (I/Q) demodulation and rotate the received constellation until it falls on the real axis before demodulating as if the signal were ASK regardless of whether the tag’s modulator is configured to generate ASK or PSK modulation. In this paper, we exploit multistate complex-valued load-dependent scattering to yield quadrature amplitude modulated (QAM) backscatter that is compatible with the homodyne reader architecture. This paper, an expanded version of [2], demonstrates the use of load-dependent scattering to generate QAM backscatter with a simple modulator suitable for single-chip CMOS implementation. The modulator presented in this study has a static power consumption of only 115 nW. Related work has presented a vector modulator based on a p-i-n diode phase shifter controlled by bias currents generated by two digital-to-analog converters (DACs) [3]. The latter circuit has a static power consumption of 80 mW, which is prohibitively high power consumption for

0018-9480/$31.00 © 2012 IEEE

1176

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

In an ASK or PSK modulation scheme, the tag’s backscattered power is usually analyzed [8] in terms of its DRCS, defined by (3) Fig. 2. Example PSK modulation circuit typical of current generation RFID tags. Figure derived from [9, Fig. 3].

either passive or semipassive tags. In contrast to the present approach, it is not suitable for monolithic implementation because p-i-n diodes and wideband quadrature hybrids are not available in standard CMOS processes. The use of QAM in passive and semipassive UHF RFID opens up many new avenues for UHF RFID, including higher spectral efficiency than is possible with ASK or PSK and improved data throughput with reduced on-chip symbol clock rate.

where is the medium impedance (usually free space), is the real portion of the antenna impedance, is a constant containing the antenna equivalent height, and is the conjugate match reflection coefficient (4) and complex load for resonant antenna impedance impedance . By relating the antenna equivalent height to its gain , we find a more convenient form for scalar-valued DRCS (5)

II. SCALAR-VALUED RADAR CROSS SECTION (RCS) IN RFID SYSTEM ANALYSIS

(6)

For a monostatic radar illuminating an uncooperative target, such as an aircraft or a ship, the reflected energy from the target is often estimated using the target’s scalar RCS. Scalar RCS in this context is simply a measure of the target’s structural scattering at a particular attitude with respect to the radar. RCS is defined in terms of incident and scattered fields (1) where is the radar to target separation and are the scattered and incident electric fields at the target. Assuming freespace propagation between the radar and target, the radar equation is then employed to estimate the magnitude of the received signal due to radar illumination of the target (2) , are signal where is target RCS as previously defined, power at the radar’s receiver and transmitter, , are the gains of the radar’s receive and transmit antennas, and is the radar’s wavelength. Unlike a typically uncooperative radar target, the goal of a passive or semipassive UHF RFID transponder or “tag” is to communicate information to the reader by modulated backscatter through a mutually agreed modulation and data format. The backscattered field consists of structural scattering, which is not intentionally modulated, as well as load-dependent scattering that is modulated with data by varying the impedance presented by the tag circuit to the tag’s antenna, as shown in Fig. 1. It has been shown that careful load selection can be used to maximize the backscattered field amplitude, as discussed in early backscatter work [4], as well as in modern UHF RFID systems [5]–[7]. A typical CMOS implementation of a binary PSK modulator, showing the switched modulating capacitance, appears in Fig. 2.

which is the form of DRCS widely used in the RFID system design community. The DRCS is frequently substituted into the radar (2) to estimate backscatter signal power versus distance. III. COMPLEX-VALUED SCATTERED FIELDS Hansen [10] previously analyzed a special case of radar scattering where the scatterer is an antenna connected to a load. This work relates Hansen’s scattering results to the UHF RFID context and extends it to multiple load impedance states. The reflected field from a tag can be decomposed into structural scattering and load-dependent scattering terms (7) is the conjugate match reflection coefficient previwhere ously defined, is the antenna current when the load is conjugate matched, and is the radiated field when the antenna is driven by current . By substituting two different complex-valued reflection coefficients from two complex-valued load impedances into (7), we find the complex-valued differential backscatter -field that is observed for the ASK or PSK backscatter (8) In contrast to the traditional DRCS of (6) that yields only scalar results, the differential -field scattering is a vector that, when demodulated, may occupy any quadrant of the I/Q plane. The critical observation is that applying carefully selected loads to the antenna will result in the scattered -field exhibiting amplitude modulation, phase modulation, or both simultaneously and independently yielding a QAM constellation. In the simplest implementation, an -ary QAM modulation scheme can be implemented with distinct load impedances

THOMAS et al.: QAM BACKSCATTER IN PASSIVE AND SEMIPASSIVE UHF RFID SYSTEMS

that are switched across the terminals of the tag’s antenna, although other implementations are possible, including a simple impedance (DAC) where weighted arrays of inductors, capacitors, and resistors are used to reduce the number of lumped elements to fewer than . In a monolithic implementation this translates to a reduction in die area, and thus a cost and yield improvement. For many types of antennas, it is possible to design such an impedance DAC with only resistors and capacitors, which makes the approach particularly suitable for CMOS integration. IV. DEMODULATION IN PASSIVE AND SEMIPASSIVE UHF RFID SYSTEMS Current passive and semipassive RFID tag modulators are designed either to modulate the real part of the tag integrated circuit’s (IC)’s reflection coefficient, yielding ASK backscatter, or the imaginary part, yielding PSK backscatter. The most common circuit implementation of ASK for passive devices switches between a matched state, which maximizes power delivered to the passive tag’s power rectifier circuitry, and a load resistance that introduces a deliberate mismatch to produce a backscatter signal. A binary PSK modulation circuit is shown in Fig. 2 where the modulating transistor switches a capacitance across the antenna’s terminals to introduce a phase shift in the scattered field. Since typical RFID readers employ homodyne receivers, the backscatter link is coherent to the reader’s transmit local oscillator. Due to this coherence, the phase of the backscatter, as observed in the demodulated baseband, changes with tag to reader distance. As the tag moves radially outward from a reader, the phase of the backscatter field incident on the receiver rotates at a rate of radians per half-wavelength of distance. At the 860–950-MHz frequencies typically used for UHF RFID, this leads to a rotation of every 16 cm. Since the reader to tag distance is usually initially unknown and both ASK and PSK modulation schemes are permitted by most RFID standards [1], the reader must be able to demodulate tag signals arriving at any phase. For binary modulation schemes such as ASK or PSK, the reader’s baseband signal processing software typically rotates the received signal vector from its arrival angle in the I/Q plane back to the in-phase axis prior to data slicing to demodulate the tag’s binary data. Most existing RFID reader hardware is therefore not restricted to binary ASK/PSK backscatter modulation. While upgraded reader baseband signal processing software would be required to demodulate -ary QAM data with some increase in computational complexity, QAM demodulation is supported by the existing RFID reader architecture. V. RECEIVED SIGNALS IN THE PRESENCE MULTIPATH AND TAG MOTION

OF

The -field at the reader’s receiving antenna is composed of three components (9) where distance-induced attenuation and phase shift of the scat, represents scattering of the tered field from the tag is transmitted signal from stationary objects in the environment, and represents all environmental sources of noise, including

1177

scattering of the transmitted signal due to reflective objects in the environment. After expanding this expression into its constituent parts, we note that the received signal -field (10) can be treated as a sum of vector components (11) composed of contributions from environmental multipath ( ), antenna structural scattering ( ), and load-dependent antenna mode scattering ( ). Since the data rate of existing UHF RFID tags is typically much greater than the rate of tag physical motion or nearby object motion, and load-dependent vector changes with the data rate, the contributions from environmental scattering and structural scattering ( ) may be assumed constant during a particular symbol. The received field (10) then reduces to (12)

The stationary field component is mixed down to dc by the reader’s homodyne receiver and rejected by the receiver’s ac-coupling or dc-offset compensation loop leaving only the desired modulated field containing data. Homodyne detection and dc rejection demodulates the load-dependent scattering component to yield the desired data. The noise-free case is shown in Fig. 3(a). In this figure, a modified Smith chart normalized to is positioned to show the range of the load-dependent antenna-mode scattering contribution ( ) when only passive load impedances are considered. Movement of nearby scatterers results in a change in the environmental multipath contribution. This translates the tag’s total scattered field within the I/Q plane, as represented in Fig. 3(b). In contrast, as shown in Fig. 3(c), changing the tag-toreader separation distance rotates total scattered field due to the distance-dependent phase shift and scales it in amplitude because of changing path loss. In the multipath case, as well as the moving-tag case, there is a fixed phase relationship between the structural scattering and antenna-mode scattering, which is desirable because it preserves the transmitted constellation. This model has been confirmed experimentally through measurements described below. VI. QAM TAG MODULATOR DESIGN AND SIMULATION Based on the observation from (7) that a careful choice of modulating load impedance can yield a scattered -field component in any quadrant of the complex plane, a series of modeling exercises were conducted to simulate a practical backscatter QAM modulator. Component choices were validated using Agilent ADS with the simplified reader system model shown in Fig. 4. Modulating impedance values can be chosen by first writing each symbol of the desired I/Q constellation in the form (13)

1178

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

Values of closer to 1 reflect increasing amounts of the incident RF power back to the reader and thus result in higher backscatter signal strength. This is the typical case for a semipassive (battery-assisted) tag, such as the one described in this study, because maximizing the backscatter signal strength gives the longest read range. Passive tags, however, must harvest their dc operating power from the incident field. Values of are typical for a passive tag to permit a majority of the incident field to be absorbed in the energy harvesting circuit. Since is a constant that relates power reflection and power transmission coefficients, the optimal value will depend on the desired balance between backscattered signal power and power delivered to the energy harvesting circuit [11], [12]. For binary ASK and PSK, this tradeoff has previously been analyzed as a function of reflection coefficient [13]. Due to government regulations limiting the reader’s transmitted power, well-designed passive RFID systems usually operate in a forward-link limited regime where the read distance is limited by the ability to deliver power to the tag. By rearranging the conjugate reflection coefficient from (4), we find a set of complex impedance values for a given I/Q constellation (15)

Fig. 3. DC coupled demodulated baseband showing the impact of each component of the received backscatter signal under multipath/environmental scattering changes, as well as distance change.

Fig. 4. Cabled test setup (simplified reader) implemented physically as well as in ADS simulation.

where represents the in-phase component and represents the quadrature component of the th symbol. In order to produce impedance values realizable with passive components, all reflection coefficients are confined within a circle about the conjugate match with magnitude . These reflection coefficients are then scaled by a constant

(14)

normalized to , the conjugate of the antenna impedance. The resulting modulating impedances will then fall in all four quadrants of a modified Smith chart normalized to . Since UHF RFID antennas are typically designed to include significant amounts of inductance in order to nearly conjugate match a capacitive tag IC impedance, all QAM modulating impedance values may be realizable using only and components. For example, an antenna designed to match the Impinj Monza4 IC [14] has an impedance . The required impedance states for a four-state phase shift keying (4-PSK)/four-state quadrature amplitude modulated (4-QAM) modulator matched to this antenna with are all capacitive [ , , , ] with pF pF pF pF , respectively. However, for a resonant antenna with approximately realvalued , modulating impedances found by solving (15) will require , , and components. This creates a problem for monolithic implementation because standard CMOS processes yield good quality resistors and capacitors, but physically large and low- inductors. In order to make CMOS integration feasible by eliminating components, a transformation from impedances to -only may be found by enforcing the constraint that (16) for all before reapplying the conversion to impedance values (15), where represents the imaginary portion of the reflection coefficients and (17)

THOMAS et al.: QAM BACKSCATTER IN PASSIVE AND SEMIPASSIVE UHF RFID SYSTEMS

1179

Fig. 6. Block diagram of the 4-PSK/4-QAM semipassive tag. In this modulator implementation, the number of discrete impedance states is equal to the number of symbols.

Fig. 5. Smith charts showing ideal and measured modulating impedances for PSK/QAM modulation scheme. a

scales the reflection coefficients by the portion of impedances above the real line. This transformation compresses the I/Q vector space of the constellation, resulting in a tradeoff of reduced backscatter amplitude compared to the implementation, but yielding the desired QAM constellation with a CMOS process. Smith charts showing the conjugate reflection coefficients of the and -only constellations are shown in Fig. 5 for a antenna where the compression of vector space spanned by adjacent symbols is apparent.

Fig. 7. Photograph of tag used for experimental data. A SP4T switch allows for four-state modulation schemes controlled by two p-i-n’s of a microcontroller.

A. Experimental Validation Based on the block diagram in Fig. 6, a discrete component semipassive tag pictured in Fig. 7 was constructed to test a 4-PSK/4-QAM backscatter modulator designed with this procedure. Four lumped impedances are connected to an antenna port through an Analog Devices ADG904 single-pole four-throw (SP4T) CMOS field-effect transistor (FET) switch that is controlled by a TI MSP430F2011 microcontroller. The microcontroller was programmed to stream 128-bit strings of pseudorandom data to modulate the four switch states at 200-k symbols/s, corresponding to a data rate of 400 kb/s. The static dc power consumption of the modulator, excluding the power consumption of the microcontroller, is 3.0 V at 38.3 nA, or 115 nW. The dynamic power consumption is shown in Fig. 8. In the prototype tag, a MSP430F2011 microcontroller consumes an additional 1–2 mA (or 3–6 mW) when generating the pseudorandom data. A CR2032 3-V lithium coin cell battery serves as a power source for the device. The values of the lumped impedances were designed using (16) to match an antenna with and . The calculated values for the L&C and C-Only cases are shown in Tables I and II . At a design center frequency of 915 MHz, the nearest standard lumped values were 8.0 pF, 2.2 pF, 22 nH, and 3.9 nH for the L&C case and 5.6 pF, 2.2 pF, 130 , and 19.1

Fig. 8. Measured dc power consumption of the 4-QAM/4-PSK modulator for varying data rates.

for the C-Only case. The capacitors have a tolerance of 0.1 pF and the inductors have a tolerance of 0.1 nH. As the carrier frequency deviates from the design center frequency, constellation distortion is introduced causing a greater error vector magnitude (EVM), reflecting increasing bit error rate (BER). Since the prototype semipassive tag is matched to 50 , a cabled test bench setup similar to that shown in Fig. 4 can be used to characterize the tag. An Agilent MXG N5181A synthesized RF source set to produce 3 dBm at 915 MHz was used as the forward link source. The reflected signal was separated using a

1180

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

TABLE I IMPEDANCE VALUES FOR 4-PSK/4-QAM MODULATOR WITH L&C , COMPONENTS WITH

TABLE II IMPEDANCE VALUES FOR 4-PSK/4-QAM MODULATOR WITH R&C ONLY, , WITH

Fig. 10. Simulation versus cabled setup measurement of BER for 4-QAM/4-PSK modulation.

Fig. 11. Test setup for over-the-air measurements.

Fig. 9. Measured I/Q baseband constellations (density plot) generated by the semipassive tag in the cabled test setup. (a) 4-QAM/4-PSK (L & C). (b) 4-QAM/4-PSK (C-Only).

MiniCircuits ZFDC-15-10 15-dB directional coupler and fed to a Linear Technology LT5575 I/Q demodulator. The baseband I and Q signals were sampled at 8-bit resolution with a sampling rate of 2 MS/s using an Agilent Infinium DSO8104 oscilloscope. Fig. 9(a) shows the received constellation generated by the L&C modulator, while Fig. 9(b) shows the received constellation generated by the C-Only modulator as captured by the oscilloscope with random I and Q data. Digitally generated additive white Gaussian noise (AWGN) was summed with the captured time-domain signal samples. A

minimum distance soft decision demodulator was used to obtain BER curves for the two constellations (L&C, and C-Only) found in Tables I and II and the Smith charts of Fig. 5. The resulting measured BER curves, shown in Fig. 10, showed good agreement with those predicted by MATLAB simulation. The final step was to connect the switched impedances to a 50- dipole antenna and perform an over-the-air test of the resulting semipassive backscatter modulator. The transmitter employed for this test consisted of an Agilent MXG N5181A synthesized signal source followed by a Mini-Circuits ZHL-5W-2G amplifier yielding a conducted output power of 30 dBm. The effective isotropic radiated power (EIRP) of this transmitter setup was 38.4 dBm given a Yagi antenna of 9-dBi gain and a cable loss of 0.6 dB. A 6-dBi patch receiving antenna was located with close proximity to the transmitting antenna to minimize the radar bistatic angle. The test setup used for gathering the over-the-air data is shown in Fig. 11. As the tag moved radially outward with respect to the reader, the baseband constellation was observed to rotate and scale as expected given the signal model presented in Fig. 3. Due to the indoor environment and the interaction with multipath signals,

THOMAS et al.: QAM BACKSCATTER IN PASSIVE AND SEMIPASSIVE UHF RFID SYSTEMS

1181

Fig. 13. Ideal impedances for a and with and without inductors.

Fig. 12. Measured and simulated over-the-air BER versus Eb/N0 in an indoor environment for 4-QAM/4-PSK implemented with both inductors and capacitors (L&C), as well as capacitors only (C-Only).

some locations in the laboratory exhibited a deep fade in the detected backscatter signal. This behavior is typical for any passive or semipassive backscatter system and is not specific to the QAM modulation method presented in this study. During initial testing in a typical indoor laboratory environment, 500 000 bits were transmitted from multiple locations. No more than 1-bit error was observed at any location within 4.5 m. This implies a BER of less than 10 over the air in a low-interference relatively controlled laboratory setting. Given the experimental setup, measurement times, and thus the number of bits accumulated for error rate measurements, are limited by oscilloscope capture memory depth. The tag was then fixed at a separation of 2.92 m from the transmit antenna and the RF power feeding the antenna was swept to produce the data shown in Fig. 12. Simulation as well as over-the-air results show the C-Only 4-QAM/4-PSK constellation performance trailed the L&C performance by a margin of approximately 1.5 dB Eb/N0 to achieve equivalent BER. This is a tradeoff due to the reduction in inter-symbol distance brought about by the compression of the C-Only constellation by the factor . VII. DISCUSSION The development of passive and semipassive RFID system models has, in most cases, followed directly from radar systems techniques. While scalar valued DRCS analysis is useful for analyzing binary ASK or PSK modulation schemes, the DRCS approach is unnecessarily limiting. Since the -field scattered from a tag antenna is complex valued, passive and semipassive RFID systems can be designed to produce -ary QAM signals with minimal increase in cost or complexity at the tag or the reader.

QAM modulator with

More versatile -ary modulation schemes also permit an important new design space for RFID systems. Since a tag’s on-chip oscillator frequency ultimately limits the symbol rate, not the bit rate, higher values of yield increased data rate for a given on-chip oscillator frequency. This, in turn, allows for fine-grained tradeoffs between on-chip power consumption, data rate, and link margin. In terms of bandwidth (spectral) efficiency and performance in the presence of AWGN, 4-QAM/4-PSK and binary PAM have equivalent performance [15]. For a given data rate, the lower symbol rate of 4-QAM/4-PSK permits the QAM modulator transistors to switch at half the frequency compared to a binary modulator. In general, an -ary QAM modulator can be clocked slower by a factor of . In the digital sections of a tag IC, careful choice of internal memory bus width, and associated data path width may also allow the clock rate driving these on-chip circuits to be correspondingly reduced. The power dissipation in all of these sections will thus decrease in accordance with the model for CMOS power dissipation. Higher order constellations can improve modulator power efficiency further. As an example, a 16-QAM constellation is shown in Fig. 13 for implementation either with or without inductors. When designed for a 50- antenna operating at 915 MHz, the C-Only implementation requires a minimum capacitance of 1.93 pF, a maximum capacitance of 12.74 pF, and a maximum resistance of 130.9 . Future work will explore the tradeoffs in the choice of and with such higher order constellations. The multistate RF-switch-based modulator presented in this paper is simple and power efficient, though it trades off die area for simplicity because switched impedances are required to implement -ary modulation. Future modulator designs can be realized with weighted combinations of , , and components to form simple impedance DACs that hold the promise of similar power consumption with reduced die area. Finally, as the behavior of backscatter links in multipath environments becomes better understood, it is expected that independent control of the backscatter amplitude and phase will allow for modulation schemes to be designed with multipath immunity as a primary goal. VIII. CONCLUSION Device-level simulation and measurements of a 4-PSK/4-QAM modulator are presented for a semipassive (battery-assisted) tag operating in the 850–950-MHz band. This first prototype modulator consumes a static power of only

1182

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 4, APRIL 2012

115 nW, but is capable of transmitting 4-PSK/4-QAM data at a rate of 200-k symbols/s or 400 kb/s. The measured power consumption shows a linear increase in modulator power as the data rate increases, as expected from the power dissipation model for CMOS switching devices. While power consumption increased with the symbol rate due to the switching devices used in the prototype, it remained insignificant when compared to the consumption levels of the low-power Texas Instruments Incorporated MSP430 microcontroller. When compared with binary ASK- or PSK-based RFID systems, tags employing vector backscatter modulation hold the promise of higher data throughput while running at a lower on-chip clock frequency, thereby reducing on-chip power consumption and extending read range. Alternatively, tags employing an -ary modulator can achieve higher data throughput at essentially the same dc power consumption as a tag employing binary ASK or PSK. Data in this paper was obtained using a discrete component semipassive tag, but the methods are equally applicable to fully passive tags. Future work will expand upon this system by implementing higher order constellations, improvements to signal processing for multipath robustness, and finally implementing a fully passive battery-free tag.

[14] “Monza 4 tag chip datasheet,” Impinj Inc., Seattle, WA, 2010. [Online]. Available: http://www.impinj.com/Documents/Tag_Chips/ Monza_4_Tag_Chip_Datasheet/, [15] J. G. Proakis and M. Salehi, Communication Systems Engineering, 2nd ed. Upper Saddle River, NJ: Prentice-Hall, 2002.

Stewart J. Thomas (S’06) received the B.S. (cum laude) and M.Eng. (magna cum laude) degrees in electrical and computer engineering from the University of Louisville, Louisville, KY in 2006 and 2008, respectively, and is currently working toward the Ph.D. degree in electrical and computer engineering at Duke University, Durham, NC. His research interests include wireless power transfer, backscatter communication, and innovations to UHF RFID technology.

Eric Wheeler received the B.S. (summa cum laude) and M.S. degrees in electrical and computer engineering from Duke University, Durham, NC, in 2010 and 2011, respectively. He is currently with Google Inc., Mountain View, CA, as a member of the Android team. His research interests include low-power signal processing and wireless network technologies.

REFERENCES [1] EPC Global US, “Class 1 Generation 2 UHF RFID Protocol for Operation at 860 MHz–960 MHz, Version 1.0.9”, , 2005. [Online]. Available: http://www.epcglobalus.org/ [2] S. Thomas and M. Reynolds, “QAM backscatter for passive UHF RFID tags,” in IEEE Int. RFID Conf., Apr. 2010, pp. 210–214. [3] M. Winkler, T. Faseth, H. Arthaber, and G. Magerl, “An UHF RFID tag emulator for preceise emulation of the physical layer,” in Eur. Wireless Technol. Conf., Sep. 2010, pp. 273–276. [4] R. B. Green, “The general theory of antenna scattering,” Ph.D. dissertation, Dept. Elect. Eng., Ohio State Univ., Columbus, OH, 1963. [5] C.-C. Yen, A. Gutierrez, D. Veeramani, and D. van der Weide, “Radar cross section analysis of backscattering RFID tags,” IEEE Antennas Propag. Lett., vol. 6, pp. 279–281, 2007. [6] S. Shrestha, M. Balachandran, M. Agarwal, L.-H. Zou, and K. Varahramyan, “A method to measure radar cross section parameters of antennas,” IEEE Trans. Antennas Propag., vol. 56, no. 11, pp. 3494–3500, Nov. 2008. [7] A. Bletsas, A. G. Dimitriou, and J. Sahalos, “Improving backscatter radio tag efficiency,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 6, pp. 1502–1509, Jun. 2010. [8] P. Nikitin, K. Rao, and R. Martinez, “Differential RCS of RFID tag,” Electron. Lett., vol. 43, no. 8, pp. 431–432, Apr. 2007. [9] U. Karthaus and M. Fischer, “Fully integrated passive UHF RFID transponder IC with 16.7- W minimum RF input power,” IEEE J. Solid-State Circuits, vol. 38, no. 10, pp. 1602–1608, Oct. 2003. [10] R. Hansen, “Relationships between antennas as scatterers and as radiators,” Proc. IEEE, vol. 77, no. 5, pp. 659–662, May 1989. [11] P. Nikitin, K. Rao, S. Lam, V. Pillai, R. Martinez, and H. Heinrich, “Power reflection coefficient analysis for complex impedances in RFID tag design,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2721–2725, Sep. 2005. [12] K. Rao, P. Nikitin, and S. Lam, “Impedance matching concepts in RFID transponder design,” in 4th IEEE Automat. Identification Adv. Technol. Workshop, 17–18, 2005, pp. 39–42. [13] J.-P. Curty, M. Declercq, C. Dehollain, and N. Joehl, Design and Optimization of Passive UHF RFID Systems. Berlin, Germany: Springer, 2007.

Jochen Teizer received the Vor-Diplom (B.S.) and Dipl.-Ing. (M.S.) degrees from the University of Karlsruhe, Karlsruhe, Germany, in 1999 and 2002, respectively, and the Ph.D. degree from The University of Texas at Austin, in 2006. He is currently an Assistant Professor with the School of Civil and Environmental Engineering (CEE), Georgia Institute of Technology, Atlanta. He is the Founder and currently the Director of the Real-time Project Information and Decision Systems Laboratory (RAPIDS), Georgia Institute of Technology. He is currently an Associate Specialty Editor for the American Society of Civil Engineering (ASCE) Journal of Construction Engineering and Management (JCEM). Dr. Teizer has served as an elected member of the Board of Directors of the International Association on Automation and Robotics in Construction (IAARC) since 2007.

Matthew S. Reynolds (S’01–M’02–SM’10) received the S.B., M.Eng., and Ph.D. degrees from the Massachusetts Institute of Technology (MIT), Cambridge, in 1998, 1999, and 2003, respectively. He is currently the Nortel Networks Assistant Professor of Electrical and Computer Engineering with Duke University, Durham, NC. He is a cofounder of the RFID systems firm ThingMagic Inc., and the demand-side energy conservation technology firm Zensi. His research interests include the physics of sensors and actuators, RFID, and signal processing. He is a member of the Signal Processing and Communications Group and the Computer Engineering Group, Duke University. Dr. Reynolds is a member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S).

Editor-in-Chief George E. Ponchak, Ph.D, FIEEE IEEE Transactions on Microwave Theory and Techniques Editorial Office c/o Mrs. Kim Tanger, Editorial Assistant Ohio Aerospace Institute 22800 Cedar Point Road Cleveland, Ohio 44142 E-mail: [email protected] or [email protected] Phone: 440-962-3023 Fax: 440-962-3057

Information for Authors The IEEE TRANSACTIONS on MICROWAVE THEORY and TECHNIQUES is published monthly with a focus on that part of engineering and theory associated with microwave/millimeter-wave technology and components, electronic devices, guided wave structures and theory, electromagnetic theory, and Radio Frequency Hybrid and Monolithic Integrated Circuits, including mixed-signal circuits, from a few 100 MHz to THz. I. Paper Submission in Electronic Form Authors need to visit the website http://www.mtt.org/transactions/34-author-information-transactions.html for the author instructions. To reduce time from submission to publication of papers, the editorial office accepts manuscripts only in electronic form as .pdf files and all communications with authors will be via email. The files must not be larger than 1MB and no *.zip files are accepted. Submissions should be submitted through the Manuscript Central site at: http://mc.manuscriptcentral.com/tmtt-ieee and use the templates provided under http://www.ieee.org/publications_standards/publications/authors/authors_journals.html (Template for all Transactions (except IEEE Transactions on Magnetics), two-column template; can also be requested from the editorial office). Figures, graphs and all other necessary information for reviewing the manuscript must be included in this file (as opposed to being attached to it as separate files) and placed at appropriate locations within the text rather than at the end: • • • • • • • • • •

Figures should be large enough to be easily readable on a computer screen and on paper when printed out. A photograph of any component or circuit presented must be included. If, at the decision of the Editor, the component or circuit can be fabricated, measured characteristics must be included. All papers with theoretical contributions must have independent verification with measurement-based validation strongly preferred. Instrument screen captures are not suitable for publication and the data should be replotted. The print version of the paper will be in black and white, but color figures may be used in the electronic version of the paper. Axes should be labeled with large lettering. Whenever possible, theory and corresponding experimental results should be printed on the same graph for easy comparison. Follow the Guidelines for Author-Supplied Electronic Text and Graphics available for download at the above website. The minimum paper length is 4 pages, excluding the authors’ photos and biographies. Short papers of three pages or less should be sent to the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. The font size is specified in the templates. TeX and LaTeX users must use scalable fonts rather than bitmapped fonts to allow easy reading of .pdf files on the computer screen. Note: Manuscripts that are related to material submitted to or published at conferences are considered only if the content is significantly updated or contains material of substantially complementary nature. Authors must reference all of their previous papers that are similar. Please attach .pdf files of previous papers and clearly state (on a separate page) the difference with respect to the current submission. Failure to disclose prior papers by the authors that are similar will be rejected. II. Final Submission Format After a manuscript has been accepted for publication, the author will be requested to provide an electronic copy of the final version of the manuscript in pdf format; Microsoft Word is the preferred format for this final submission, although TEX and LATEX formats are also acceptable. Note: Although we require a .pdf file of the manuscript for the review process, this format is not acceptable (neither is .ps) for the final submission. Some additional guidelines must, however, be followed for the submission of the final manuscript in electronic form: • Include all macros (/def) that are required to produce your manuscript (TEX and LATEX). • IEEE Transaction/Journal style dictates a 21-pica (3.5 inch) column width. If mathematical expressions are produced with this in mind, they are more aesthetically pleasing in the final version. • Figures and tables must be submitted as separate files in .ps, .eps, .doc or .tiff format III. Page Charge Papers will be reviewed for their technical merit, and decisions to publish will be made independently of an author’s ability to pay page charges. Page charges of $110 (U.S.) per printed page will be requested on papers of six printed pages or less. Overlength page charges of $200 per page are mandatory for each page in excess of six pages. If the author’s organization agrees to honor the total page charge, which includes the page charges on the first six pages plus the mandatory overlength charge, the author will receive 100 reprints. If the supporting organization honors only the mandatory charge, no free reprints will be sent.

Digital Object Identifier 10.1109/TMTT.2012.2192350

Digital Object Identifier 10.1109/TMTT.2012.2192353

EDITORIAL BOARD Editor-in-Chief: GEORGE E. PONCHAK Associate Editors: H. ZIRATH, W. VAN MOER, J.-S. RIEH, Q. XUE, L. ZHU, K. J. CHEN, M. YU, C.-W. TANG, J. PAPAPOLYMEROU, N. S. BARKER, C. D. SARRIS, C. FUMEAUX, D. HEO, B. BAKKALOGLU The following members reviewed papers during 2011

P. Aaen A. Abbaspour-Tamijani A. Abbosh D. Abbott A. Abdipour M. Abe M. Abegaonkar R. Abhari A. Abramowicz M. Acar L. Accatino R. Achar E. Ackerman J. Adam K. Agawa M. Ahmad H.-R. Ahn B. Ai M. Aikawa J. Aikio C. Aitchison M. Akaike T. Akin S. Aksoy I. Aksun A. Akyurtlu G. Ala L. Albasha A. Alexanian W. Ali-Ahmad F. Alimenti R. Allam K. Allen A. Alphones A. Alu A. Álvarez-Melcon A. Al-Zayed S. Amari H. Amasuga R. Amaya H. An D. Anagnostou M. Andersen K. Andersson M. Ando Y. Ando P. Andreani M. Andrés W. Andress K. Ang C. Angell I. Angelov Y. Antar G. Antonini H. Aoki V. Aparin F. Apollonio R. Araneo J. Archer F. Ares F. Ariaei T. Arima M. Armendariz L. Arnaut F. Arndt E. Artal H. Arthaber F. Aryanfar U. Arz M. Asai Y. Asano A. Asensio-Lopez K. Ashby H. Ashoka A. Atalar A. Atia S. Auster I. Awai A. Aydiner M. Ayza K. Azadet R. Azaro A. Babakhani P. Baccarelli M. Baginski I. Bahl S. Bajpai J. Baker-Jarvis B. Bakkaloglu M. Bakr A. Baladin C. Balanis S. Balasubramaniam J. Balbastre J. Ball P. Balsara Q. Balzano A. Banai S. Banba R. Bansal D. Barataud A. Barbosa F. Bardati I. Bardi J. Bardin A. Barel S. Barker F. Barnes J. Barr G. Bartolucci R. Bashirullan S. Bastioli A. Basu B. Bates R. Baxley Y. Bayram J.-B. Bégueret N. Behdad F. Belgacem H. Bell D. Belot J. Benedikt T. Berceli C. Berland M. Berroth G. Bertin E. Bertran A. Bessemoulin M. Beurden A. Bevilacqua A. Beyer M. Bialkowski

E. Biebl P. Bienstman S. Bila D. Blackham R. Blaikie M. Blank P. Blockley P. Blondy P. Blount D. Boccoli G. Boeck L. Boglione R. Boix G. Bonaguide F. Bonani G. Bonmassar O. Boos B. Borges V. Boria-Esbert O. Boric-Lubecke A. Borji S. Borm J. Bornemann W. Bosch R. Bosisio H. Boss G. Botta N. Boulejfen S. Boumaiza J. Bouny C. Boyd C. Bozler M. Bozzi R. Bradley D. Braess N. Braithwaite M. Brandolini G. Branner T. Brazil J. Breitbarth M. Bressan K. Breuer B. Bridges D. Bridges J. Brinkhoff E. Brown S. Brozovich E. Bryerton D. Budimir G. Burdge P. Burghignoli N. Buris C. C. Galup-Montoro B. Cabon P. Cabral L. Cabria C. Caloz C. Camacho-Peñalosa V. Camarchia E. Camargo R. Cameron M. Camiade C. Campbell M. Campovecchio F. Canavero A. Cangellaris A. Cantoni C. Cao F. Capolino F. Cappelluti G. Carchon J. Carmo K. Carr F. Carrez R. Carrillo-Ramirez P. Carro R. Carter N. Carvalho P. Casas R. Castello J. Catala M. Cavagnaro R. Caverly D. Cavigia J. Cazaux M. Celuch Z. Cendes D. Chadha M. Chae S. Chakraborty C. Chan C. Chang H. Chang K. Chang S. Chang T. Chang W. Chang E. Channabasappa H. Chapell W. Chappell C. Charles M. Chatras I. Chatterjee G. Chattopadhyay S. Chaudhuri S. Chebolu A. Cheldavi A. Chen C. Chen H. Chen J. Chen K. Chen M. Chen N. Chen S. Chen Y. Chen Z. Chen Z.-N. Chen H. Cheng K. Cheng M. Cheng Y. Cheng C. Cheon C. Chi M. Chia Y. Chiang J. Chiao A. Chin K. Chin H. Chiou Y. Chiou C. Chiu

H. Chiu A. Chizh C. Cho K. Cho T. Cho A. Choffrut C. Choi J. Choi W. Choi C. Chong M. Chongcheawchamnan C. Chou D. Choudhury E. Chow Y. Chow C. Christodoulou C. Christopoulos Q. Chu T. Chu H. Chuang M. Chuang Y. Chun S. Chung Y. Chung D. Chye A. Cidronali T. Cisco C. Cismaru O. Civi S. Clavijo M. Clénet D. Cogan P. Colantonio M. Cole J. Coleman J. Collantes R. Collin C. Collins B. Colpitts R. Compton G. Conciauro M. Condon D. Consonni A. Constanzo M. Converse K. Cools F. Cooray I. Corbella A. Costanzo S. Cotton C. Courtney G. Coutts J. Cowles J. Craninckx C. Crespo-Cadenas J. Cressler S. Cripps T. Crowe J. Cruz T. Cui E. Cullens T. Cunha W. Curtice J. Dabrowski W. Dai G. Dambrine P. Dankov F. Danneville I. Darwazeh A. Darwish N. Das M. Davidovich L. Davis D. Dawn J. Dawson H. Dayal F. De Flaviis D. De Zutter B. Deal A. Dearn J. Deen M. Dehan C. Dehollain C. Deibele G. Dejean M. DeLisio N. Deltimple S. Demir V. Demir J. Deng A. Dengi T. Denidni W. DeRaedt H. Deshpande Y. Deval R. Dey T. Dhaene L. Diaz A. Diaz-Morcillo L. Ding M. Dionigi C. Diskus A. Djordjevi T. Djordjevic J. Dobrowolski H. Dogan S. Donati X. Dong A. Dounavis P. Draxler R. Drayton A. Dreher J. Drewniak J. Duchamp A. Duffy L. Dunleavy J. Dunsmore S. Durden L. Dussopt C. Duvanaud J. East J. Ebel K. Eccleston I. Ederra R. Egri I. Ehrenberg N. Ehsan T. Eibert H. Eisele W. Eisenstadt G. Eleftheriades

F. Ellinger G. Ellis T. Ellis M. El-Nozahi M. Elsbury S. Elschner M. El-Shenawee T. Enoki K. Entesari L. Epp I. Erdin O. Ergul T. Eriksson C. Ernst D. Erricolo I. Eshrah M. Essaaidi H. Esteban C. Eswarappa W. Eyssa A. Ezzeddine C. Fager M. Fahmi Y. Fan D. Fang M. Farina A. Fathy M. Faulkner P. Fay A. Fazzi E. Fear P. Fedorenko D. Feld Y. Feng A. Feresidis A. Fernandez T. Fernandez M. Fernández-Barciela M. Ferndahl F. Fernez P. Ferrari E. Ferre-Pikal A. Ferrero M. Ferriss H. Fetterman J. Fiedziuszko S. Fiedziuszko G. Fikioris J. Fikioris I. Filanovsky F. Filicori D. Filipovic R. Fletcher B. Floyd H. Foltz N. Fong B. Fornberg F. Fortes K. Foster P. Foster P. Franzon A. Frappe J. Freire M. Freire A. Freundorfer F. Frezza I. Frigyes R. Frye J. Fu O. Fu R. Fujimoto O. Fujiwara C. Fumeaux C. Furse V. Fusco D. Gabbay E. Gad M. Gadringer N. Gagnon J. Gajadharsing A. Gala C. Galbraith B. Galwas J. Gambini A. Gameiro O. Gandhi B. Gao J. Gao S. Gao C. Gaquiere H. Garbe J. Garcia M. Garcia P. Garcia-Ducar F. Garcia-Vidal K. Gard P. Gardner P. Garland P. Gaudo J. Gautier S. Gedney B. Geelen F. Gekat B. Geller R. Genov A. Georgiadis N. Georgieva J. Gerdes W. Gerhard S. Gevorgian H. Ghali M. Ghanevati F. Ghannouchi K. Gharaibeh R. Gharpurey G. Ghione M. Ghovanloo F. Giannini A. Gibson I. Gil P. Gilabert B. Gimeno D. Ginste A. Goacher E. Godshalk A. Goel C. Goldsmith M. Golio M. Golosovsky R. Gómez-García A. Goncharenko X. Gong

R. Gonzalo S. Goodnick S. Gopalsami A. Gopinath A. Görür K. Gosalia M. Gouker K. Goverdhanam W. Grabherr J. Graffeuil L. Gragnani J. Grahn J. Grajal V. Granatstein A. Grbic A. Grebennikov I. Gresham A. Griol D. Grischowsky S. Grivet-Talocia E. Grossman S. Gruszczynski T. Grzegorczyk S. Guenneau T. Guerrero S. Gunnarsson J. Guo Y. Guo C. Gupta M. Gupta R. Gupta R. Gutmann W. Gwarek R. Habash S. Hadjiloucas D. Haemmerich M. Hagmann S. Hagness A. Halappa P. Hale D. Ham E. Hamidi O. Hammi H. Han T. Hancock A. Hanke G. Hanson Y. Hao Z. Hao R. Harjani L. Harle H. Harris P. Harrison O. Hartin J. Hasch H. Hashemi K. Hashimoto J. Haslett G. Hau S. Hauptmann L. Hayden L. He Y. He R. Heath E. Hegazi G. Hegazi S. Heinen W. Heinrich G. Heiter M. Hella R. Henderson F. Henkel B. Henning D. Heo K. Herrick F. Herzel J. Hesler J. Hesthaven K. Hettak H. Heuermann P. Heydari A. Hietala A. Higgins A. Hirata J. Hirokawa M. Ho K. Hoffmann R. Hoffmann E. Holzman V. Hombach J. Hong S. Hong W. Hong K. Honjo G. Hopkins Y. Horii J. Horng T.-S. Horng J. Horton K. Hosoya M. Hotta J. Hoversten J. Howard M. Høyerby H. Hsieh L. Hsieh C. Hsu H. Hsu J. Hsu C. Hsue R. Hu C. Huang F. Huang H. Huang P. Huang T. Huang J. Hubert W. Huei A. Hülsmann A. Hung C. Hung J. Hung I. Hunter I. Huynen H. Hwang J. Hwang K. Hwang R. Hwang G. Iannaccone K. Ikossi M. Isaksson T. Ishizaki

Digital Object Identifier 10.1109/TMTT.2012.2192351

S. Islam M. Ito K. Itoh T. Itoh Y. Itoh A. Ittipiboon F. Ivanek D. Iverson M. Iwamoto D. Jablonski D. Jachowski C. Jackson D. Jackson R. Jackson A. Jacob K. Jacobs S. Jacobsen D. Jaeger J. Jaeger S. Jagannathan N. Jain G. James M. Janezic S. Jang M. Jankovic D. Jansen L. Jansson H. Jantunen H. Jardon-Aguilar J. Jargon N. Jarosik B. Jarry P. Jarry A. Jastrzebski B. Jemison W. Jemison S. Jeng A. Jenkins S. Jeon D. Jeong J. Jeong Y. Jeong A. Jerng T. Jerse T. Jiang X. Jiang G. Jianjun D. Jiao J. Jin J. M. Jin J. Joe T. Johnson B. Jokanovic U. Jordan K. Joshin J. Joubert S. Jung T. Kaho S. Kanamaluru K. Kanaya S. Kang P. Kangaslahti B. Kapilevich I. Karanasiou M. Karim T. Kataoka A. Katz R. Kaul R. Kaunisto T. Kawai S. Kawasaki M. Kazimierczuk L. Kempel P. Kenington P. Kennedy A. Kerr D. Kettle A. Khalil W. Khalil S. Khang A. Khanifar A. Khanna R. Khazaka J. Khoja S. Kiaei J. Kiang B. Kim C. Kim D. Kim H. Kim I. Kim J. Kim S. Kim T. Kim W. Kim N. Kinayman R. King N. Kinzie S. Kirchoefer A. Kirilenko M. Kishihara T. Kitazawa J. Kitchen T. Klapwijk E. Klumperink D. Klymyshyn L. Knockaert R. Knoechel M. Koch K. Koh N. Kolias J. Komiak A. Komijani G. Kompa A. Konanur A. Konczykowska H. Kondoh B. Kopp B. Kormanyos J. Korvink P. Kosmas Y. Kotsuka S. Koziel A. Kozyrev V. Krishnamurthy H. Krishnaswamy C. Krowne J. Krupka D. Kryger H. Ku H. Kubo A. Kucar

A. Kucharski C. Kudsia A. Kudymov D. Kuester B. Kuhn W. Kuhn T. Kuki A. Kumar J. Kuno C. Kuo J.-T. Kuo H. Kurebayashi F. Kuroki L. Kushner S. Kusunoki D. Kuylenstierna Y. Kwon G. Kyriacou A. Lacaita J. Lamb P. Lampariello U. Langmann T. Larsen L. Larson J. Laskar C. Lau K. Lau A. Lauer D. Lautru P. Lavrador A. Lavrinenko A. Lazaro G. Lazzi R. Lech B. Lee C.-H. Lee C. Lee H. Lee J. Lee J.-H. Lee K. Lee R. Lee S. Lee T. Lee Y. Lee D. Leenaerts Z. Lei G. Leizerovich K. Leong Y. Leong R. Leoni C. Ler G. Leuzzi B. Levitas R. Levy C. Li L. Li M. Li X. Li L. Lianming C. Liao S. Liao D. Lie E. Lima E. Limiti F. Lin J. Lin K. Lin T. Lin Y. Lin S. Lindenmeier A. Lindner F. Ling D. Linkhart P. Linnér D. Linten D. Linton D. Lippens F. Little V. Litvinov C. Liu H. Liu J. Liu K. Liu Q. Liu S. Liu Y. Liu Z. Liu A. Llewandowski O. Llopis I. Lo L. Locht A. Loke K. Lonngren T. Lopetegi N. Lopez U. Lott G. Lovat D. Lovelace Z. Low C. Lu L. Lu S. Lu Y. Lu V. Lubecke S. Lucyszyn D. Ludwig N. Luhmann M. Lui J. Luy G. Lyons A. M. Niknejad K. Ma Z. Ma S. Maas P. Maccarini G. Macchiarella J. Machac B. Machiels M. Madihian A. Madjar G. Magerl S. Magierowski R. Mahmoudi I. Maio F. Maiwald A. Majedi H. Majedi M. Majewski M. Makimoto R. Makinen D. Malocha J. Manges

R. Mansour D. Manstretta J. Mao S. Mao F. Maradei A. Margomenos D. Markovic E. Márquez-Segura J. Martens F. Martin E. Martini K. Maruhashi J. Marzo D. Masotti A. Massa G. Massa F. Mastri J. Mateu A. Matsushima M. Mattes G. Matthaei K. Mayaram M. Mayer U. Mayer W. Mayer J. Mazeau S. Mazumder A. Mazzanti G. Mazzarella K. McCarthy G. McDonald I. McGregor M. McKinley J. McLean D. McQuiddy A. Mediano F. Medina M. Megahed I. Mehdi K. Mehrany A. Melcon R. Melville F. Mena D. Mencarelli C. Meng R. Menozzi W. Menzel P. Mercier B. Merkl F. Mesa R. Metaxas A. Metzger P. Meyer P. Mezzanotte E. Michielsen A. Mickelson D. Miller P. Millot J. Mingo F. Miranda D. Mirshekar A. Mirzaei S. Mitilineos R. Miyamoto K. Mizuno J. Modelski W. Moer M. Moghaddam A. Mohammadi S. Mohammadi A. Mohammadian P. Mohseni E. Moldovan M. Mollazadeh M. Mongiardo P. Monteiro J. Montejo-Garai G. Montoro J. Monzó-Cabrera J. Morente T. Morf D. Morgan M. Morgan A. Morini A. Morris J. Morsey A. Mortazawi M. Moussa M. Mrozowski Q. Mu J.-E. Mueller J. Muldavin K. Murata S.-S. Myoung M. Myslinski B. Nabet V. Nair K. Naishadham Y. Nakasha M. Nakatsugawa M. Nakhla J.-C. Nallatamby I. Nam S. Nam J. Nanzer T. Narhi A. Nashashibi A. Natarajan J. Nath A. Navarrini J. Navarro J. Nebus R. Negra J. Neilson B. Nelson P. Nepa A. Neri H. Newman G. Ng D. Ngo E. Ngoya C. Nguyen E. Nicol A. Nicolet S. Nicolson E. Niehenke M. Nielsen K. Nikita P. Nikitin N. Nikolova M. Nisenoff K. Nishikawa T. Nishino

G. Niu B. Noori C. Nordquist B. Notaros K. Noujeim D. Novak I. Novak G. Nusinovich K. O I. Obeid J. Obregon R. O’Dea M. O’Droma M. Odyniec J.-E. Oh T. Ohira E. Öjefors H. Okazaki V. Okhmatovski A. Oki M. Okumura G. Olbrich S. Olson F. Olyslager A. Omar K. Onodera B.-L. Ooi S. Ootaka H. Oraizi G. Orengo A. Orlandi R. Orta J. Ortega-Gonzalez S. Ortiz S. Otaka B. Otis K. Ozdemir T. Ozdemir O. Ozlem P. Paco R. Paknys S. Pal Y. Palaskas D. Palmer S. Pamarti G.-W. Pan S.-K. Pan A. Panariello K. Pance J. Papapolymerou S. Parisi C.-S. Park E. Park J.-S. Park M.-J. Park S. Park W. Park A. Parker T. Parker D. Pasquet M. Pastorino H. Pau S. Paulotto A. Pavio D. Pavlidis W. Pearson J.-C. Pedro S. Peik S. Pellerano G. Pelosi M. Pelosi D. Pelz R. Pengelly J. Pereda F. Pereira A. Perennec B. Perlman D. Peroulis L. Perregrini K. Per-Simon M. Persson M. Petelin A. Peterson A. Petosa O. Peverini U. Pfeiffer A.-V. Pham J. Phillips H. Pickett M. Pieraccini L. Pierantoni B. Pillans S. Pinel Z. Ping M. Pirola S. Pisa G. Pisano D. Pissoort D. Plant C. Plett J. Plumridge C. Pobanz A. Poddar F. Podevin R. Pogorzelski G. Ponchak A. Poon D. Popovic Z. Popovic J. Portilla M. Pospieszalski A. Pothier K. Pourvoyeur J. Powell H. Powen R. Prabhu L. Pradell S. Prasad D. Prather A. Priou S. Pruvost Y. Qian R. Qiang J. Qiu T. Quach X. Quan R. Quay C. Queck C. Quendo R. Quéré F. Quesada F. Raab V. Radisic

M. Raffetto A. Raffo T. Rahkonen R. Raich A. Raisanen O. Ramahi M. Ramdani R. Ranson P. Rantakari L. Ranzani P. Ratajczak H. Rategh C. Rauscher J. Rautio T. Rautio B. Rawat J. Rayas-Sanchez G. Rebeiz J. Rebollar M. Reddy J. Reid R. Reid J. Reina-Tosina S. Reising B. Rembold K. Remley R. Renaut S. Rengarajan D. Resca P. Reynaert S. Reynolds A. Rezazadeh E. Rezek S. Ricci A. Riddle L. Rienzo D. Ritter E. Rius J. Rizk V. Rizzoli M. Roberg I. Robertson P. Roblin A. Roden C. Rodenbeck W. Rodriguez F. Rodriguez-Morales M. Rodwell A. Rofougaran R. Rogers H. Rogier U. Rohde V. Rokhlin Y. Rolain J.-M. Rollin R. Romanofsky S. Romisch G. Romo Y. Rong D. Rönnow D. Root N. Rorsman M. Rosario L. Roselli A. Rosen U. Rosenberg M. Rosker T. Roste F. Rotella E. Rothwell R. Rotman P. Rovati J. Roy L. Roy M. Roy T. Rozzi T. Rubaek J. Rubio D. Rudolph M. Rudolph A. Ruehli C. Ruppel A. Rydberg J. Ryynänen C. Saavedra F. Sabath K. Sachse B. Sadler N. Safari A. Safarian A. Safavi-Naeini A. Safwat P. Saha K. Saito I. Sakagami S. Sakhnenko T. Samaras J. Sambles C. Samori A. Sanada J. Sanchez S. Sancho K. Sano A. Santarelli H. Santos S. Sanyal K. Sarabandi T. Sarkar C. Sarris H. Sato P. Saunier M. Sawan H. Sayadian A. Sayeed W. Scanlon E. Schamiloglu J. Schellenberg M. Schindler E. Schlecht E. Schmidhammer L.-P. Schmidt S. Schmidt D. Schmitt F.-J. Schmueckle J. Schoebel D. Schreurs D. Schrijver A. Schuchinsky P. Schuh L. Schulwitz K. Schünemann J. Schutt-Aine

J. Scott F. Sechi K. Sellal V. Semenov E. Semouchkina K.-S. Seo J. Sercu A. Serebryannikov J. Sevic O. Sevimli F. Seyfert L. Shafai A. Shameli O. Shanaa Z. Shao I. Shapir A. Sharma S. Sharma J. Sharp D. Sheen T. Shen Z. Shen Y. Shestopalov J. Shi Y.-Q. Shi H. Shigematsu Y. Shih H. Shin S. Shin S.-H. Shin N. Shino W. Shiroma S. Shitov K. Shu D. Shyroki D. Sievenpiper C. Silva D. Silveira M. Silveirinha K. Silvonen W. Simbuerger G. Simin R. Simons C. Simovsky J. Simpson V. Simulik D. Simunic H. Singh D. Sinnott Z. Sipus C. Siviero H. Sjöland M. Slazar-Palma R. Sloan P. Smith C. Snowden R. V. Snyder M. Sobhy A. Sodagar N. Sokal K. Solbach J. Sombrin Y.-K. Song R. Sorrentino A. Soury E. Sovero J. Sowers R. Sperlich B. Spielman K. Stadius P. Staecker D. Staiculescu D. Stancil A. Stancu A. Stanitzki S. Stapleton J. Staudinger P. Stauffer B. Stec D. Steenson P. Steenson M. Steer G. Stegmayer J. Stenarson B. Stengel K. Stephan C. Stevens N. Stevens M. Steyaert J. Stiens I. Stievano S. Stitzer M. Straayer B. Strassner A. Street W. Struble M. Stubbs M. Stuchly B. Stupfel A. Suárez G. Subramanyam T. Sudo N. Suematsu T. Suetsugu C. Sullivan F. Sullivan A. Sulyman N. Sun S. Sun X. Sun R. Sutton K. Suzuki J. Svacina M. Swaminathan D. Swanson B. Szendrenyi W. Tabbara A. Taflove Y. Tajima T. Takagi M. Takahashi I. Takenaka T. Takenaka V. Talanov S. Talisa K.-W. Tam B. Tan E. Tan J. Tan T. Tanaka C.-W. Tang W.-C. Tang

X.-H. Tang T. Taris R. Tascone P. Tasker J. Taub J. Tauritz V. Tavares S. Taylor D. Teeter R. Temkin M. Tentzeris V. Teppati J.-P. Teyssier N. Thakor H. Thal J. Tham M. Thumm M. Tiebout E. Tiiliharju M.-R. Tofighi P. Tognolatti T. Toifl T. Tokumitsu A. Tombak A. Topa E. Topsakal H. Torres-Silva G. Town S. Tretyakov R. Trew P. Troyk C. Trueman A. Truitt C.-M. Tsai Z.-M. Tsai J. Tsalamengas C.-H. Tseng T. Tsiboukis J. Tsui M. Tsutsumi S. H.-L. Tu W.-H. Tu N. Tufillaro V. Turin G. Twomey C.-K. Tzuang T. Ueda V. Urick K. U-Yen N. Uzunoglu T. Vähä-Heikkilä R. Vahldieck A. Valdovinos G. Vandenbosch K. Vanhille D. Vanhoenacker-Janvier G. Vannini L. Vardapetyan G. Vasilescu C. Vaucher J. Vaz L. Vegni G. Vendelin S. Verdeyme M. Vérez A. Verma J. Verspecht P. Vial H.-O. Vickes A. Victor L. Vietzorreck C. Vittoria S. Vitusevich R. Voelker S. Voinigescu J. Volakis A. Vorst M. Vossiek M. Vouvakis B. Vowinkel L. Vreede K. Vryssas C. Wagner B. Waldmann P. Waldow A. Walker P. Wambacq S. Wane B.-Z. Wang C. Wang C.-F. Wang C.-J. Wang E. Wang F. Wang H. Wang J. Wang K.-C. Wang N. Wang X. Wang Y. Wang Y.-H. Wang Z.-G. Wang C. Ward J. Ward W. Wattanapanitch J. Webb D. Webster R. Webster S. Wedge J. Weem X. Wei D. Weide R. Weigel R. Weikle C. Weil T. Weiland D. Weile S. Weinreb M. Weiss S. Weiss T. Weller C. Wen G. Wen S. Wentworth D. Wentzloff R. Wenzel J. Whelehan J. Whitaker J. White J. Wiart M. Wickert

A. Wiesbauer J. Wight D. Willems B. Willemsen D. Williams A. Williamson J. Wilson J. Wiltse T. Winkel K. Wise D. Wisell M. Wolf E. Wollack G. Wollenberg F. Wong K. Wong M. Wong S. Wong K. Woo J. Wood G. Woods D. Woolard C. Wu J.-M. Wu K.-L. Wu K. Wu L. Wu R.-B. Wu T. Wu T.-L. Wu R. Wylde T. Wysocki M. Xia S. Xiang J. Xiao Y. Xiao C. Xie J. Xu S. Xu Q. Xue M. Yagoub T. Yakabe A. Yakovlev K. Yamamoto K. Yamauchi W. Yan C.-L. Yang F. Yang N. Yang X. Yang Y. Yang Z. Yang F. Yanovsky H.-W. Yao J. Yao A. Yarovoy Y. Yashchyshyn K. Yashiro K. Yasumoto J. Yau S. Ye J. Yeh K.-S. Yeo S.-P. Yeo K.-W. Yeom L.-K. Yeung W.-Y. Yin X.-S. Yin S. Yngvesson D. Yongsheng D. Yoo H.-J. Yoo J.-G. Yook E. Yoon J.-B. Yoon R. York S. Yoshikado A. Young B. Young D. Young P. Young W. Young H.-K. Yu M. Yu P. Yu R. Yu W. Yu Y. Yu M. Yuan M. Yuce S.-W. Yun F. Zabini J. Zaeytijd K. Zaki P. Zampardi J. Zapata L. Zappelli C. Zelley P. Zhai C. Zhang F. Zhang G. Zhang H. Zhang J. Zhang N. Zhang Q.-J. Zhang R. Zhang Y. Zhang A.-P. Zhao Y.-J. Zhao Y. Zhao Y. Zheng Q. Zhiguo H. Zhou A. Zhu L. Zhu N.-H. Zhu X. Zhu J. Zhuang H. Zirath