JANUARY 2012 
IEEE MTT-V060-I01 (2012-01) [60, 1 ed.]

Citation preview

JANUARY 2012

VOLUME 60

NUMBER 1

IETMAB

(ISSN 0018-9480)

PAPERS

Theory and Numerical Methods Multi-Mode Coupling Wave Theory for Helically Corrugated Waveguide ...... ......... ......... ........ ........ L. Zhang, W. He, K. Ronald, A. D. R. Phelps, C. G. Whyte, C. W. Robertson, A. R. Young, C. R. Donaldson, and A. W. Cross Passivity Enforcement for Admittance Models of Distributed Networks Using an Inverse Eigenvalue Method . ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... . C. S. Saunders and M. B. Steer

1

Passive Components and Circuits Extended Passband Bandstop Filter Cascade With Continuous 0.85–6.6-GHz Coverage ........ ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... .. E. J. Naglich, J. Lee, D. Peroulis, and W. J. Chappell Design Method for Ultra-Wideband Bandpass Filter With Wide Stopband Using Parallel-Coupled Microstrip Lines .. .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ .... A. M. Abbosh Design of a Broadband Eight-Way Coaxial Waveguide Power Combiner ....... ......... ......... M. Amjadi and E. Jafari

21

Hybrid and Monolithic RF Integrated Circuits -Band CMOS Differential and Quadrature Voltage-Controlled Oscillators for Low Phase-Noise and Low-Power Applications ... ......... ........ ......... ......... ........ ......... ......... ........ ......... ..... H.-Y. Chang and Y.-T. Chiu Low-Voltage -Band Divide-by-3 Injection-Locked Frequency Divider With Floating-Source Differential Injector ... .. .. ........ ......... ......... ........ ......... ......... ..... Y.-T. Chen, M.-W. Li, H.-C. Kuo, T.-H. Huang, and H.-R. Chuang Compact Wideband Linear CMOS Variable Gain Amplifier for Analog-Predistortion Power Amplifiers ....... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ..... Y.-Y. Huang, W. Woo, H. Jeon, C.-H. Lee, and J. S. Kenney A Dual-Mode CMOS RF Power Amplifier With Integrated Tunable Matching Network ........ ........ ......... ......... .. .. ........ ......... ......... ........ ......... ........ Y. Yoon, J. Kim, H. Kim, K. H. An, O. Lee, C.-H. Lee, and J. S. Kenney Switching Behavior of Class-E Power Amplifier and Its Operation Above Maximum Frequency ....... ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ..... S. Jee, J. Moon, J. Kim, J. Son, and B. Kim

8

31 39

46 60 68 77 89

(Contents Continued on Back Cover)

(Contents Continued from Front Cover) Broadband Doherty Power Amplifier via Real Frequency Technique .... ........ .... ...... ........ G. Sun and R. H. Jansen A 17–35 GHz Broadband, High Efficiency PHEMT Power Amplifier Using Synthesized Transformer Matching Technique ...... ......... ........ ......... . ........ ........ ......... ....... P.-C. Huang, Z.-M. Tsai, K.-Y. Lin, and H. Wang A 50-Mb/s CMOS QPSK/O-QPSK Transmitter Employing Injection Locking for Direct Modulation . ......... ......... .. .. ........ ......... ......... ........ ......... ....... S. Diao, Y. Zheng, Y. Gao, S.-J. Cheng, X. Yuan, M. Je, and C.-H. Heng An Open-Loop Half-Quadrature Hybrid for Multiphase Signals Generation .... ......... ......... H.-S. Chen and L. H. Lu A Phased Array RFIC With Built-In Self-Test Capabilities ...... ......... ........ ..... O. Inac, D. Shin, and G. M. Rebeiz Instrumentation and Measurement Techniques Fast Multiharmonic Active Load–Pull System With Waveform Measurement Capabilities ...... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... .. M. Thorsell and K. Andersson Broadband Electromagnetic Modeling of Woven Fabric Composites .... ........ ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ........ M. S. Mirotznik, S. Yarlagadda, R. McCauley, and P. Pa Low-DC Voltage-Controlled Steering-Antenna Radome Utilizing Tunable Active Metamaterial ....... ......... ......... .. .. ........ ......... ......... ........ . T. Jiang, Z. Wang, D. Li, J. Pan, B. Zhang, J. Huangfu, Y. Salamin, C. Li, and L. Ran Conformal Ink-Jet Printed -Band Phased-Array Antenna Incorporating Carbon Nanotube Field-Effect Transistor Based Reconfigurable True-Time Delay Lines ........ ........ .. M. Y. Chen, D. Pham, H. Subbaraman, X. Lu, and R. T. Chen RF Applications and Systems Distortion Mechanisms Originating From Modal Noise in Radio Over Multimode Fiber Links . ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ... G. Alcaro, D. Visani, L. Tarlazzi, P. Faccin, and G. Tartarini The Performance of a Fiber-Optic Link Using Unbiased Balanced Photodiodes for Antenna Array Calibration ........ .. .. ........ ......... ......... ........ J. F. Diehl, V. J. Urick, C. S. McDermitt, F. Bucholtz, P. S. Devgan, and K. J. Williams

99 112 120 131 139

149 158 170 179

185 195

LETTERS

Comments on “A Compact Dual-Band 90 Coupler With Coupled-Line Sections” ..... ......... . ....... ....... M.-J. Park

201

Information for Authors .. ........ ......... ......... ........ ......... .......... ........ ......... ......... ........ ......... ......... .

202

IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY

The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society upon payment of the annual Society membership fee of $17.00, plus an annual subscription fee of $23.00 per year for electronic media only or $46.00 per year for electronic and print media. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only. ADMINISTRATIVE COMMITTEE N. KOLIAS, President A. ABUNJAILEH S. BARBIN L. BOGLIONE

M. GUPTA President Elect

T. BRAZIL W. CHAPPELL

G. LYONS, Secretary

N. KOLIAS S. KOUL

M. GOUKER K. ITOH

T. LEE M. MADIHIAN

Honorary Life Members

T. ITOH A. A. OLINER

W. CHAPPELL, Treasurer

A. MORTAZAWI V. NAIR

Distinguished Lecturers

P. STAECKER K. TOMIYASU

J-C. CHIAO M. GUPTA

S. KOUL L. PIERANTONI

D. PASQUET G. PONCHAK D. SCHREURS

R. SORRENTINO B. SZENDRENYI R. WEIGEL

D. SCHREURS J. WOOD

K. WU Q. XUE

Past Presidents

R. SNYDER (2011) S. M. EL-GHAZALY (2010) B. PERLMAN (2009)

MTT-S Chapter Chairs Albuquerque: H. J. WAGNON Atlanta: K. NAISHADHAM Austria: A. SPRINGER Baltimore: J. SCHOFIELD Bangalore/India: K. VINOY Beijing: Z. FENG Belarus: A. GUSINSKY Benelux: G. VANDENBOSCH Boston: J. MULDAVIN Bombay/India: M. KADU Brasilia: J. BEZERRA/ M. VINICIUS ALVES NUNES Buenaventura: M. QUDDUS Bulgaria: K. ASPARUHOVA Canada, Atlantic: Z. CHEN Cedar Rapids/Central Iowa: M. ROY Central Indiana: C. FULTON Central & South Italy: L. TARRICONE Central No. Carolina: M. HUGHES Central Texas: J. PRUITT Chengdu: Z. NEI Chicago: D. ERRICOLO Cleveland: M. SCARDELLETTI Columbus: D. PSYCHOUDAKIS Connecticut: C. BLAIR Croatia: D. BONEFACIC Czech/Slovakia: J. DRINOVSKY Dallas: C. SANABRIA Dayton: A. TERZUOLI

Editor-In-Chief GEORGE E. PONCHAK NASA Glenn Research Center Cleveland, OH USA Editorial Assistant KIM TANGER OAI USA

Delhi/India: S. KOUL Delhi/India, Amity Univ: J. P. GODARA Delhi/India, ABES Eng. College: S. MITTAL Delhi/India, IIT Delhi: M. S. PARIHAR Delhi/India, Jamia Millia Islamia: A. KHAN Delhi/India, Rajasthan Tech. Univ.: P. K. SHARMA Delhi/India, Swami Keshvanand Inst.: A. S. POONIA Denver: M. JANEZIC Eastern No. Carolina: T. NICHOLS Egypt: E. HASHEESH Finland: A. LUUKANEN Florida West Coast: J. WANG Foothills: F. FREYNE France: P. EUDELINE Germany: G. BOECK Greece: R. MAKRI Gujarat/India: R. J. SHARMA Harbin: Q. WU Hawaii: R. MIYAMOTO Hong Kong: Q. XUE Houston: S. A. LONG Houston, College Station: G. H. HUFF Hungary: L. NAGY Huntsville: E. GREGORIAN Hyderabad: L. MERUGU India/Calcutta: D. GUHA

India: D. BHATNAGER Indonesia: E. T. RAHARDO Israel: S. AUSTER Japan: K. HONJO Kansai: I. AWAI Kitchener-Waterloo: R. R. MANSOUR Lebanon: E. NASSAR Lithuania: V. URBANAVICIUS Long Island/New York: J. COLOTTI Los Angeles, Coastal: V. RADISIC Los Angeles, Metro/San Fernando: T. CISCO Macau: K. W. TAM Madras/India: S. SALIVAHANAN Malaysia: M. ESA Malaysia, Penang: B. L. LIM Melbourne: R. BOTSFORD Milwaukee: S. G. JOSHI Mohawk Valley: E. P. RATAZZI Monterrey/Mexico: R. M. RODRIGUEZ-DAGNINO Morocco: M. ESSAAIDI Montreal: K. WU Morocco: M. ESSAAIDI Nagoya: T. OHIRA Nanjing: W. XUN Nanjing/Southeast Univ: W. HONG New Hampshire: D. SHERWOOD New Jersey Coast: J. SINSKY New South Wales: K. ESSELLE New Zealand: A. WILLIAMSON North Italy: G. VECCHI North Jersey: K. DIXIT

Northern Australia: J. MAZIERSKA Northern Canada: M. DANESHMAND Northern Nevada: B. S. RAWAT Norway: K. M. GJERTSEN Orange County: H. J. DE LOS SANTOS Oregon: K. MAYS Orlando: S. EBADI Ottawa: Q. YE Philadelphia: Q. VASSEUR Phoenix: S. ROCKWELL Poland: J. PIOTROWSKI Portugal: N. BORGES DE CARVALHO Princeton/Central Jersey: A. KATZ Queensland: A. ROBINSON Rio de Janeiro: J. R. BERGMANN Rochester: G. PETTIS Romania: G. LOJEWSKI Russia, Moscow: V. A. KALOSHIN Russia, Nizhny-Novgorad: G. L. PAKHOMOV Russia, Novosibirsk: A. YAROSLAVTSEV Russia, Saratov/Penza: N. M. RYSKIN Russia, Saint Petersburg: S. P. ZUBKO Russia, Tomsk: R. V. MESCHERIAKOV San Diego: J. TWOMEY Santa Clara Valley/San Francisco: N. SHAMS Seattle: L. CAI Seoul: C. SEO Serbia and Montenegro: B. MILOVANOVIA Shanghai: J. MAO Singapore: M. ONG LING CHUEN South Africa: R. GESCHKE

South Australia: C. FUMEAUX South Brazil: J. R. BERGMANN Southeastern Michigan: T. OZDEMIR Southern Alberta: E. FEAR Spain: J. I. ALONSO Springfield: P. R. SIQUEIRA Sri Lanka: V. R. HERATH Sweden: A. RYDBERG Switzerland: M. MATTES Syracuse: H. P. PARTAL Taegu: Y.-H. JEONG Tainan: T. HORNG Taipei: C.-S. LU Thailand: P. AKKARAEKTHALIN Toronto: G. V. ELEFTHERIADES Tucson: H. XIN Tunisia: A. GHARSALLAH Turkey: B. SAKA Twin Cities: M. J. GAWRONSKI UK/RI: A. REZAZADEH Ukraine, Kiev: Y. PROKOPENKO Ukraine, Rep. of Georgia: G. GHVEDASHVILI Ukraine, Vinnitsya: V. M. DUBOVOY Ukraine, West: I. IVASENKO Uttar Pradesh/India: N. ALAM Utter Pradesh/India: R. C. AGARWAL Vancouver: S. MCCLAIN Venezuela: J. B. PENA Victoria: K. GHORBANI Virginia Mountain: T. A. WINSLOW Washington DC/Northern Virginia: M. NUESLEIN Winnipeg: V. OKHMATOVSKI Xian: X. SHI

Associate Editors HERBERT ZIRATH Chalmers Univ. Technol. Goteborg, Sweden WENDY VAN MOER Vrije Universiteit Brussel Brussels JAE-SUNG RIEH Korea Univ. Seoul, Korea QUAN XUE City Univ. Hong Kong Hong Kong LEI ZHU Nanyang Technol. Univ. Singapore

KEVIN J. CHEN Hong Kong Univ. Sci. Technol. Hong Kong MING YU COM DEV Cambridge, ON, Canada CHIN-WEN TANG Nat. Chung Cheng Univ. Taiwan JOHN PAPAPOLYMEROU Georgia Inst. Technol. Atlanta, GA USA

J. WOOD, Editor-in-Chief, IEEE Microwave Magazine C. TZUANG, Editor-in-Chief, IEEE Microwave and Wireless Component Letters

N. SCOTT BARKER Univ. Virginia Charlottesville, VA USA COSTAS D. SARRIS Univ. Toronto. Toronto, ON, Canada CHRISTOPHE FUMEAUX The Univ. Adelaide Adelaide, South Australia, Australia DEUKHYOUN HEO Washington State Univ. Pullman, WA USA BERTAN BAKKALOGLU Arizona State Univ. Tempe, AZ USA

P. H. SIEGEL, Editor-in-Chief, IEEE Trans. Terahertz Science and Technology T. LEE, Web Master

IEEE Officers MICHAEL R. LIGHTNER, Vice President, Educational Activities DAVID A. HODGES, Vice President, Publication Services and Products HOWARD E. MICHEL, Vice President, Member and Geographic Activities STEVE M. MILLS, President, Standards Association FREDERICK C. MINTZER, Vice President, Technical Activities JAMES M. HOWARD, President, IEEE-USA

GORDON W. DAY, President PETER W. STAECKER, President-Elect CELIA L. DESMOND, Secretary HAROLD L. FLESCHER, Treasurer MOSHE KAM, Past President

PETER N. CLOUT, Director, Division IV—Electromagnetics and Radiation

IEEE Executive Staff DR. E. JAMES PRENDERGAST, Executive Director & Chief Operating Officer THOMAS SIEGERT, Business Administration MATTHEW LOEB, Corporate Activities DOUGLAS GORHAM, Educational Activities EILEEN LACH, General Counsel & Corporate Compliance BETSY DAVIS, SPHR, Human Resources CHRIS BRANTLEY, IEEE-USA

ALEXANDER PASIK, Information Technology PATRICK MAHONEY, Marketing CECELIA JANKOWSKI, Member and Geographic Activities ANTHONY DURNIAK, Publications Activities JUDITH GORMAN, Standards Activities MARY WARD-CALLAN, Technical Activities

IEEE Periodicals Transactions/Journals Department Staff Director: FRAN ZAPPULLA Editorial Director: DAWN MELLEY Production Director: PETER M. TUOHY Managing Editor: MONA MITTRA Senior Editor: CHRISTINA M. REZES

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, Piscataway, NJ 08854-4141. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $157.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee indicated in the code at the bottom of the first page is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, Piscataway, NJ 08854-4141. Copyright © 2012 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE, 445 Hoes Lane, Piscataway, NJ 08854-4141. GST Registration No. 125634188. CPC Sales Agreement #40013087. Return undeliverable Canada addresses to: Pitney Bowes IMEX, P.O. Box 4332, Stanton Rd., Toronto, ON M5W 3J4, Canada. IEEE prohibits discrimination, harassment and bullying. For more information visit http://www.ieee.org/nondiscrimination. Printed in U.S.A.

Digital Object Identifier 10.1109/TMTT.2011.2181011

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

1

Multi-Mode Coupling Wave Theory for Helically Corrugated Waveguide Liang Zhang, Wenlong He, Kevin Ronald, Alan D. R. Phelps, Colin G. Whyte, Craig W. Robertson, Alan R. Young, Craig R. Donaldson, and Adrian W. Cross

Abstract—Helically corrugated waveguide has been used in various applications such as gyro-backward wave oscillators, gyro-traveling wave amplifier and microwave pulse compressor. A fast prediction of the dispersion characteristic of the operating eigenwave is very important when designing a helically corrugated waveguide. In this paper, multi-mode coupling wave equations were developed based on the perturbation method. This method was then used to analyze a five-fold helically corrugated waveguide used for X-band microwave compression. The calculated result from this analysis was found to be in excellent agreement with the results from numerical simulation using CST Microwave Studio and vector network analyzer measurements. Index Terms—Coupling coefficients, coupling wave theory (CWT), dispersion curve, helically corrugated waveguide.

I. INTRODUCTION

S

TRAIGHT hollow metal waveguides with uniform cross section can only support modes whose phase velocity is larger than the speed of light, while waveguides with periodic corrugations can propagate modes with phase velocity less than the speed of light and are suitable for particle beam-wave interactions. Periodically corrugated waveguides have been used in many applications, including slow-wave structures with axial periodicity for conventional backward wave oscillators (BWOs) and traveling wave tubes (TWTs)[1], and magnetrons [2] with azimuthal periodicity. Corrugated waveguide structures have also been used as Bragg reflectors [3], [4]. The helically corrugated waveguide, which contains both axial and azimuthal periodicity, has attracted significant interest in the last 10 years and has successfully found applications in the gyrotron traveling wave amplifier (gyro-TWA) [5], [6], as a dispersive medium for frequency-swept microwave pulse compression[7], [8] and in the gyrotron backward wave oscillator (gyro-BWO)[9], [10]. The electrodynamic properties of the helically corrugated waveguide are important, as the dispersion characteristic of the operating eigenwave can be changed by Manuscript received March 28, 2011; revised September 13, 2011; accepted September 20, 2011. Date of publication November 02, 2011; date of current version December 30, 2011. This work was supported by the U.K. EPSRC Research Grant EP/E058868/1. The work of L. Zhang was supported by SUPA and SORSAS under the award of a SUPA prize research studentship. The authors are with SUPA, Department of Physics, University of Strathclyde, Glasgow, G4 0NG, Scotland, U.K. (e-mail: [email protected]; [email protected]; [email protected]; [email protected]; colin. [email protected]; [email protected]; [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2170848

choosing different corrugation depths and corrugation periods to meet the needs of different applications. In a gyro-TWA or gyro-BWO, a constant group velocity in the operating frequency range is desired, while in a microwave compressor, a linearly varying group velocity in the frequency band is preferred. Several methods have been developed to investigate the dispersion characteristics of the helically corrugated waveguide by analytical and numerical techniques. The simplest and fastest method to calculate the dispersion curves is the coupled wave theory based on the method of perturbation [11]–[13]. It assumes that the change in the cross section is small and can be treated as a first order derivative of a regular cross section. Reference [12] gives the dispersion relation between two TE modes and [11] gives the results of the coupling coefficients between two TE modes, two TM modes, and one TE mode with one TM mode but no derivations were presented. It is found that when the corrugation depth is less than 15% of the mean radius of the waveguide, the coupled wave theory gives reasonably accurate results. The coupled wave theory played an important role in the preliminary design of the three-fold helical waveguide in which the dispersion of the operating eigenwave is simply a result from the coupling between two TE modes in the operating frequency mode and mode. range, i.e., the spatial harmonic However, the three-fold helical waveguide has limited power capability. A helical waveguide working with a higher eigenwave mode can achieve higher power capability as a larger radius can be used. However operating with higher mode means more modes would take part in the coupling and therefore the resultant dispersion curve would be more complicated to calculate. For example, in a five-fold helical waveguide the spatial mode would couple with the , , harmonic of the and modes. The coupled mode equation, which only considers two TE modes, would therefore not be accurate in obtaining the dispersion characteristics of the five-fold helical waveguide. Necessary modifications to include the coupling between TE and TM modes are required and will be presented in this paper. The dispersion curve of the helically corrugated waveguide can also be numerically simulated by using some computer codes, such as the finite-element method (FEM), the eigensolver in CST Microwave Studio, the electromagnetic field solver in the particle-in-cell (PIC) code MAGIC and the transient solver in CST Microwave Studio, which use a finite-difference time-domain method (FDTD) [14]. By using these codes, accurate results can be obtained if the mesh grid is dense enough. However, these 3-D simulations have the disadvantage of requiring long computational times to complete the simulations,

0018-9480/$26.00 © 2011 IEEE

2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

for example, two or three days on a powerful desktop PC using a reasonably dense mesh grid and they are therefore not suitable for optimizing the dimensions of the helical waveguide for a particular application. It should be noticed that the helically corrugated waveguide can also be regarded as a type of twisted waveguide. A helicoidal coordinate transform can be employed to convert the twisted waveguide into a straight uniform waveguide, thus downgrading the 3-D problem into a 2-D one [15]. The price of using this technique is the uniform material properties in the twisted waveguide will become non-uniform and position dependent. This transformation method can greatly reduce the computing time in resolving the eigenwave dispersion when applying the FEM and FDTD methods in the 2-D geometries [16], [17]. It should be noted that the dispersions of the coupled waves and those initial partial waves are all existing and calculated at the same time when this method is used. The dispersion curves of these modes would be interweaved and very close to each other, especially when a higher modes are considered. Therefore the dispersion curves of the operating eigenwaves of the helically corrugated waveguide would be difficult to identify. The field patterns of the eigenmodes can be helpful when identifying the operating dispersion curve, however, it is very difficult to recognize by computer automatically, thus it is not suitable for the optimization routing. On the other hand, this operating eigenwave from the transformation method can be easily identified by using the calculated result from the coupled wave theory as a reference. It is evident that the analytical calculation using the coupled wave theory is very useful in the preliminary design of the helically corrugated waveguide. It is also useful to accurately and rapidly predict the dispersion of the eigenwave when used in combination with the transformation method. In this paper, a detailed description of the coupling coefficient between TE modes and TM modes and the extended eigenwave equations caused by multi-mode coupling are discussed in Section II. Dispersion calculation of a five-fold helically corrugated waveguide is described in Section III. The comparison between the theoretical calculation and the experiment is presented in Section IV.

Fig. 1. Coupling between the TE mode and the spatial harmonic mode in a three-fold helical waveguide.

TE

mode In three-fold helically corrugated waveguide, the would couple with the circularly polarized mode of opposite rotation and generate an operating eigenwave, as shown in Fig. 1. From the synchronism condition, coupling between . However, with higher modes requires larger fold number larger more modes would satisfy the synchronism condition and they would couple with each other and hence contribute to the dispersion characteristic. A. Coupling Coefficients The coupling coefficient is the basis of the coupled wave theory as it indicates how strong the coupling between two modes is. In this paper, we start from the general equations of the coupling coefficients between two TE modes, two TM modes and one TE (mode ) to one TM (mode ) modes as shown in (3). The derivation of these equations can be found in [18], which contains a detailed introduction to the coupled mode theory

II. PRINCIPLE OF THE COUPLED WAVE THEORY The equation of the helical profile of the inner surface in a cylindrical coordinate system can be written as (1) (3) where is the mean radius of the circular waveguide, is the is the fold number, and is the axial pecorrugation depth, riod of the corrugation. When the corrugation depth is not zero, two modes will couple when their axial and azimuthal wave numbers satisfy the synchronism conditions (2) where and and and respectively.

are the axial wavenumbers of modes 1 and 2, are the azimuthal indices of modes 1 and 2,

where is the function to describe the difference between the perturbed non-uniform waveguide and the uniform waveguide. , there is no coupling between the two modes. When , are the axial wave numbers of the coupled modes and is the free-space wave number. , are the transverse wave numbers of modes and . , are the transverse eigenfunctions of the TE and TM modes of the unperturbed regular waveguide. is the normal vector directed towards the waveguide wall. is chosen so that axes , , to form a right-handed coordinate system.

ZHANG et al.: MULTI-MODE COUPLING WAVE THEORY FOR HELICALLY CORRUGATED WAVEGUIDE

In circular waveguide, the transverse wave number and eigenfunction in a cylindrical coordinate system are in the form of

for TE mode for TM mode

3

tical application, is always chosen as a negative value indialso is a negacating an opposite rotating mode and hence tive value. The integral in this equation will become unity if we apply the synchronism condition. Then the final coupling coefficients for the helically corrugated waveguide become

(4)

(7)

(5) where is the zero of the derivation of and is the is the root of . In pracfirst kind of Bessel function. tical applications, the operating mode in the helically corrugated . As the radial number in the waveguide always satisfies modes does not contribute to the following derivation, symbols and are used to denote the azimuthal number of the two coupling modes for simplicity. The difference between the helically corrugated cross seccan be written tion and the circular waveguide at position . For a small corrugation, we have as . As is in terms of the first-order derivation of the mean radius, if only the first-order approximation of the coupling coefficient is taken into account then only the zero-order approximation of the other terms in (3) needs to be considand ered. In polar coordinates, we can simply make . Substituting these into (3), we can get a simpler form of the coupling coefficients, as

Equation (7) has the same form as the ones in [11], while the . coupling coefficient in [11] has been normalized to B. Coupled Mode Equations Although the coupling coefficient indicates the strength of the coupling between two modes, it is useful to know the strength of the coupling modes in the resultant eigenwave. They can be solved from the coupled mode equations [18] and the coupling coefficient, as (8) , are the normalized voltages of mode and in where the helically corrugated waveguide, respectively, and is the phase of mode or in the waveguide, and is the phase difference between the two coupled modes in the waveguide with the length of one if the synchronism conditions are apperiod . becomes plied. and in the helically The normalized voltages of mode corrugated waveguide can be solved as (9) where

They are completely determined by which is dependent on the axial wavenumbers of the coupling modes and the coupling coefficient. C. Dispersion Curve Function

(6)

The new dispersion curve caused by two-mode coupling will satisfy the following equation: (10)

In the helically corrugated waveguide, only the modes that satisfy the synchronism conditions can couple with each other. Thus we have . It should be noted that, in the prac-

are the dispersion relations of the coupling modes where , which are functions of the axial wavenumber . For a TE/TM

4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 3. Schematic view of the five-fold helical waveguide.

III. DISPERSION CALCULATION OF A FIVE-FOLD HELICALLY CORRUGATED WAVEGUIDE Fig. 2. Coupling modes in a five-fold helical waveguide.

mode in the circular waveguide, the dispersion relation can be written as (11) is the negative Because, as noted in Section II-A, mode spatial harmonic wave, the dispersion curve is shifted to the left to produce the following dispersion relation: by (12) Equation (10) is a fourth-order linear equation. Given a wave number , we can get four eigenvalues by solving the equation. However, only two of them have practical physical meaning, and lower and they are named as upper coupled mode coupled mode . If there is no coupling between the two , the eigenfunction will degenerate into modes, that is and two separate dispersion curve functions, i.e., . The and will degenerate into the previous uncoupled partial eigenwaves. There is no straightforward dispersion curve equation available that can take into account all of the considered modes for a helically corrugated waveguide operating in a higher mode. However, from the dispersion diagram, it is clear that the coupling frequencies between any two modes, as shown in Fig. 2 which shows the five-fold helical waveguide case, are different. Thus an alternative method is to calculate the coupled eigenwaves in sequence by using the two-mode coupling equation, either from the lower frequency to the higher frequency, or vice versa. Here the sequence from higher to lower frequencies is and can be reused. First, two eigenwaves does not have solved from (10)–(12). Then eigenwave an intersection with the next mode, thus it is saved as an eigenin Fig. 2). Eigenwave couples with the next wave ( ( in Fig. 2) and by using mode to generate refers to the eigencurve and (10), while here , are the axial wavenumbers in the intersection of mode and the next coupled mode. By repeating this process, all the eigenwaves caused by the mode coupling in the helically corrugated waveguide can be obtained.

One of the applications of the helically corrugated waveguide is as a dispersive medium for pulse compression. A three-fold corrugated waveguide operating in X-band was previously designed and was used in an experiment that achieved a compression factor of 25 [19]. The maximum power capability of the waveguide is about 1 MW. To pursue higher power capability [20], a five-fold helically corrugated waveguide with larger radius operating in the same frequency range has been investigated. A general schematic view of a five-fold helical waveguide is shown in Fig. 3. The desired coupled modes are the mode and the mode. As known spatial harmonic mode, the from the synchronism condition, besides the possible modes that can couple with the are the and modes in the lower frequency range and the effects need to be investigated. A fast prediction of the operating dispersion curve can be obtained by using the multi-mode coupling method, as shown in mode is much smaller Fig. 2. The cut-off frequency of the and modes, thus it will not contribute to than the the operating eigenwave in the frequency range of interest for the microwave compressor. The choice of the mean radius of the helically corrugated waveguide needs to balance the dispersion characteristic as well as the power capability. A smaller has a larger cut-off frequency gap between the , and modes, thus it is possible to reduce the effect caused by and modes. However, a the coupling between the small radius can also reduce the power capability, which is opposite to the original aim. The axial period of the helical waveguide determines the intersection points of the coupled modes, greatly contributes to the coupling and the corrugation depth coefficients, a larger corrugation depth results in stronger coupling. An optimum set of parameters can be searched for simply by parameter sweeping, or from an optimization algorithm to find an optimum balance among the requirements, such as the power capability, the operating frequency range, and the dispersion characteristic of the operating eigenwave. IV. COMPARISON BETWEEN THE CALCULATION AND THE EXPERIMENT The five-fold helically corrugated waveguide was studied 32.84 mm, 2.43 mm, and with dimensions of 33.26 mm. The dispersion curve was also measured using a 65 GHz vector network analyzer (VNA) (Anritsu 37397A). The experimental setup is shown in Fig. 4. From left to right, a rectangular to circular mode converter ( in Fig. 4) was first

ZHANG et al.: MULTI-MODE COUPLING WAVE THEORY FOR HELICALLY CORRUGATED WAVEGUIDE

Fig. 4. Experimental setup for measuring the dispersion curve of the five-fold helical waveguide.

5

an integer, and is the length of the helically corrugated waveguide in the measurement, it agrees well with the dispersion mode, as shown in Fig. 5. At a frequency curve of the 8.8 GHz a small content of the mode exists in of 8.6 mode, this the helical waveguide in conjunction with the causes the measured dispersion result in this frequency range to be spiky as it is the mixed phase information of the two modes. V. CONCLUSION In this paper, a detailed description of the multi-mode coupled wave theory for calculation of the dispersion characteristic of helically corrugated waveguide is given and it is applied to analyze a five-fold helical waveguide. This calculated result from the theory was found to be in good agreement with the CST Microwave Studio simulation result, as well as the VNA measurements. ACKNOWLEDGMENT The authors would like to thank V. L. Bratman, G. G. Denisov, S. V. Samsonov, and S.V. Mishakin for their helpful discussions.

Fig. 5. Dispersion curve from coupled mode theory, CST Microwave Studio simulation, and the VNA measurement.

used to convert the fundamental mode in rectangular mode in the circular waveguide to a linearly polarized waveguide. This is followed by an elliptical polarizer ( in Fig. 4) that converts the linearly polarized mode into a left wave. A four-fold helical mode converter hand rotating ( in Fig. 4) was then used to convert the circularly polarized mode into a circularly polarized mode. The mode convertor was designed to have conversion efficiency in the frequency range of 8.75 10.0 GHz. Finally a five-fold helical taper ( in Fig. 4) was used before introducing the mode into the five-fold helically corrugated waveguide ( in Fig. 4) to make sure the mode smoothly converted to the operating eigenwave without reflection. On the other side of the helical waveguide, the same configuration was used. Fig. 5 shows the dispersion curves calculated from the coupled mode theory, simulated from the eigensolver in CST Microwave Studio as well as measured by the VNA. From the coupled mode calculation, there are four eigenwaves resulting from and the , the , the mode coupling between the and the modes. Eigenwave 2 is the operating eigenwave for microwave compression. The dispersion curves from coupled mode theory, simulation using CST Microwave Studio and measurement using a VNA agree well with each other in the frequency range 8.75 10.0 GHz, as shown in Fig. 5. However the measured dispersion at the lower frequency 8.75 GHz underwent a change of trend. This is band 7.6 due to the fact that the frequency is out of the operating band -tomode convertor. The dominant power in of the mode the helically corrugated waveguide is still in the in this lower frequency band. If the measured result in this , where is frequency range is shifted by a factor of

REFERENCES [1] A. S. Gilmour, Principles of Traveling Wave Tubes. Boston, MA: Artech House, 1994. [2] , R. J. Barker, J. H. Booske, N. C. Luhmann, and S. N Gregory, Eds. , Modern Microwave and Millimeter-Wave Power Electronics. New York: IEEE, 2005. [3] C. K. Chong, D. B. McDermott, M. M. Razegh, N. C. Luhmann, J. Pretterebner, D. Wagner, M. Thumm, M. Caplan, and B. Kulke, “Bragg reflectors,” IEEE Trans. Plasma Sci., vol. 20, no. 3, pp. 393–402, Mar. 1992. [4] N. Ginzburg, N. Peskov, A. Sergeev, A. D. R. Phelps, A. W. Cross, and I. V. Konoplev, “The use of a hybrid resonator consisting of one dimensional and two dimensional bragg reflectors for generation of spatially coherent radiation in a coaxial free-electron laser,” Phys. Plasmas, vol. 9, no. 6, pp. 2798–2802, 2002. [5] V. L. Bratman, A. W. Cross, G. G. Denisov, W. He, A. D. R. Phelps, K. Ronald, S. V. Samsonov, and C. G. W. A. R. Young, “High-gain wide-band gyrotron traveling wave amplifier with a helically corrugated waveguide,” Phys. Rev. Lett., vol. 84, no. 12, pp. 2746–2749, 2000. [6] A. W. Cross, W. He, A. D. R. Phelps, K. Ronald, C. G. Whyte, A. R. Young, C. W. Robertson, E. G. Rafferty, and J. Thomson, “Helically corrugated waveguide gyrotron traveling wave amplifier using a thermionic cathode electron gun,” Appl. Phys. Lett., vol. 90, p. 253501, 2007. [7] S. V. Samsonov, A. D. R. Phelps, V. L. Bratman, G. Burt, G. G. Denisov, A. W. Cross, K. Ronald, W. He, and H. Yin, “Compression of frequency-modulated pulses using helically corrugated waveguides and its potential for generating multigigawatt rf radiatione,” Phys. Rev. Lett., vol. 92, p. 118301, 2004. [8] G. Burt, S. V. Samsonov, A. D. R. Phelps, V. L. Bratman, K. Ronald, G. G. Denisov, W. He, A. R. Young, A. W. Cross, and I. V. Konoplev, “Microwave pulse compression using a helically corrugated waveguide,” IEEE Trans. Plasma Sci., vol. 33, no. 2, pp. 661–667, Feb. 2005. [9] W. He, A. W. Cross, A. D. R. Phelps, K. Ronald, C. G. Whyte, S. V. Samsonov, V. L. Bratman, and G. G. Denisov, “Theory and simulations of a gyrotron backward wave oscillator using a helical interaction waveguide,” Appl. Phys. Lett., vol. 89, p. 091504, 2006. [10] W. He, K. Ronald, A. R. Young, A. W. Cross, A. D. R. Phelps, C. G. Whyte, E. G. Rafferty, J. Thomson, C. W. Robertson, D. C. Speirs, S. V. Samsonov, V. L. Bratman, and G. G. Denisov, “Gyro-BWO experiments using a helical interaction waveguide,” IEEE Trans. Electron Devices, vol. 52, no. 5, pp. 839–844, May 2005.

6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

[11] G. G. Denisov and M. G. Reznikov, “Corrugated cylindrical resonators for short-wavelength relativistic microwave oscillators,” Radiophys. Quantum Electron., vol. 25, no. 5, pp. 407–413, 1982. [12] S. J. Cooke and G. G. Denisov, “Linear theory of a wide-band gyro-TWT amplifier using spiral waveguide,” IEEE Trans. Plasma Sci., vol. 26, no. 3, pp. 519–530, Mar. 1998. [13] G. G. Denisov, V. L. Bratman, A. D. R. Phelps, and S. V. Samsonov, “Gyro-TWT with a helical operating waveguide: New possibilities to enhance efficiency and frequency bandwidth,” IEEE Trans. Plasma Sci., vol. 26, no. 3, pp. 508–518, Mar. 1998. [14] G. Burt, S. V. Samsonov, K. Ronald, G. G. Denisov, A. R. Young, V. L. Bratman, A. D. R. Phelps, A. W. Cross, I. V. Konoplev, W. He, J. Thomson, and C. G. Whyte, “Dispersion of helically corrugated waveguides: Analytical, numerical, and experimental study,” Phys. Rev. E, vol. 70, no. 4, p. 046402, 2004. [15] L. Lewin, Theory of Waveguides: Techniques for the Solution of Waveguide Problems. London, U.K.: Newnes Butterworths, 1975. [16] A. Nicolet and F. Zolla, “Finite element analysis of helicoidal waveguides,” IET Sci., Meas., Technol., vol. 1, no. 1, pp. 67–70, 2007. [17] J. L. Wilson, C. Wang, A. E. Fathy, and Y. W. Kang, “Analysis of rapidly twisted hollow waveguides,” IEEE Trans. Plasma Sci., vol. 57, no. 1, pp. 130–139, Jan. 2009. [18] B. Z. Katsenelenbaum, L. M. D. Ro, M. Pereyaslavets, M. S. Ayza, and M. K. A. Thumm, Theory of Non-Uniform Waveguides: The CrossSection Method. London, U.K.: The IET, 1999. [19] M. McStravick, S. V. Samsonov, K. Ronald, S. V. Mishakin, W. He, G. G. Denisov, C. G. Whyte, V. L. Bratman, A. W. Cross, A. R. Young, P. MacInnes, C. W. Robertson, and A. D. R. Phelps, “Experimental results on microwave pulse compression using helically corrugated waveguide,” J. Appl. Phys., vol. 108, no. 5, p. 054908, 2010. [20] V. L. Bratman, G. G. Denisov, N. G. Kolganov, S. V. Mishakin, S. V. Samsonov, A. W. Cross, W. He, L. Zhang, M. McStravick, C. G. Whyte, A. R. Young, K. Ronald, C. W. Robertson, and A. D. R. Phelps, “Generation of 3 GW microwave pulses in x-band from a combination of a relativistic backward-wave oscillator and a helical-waveguide compressor,” Phys. Plasmas, vol. 17, p. 110703, 2010.

Liang Zhang received the B.Sc. degree in applied physics from the University of Science and Technology of China, Hefei, China, in 2004 and the M.Sc. degree in application of nuclear techniques from the China Academy of Engineering Physics, Chengdu, China, in 2007, respectively. He is currently pursuing the Ph.D. degree in physics from the Scottish Universities Physics Alliance, Department of Physics, University of Strathclyde, Glasgow, U.K. His main research interests include pulse-power technology, and Gyrotron-TWT/backward-wave oscillators.

Wenlong He received the B.Sc. degree in physics from Suchow University, Jiangsu, China, in 1983, the M.Sc. degree in accelerator physics from the China Academy of Engineering Physics, Chengdu, China, in 1988, and the Ph.D. degree in relativistic electron beams and masers from the Department of Physics, University of Strathclyde, Glasgow, U.K., in 1995. He is currently a Senior Research Fellow with the Scottish Universities Physics Alliance, Department of Physics, University of Strathclyde. His main research interests include relativistic electron beams, CARMs, FELs, Gyrotron-TWT/backward-wave oscillators, and other highpower microwave devices.

Kevin Ronald was born in Glasgow, U.K. He received the B.Sc. (with honors) and Ph.D. degrees in physics from the University of Strathclyde, Glasgow, U.K., in 1992 and 1997, respectively. He is currently a Lecturer with the Scottish Universities Physics Alliance, Department of Physics, University of Strathclyde.

Alan D. R. Phelps was born in the U.K. in 1944. He received the B.A. degree (with honors) in physics and the M.A. degree from Cambridge University, Cambridge, U.K., in 1966 and 1970, respectively, and the D.Phil. degree for plasma research from Oxford University, Oxford, U.K., in 1970. He has been with the University of Strathclyde, Glasgow, U.K., since 1978, where he initially founded a research group, became a Full Professor in 1993, and is currently with the Scottish Universities Physics Alliance, Department of Physics, where he was the Head of the department from 1998 to 2001. His research interests include high-power free-electron radiation sources and plasmas. Dr. Phelps is a Fellow of the Institute of Physics and of the Royal Society of Edinburgh.

Colin G. Whyte was born in Selkirk, U.K., in 1969. He received the B.Sc. degree in physics from the University of Glasgow, Glasgow, U.K., in 1991 and the M.Sc. degree in laser physics and pulsed-power technology and the Ph.D. degree in physics from the University of St Andrews, St Andrews, U.K., in 1992 and 1996, respectively. He has been with the University of Strathclyde, Glasgow, U.K., since 1996 in the ABP Group, Department of Physics, where he is also currently with SUPA. His research interests include the design and experimental investigation of high-power broadband microwave amplifiers and oscillators, including novel helical gyro-TWT devices and reverse-guide-field free-electron lasers. He also designs and builds high-voltage pulsed-power supplies.

Craig W. Robertson was born in Ayrshire, U.K., in 1977. He received the B.Sc. (with honors) degree in physics and the Ph.D. degree from the University of Strathclyde, Glasgow, U.K., in 1999 and 2004, respectively. He is currently with SUPA, Department of Physics, University of Strathclyde. His interests include lowtemperature plasma production and diagnostics, as well as high-power microwave sources.

Alan R. Young received the B.Sc. degree in physics, the M.Sc. degree in information technologies systems, and the Ph.D. degree, with a dissertation on high-quality electron beams for CARMs, from the University of Strathclyde, Glasgow, U.K., in 1993, 1994, and 1998, respectively. He has since continued to work as a Research Fellow with the Department of Physics, University of Strathclyde, on a variety of gyro-devices, including CARM and gyro-TWT, where he is also currently with SUPA.

ZHANG et al.: MULTI-MODE COUPLING WAVE THEORY FOR HELICALLY CORRUGATED WAVEGUIDE

Craig R. Donaldson received the B.Sc. (with honors) degree in physics, the M.Sc. degree in high power RF and the Ph.D. degree in physics from the University of Strathclyde, Glasgow, U.K., in 2005, 2006, and 2009, respectively. He is currently with SUPA, Department of Physics, University of Strathclyde. His main research interests include electron-beam generation, gyro-TWT/BWOs, and helically corrugated waveguides.

7

Adrian W. Cross received the B.Sc. degree (with honors) in physics and the Ph.D. degree from the University of Strathclyde, Glasgow, U.K., in 1989 and 1993, respectively. He joined the Atoms, Beams, and Plasmas Group, University of Strathclyde, in 1993 initially as a Research Fellow and then as a Lecturer in 2000, Senior Lecturer in 2005, and is now a Reader with the Department of Physics. From 2002 to 2007, he was an Engineering and Physical Science (EPSRC) Advanced Fellow. He has been involved in various aspects of research on gyrotrons, cyclotron autoresonance masers, free-electron lasers, superradiant sources, gyrotron travelling wave amplifiers and plasma applications. More recently, he has primarily been concerned with research on microwave pulse compression, THz radiation sources and pseudospark physics.

8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Passivity Enforcement for Admittance Models of Distributed Networks Using an Inverse Eigenvalue Method Christopher S. Saunders, Student Member, IEEE, and Michael B. Steer, Fellow, IEEE Abstract—Most transient circuit simulators are based on admittance representations of the constituent circuit elements. It is therefore natural to use admittance parameter descriptions of linear networks, preferably in the form of rational transfer functions that can be directly implemented in the analysis. A problem arises when the measured or calculated frequency-domain response of a linear distributed network must be derived from data that has inherent error, is of limited bandwidth, or is not in the appropriate rational form. A reduced-order rational model that is causal, stable, and passive must be developed. Previous methods of deriving rational functions for the admittance parameters of a network do guarantee stability and causality, but passivity of the model must be assured through subsequent post-processing. Enforcing passivity requires modification of the state-space parameters of the model with consequent introduction of errors. This paper reports on a procedure to simultaneously achieve passivity, accuracy, causality, and stability in the development of an admittance macromodel described using a matrix of rational functions. An iterative inverse eigenvalue algorithm enforces passivity, and is applied by conjoining sets of eigenvalue and admittance constraints. These constraints form a monolithic projection matrix, which simultaneously addresses both passivity and accuracy of the model. Index Terms—Circuit–field interactions, inverse eigenvalue problem, linear device modeling, passive models, passive reduced-order modeling, transient nonlinear circuit simulation.

I. INTRODUCTION

is conveniently developed from an -domain rational transfer function. The set of rational transfer functions is thus a macromodel of the distributed network. The rational macromodel of a distributed network approximates the measured or numerically derived frequency-dependent admittance parameters of the network [3]–[11]. The usage of an admittance parameter macromodel is desirable for rapidly and directly integrating circuit–field interactions in a circuit simulator [7], [12]–[16]. It is, however, essential that the macromodel be causal, stable, and passive. Causality and stability are typically assured by choosing a particular form of the rational transfer functions, such as Foster’s canonical form [8]. However, passivity is not simultaneously assured [17] and so post-processing algorithms [18]–[29] have been previously used to ensure passivity. Unfortunately, this procedure results in a reduction in the accuracy of the macromodel. This paper details the application of an iterative inverse eigenvalue method to simultaneously achieve high accuracy and passivity of an admittance-parameter macromodel by using admittance constraints. These constraints yield a robust method for ensuring that the macromodel is an accurate representation of the original network. The proposed admittance constraints also increase algorithm autonomy by providing instantaneous feedback that automatically selects the appropriate perturbation set that will both achieve passivity and preserve accuracy.

T

HE RESPONSE of a linear distributed network is characterized initially as a table of network parameter values collected over a discrete set of frequencies. Using this characterization in a transient circuit simulator requires transformation of the network parameters into an appropriate form. For transient circuit simulation, inverse Fourier transformation of the frequency-domain network parameters yields a set of impulse responses that may be used [1]. However, most transient circuit simulation is based on a modified nodal admittance formulation that is derived by combining the stamps of individual elements [2]. The stamp of a distributed network is the collection of the stamps of individual admittance parameters, each of which Manuscript received April 27, 2011; revised September 19, 2011; accepted September 27, 2011. Date of publication November 04, 2011; date of current version December 30, 2011. This work was supported by the U.S. Army Research Laboratory (ARL) and the U.S. Army Research Office (ARO) under Grant W911NF-05-1-0337. The authors are with the Department of Electrical and Computer Engineering, North Carolina State University, Raleigh, NC 27695 USA (e-mail:[email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2171500

II. RATIONAL MACROMODELING Transient simulation generally proceeds by developing the modified nodal admittance matrix (MNAM) of a companion circuit derived from the original circuit following time discretization and nonlinear discretization [2]. For a distributed linear network, the contribution to the MNAM comes from the stamp for a rational approximation of each admittance parameter of the network. It is possible to expand the rational function of the admittance into a circuit consisting of resistor, inductor, capacitor, and coupled inductor primitives [12], [13], and the contribution from each primitive then incorporated in the MNAM. However, it is more robust to insert the contribution of the rational transfer function directly [7], [15]. Utilizing a set of measured or calculated admittance parameter data, an -domain pole-residue model of an admittance parameter can be generated by applying the vector fitting algorithm [3]–[5] to generate a rational approximation of the form

0018-9480/$26.00 © 2011 IEEE

(1)

SAUNDERS AND STEER: PASSIVITY ENFORCEMENT FOR ADMITTANCE MODELS OF DISTRIBUTED NETWORKS

where is the admittance parameter in the th row and th column of the -port admittance matrix at the frequency , the variables and are, respectively, the th residue and pole of the partial fraction transfer function, and is a real-valued constant conducare generally complex, and tance. Alternative methods [6], [7], [30], [31] may also be used to develop the rational approximation of the admittance parameters. In any case, a state-space model of the complete linear system can readily be derived from the rational approximation and written as [3], [6], [7]

9

are equal to the parameter , which the eigenvalues of according to the following relationship: (6) at the critical value of By evaluating the eigenspectrum of , any purely imaginary eigenvalue of indicates a frequency where an eigenvalue of is equal to zero. These frequencies thus represent the set of possible boundaries between passivity and nonpassivity of the macromodel. are purely imaginary, they If any of the eigenvalues of are collected to form a set of boundary frequencies

(2) (7) where is an identity matrix, is a diagonal matrix containing is a matrix composed of zeros and the poles of the model, ones, is a matrix containing the residues of the model, and is a matrix containing the constant conductances. This statespace representation of the admittance parameters of the circuit can be developed and constructed either by fitting the model ), as using a common pole-set for the port responses ( described in [21], [24], [27], [28], and [32], or by using a unique admittance responses, as detailed in pole set for each of the [18] and [32]. While the macromodel generated by the rational fitting algorithms referred to above is guaranteed to be both causal and stable, passivity of the model is not assured. Violations of passivity are typically due to the finite frequency resolution and limited bandwidth of the original frequency-domain data. Typically, passivity is enforced through additional model processing. III. PASSIVITY CONDITION The model of a circuit element described by admittance parameters is known to be passive if the eigenvalues of the Hermitian part of the admittance matrix are positive for all frequencies [20], [32]: (3) is the th eigenvalue of the Hermitian part where of the admittance matrix. The Hermitian part of the admittance matrix is defined as (4) where the superscript indicates the complex conjugate transpose. Performing an exhaustive search for passivity violations is computationally unfeasible, and even the use of high-frequency resolution during evaluation is not sufficient to guarantee passivity. A more reliable analytic method involves the construction of a Hamiltonian matrix from the constituent matrices in the state-space admittance representation of the circuit [19], [20], [28], [32]–[34]

where tonian matrix

which is subsequently used to determine the frequency bands is not over which the model is nonpassive. For example, if a null set, then the macromodel violates the passivity condition, (3), within at least one frequency band bounded by the elements of . To determine the regions over which the model contains passivity violations, a set of test frequencies, , is chosen that contains a minimum of one frequency point between each of the elements of , as well as two additional frequencies: one below the minimum boundary frequency and one above the maximum evaluated at boundary frequency. Thus, the eigenvalues of the test frequencies indicate whether the model meets the passivity condition, (3), for the admittance parameter description of the circuit. IV. ENHANCED INVERSE EIGENVALUE PASSIVITY ENFORCEMENT ALGORITHM The proposed algorithm for enforcing passivity operates by calculating successive iterative solutions of a constrained inverse eigenvalue algorithm. The algorithm seeks the optimal update to the model parameters that modifies the eigenvalues of at a set of specified frequencies to obtain a passive macromodel, while simultaneously seeking to enforce a set of admittance constraints to maintain a highly accurate model. Prior methods have sought to preserve accuracy using relative error control [20], quadratic programming [33], [34], energy norms [28], [34], and periodic re-selection of perturbation sets [18], in a secondary iterative loop. In contrast, the proposed method generates a monolithic perturbation-projection matrix that integrates passivity enforcement and efficient error control within a single iterative nonlinear problem. The algorithm proceeds by determining which of the model parameters in (1) will be altered during the optimization, and defining a set of corresponding perturbations (8)

(5)

where is the th perturbation amongst the set of perturis the magnitude of the perturbation, is a bations in , is the perturbed real-valued scalar perturbation weight, and parameter in (1) to which the perturbation is applied,

. The eigenspectrum of the Hamilcan be used to identify the frequencies at

(9)

10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

where superscripts and , respectively, denote the real and imaginary portions. The modifications to the state-space model of (2) from the application of these perturbations is described in detail in [18]. Establishing the set of required modifications begins by choosing the set of frequencies, , at which passivity or model accuracy must be enforced (10) is the th frequency at which either a passivitywhere enforcing eigenvalue constraint or an accuracy-preserving adis the total number mittance constraint is to be enforced, and of such frequencies. Note that, in general, the number of perturis not equal to . bations To determine the magnitude, , of the th perturbation required, the effect of an individual perturbation on the admittance parameter model is calculated at each frequency as

(11) to be This notation defines the perturbed model at the th equal to the unperturbed admittance model iteration of the algorithm subjected to a unit-magnitude perturbation , evaluated at frequency , as detailed in [18]. The Hermitian part of the perturbed admittance matrix is (12) As will be shown in Section IV-B, and are used to map the projection of the perturbation onto the admittance space. These projections are then used to successively create a sequence of linear problems to be solved iteratively, and will be utilized to calculate the appropriate perturbation magnitudes to modify the macromodel in accordance with the optimization goals.

A. General Solution The algorithm developed here to simultaneously achieve passivity and high accuracy is cast as a sequence of linear least square (LS) problems of the form (13) which are solved iteratively. Here, the subscript refers to the th iterate, is the projection coefficient matrix for the th is the difference vector used to constrain the iterate, and , eigenvalues and admittances. Equation (13) is solved for the vector of perturbation magnitudes applied at the conclusion of the th iteration. and are composed of sub-elements, each Both of which is constructed to enforce either the optimization of an

for passivity or to impose an accuracy eigenvalue set of . Thus, constraint on the admittance matrix

.. .

.. .

.. .

.. .

(14)

and the general form of the sub-elements and are identical regardless of the type of constraint imposed. That is, comprises sub-mathe projection coefficient matrix trices, each defined as

(15) is the projection coefficient sub-matrix for the th and constraint during the th iteration. Each sub-matrix is composed of a set of projection vectors with vector approximating the modification of the constrained variables during of unit the th iteration, caused by applying perturbation magnitude at frequency . The construction of a projection vector depends on the type of constraint imposed, and is discussed in detail in Section IV-B. at the beginning of each itIn addition to constructing eration, the difference vector utilized to impose the constraints, , is constructed from a set of sub-vectors, which are concatenated as shown in (14) to form the full constraint vector. Each sub-vector is a linear function of the current and previous and , respeciterates’ constrained-variable values, tively, the previous iterate’s constraint sub-vector, , and , as the desired final values of the constrained-variables,

(16) The parameter is a real-valued scalar scaling parameter in the interval used to adjust the step size of the constrainedvariable modification, providing a tradeoff of algorithm convergence rate and stability. Similarly, is a scaling parameter over the same interval and is the coefficient of an additional correction term included to assist with convergence degradation caused by nonlinear relationships between the perturbations and the constrained variables. Note that for the initial iteration ) only, the coefficient is set to zero. ( Once all of the sub-elements have been constructed, they are concatenated to form the complete linear LS problem (14) for the th iterate. Since the problem is in many cases an can be solved for using the under-determined LS problem, Moore–Penrose pseudoinverse [35] (17) which ensures that the minimum two-norm solution is calculated.

SAUNDERS AND STEER: PASSIVITY ENFORCEMENT FOR ADMITTANCE MODELS OF DISTRIBUTED NETWORKS

The solution for magnitudes

is a vector of parameter perturbation

(18) is the magnitude of the th perturbation that where should be applied at the conclusion of the th iteration (see [18]). Once these perturbations have been applied, is updated, and the process repeated until the necessary passivity and accuracy criterion have been met, i.e., when the constrained variables are within a suitable tolerance of the desired final values. B. Detailed Sub-Element Construction This section details the construction of the four types of subelements used in this algorithm. There are two methods of enforcing both eigenvalue constraints and admittance constraints. For both cases, there is a constraint on the full set of values, and a reduced-order constraint, which allows for more computationally efficient optimization. 1) Full Eigenvalue Set Constraint: To enforce passivity of the macromodel at a given frequency, the eigenvalues of must all the Hermitian part of the admittance matrix from (7) to determine the be nonnegative. Having utilized frequency bands of passivity violations, the enforcement of passivity within a nonpassive region at frequency is achieved by constructing and as follows. To calculate the eigenvalues of the Hermitian part of the admittance matrix, the Schur decomposition [35] is used, having the form (19) is a unitary matrix, and is an upper where on the diagdiagonal matrix with the eigenvalues of onal. Since the decomposed matrix is Hermitian, the columns of are the eigenvectors of , and is strictly . diagonal, containing the eigenvalues of projection The components of (19) are used to form the coefficient vector (20) which describes the modification of the eigenvalues of caused by a unit-magnitude perturbation at the frequency . operator extracts the principal diagonal of a matrix (The and places the elements in a column vector.) The eigenvalues of are used to form a column vector (21) which is the vector of constrained-variable values for the th iteration at frequency . The column vector of eigenvalues is (16). used in the iterative construction The final set of eigenvalues is selected to ensure passivity at the constrained frequency by choosing the values to be

11

That is, if an eigenvalue is already positive and greater than a tolerance , no modification is required. Otherwise, there is a violation of passivity, and the final eigenvalue is set equal to a ) enforcing a buffer with tolerance parameter (e.g., the boundary of passivity. 2) Partial Eigenvalue Set Constraint: In some circumstances, it may be more appropriate to establish a constraint that seeks only to optimize a partial subset of the eigenvalues to achieve a passive macromodel. For an -port model, utilizing the full eigenvalue set entails the concatenation of a that is to the projection coefficient sub-matrix full projection coefficient matrix for each frequency, which can be reduced has an eigenvalue constraint. The size of by implementing a constraint on a partial set of eigenvalues, . The projection subsequently expediting the computation of coefficient vectors are then calculated as

(23) which is similar to the formulation used for the full eigenvalue set, except for the inclusion of the column extraction matrix . This matrix has the form (24) and is the th column of the identity matrix, and is the number of columns extracted for the th constrained frequency. are purely real, they are natSince the eigenvalues of urally sorted along the diagonal from least to greatest, and thus extracts the projection upon the minimumthe use of valued eigenvalues. eigenvalues are similarly extracted through The minimum pre-multiplication (25) which are then used in the construction of the sub-vector (16). The vector of desired final values for the partial eigenvalue set is constructed identically to (22), except that the vector is constructed to only constrain the first eigenvalues if else (26) in accordance with the size of the partial eigenvalue set. 3) Full Admittance Matrix Constraint: In addition to the constraints on the eigenvalues to enforce passivity, further constraints may be applied to ensure the accuracy of the macromodel. This is done by including projected admittance parameter modifications in the iterative LS problem. Introducing a constraint of this form begins with the formation of an admittance projection matrix (27)

if else (22)

is an matrix, which defines the modifiwhere cations to the admittance parameters of the macromodel during

12

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

the th iteration caused by a unit-magnitude perturbation at frequency . To properly integrate this constraint into the LS problem, the columns of the projection matrix must be concatenated to form projection coefficient sub-vector the

(28) . which is in the proper form for inclusion in Since the full set of complex admittances of the macromodel are the constrained variables for this constraint, the admittance subvalues must similarly be concatenated to form the vector

admitaccumulated admittance error uniformly across the tance parameters. Similarly, the imaginary portion is defined as

(33) The value of this aggregate complex constrained variable for the th iteration is defined as

(29) and the desired final values of the constrained admittances are simply the initial admittance values, rearranged to form the column sub-vector (30) These vectors are utilized to create the desired constrained-varifor a full admittance matrix conable modification vector straint, as in (16). 4) Aggregate Admittance Matrix Constraint: While utilizing a full admittance matrix constraint provides excellent accuracy preservation at the constrained frequencies, it necessitates the whose diinclusion of a projection coefficient sub-matrix . The expansion of the LS problem by a submension is matrix of this size for each constrained frequency may introduce an unnecessary computational burden. An alternative aggregate admittance matrix constraint is proposed, which assists the algorithm in maintaining model accuracy during passivity enforcement, while reducing the computational complexity of the iterative algorithm. For the aggregate admittance matrix constraint, the projection coefficient vector is composed of a single complex value (31) where the superscripts and , respectively, denote the real and is defined as imaginary parts. The real portion of

(32) is an exponential weighting parameter used where the value is chosen as for accuracy control by the algorithm. When is calculated as the sum of the abunity, the value is solute differences in the admittance parameter values. If increased, the algorithm will increasingly favor distributing the

(34) where the real portion is calculated as (35) and the imaginary portion is calculated as (36) Since the aggregate constraint is a measure of the deviation of the perturbed model relative to the original macromodel, the desired final value for this constraint is zero (37) These components are then used to construct the desired constrained variable modification for the aggregate admittance matrix constraint, as in (16). 5) Integral Admittance Matrix Constraint: An admittance constraint can be further aggregated through integration of an aggregate admittance constraint over a specified frequency band. When the th constraint is specified as an integral admittance constraint, the projection coefficient vector is composed of a single complex value (38) and are the lower and upper frequencies where of the constrained frequency band, respectively, and and are defined identically to the aggregate admittance constraint quantities of (32) and (32). Numerically, this integration must be redefined as weighted summation using a suitable integration method. Thus, the projection coefficient vector entry is rewritten as

(39)

SAUNDERS AND STEER: PASSIVITY ENFORCEMENT FOR ADMITTANCE MODELS OF DISTRIBUTED NETWORKS

13

where is the number of discrete steps used in the summation is the appropriate coeffito approximate the integration, and cient for the selected integration method. Extending this numerical integration to the remaining constraint elements leads to the definition of the th iteration’s constraint variable as

(40) and the desired final value of the constraint is (41) Utilizing this constraint allows a frequency band to be constrained with only the addition of a single row to the projec. The constraint of admittances over tion coefficient matrix a band of frequencies may be desirable in many cases, and in these cases, a tradeoff between the accuracy achieved using a set of stricter constraints, such as the full admittance matrix constraint, must be weighed against the additional computational complexity leading to further computational expense.

Fig. 1. Eigenvalue curves of a fitted power splitter model, which originally contains passivity violations [curves (a) and (b)]; with subsequent passivity enforcement introducing a new passivity violation [curves (c) and (d)]; and after, using a partial eigenvalue constraint to nullify the newly introduced passivity violation [curves (e) and (f)]. Note that only the two minimal eigenvalue curves are visible due to the scale of the figure.

C. Summary This section detailed the implementation of an enhanced inverse eigenvalue passivity enforcement algorithm. The method enables the correction and preservation of passivity for a statespace macromodel, while enabling accuracy control through the inclusion of additional constraints on the admittance parameters of the model. The iterative nonlinear nature of the algorithm enables the simultaneous modification of the poles, residues, and constant-coefficient terms of the model to achieve a passive macromodel, which retains a high degree of accuracy within the specified frequency band. V. CONSTRAINT PROPERTIES The constraints proposed in Section IV yield significant performance benefits during passivity enforcement. The following cases detail scenarios during which the use of the constrained iterative inverse eigenvalue algorithm provides for the rapid development of an accurate passive macromodel. A. Eigenvalue Constraints Here we consider the scenario where first a rational transfer function model, (1), is fitted to a set of measured admittance parameter data of a power splitter, and then an iterative perturbation technique is used to correct any passivity violations that arise. The admittance matrix eigenvalues of the original fitted model are shown as curves (a) and (b) in Fig. 1, which shows passivity violations are present in the regions where the eigenare negative. values of Correction of these passivity violations proceeds by using eigenvalue constraints in the violating frequency bands, detailed in Sections IV-B.1 and IV-B.2, to ensure that the minimum eigenvalue is above the tolerance value . The use of a full

eigenvalue set results in a more tightly constrained problem, assisting in minimizing the modifications made to the model during passivity enforcement, at the cost of higher computational complexity. A partial eigenvalue set constraint is more computationally efficient during passivity enforcement, but may allow larger perturbations to the model due to the unconstrained portion of the eigenvalues. Using partial eigenvalue set constraints in conjunction with admittance constraints is proposed as a solution, which will allow passive and accurate models to be developed with computational efficiency. It is known that during passivity enforcement using iterative perturbational techniques, new passivity violations may arise [33], [36]. In the example of Fig. 1, the original attempt at passivity correction introduces a new passivity violation at 5 MHz, as seen in curves (c) and (d). Newly introduced violations are difficult to predict, but may be eliminated a posteriori by discarding the iteration that introduced the new violation, and placing an additional eigenvalue constraint at the frequency where the new violation arose. Using a partial eigenvalue constraint to enforce only the minimum eigenvalue at 5 MHz to be greater than allows the algorithm to enforce passivity of the macromodel without the introduction of the additional violation, as seen in curves (e) and (f) of Fig. 1. The additional constraint of the minimum eigenvalue at a chosen frequency , yielding a computationally adds only a single row to efficient method of passivity constraining. B. Admittance Constraints In general, admittance matrix constraints (in addition to eigenvalue-based passivity constraints) enable the algorithm to

14

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 2. Mean admittance error caused by correction of a passivity violation at a single frequency, utilizing three different constraint sets: using only eigenvalue constraints to correct the passivity violation [curve (a)]; using an aggregate admittance constraint in conjunction with eigenvalue constraints [curve (b)]; and using a full admittance matrix constraint in conjunction with eigenvalue constraints [curve (c)].

respond to the sensitivity of the admittances to model parameter perturbations, and thus determine the perturbation magnitudes that achieve both passivity and accuracy. The aggregate admittance matrix constraint provides a computationally efficient method for ensuring the accuracy of the admittance parameters of the macromodel, by introducing only a relatively small increase of complexity in the solution for the perturbation . For each frequency at which there is magnitude vector an admittance constraint, the use of the full admittance matrix rows in constraint requires the inclusion of an additional , whereas the use of the the projection coefficient matrix aggregate admittance matrix constraint only requires a single additional row per constrained frequency. An example that demonstrates the tradeoff between the two methods of admittance constraining is shown in Fig. 2. The macromodel of a four-port power splitter used in this example originally contained a minor passivity violation at low frequency, which was then corrected using varying constraint sets from the proposed method. As seen in the figure, both forms of admittance constraints assist the algorithm in preserving model accuracy many orders of magnitude greater than if there were no admittance constraint for the chosen frequency band. The full admittance matrix constraint is seen to achieve a better preservation of model accuracy, but the addition of a full admittance matrix constraint for any single frequency requires the expansion of the iteratively solved linear problem by rows, whereas the aggregate admittance matrix constraint will add only a single row. For this example, 20 frequency points were included in the admittance constraints for the four-port model, introducing 320 additional rows for the full admittance matrix constrained problem, and only 20 additional rows for the aggregate admittance matrix constraints. The aggregate

Fig. 3. Absolute value of the admittances of the original model (solid lines), the model corrected using an aggregate admittance constraint while correcting a passivity violation (dashed lines), and the model corrected using a full admittance constraint while correcting a passivity violations (dashed–dotted lines.) The lower subplot displays the relative error of the model generated using the aggregate admittance constraint (solid lines), and the model generated using the full admittance constraint (dashed lines).

constraint provides an excellent means to preserve the accuracy of a macromodel without excessively increasing the computational complexity of the iterative algorithm. It is also possible that when implementing a full admittance matrix constraint, while the algorithm maintains accuracy at the specified frequencies, it may introduce unacceptable errors outside the constrained frequency band. This phenomenon is demonstrated by the four-port power splitter example in Fig. 3, where the application of a full admittance matrix constraint is used to preserve the accuracy in the 1165–1175-MHz frequency band, but during passivity enforcement there is a significant loss of error at the unconstrained frequencies. Applying the proposed aggregate admittance matrix constraint alternatively provides a method to preserve accuracy at the chosen frequencies with a relatively minor loss of out-of-band accuracy. VI. APPLICATIONS In this section, a rational macromodel is generated to first model a four-port microwave power splitter and second to model an RF bandpass filter, followed by an autonomously generated macromodel of the four-port microwave power splitter. For all examples, port-based admittance parameter data was obtained from the conversion of measured scattering parameter data. Fitting of a rational model to the measured power splitter data leads to passivity violations, some of which are well outside the frequency band of interest, as well as violations that are located within close proximity to the in-band frequencies. However, in transient simulation, it is critical that the model be passive at all frequencies. It is shown that the inverse eigenvalue-based passivity enforcement is effective in rendering a passive model. The second example is the development of the model of a bandpass

SAUNDERS AND STEER: PASSIVITY ENFORCEMENT FOR ADMITTANCE MODELS OF DISTRIBUTED NETWORKS

Fig. 4. Admittance parameter magnitudes for a power splitter model, with passivity corrected using perturbation selection with no admittance constraints. The upper subplot shows the admittances before passivity enforcement (solid lines) and after passivity enforcement (dashed lines.) The lower subplot indicates the absolute magnitude of the admittance error.

filter. The directly fitted model has passivity violations located outside the passband of the filter, but due to the small magnitude of the in-band admittances, the model is susceptible to perturbations that, while ensuring passivity, can also introduce significant admittance errors. For this model it is shown that it is critical to simultaneously apply passivity and admittance constraints. Finally, the autonomously generated model example is included to display the utility of the algorithm in handling a severe passivity violation with no user intervention, enabled by the simultaneous perturbations of the linear and nonlinear model parameters under both eigenvalue and admittance constraints.

A. Power-Splitter Example This section describes the application of the proposed algorithm to enforce passivity on the rational macromodel of a four-port power splitter (Mini-Circuits, Model SCN-3-16). The rational macromodel directly fitted to the measured response of the component is highly accurate, but there are several passivity violations. The results of applying passivity enforcement with no admittance constraints, but periodically utilizing careful user-directed selection of the perturbation set similar to the method in [18], is shown in Fig. 4. The use of this passivity enforcement method results in a passive macromodel that maintains a reasonable degree of accuracy, but which requires significant user intervention in periodically re-selecting the perturbations allowed during the algorithm. The targeted application of the power splitter is a communications band with a 10-MHz bandwidth centered at 1.17 GHz. Admittance constraints will be applied over the 1695–1705-MHz band, as this is where the model is required to be most accurate. To facilitate accuracy preservation, a set of 20 linearly spaced

15

Fig. 5. Admittance parameter magnitudes for a power splitter model, with passivity corrected using admittance constraints. The upper subplot shows the admittances before passivity enforcement (solid lines), and after passivity enforcement (dashed lines). The lower subplot indicates the absolute magnitude of the admittance error.

frequency values in this band are appended to , for which aggregate admittance constraints are applied. Thus, during each iteration, the perturbation set includes the real and imaginary parts of all residues, the real parts of all poles, and all the constant coefficient terms, and the admittance constraints provide feedback within the algorithm to ensure that amongst the perturbation set, only the perturbations that enforce passivity and preserve accuracy are utilized. The result of this approach is displayed in Fig. 5, where it is seen that the passband error of the corrected macromodel is significantly reduced. A comparison of the mean absolute error in the two passive macromodels is shown in Fig. 6, where it is seen that the application of admittance constraints provides a significant contribution to the preservation of model accuracy. Both methods are shown to generate passive macromodels, as indicated by the eigenvalue curves in Fig. 7. While the inclusion of these 20 aggregate admittance constraints adds as many rows to the projection coefficient matrix , the additional computation required for the construction of and the iterative computation of the pseudoinverse is offset by the potential benefit yielded from the inclusion of these constraints. It is clear from the data presented in the figures that the amount of error introduced can be significantly reduced by including admittance constraints, but potential time savings may also result from including them. Since accuracy preservation is built directly into the algorithm through admittance constraints, there is little need for user intervention during the algorithm to re-select perturbation sets in order to reduce the error introduced. The increase in autonomy yielded from the reduction in time consumed by user interaction, though qualitative and thus difficult to measure, enables the algorithm to generate accurate macromodels in a time-efficient manner.

16

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 6. Comparison of the mean absolute admittance error between passivity enforced using aggregate admittance constraints in the passband [curve (a)] and using perturbation selection with no admittance constraints [curve (b)].

Fig. 7. Eigenvalue curves for the power combiner macromodel, showing the original model with passivity violations (solid lines), macromodel after passivity enforcement using admittance constraints in the passband (dashed line), and using perturbation selection with no admittance constraints (dashed–dotted line.).

B. Bandpass Filter Example The algorithm is used in this section to enforce passivity for a bandpass filter macromodel (Trilithic, Model 7BC465/5-3-KK) with a passband from 460–465 MHz, fitted from a set of measured data. The original macromodel contains passivity violations outside the passband of the filter, but which must be eliminated due the wideband nature of pulsed communication signals. While these violations are removed, it is paramount that the

Fig. 8. Admittance parameter magnitudes for a bandpass filter model, with passivity corrected using perturbation selection with no admittance constraints. The upper subplot shows the admittances before passivity enforcement (solid lines) and after passivity enforcement (dashed lines). The lower subplot indicates the absolute magnitude of the admittance error.

filter model remain accurate in the passband. Implementing admittance constraints provides an effective method of efficiently modifying the macromodel to achieve this objective. In this example, once again the accuracy of the model must be preserved for a certain set of frequencies to guarantee that the macromodel will accurately recreate the measured response. There is a well-defined passband for this element, and the use of admittance constraining for accuracy preservation is shown to be highly beneficial in enforcing passivity of the model. In Fig. 8, the results of enforcing passivity without the use of admittance constraints is shown. In this case, the perturbation set was periodically re-selected through user intervention, in order to ensure the set of perturbations did not allow excessive error to accumulate within the passband. In contrast, when the bandpass filter macromodel is corrected utilizing admittance constraints as shown in Fig. 9, the resulting macromodel is significantly more accurate in the passband, without the need for user intervention. Both methods successfully generate a passive model of the bandpass filter, as demonstrated by the eigenvalue curves in Fig. 10. While periodically re-selecting the perturbation set can yield passive macromodels that are reasonably accurate, the use of admittance constraints is seen to be highly beneficial in that it yields more accurate macromodels and requires less user intervention during modeling. By applying admittance constraints, the algorithm inherently avoids perturbations that correct for passivity, but degrade accuracy. This allows a single comprehensive perturbation set to be used, which thus requires no user input for periodic alteration of the perturbations. In Fig. 11, the mean error introduced by the methods reported on in Figs. 8 and 10 are compared, along with an example of the algorithm applied without any re-selection of perturbations nor

SAUNDERS AND STEER: PASSIVITY ENFORCEMENT FOR ADMITTANCE MODELS OF DISTRIBUTED NETWORKS

Fig. 9. Admittance parameter magnitudes for a power splitter model, with passivity corrected using admittance constraints. The upper subplot shows the admittances before passivity enforcement (solid lines) and after passivity enforcement (dashed lines.) The lower subplot indicates the absolute magnitude of the admittance error.

17

Fig. 11. Comparison of the mean absolute admittance error in the bandpass filter model between passivity enforced using no perturbation selection or admittance constraining [curve (a)], using perturbation selection with no admittance constraints [curve (b)], and using aggregate admittance constraints in the passband [curve (c)].

is achieved without the need for user intervention to identify the proper perturbation set. The result displayed in Fig. 12 demonstrates the capabilities and usefulness of the algorithm. A pulsed 468-MHz signal with a 2- s period and a 50% duty cycle is applied as an input to the bandpass filter, and a comparison of the measured and simulated filter outputs is displayed. The model on which the proposed algorithm was applied is seen to yield accurate and stable simulation results. However, the model that was not corrected is seen to generate errant transient simulation results. The enhanced inverse eigenvalue algorithm is thus seen to provide critical functionality in accurately modeling distributed linear networks. C. Autonomous Macromodel Development Example

Fig. 10. Eigenvalue curves for the bandpass filter macromodel, showing the original model with passivity violations (solid lines), macromodel after passivity enforcement using admittance constraints in the passband (dashed line), and using perturbation selection with no admittance constraints (dashed–dotted line.).

admittance constraints. It is seen that re-selecting the perturbation set yields a significant increase in model accuracy, which motivates the use of this method despite the periodic user intervention required for altering the perturbation set. However, applying the proposed algorithm to enforce passivity while constraining the passband admittances is seen to yield superior performance with minimal error introduced in the passband. This

To fully realize the potential benefits of the proposed algorithm, the ability to concurrently modify the linear model parameters and nonlinear poles is combined with the ability to simultaneously constrain both eigenvalues and admittances in a fully autonomous model generation procedure. For this example, the measured data for the four-port pole splitter serves as the input, and a fully passive macromodel is generated as the output with no user interaction required. The measured power splitter data is used as the input to a MATLAB script, which first generates a common-pole macromodel of the linear network utilizing the methods described in [3]–[5]. While the generated macromodel is an accurate representation over the measured frequencies, in this case, a large magnitude passivity violation presents at a frequency below the measured frequency band. Typically, this could be addressed by attempting to recreate the macromodel with an alternate weighting function or pole order since a variety of alternative passivity enforcement methods are capable of handling only

18

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 13. Iterative progression of the eigenvalue curves during the autonomous passivity enforcement. The eigenvalue curves (solid lines) originally contain a large magnitude passivity violation near 8.5 MHz. As the algorithm iterates, the local minima of the curves (“x” markers) are automatically calculated and selected for inclusion in the passivity constraint set. Fig. 12. Output response of the bandpass filter to a pulsed 468-MHz input signal. The upper subplot shows the measured response of the bandpass filter, the middle subplot shows the simulated response of the bandpass filter using a model for which the passivity enforcement algorithm developed here has been applied, and the lower subplot shows the unstable output response of a model with passivity violations.

minor passivity violations. However, such a procedure may involve an unacceptable amount of user interaction, and the proposed inverse eigenvalue passivity enforcement algorithm is capable of handling these scenarios in a methodical fashion. Addressing large passivity violations is handled by the proposed algorithm by enabling the simultaneous modification of the linear parameters, as well as the nonlinear pole parameters, in correcting the passivity violations. However, while the use of such a perturbation set is effective at handling large passivity violations, significant model error may accumulate during the iterative passivity enforcement procedure due to both the large magnitude of the violation and the increased size of the perturbation set. The proposed admittance constraints resolve this issue by constraining the algorithm to retain accuracy over the specified frequencies. The capability of the proposed algorithm in handling even large passivity violations while maintaining accuracy makes it suitable for use in an autonomous fashion. After generation of the initial macromodel, a script is used to locate a set of local minima in the eigenvalue curves, which are also the localized set of largest magnitude passivity violations. These local minima are updated periodically (e.g., after every 30 iterations of the proposed algorithm), as displayed in Fig. 13, while the admittance constrained frequency band is held constant for all iterations. This iterative procedure will thus select the frequencies where passivity correction will be applied, and regardless of the magnitude of the violation, will modify the macromodel to enforce passivity while minimizing the accumulated model error for the chosen frequencies.

Fig. 14. Mean absolute error of the autonomously generated model with the large passivity violation after passivity correction. Displays the error using a perturbation set, which includes linear coefficients, poles, and applies admittance constraining [curve (a)]; using a perturbation set, which includes only linear coefficients and applies admittance constraining [curve (b)]; using a perturbation set, which includes linear coefficients, poles, and no admittance constraining [curve (c)]; and using a perturbation set, which includes only linear coefficients and no admittance constraining [curve (d)].

The results of the proposed algorithm under various configurations is displayed in Fig. 14. It is seen from this example that handling large magnitude passivity violations that may occur during the original model fitting procedure can be addressed using the proposed algorithm through the use of a broad perturbation set, which includes linear coefficients and poles, as well as incorporating admittance constraints. This makes the proposed algorithm suitable for autonomous use, where there is a qualitative savings of time through reduction of user interaction, enabled by the ability of the proposed algorithm to handle a large magnitude passivity violations while preserving accuracy.

SAUNDERS AND STEER: PASSIVITY ENFORCEMENT FOR ADMITTANCE MODELS OF DISTRIBUTED NETWORKS

VII. DISCUSSION As demonstrated by the set of examples, the greatest benefits may be yielded when allowing an inclusive set of perturbations to be considered while enacting an appropriate set of admittance constraints. The wide number of available perturbations allows the algorithm to manipulate those macromodel parameters that best achieve the desired goal, and the inclusion of admittance constraints sets the optimization goal to be not only one of achieving passivity, but also of preserving accuracy. The presented examples display the quantitative gains that are clearly achieved by this method, where even large-magnitude passivity violations can be addressed within a macromodel while still retaining sensitivity to accuracy. Further qualitative gains, while difficult to measure, are achieved utilizing the proposed algorithm since the algorithm can be relied upon to retain accuracy during passivity enforcement even when handling large-magnitude passivity violations, which thus enables the method to be applied without supervision in an autonomous model generation algorithm. Many alternative passivity enforcement methods in the literature address only the modification of the linear coefficients of the model, and thus rarely attempt to modify the poles, which may to be critical in addressing certain passivity violations, as demonstrated in the examples. By combining the inclusive (i.e., including poles and linear coefficients) perturbation set of the proposed method with admittance constraints for accuracy preservation, we demonstrate the strength of the proposed method. With regards to complexity of the algorithm, the inclusion of additional allowed perturbations expands the column count of the projection matrix utilized in this method, while the addition of further constraints on the admittances contributes additional rows to this matrix. In addition, the inclusion of additional allowed perturbations or admittance constraints requires additional computations to be carried out during the formation of the projection matrix. Thus, it is seen that this method can lead to an increase of complexity, which leads to greater iterative computation time. However, there is a difficult to measure qualitative gain in this method, where the method is intended to enable “first pass success” in achieving an accurate and passive macromodel. The additional cost in computation should be offset by the time saved by avoiding excessive user involvement in the algorithm. Addressing algorithm convergence, because the problem is itself nonlinear, the convergence to an absolute minimum cannot be guaranteed. However, in this case, an absolute minimum is unnecessary since the actual goal is to achieve a model that meets the passivity criteria while maintaining an acceptable accuracy, where what is acceptable may vary with each particular case. A first step in ensuring convergence is to find an accurate initial fit of the model, which often assists convergence. However, when this is not possible (due to autonomous operation where user intervention is not applied or due to an out-of-band passivity violation) the proposed method handles these cases by using trust regions. Rather than trying to rapidly converge the model, the model is perturbed by only a fraction of the necessary amount to meet the final target within each iteration. Utilizing

19

this approach, the proposed method has been shown to be capable of handling difficult scenarios in an autonomous manner, converging to accurate and passive resultant models. VIII. CONCLUSIONS This paper has presented an enhanced iterative inverse eigenvalue method to enforce passivity in admittance parameter-based macromodels while simultaneously and efficiently preserving accuracy. The approach defines a set of perturbations to the constituent macromodel variables, and then projects the effect of these perturbations onto the set of variables constrained by the optimization. The parameters being optimized are composed of the eigenvalue constraints used to enforce passivity, and admittance constraints, which ensure accuracy of the perturbed model. The resulting model is both passive and accurate. This procedure provides significant benefits in both model accuracy and autonomy of the algorithm. The admittance constraints are built directly into the iterative algorithm used to enforce passivity via the projection matrix, allowing the algorithm to automatically choose the perturbations that maintain accuracy of the model without requiring user intervention. Previous methods utilized a broad perturbation set that included the residues, poles, and constant coefficient terms in the perturbation set, whose use can assist with accuracy preservation [18], but which often must be periodically re-selected during the iterative procedure to obtain optimal performance. In the method presented here, the algorithm, guided by eigenvalue and admittance constraints, generates a macromodel that can be directly used in an MNAM-based circuit simulator [7], [12], [13], [15]. REFERENCES [1] D. Winkelstein, M. B. Steer, and R. Pomerleau, “Simulation of arbitrary transmission line networks with nonlinear terminations,” IEEE Trans. Circuits Syst., vol. 38, no. 4, pp. 418–422, Apr. 1991. [2] Computer Methods for Circuit Analysis and Design, J. Vlach and K. Singhal, Eds. New York: Van Nostrand, 1994. [3] B. Gustavsen and A. Semlyen, “Rational approximation of frequency domain responses by vector fitting,” IEEE Trans. Power Del., vol. 14, no. 3, pp. 1052–1061, Jul. 1999. [4] B. Gustavsen, “Improving the pole relocating properites of vector fitting,” IEEE Trans. Power Del., vol. 21, no. 3, pp. 1587–1592, Jul. 2006. [5] D. Deschrijver, M. Mrozowski, T. Dhaene, and D. DeZutter, “Macromodeling of multiport systems using a fast implementation of the vector fitting method,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 6, pp. 383–385, Jun. 2008. [6] D. Deschrijver, B. Haegeman, and T. Dhaene, “Orthonormal vector fitting: A robust macromodeling tool for rational approximation of frequency domain responses,” IEEE Trans. Adv. Pack., vol. 30, no. 2, pp. 216–225, May 2007. [7] C. S. Saunders, G. J. Mazzaro, and M. B. Steer, “Robust reducedorder modeling of distributed linear networks,” IET Microw., Antennas, Propag., vol. 4, no. 7, pp. 962–973, Jul. 2010. [8] A. E. Ruehli and A. C. Cangellaris, “Progress in the methodlogies for the electrical modeling of interconnects and electronic packages,” Proc. IEEE, vol. 89, no. 5, pp. 740–771, May 2001. [9] H. Wu and A. C. Cangellaris, “Model-order reduction of finite-element approximations of passive electromagnetic devices including lumped electrical-circuit models,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 9, pp. 2305–2313, Sep. 2004. [10] Y. Zhu and A. C. Cangellaris, “Finite element-based model order reduction of electromagnetic devices,” Int. J. Numer. Modeling, vol. 15, no. 1, pp. 73–92, Jan. 2002.

20

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

[11] W. T. Beyene and J. Schutt-Ainé, “Accurate frequency-domain modeling and efficient circuit simulation of high-speed packaging interconnects,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 10, pp. 1941–1947, Oct. 1997. [12] M. J. Choi and A. C. Cangellaris, “A quasi-three-dimensional distributed electromagnetic model for complex power distribution networks,” IEEE Trans. Adv. Packag., vol. 25, no. 1, pp. 28–34, Feb. 2002. [13] T. Mangold and P. Russer, “Full-wave modeling and automatic equivalent-circuit generation of millimeter-wave planar and multilayer structures,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 6, pp. 851–858, Jun. 1999. [14] T. L. Quarles, “Analysis of performance and convergence issues for circuit simulation,” Ph.D. dissertation, EECS Dept., Univ. California at Berkeley, Berkeley, CA, 1989. [Online]. Available: http://www.eecs. berkeley.edu/Pubs/TechRpts/1989/1216.html [15] R. Mohan, M. J. Choi, S. E. Mick, F. P. Hart, K. Chandrasekar, A. C. Cangellaris, P. D. Franzon, and M. B. Steer, “Causal reduced-order modeling of distributed structures in a transient circuit simulator,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 9, pp. 2207–2214, Sep. 2004. [16] R. Wang and J. M. Jin, “Incorporation of multiport lumped networks into the hybrid time-domain finite-element analysis,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 8, pp. 2030–2037, Aug. 2009. [17] P. Triverio, S. Grivet-Talocia, M. S. Nakhla, F. G. Canavero, and R. Achar, “Stability, causality, and passivity in electrical interconnect models,” IEEE Trans. Adv. Packag., vol. 30, no. 4, pp. 795–808, MONTH 2007. [18] C. S. Saunders, J. Hu, C. E. Christoffersen, and M. B. Steer, “Inverse singular value method for enforcing passivity in reduced-order models of distributed structures for transient and steady-state simulation,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 4, pp. 837–847, Apr. 2011. [19] S. Grivet-Talocia, “A comparative study of passivity enforcement schemes for linear lumped macromodels,” IEEE Trans. Adv. Packag., vol. 31, no. 4, pp. 673–683, Nov. 2008. [20] S. Grivet-Talocia and A. Ubolli, “Passivity enforcement with relative error control,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 11, pp. 2374–2383, Nov. 2007. [21] B. Gustavsen and A. Semlyen, “Fast passivity assessment for S -parameter rational models via a half-size test matrix,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 12, pp. 2701–2708, Dec. 2008. [22] B. Gustavsen, “Fast passivity enforcement for pole-residue models by perturbation of residue matrix eigenvalues,” IEEE Trans. Power Del., vol. 23, no. 4, pp. 2278–2285, Oct. 2008. [23] D. Saraswat, R. Achar, and M. S. Nakhla, “A fast algorithm and practical considerations for passive macromodeling of measured/simulated data,” IEEE Trans. Adv. Packag., vol. 27, no. 1, pp. 57–70, Feb. 2004. [24] T. Dhaene, D. Deschrijver, and N. Stevens, “Efficient algorithm for passivity enforcement of S -parameter-based macromodels,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 2, pp. 415–420, Feb. 2009. [25] D. Saraswat, R. Achar, and M. S. Nakhla, “Circuit compatible macromodeling of high-speed vlsi modules characterized by scattering parameters,” in 19th Int. VLSI Design Conf., Jan. 2006, pp. 3–7. [26] D. Saraswat, R. Achar, and M. S. Nakhla, “On passivity enforcement for macromodels of S -parameter based tabulated subnetworks,” in IEEE Int. Circuits Syst. Symp., May 2005, vol. 4, pp. 23–26. [27] D. Deschrijver and T. Dhaene, “Fast passivity enforcement of S -parameter macromodels by pole perturbation,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 3, pp. 620–626, Mar. 2009. [28] S. Grivet-Talocia, “Passivity enforcement via perturbation of Hamiltonian matrices,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 51, no. 9, pp. 1755–1769, Sep. 2004. [29] D. Saraswat, R. Achar, and M. S. Nakhla, “Restoration of passivity in S -parameter data of microwave measurements,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 12–17. [30] D. Deschrijver, B. Gustavsen, and T. Dhaene, “Advancements in iterative methods for rational approximation in the frequency domain,” IEEE Trans. Power Del., vol. 22, no. 3, pp. 1633–1642, Jul. 2007.

[31] D. Deschrijver and T. Dhaene, “A note on the multiplicity of poles in the vector fitting macromodeling method,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 4, pp. 736–741, Apr. 2007. [32] S. Grivet-Talocia and A. Ubolli, “On the generation of large passive macromodels for complex interconnect structures,” IEEE Trans. Adv. Packag., vol. 29, no. 1, pp. 39–54, Feb. 2006. [33] B. Gustavsen and A. Semlyen, “Enforcing passivity for admittance matrices approximated by rational functions,” IEEE Trans. Power Syst., vol. 16, no. 1, pp. 97–104, Feb. 2001. [34] B. Gustavsen, “Passivity enforcement of rational models via modal perturbation,” IEEE Trans. Power Del., vol. 23, no. 2, pp. 768–775, Apr. 2008. [35] I. C. F. Ipsen, Numerical Matrix Analysis: Linear Systems and Least Squares. Philadelphia, PA: SIAM, 2009. [36] B. Gustavsen, “Computer code for passivity enforcement of rational macromodels by residue perturbation,” IEEE Trans. Adv. Packag., vol. 30, no. 2, pp. 209–215, May 2007.

Christopher S. Saunders received the B.S. degree from North Carolina State University, Raleigh, in 2002, the M.S. degree from Northeastern University, Boston, MA, in 2007, and is currently working toward the Ph.D. degree in electrical engineering at North Carolina State University, Raleigh. He was with Intersil, as a Senior Applications Engineer prior to joining North Carolina State University in 2008. His research interests are numerical analysis and optimization methods applied to the modeling and simulation of electronic, electromagnetic, and electric power systems.

Michael B. Steer (S’76–M’78–SM’90–F’99) received the B.E. (Hons.) and Ph.D. degrees from the University of Queensland, Queensland, Qld., Australia, in 1976 and 1983, respectively. He is currently the Lampe Distinguished Professor of Electrical and Computer Engineering with North Carolina State University, Raleigh. He has authored or coauthored over 400 publications on topics related to microwave and millimeter-wave systems, nonlinear RF effects, circuit–electromagnetic–acoustic interactions, RF behavioral modeling, RF circuit simulation, high-speed digital design, and RF/microwave design methodology. He coauthored Foundations of Interconnect and Microstrip Design (Wiley, 2000), Multifunctional Adaptive Microwave Circuits and Systems (SciTech, 2009), and the widely used textbook Microwave and RF Design: A Systems Approach (SciTech, 2010). Dr. Steer was secretary of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) in 1997 and was on the IEEE MTT-S Administrative Committee (1998–2001 and 2003–2006). He was the editor-in-chief of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He was the recipient of the Alcoa Foundation Distinguished Research Award of North Carolina State University (2003), the Jack S. Kilby Lecturer (2003), and the Bronze Medallion from the U.S. Army Research for “Outstanding Scientific Accomplishment” (1994 and 1996). He was the recipient of the military medal Commander’s Award For Public Service from the Commanding General of the U.S. Army Research, Development and Engineering Command (RDECOM) (2009). He shared the 2010 Microwave Prize of the IEEE MTT-S for the best paper on microwave engineering published in any IEEE publication in 2009. He was the recipient of the 2011 Distinguished Educator Award of the IEEE MTT-S. He was inducted into the Electronic Warfare Technology Hall of Fame sponsored by the Association of Old Crows, and was named “One of the Most Creative Teachers in the South” by Oxford American Magazine.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

21

Extended Passband Bandstop Filter Cascade With Continuous 0.85–6.6-GHz Coverage Eric J. Naglich, Student Member, IEEE, Juseop Lee, Member, IEEE, Dimitrios Peroulis, Member, IEEE, and William J. Chappell, Senior Member, IEEE

Abstract—This paper presents a cascade of tunable bandstop filters with a wide spurious-free upper passband, which is completely spanned by the tuning range of the notch responses. A collection of resonators is shown to be able to provide bandstop filter responses over a 7.8 to 1 tuning range. By using spurious-free upper passband aperture-coupled cavity bandstop filters, multiple resonators, each with octave tuning, can cover a multioctave frequency range in a cascade. It is shown that the upper passband of this type of filter is limited by the reactance of the coupling apertures, which produce an unwanted in-band resonance unless designed properly. The details of this design process are explained and used to design a six-resonator bandstop filter cascade that is able to provide a bandstop filter response with up to 55 dB attenuation over the continuous band of 0.85–6.6 GHz. Through dynamic allocation of the cascade circuit’s transmission zeros, one-, two-, three-, and four-pole bandstop filter responses of variable bandwidth can be realized over different frequency ranges, offering numerous bandwidth-attenuation level tradeoff combinations. Index Terms—Filters, microwave filters, passive filters, tunable filters, tunable resonators.

I. INTRODUCTION

M

ICROWAVE tunable bandstop filters are important in systems that operate over a wide bandwidth, such as low probability of intercept communications [1], spectrum sensing [2], and dynamic spectral access systems [3]. Due to the wide operating frequency range of these systems, recent bandstop filter research has focused on creating filters that have an extended spurious-free upper passband. In [4], a 6 to 1 upper passband was shown, meaning that the first spurious resonance was at six times the bandstop filter center frequency. An 18 to 1 upper passband was shown in [5], but only in simulation. More recently, two methods to achieve an extended upper passband Manuscript received October 15, 2011; accepted October 26, 2011. Date of publication December 05, 2011; date of current version December 30, 2011. This work was supported by BAE Systems under the Tunable Notch Filter Program and by the Defense Advanced Research Projects Agency (DARPA) under the Purdue Evanescent-Mode Cavity Filter Study Program. The work of E. J. Naglich was supported by the Department of Defense (DoD) under the National Defense Science and Engineering Graduate Fellowship (NDSEG) Program. E. J. Naglich, J. Lee, and W. J. Chappell are with the Integrated Design of Electromagnetically Applied Systems (IDEAS) Microwave Laboratory, Department of Electrical and Computer Engineering, Purdue University, West Lafayette, IN 47907 USA (e-mail: [email protected]; [email protected]; [email protected]). D. Peroulis is with the Adaptive Radio Electronics and Sensors (ARES) Group, Department of Electrical and Computer Engineering, Purdue University, West Lafayette, IN 47907 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2175245

were shown in [6]. A tunable bandstop filter with an 8.9 to 1 upper passband was shown in [7]. Previous extended upper passband filters leave systems open to interference over a wide frequency range above the bandstop resonance by design. In some applications, it would be advantageous to implement a bandstop filter with a wide upper passband that could tune over its entire upper passband. Currently, this functionality is usually implemented with yttrium iron garnet (YIG) filter [8] banks. However, YIG filters require a large amount of power ( 1 W) and are physically large compared to some other tunable filter technologies. Solid-state varactor-tuned bandstop filters have been shown to have low power consumption (mW) and very wide tuning ranges (4:1) [9]. However, the use of varactors results in low resonator quality factors (50 or less at microwave frequencies). Microelectromechanical systems (MEMS) tunable bandstop filters [10] offer low power consumption, higher quality factors ( 55 in -band), and increased power-handling capability compared to some varactortuned filters. However, as of now there are no MEMS-tuned bandstop filters with wide upper passbands, and the frequency tuning range of these filters is often less than an octave. One method that has been used to provide a bandstop response over a frequency range wider than the tuning range of a single bandstop filter is a cascade of bandstop filters [11]–[15]. However, a high-quality factor 400 low power consumption ( 10 mW) multioctave continuous frequency coverage bandstop filter cascade has not been demonstrated. Therefore, if such filtering capability is desired, there is a need for high-quality ( ) factor resonators that can tune over large frequency ranges with minimal power consumption while maintaining a wide upper passband in a bandstop filter configuration. Evanescent-mode cavity based filters have been shown to meet most of these requirements [16]. However, past bandstop filters using aperture-coupled cavity resonators [17] do not have wide upper passbands due to the reactance of the coupling apertures. This paper presents a novel method for increasing the spurious-free upper passband of an aperture-coupled cavity bandstop filter. This 7.8 to 1 increased upper passband is combined with the octave tuning range of evanescent-mode 400 low cavity resonators to show a high-quality factor power consumption ( W) multioctave continuous frequency coverage bandstop filter cascade for the first time. In addition, the tuning ranges of the component filters of the cascade circuit overlap over designed frequency ranges, allowing higher order notching capability in frequency bands where interference is most expected. This dynamic pole allocation concept is shown graphically in Fig. 1 for a six-resonator bandstop filter cascade.

0018-9480/$26.00 © 2011 IEEE

22

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 1. Concept of a six-resonator bandstop filter cascade using dynamic pole allocation and possible bandstop response orders over a wide spectrum. Examples of six reconfiguration possibilities of a four-pole response are shown in the magnified window with the red (in online version) dotted outline.

Fig. 2. Illustration of evanescent-mode cavity resonator coupled to a microstrip line through an aperture in the microstrip line’s ground plane and a 3-D model of an evanescent-mode cavity resonator.

II. MOTIVATION AND BACKGROUND Cavity resonators are frequently capacitively loaded in order to reduce their size for a desired resonant frequency [18]. Tunable evanescent-mode cavity resonators are cavity resonators that are very highly capacitively loaded and feature a flexible cavity sidewall above the loading element. The gap between the flexible cavity sidewall and loading element is chosen to be very small relative to the dimensions of the resonator, creating a large capacitance. This capacitance dominates the distributed capacitance of the resonator, and thus the majority of the electric field in the resonator is stored between the loading element and the flexible membrane. Since the majority of the electric field in the resonator is stored in an air dielectric, the resonator has a very high (702 at 4.6 GHz, 950 at 17 GHz) [19], [20]. Additionally, since the majority of the electric field is stored in a small volume relative to the resonator size, perturbations to the volume that are small relative to the resonator size can produce very large tuning ranges [16]. For example, in [16], a 3.5 to 1 frequency tuning range is presented with a quality factor of 300–650 across the tuning range. This wide tuning range was accomplished with only 38 m of flexible cavity sidewall deflection. 38 m of deflection can be accomplished electronically through the use of commercial piezoelectric actuators [16], electrostatic MEMS actuators [21], or magnetic forces [20]. A conceptual image of a tunable evanescent-mode cavity resonator is shown in Fig. 2 with a piezoelectric actuator for electronic control of the gap between the flexible cavity sidewall and the loading element. In Fig. 2, the resonator is coupled into through an aperture in the ground plane of a microstrip transmission line. In most bandstop filters, the upper passband limiting factor is spurious higher order resonances of the filter’s resonators [7]. Since evanescent-mode cavity resonators are very highly loaded, they have a very wide spurious-free frequency range above their fundamental resonance. This characteristic has been used to create bandpass filters using series-coupled resonators

Fig. 3. Measured response of the bandstop filter described in [17] (inset) showing upper passband degradation above 4 GHz.

with very wide spurious-free frequency ranges of 10 to 1 or more [19]. When aperture coupling is used to couple a feeding transmission line to an evanescent-mode cavity resonator in a shunt configuration for a bandstop filter response, as shown in Fig. 2, the coupling apertures are an imperfection in the ground plane of the transmission line. This changes the local characteristic impedance of the transmission line. The local change in characteristic impedance causes reflection of signals at frequencies other than the bandstop filter center frequency, reducing the quality of the bandstop filter’s passband. The reflections caused by the coupling apertures are the upper passband limiting factor of aperture-coupled evanescent-mode cavity resonator bandstop filters. An example of this effect can be seen in Fig. 3. Fig. 3 shows a measured response of the four-pole tunable bandstop filter shown in [17] through 5 GHz. While the filter performs well and has a low-loss passband over its tuning range of 2.4 to

NAGLICH et al.: EXTENDED PASSBAND BANDSTOP FILTER CASCADE

23

TABLE I ELEMENT VALUES FOR EQUIVALENT-CIRCUIT MODEL SHOWN IN FIG. 4(b)

Fig. 4. (a) Illustration of aperture coupling through the ground plane of a microstrip transmission line. (b) Equivalent-circuit model of a coupling aperture. (c) Simplified equivalent circuit of the model in (a).

3.6 GHz, it can be seen that the passband degrades after 4 GHz. The following sections analyze this effect and provide a new design methodology for extension of the upper passband of aperture-coupled evanescent-mode cavity filters toward the goal of a multioctave coverage bandstop filter cascade. III. DESIGN OF FEEDING TRANSMISSION LINE AND COUPLING APERTURES To analyze the effect of the coupling apertures on the upper passband of aperture-coupled evanescent-mode cavity filters, we consider an example of a typical microstrip transmission line with two coupling apertures in its ground plane, as shown in Fig. 4(a). Note that there are no evanescent-mode cavities represented in Fig. 4(a), and the coupling apertures in Fig. 4(a) are not the coupling apertures used in the filter shown in [17]. A microstrip line with apertures in its ground plane can be a bandstop filter itself because the coupling apertures have resonances. It is important to understand that, in this paper, we are using this structure below the first resonance of the coupling apertures. In other words, the below-resonance impedance of the coupling apertures limits the upper passband of the coupling structure in Fig. 4(a) well before the resonances of the coupling apertures limit the upper passband of the structure. An accurate equivalent-circuit model of an aperture in the ground plane of a transmission line has already been presented [22]. The circuit model described in [22] is repeated in Fig. 4(b) for convenience. The circuit model in Fig. 4(b) models the first two resonances of the coupling aperture and their interaction, which was shown in [22] to accurately model the circuit below resonance. The model is asymmetric because the parallel comand model the first resonance of the coupling bination of and model the aperture, and the parallel combination of second resonance of the coupling aperture. Using this model of

Fig. 5. (a) Comparison of the lossless circuit-level simulation of an optimized model of the coupling structure in Fig. 4(a), which uses the equivalent-circuit model in Fig. 4(b) and a full-wave simulation of the coupling structure in : e S/m, metal thickness m). Fig. 4(a) (metal conductivity

=58 7

= 35

a single aperture, the structure in Fig. 4(a) can be represented as the circuit in Fig. 4(c). Equation [22] describes a method to extract the lumped element values of the circuit model in Fig. 4(b) from full-wave simulation scattering parameter results, and relevant equations from [22] can be found in the Appendix. Full-wave simulation of a single aperture and the entire structure in Fig. 4(a) was done, and lumped-element equivalent-circuit model values were extracted using the method described in [22] and the equations in the Appendix. The equivalent-circuit model extracted values can be seen in Table I. A comparison of the full-wave simulation and the extracted equivalent-circuit lumped-element model response for the models in Fig. 4(a) and (c) is shown in Fig. 5, and good agreement can be seen. Fig. 5 shows that the microstrip line and coupling apertures shown in Fig. 4(a) have a passband that degrades after 3 GHz, and a spurious bandpass resonance can be seen at 7.1 GHz. Although the spurious bandpass resonance is at 7.1 GHz, its stopband degrades the passband of the coupling structure in Fig. 4(a) at frequencies as low as 3 GHz. This spurious bandpass resonance is at the frequency where the 13-mm transmission line between the coupling apertures in Fig. 4(a) is 180 long. While the transmission line has uniform width across the entire structure, the coupling apertures in its ground plane create inductive impedance boundaries that increase the local characteristic impedance of the transmission line. When a uniform 180 transmission line is terminated in an impedance that does not match its characteristic impedance, a bandpass resonance results. The bandpass resonance at 7.1 GHz in Fig. 5 is due to this effect,

24

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 6. (a) Equivalent-circuit approximation of aperture coupling through the ground plane of a microstrip transmission line with added shunt capacitance. (b) Illustration of aperture coupling with added capacitive patches on the microstrip line.

and it must be mitigated in order to increase the upper passband of the coupling structure shown in Fig. 4(a). The proposed method to mitigate the effect of the transmission line bandpass resonance described above is to capacitively load the transmission line section that causes the resonance. Capacitively loading the transmission line resonator reduces its resonant frequency and increases the coupling coefficient into the resonance, making it exhibit a broader response. If the resonance is lowered in frequency and broadened enough, it will become part of the passband of the coupling structure shown in Fig. 4(a). Since the resonance is due to a transmission line, one of the easiest and high quality factor methods to add shunt capacitance to the structure is to use an electrically short length of low characteristic impedance transmission line, similar to what is used in stepped-impedance low-pass filters [23]. The susceptance of a short relatively low characteristic impedance series patch is (1) where is the propagation constant, is the length of the patch, is the shunt capacitance, and is the characteristic admittance of the patch. Models of a transmission line over two coupling apertures with added shunt capacitance in the form of short low characteristic impedance transmission line sections can be seen in is the same as it was in Fig. 4(c). The model Fig. 6, where in Fig. 6(a) has input impedance of (2) where

(3)

Fig. 7. (a) Comparison of the circuit-level simulation of an optimized model of the coupling structure in Fig. 6(a), which uses the model in Fig. 4(b), and a full-wave simulation of the coupling structure in Fig. 6(b).

where is the shunt capacitance shown in Fig. 6(a), is the rais the characdian frequency, is the propagation constant, is the impedance teristic impedance of the transmission line, of the coupling aperture, and is the length of the transmission line. All of the variables in this equation are known, except for from either the design of the coupling structure in Fig. 4(a) or parameter extraction from its simulation results. Therefore, (2) can be solved for the value of that reduces the transmission line bandpass resonance, which occurs when , to a particular frequency through capacitive loading. The required capacitance can be realized as a low-impedance section of transmission line using (1). Through optimization of (2) to yield an upper passband 1-dB roll-off frequency of 6.5 GHz with minimal passband ripple below 6.5 GHz, the capacitive patches were designed to be 2.5-mm long and 6.35-mm wide, corresponding to a capacitance of 0.85 pF at 4.2 GHz. Fig. 7 shows that the passband of the coupling structure now extends to 6.5 GHz before degradation occurs. It can be seen that there are two reflection zeros shown in Fig. 5, while there are three reflection zeros shown in Fig. 7. While the reflection zero associated with the bandpass resonance of the transmission line between the coupling apertures (at 7.1 GHz in Fig. 5 and at 6.0 GHz in Fig. 7) is the focus of the proposed extended upper passband technique, the behavior of the other reflection zeros are also well predicted by (2) and are a result of the interaction between the transmission lines of the circuit, the coupling apertures, and the capacitive patches. Since the lower frequency reflection zeros are not the limiting factor of the upper passband of a microstrip transmission line with coupling apertures in its ground plane, (2) provides enough information about their spectral locations in order to optimize the passband. Further understanding of the proposed extended upper passband technique can be gained from examining the passband response for several widths of the capacitive patches in Fig. 6. Fig. 8 shows the -parameter responses of the coupling structure in Fig. 6 for capacitive patch widths

NAGLICH et al.: EXTENDED PASSBAND BANDSTOP FILTER CASCADE

25

parameters using the method described in [22] and the equations listed in the Appendix. for the model in 3) Determine the required value of Fig. 6(a) to lower the first transmission line bandpass resonance using (2) so that the passband ripple and roll-off frequency is optimized. 4) Design the capacitive patch dimensions to obtain the capacitance value required from 3) using (1). 5) Optimize the geometry of the capacitive patch in simulation to obtain the desired upper passband shape. The geometry of the capacitive patch must be optimized in simulation because a low-impedance section of transmission line is not a perfect capacitance. Alternatively, more advanced models of the capacitive patch could be used to further refine its geometry. The wide spurious-free coupling structure response shown in Fig. 7, in addition to the inherent wide spurious-free response of evanescent-mode cavity resonators, provides a path toward very wide frequency coverage bandstop filter cascades. IV. SIX-RESONATOR BANDSTOP FILTER CASCADE DESIGN

Fig. 8. (a) Transmission response for three widths of the capacitive patch in Fig. 6 showing passband ripple versus passband roll-off tradeoff. (b) S -parameters for three widths of the capacitive patch in Fig. 6 showing the shift of the reflection zero frequency as shunt capacitance is added.

of 4.35, 6.35, and 8.35 mm. In Fig. 8(a), the tradeoff between passband ripple and passband roll-off frequency is shown. The 4.35-mm-width case, which adds the least shunt capacitance to the coupling structure, has a higher frequency passband roll-off than the 6.35-mm width chosen in this design, but it also has higher passband ripple. The 8.35-mm-width case, which adds the most shunt capacitance to the coupling structure, has more ripple in the passband than the 6.35-mm-width case, as well as a lower frequency passband roll-off. Fig. 8(b) shows the shift of the reflection zero associated with the bandpass resonance of the transmission line between the coupling apertures as more shunt capacitance is added to the circuit. The location of this reflection zero can be designed to optimize the tradeoff between passband ripple and passband roll-off frequency. Consequently, the design methodology for the geometry of the proposed capacitive patches can be summarized as follows. 1) Design a conventional aperture-coupled cavity bandstop filter to meet filter shape specifications. 2) Extract the component values for the coupling structure model in Fig. 4(b) from measured or simulated scattering

Using the method described in Section III to increase the upper passband of a microstrip line with apertures in its ground plane, an evanescent-mode-cavity-based six-resonator bandstop filter cascade circuit was fabricated in order to provide complete notch coverage of the 0.85–6.6-GHz frequency range. In order to cover the entire frequency range, the six resonators of the structure were segregated into three sets of octave-tunable two-pole filters that each cover a different frequency range. Due to the wide upper passband that is now possible with these filters, they can simply be cascaded. The resonators’ tuning ranges were designed to have specific amounts of overlap so that certain portions of the spectrum are able to have two-, three-, and/or four-pole responses. The regions with higher order filter capability can be designed to be in bands where high power or wide bandwidth interference is most expected. An illustration of the fabricated six-resonator bandstop filter cascade can be seen in Fig. 9. The bandstop filter cascade uses three copper layers and two dielectric layers. The top copper layer, labeled Layer 1 in Fig. 9, contains the feeding microstrip transmission line. Capacitive patches can be seen along the length of the line. The top dielectric layer, labeled Layer 2 in Fig. 9, is 0.762-mm-thick Rogers 4350 circuit board @ 10 GHz). Rectangular material ( regions of this dielectric layer were removed near the input and output ports of the circuit in order to facilitate grounding of the input and output SMA connectors. The middle layer of copper, labeled Layer 3 in Fig. 9, is below the 4350 dielectric layer and serves as the ground plane for the feeding microstrip transmission line. This layer of copper also has semicircular apertures in it for coupling the microstrip transmission line to the evanescent-mode cavity resonators below. The bottom dielectric layer, labeled Layer 4 in Fig. 9, is Rogers TMM3 @ 10 GHz). circuit board material ( The evanescent-mode cavity resonators are integrated into this dielectric layer. The outer walls of the resonators are defined by copper-plated 0.8-mm-diameter via-holes that are spaced close enough together to approximate a solid wall over the circuit’s operating frequency range. The resonators use the

26

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 10. Top and bottom views of the fabricated six-resonator bandstop filter cascade.

TABLE II FABRICATED RESONATOR DIMENSIONS (*: SEE FIG. 9 FOR DEFINITION)

Fig. 9. Layer-by-layer view of the fabricated six-resonator bandstop filter cascade.

bent loading post geometry first shown in [17] to increase coupling for a given coupling aperture size and distance from the feeding microstrip transmission line. A bent loading post geometry redirects the current path in the resonator, which results in a redistribution of the magnetic field in the cavity. By routing the current through the loading post from the center of the cavity toward the outer wall of the cavity, the magnetic field becomes more concentrated on one side of the cavity. If coupling apertures are placed on the side of the cavity with increased magnetic field concentration, stronger coupling into the resonator results. Some of the TMM3 material is removed from the interior of the cavity. These regions can be seen in Fig. 9 as transparent cylinders inside of the cavities and are 2.5-mm deep. The bottom copper layer, labeled Layer 5 in Fig. 9, is a flexible thin sheet over the entire area of the circuit. The copper sheet is attached to the TMM3 dielectric layer everywhere, except within the boundaries of the outer walls of the resonators, creating a bendable membrane over each bent loading post. 0.38-mm-thick half-inch diameter piezoelectric actuators from Piezo Systems Inc. are attached to the side of the bendable copper membranes external to the cavity using silver epoxy. The piezoelectric actuators allow electronic control of the gaps above the bent loading posts in the resonators and the bendable copper membrane. Changing the gaps between the bent loading posts in the resonators changes the capacitance between the top of the loading post and the bendable membrane, which changes the resonant frequency of each resonator. Images of the fabricated evanescent-mode cavity bandstop filter cascade circuit can be seen in Fig. 10. The wires seen in Fig. 10 are the bias lines for the piezoelectric actuators, which use 210 V to tune over their entire range of motion. The bias lines are connected to the piezoelectric actuators with silver epoxy external to the cavity. Other than a rectangular patch for

connection of the bias lines, the top and sides of the piezoelectric actuators are coated in Parylene-C from Specialty Coating Systems in order to prevent shorting of the piezoelectric actuator bias voltages to the ground of the filter cascade circuit. In contrast to the four-pole filter in [17] with four similar resonators, the six-resonator cascade circuit shown in Figs. 9 and 10 is divided into three sets of two similar resonators that each focus on a subset of the entire frequency coverage range of the circuit. The dimensions of the resonators can be seen in Table II. While each of the two similar resonators have the same outer diameter and loading post diameter, different nominal gaps between the loading post and the flexible copper membrane allow each resonator to have slightly different tuning ranges. The length of the transmission lines between the coupling apertures for each of the three two-pole filters are different, as can be seen in Fig. 9. For a frequency-static bandstop filter that uses a transmission line as an inverter between similar resonators, the transmission line should be 90 in electrical length. However, for tunable filters, a transmission line inverter between the resonators can only be 90 in electrical length at one frequency. An asymmetric bandstop response is produced away from this frequency. The transmission line lengths between the resonators were designed in order to have a symmetric response at particular frequencies of interest. Additionally, since the twopole filters are matched to the system impedance in their passbands, the lengths of transmission line between each two-pole filter do not have an appreciable effect on the cascade’s response. Therefore, the transmission lines between each twopole filter should be kept as short as possible to reduce passband loss. Simulation results of the two lowest frequency resonators in the design, independent of the entire cascade circuit, can be seen in Fig. 11. The resonant frequencies overlap from 1–2 GHz while maintaining an almost 20 dB return loss from 0.5 to 6 GHz. Since the passbands of the individual two-pole

NAGLICH et al.: EXTENDED PASSBAND BANDSTOP FILTER CASCADE

Fig. 11. Simulated superimposed responses of the two lowest frequency resonators of the cascade design tuning from 1 to 2 GHz while maintaining an almost 20-dB return loss from 0.5 to 6 GHz. The inset shows the simulated structure.

Fig. 12. Measured versus simulated S response of the cascade circuit when it is configured to produce three two-pole maximum attenuation responses (static measurement).

filters are able to be well matched over a wide frequency range, component filters of bandstop filter cascades can be designed as individual filters and then simply placed in series. This ease of integration lends itself to modular design, where resonators with a certain coverage range can be dropped into and out of a design for different expected interference scenarios. In addition, it may be possible to increase the upper passband further than what is shown in this paper. This paper manipulates the first bandpass resonance of the transmission line between the coupling apertures in order to increase the upper passband. However, this transmission line has a bandpass resonance at each frequency for which its electrical length is an integer multiple of 180 . If multiple bandpass resonances of this transmission line could be used to increase the upper passband, the passband could be extended to the first bandstop resonance of the coupling aperture,

27

Fig. 13. Measured versus simulated S response of the cascade circuit when it is configured to produce three two-pole maximum attenuation responses (static measurement).

Fig. 14. Bandstop filter cascade circuit measured with all six resonators tuned to independent frequencies, showing the entire one-pole coverage range (static measurement).

which is much higher than the current limit. For example, the first coupling aperture bandstop resonance for the structure in Fig. 4(a) is at 10.4 GHz. This is a subject of ongoing research. V. MEASURED RESULTS The response of the fabricated bandstop filter cascade was measured using an Agilent Technologies N5230C performance network analyzer (PNA). Bias voltages were applied to the piezoelectric actuators using Keithley 2400 Sourcemeter power supplies. The final structure was simulated using Ansoft High Frequency Structure Simulator (HFSS). Measured versus and responses can be seen in Figs. 12 and simulated 13, respectively. In both figures, the cascade is configured to produce three two-pole responses. Good agreement can be seen between the measured and simulated data from dc to 6.5 GHz. From 6.5 to 10 GHz, the measured and simulated responses

28

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 15. Measured individual resonator tuning ranges.

Fig. 16. Measured superimposed S responses showing continuous two-pole coverage from 1.03 to 6.4 GHz (tuning measurement).

have similar shapes, but slight discrepancies in frequency. These discrepancies can be explained by slightly smaller coupling apertures than desired and/or slightly smaller capacitive patches than desired according to the equivalent-circuit model described in Section III. The notch responses were measured to have 10-dB fractional bandwidth (FBW) of 1.11% at 1.41 GHz, 2.70% at 3.2 GHz, and 2.57% at 5.41 GHz. The measured passband insertion loss was 0.065 dB at 100 MHz, 0.52 dB at 2 GHz, 0.88 dB at 4 GHz, 1.29 dB at 6 GHz, and 2 dB at 6.5 GHz. Fig. 14 shows the six resonators tuned to independent frequencies, displaying the complete one-pole coverage range of the bandstop filter cascade. The measured frequency tuning range of each resonator can be seen in Fig. 15. The tuning ranges in Fig. 15 result in frequency overlap ranges covered by multipole responses that can be designed to be in regions of expected interference. For example, the lower frequency range with three- and four-pole coverage is near long-term evolution ( 1.6 and 2.0 GHz), cellular telephone ( 1.8 and 1.9 GHz), and industrial–scientific–medical (ISM) ( 2.4 GHz) signals,

Fig. 17. Measured superimposed S responses showing the bandstop filter cascade circuit’s measured tuning ranges in the four-pole overlap frequency ranges (tuning measurement).

Fig. 18. Measured bandwidth versus equi-ripple attenuation for different shapes of the four-pole filter responses. Insets show examples of 20- and 30-dB levels of attenuation for the four-pole overlap regions of the spectrum.

while the upper frequency range with three- and four-pole coverage operates in a common weather and aviation radar band ( 3.1–3.6 GHz). Fig. 16 shows the two-pole coverage range of the cascade circuit, which is 1.03 to 6.4 GHz. Greater than 25 dB of attenuation is shown from 1.5 to 6.4 GHz. The bandwidth changes across the band from 1.0% to 4.8% 10-dB FBW due to the change in electrical length of the coupling apertures across the band. Fig. 17 shows the four-pole coverage ranges of the cascade circuit, which are 1.56–2.19 GHz and 3.11–3.70 GHz. In these regions, multiple filter shapes are possible due to the widely tuning resonators. Fig. 17 shows a Butterworth-like shape in the lower frequency four-pole coverage range and a 20-dB equi-ripple shape in the higher frequency four-pole coverage range. Any filter shape between the two shown, as well as split filter shapes such as two independent two-pole filters, are possible within a four-pole coverage range. This shape reconfigurability allows a dynamic tradeoff between

NAGLICH et al.: EXTENDED PASSBAND BANDSTOP FILTER CASCADE

29

bandwidth, attenuation level, and number of attenuated bands in the response. Measured results for the tradeoff between bandwidth and equi-ripple attenuation for two frequency tunings of the four-pole filter responses shown in Fig. 17 can be seen in Fig. 18. Examples of more of the possible filter shapes were shown in [17]. Combined with the wide passband and tuning ranges demonstrated in this paper, this high level of filter shape reconfigurability enables unprecedented microwave system robustness in dynamic noisy environments. VI. CONCLUSION A method to increase the passband of aperture coupled cavity resonator bandstop filters was described, which used resonances of the transmission lines between coupling apertures. A six-resonator bandstop filter cascade using this method was demonstrated, and a 7.8 to 1 upper passband was obtained. Continuous notch coverage of 0.85–6.6 GHz was achieved within the band. Enabled by the wide tuning ranges of evanescent-mode cavity resonators, the filter shapes and orders across the band could be tuned dynamically. These cascades can be modularly designed, with resonators of different frequency coverage ranges added to or subtracted from the circuit to trade off between attenuation level/response shape flexibility and passband insertion loss due to the length of the feeding transmission line. Bandstop filter cascades like the one demonstrated in this paper are expected to be useful in systems that operate over wide frequency bands in spectral environments with dynamic interference.

APPENDIX COUPLING APERTURE PARAMETER EXTRACTION FORMULAS The formulas for parameter extraction of the lumped-element circle values in the model in Fig. 4(b) from measured or simulated -parameter results, originally derived in [22], are (4) dB (5) (6) (7)

where represent the first and second resonance of the is the center frequency of the first resocoupling aperture, is the center frequency of the nance of the coupling aperture, is the frequency second resonance of the coupling aperture, of the reflection minimum between the first and second resois the 3-dB bandwidth nance of the coupling aperture, and of the th resonance of the coupling aperture, and are the imaginary parts of three -parameters at . ACKNOWLEDGMENT The views expressed are those of the authors and do not reflect the official policy or position of the Department of Defense

(DoD) or the U.S. Government. Approved for Public Release, Distribution Unlimited.

REFERENCES [1] M. Win and R. Scholtz, “Ultra-wide bandwidth time-hopping spreadspectrum impulse radio for wireless multiple-access communications,” IEEE Trans. Commun., vol. 48, no. 4, pp. 679–689, Apr. 2000. [2] T. Yucek and H. Arslan, “A survey of spectrum sensing algorithms for cognitive radio applications,” IEEE Commun. Surveys Tutorials, vol. 11, no. 1, pp. 116–130, 2009. [3] B. Perlman, J. Laskar, and K. Lim, “Fine-tuning commercial and military radio design,” IEEE Microw. Mag., vol. 9, no. 4, pp. 95–106, Aug. 2008. [4] R. Levy, R. Snyder, and S. Shin, “Bandstop filters with extended upper passbands,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 6, pp. 2503–2515, Jun. 2006. [5] N. Yildirim, “Synthesis of bandstop filters with ultra wide upper passbands,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 2109–2112. [6] W. Fathelbab, “Two novel classes of band-reject filters realizing broad upper pass bandwidth;synthesis and design,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 2, pp. 250–259, Feb. 2011. [7] A. Guyette, “Design of fixed- and varactor-tuned bandstop filters with spurious suppression,” in Eur. Microw. Conf., Sep. 2010, pp. 288–291. [8] G. Matthaei, “Magnetically tunable band-stop filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-13, no. 2, pp. 203–212, Mar. 1965. [9] B. Carey-Smith and P. Warr, “Broadband configurable bandstop filter with composite tuning mechanism,” Electron. Lett., vol. 40, no. 25, pp. 1587–1589, Dec. 2004. [10] I. Reines, S.-J. Park, and G. Rebeiz, “Compact low-loss tunable -band bandstop filter with miniature RF-MEMS switches,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 7, pp. 1887–1895, Jul. 2010. [11] S. Hamzah, B. Ahmad, and P. W. Wong, “Multiband matched bandstop filter,” in IEEE Asia–Pacific. Appl. Electromagn. Conf., Nov. 2010, pp. 1–4. [12] A. Guyette, I. Hunter, and R. Pollard, “Design of absorptive microwave filters using allpass networks in a parallel-cascade configuration,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2009, pp. 733–736. [13] G. Qiu, C. Tsai, B. Wang, and Y. Zhu, “A YIG/GGG/GaAs-based magnetically tunable wideband microwave bandpass filter using cascaded band-stop filters,” IEEE Trans. Magn., vol. 44, no. 11, pp. 3123–3126, Nov. 2008. [14] A. Cismaru and R. Marcelli, “CPW cascaded magnetostatic-wave bandstop resonators,” IEEE Trans. Magn., vol. 42, no. 10, pp. 3347–3349, Oct. 2006. [15] C. Rauscher, “Varactor-tuned active notch filter with low passband noise and signal distortion,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 8, pp. 1431–1437, Aug. 2001. [16] S. Moon, H. Sigmarsson, H. Joshi, and W. Chappell, “Substrate integrated evanescent-mode cavity filter with a 3.5 to 1 tuning ratio,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 8, pp. 450–452, Aug. 2010. [17] E. Naglich, J. Lee, D. Peroulis, and W. Chappell, “High- tunable bandstop filters with adaptable bandwidth and pole allocation,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2011, pp. 1–4. [18] C. Wang, H.-W. Yao, and K. Zaki, “Modeling of conductor-loaded resonators and filters in rectangular enclosures,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 12, pp. 2479–2485, Dec. 1997. [19] H. Joshi, H. Sigmarsson, D. Peroulis, and W. Chappell, “Highly loaded evanescent cavities for widely tunable high- filters,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 2133–2136. [20] M. Arif, W. Irshad, X. Liu, W. Chappell, and D. Peroulis, “A highmagnetostatically-tunable all-silicon evanescent cavity resonator,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2011, pp. 1–4. [21] X. Liu, L. Katehi, W. Chappell, and D. Peroulis, “High-tunable microwave cavity resonators and filters using SOI-based RF MEMS tuners,” J. Microelectromech. Syst., vol. 19, no. 4, pp. 774–784, Aug. 2010. [22] J.-S. Hong and B. Karyamapudi, “A general circuit model for defected ground structures in planar transmission lines,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 10, pp. 706–708, Oct. 2005. [23] D. M. Pozar, Microwave Engineering, 3rd ed. New York: Wiley, 2005, pp. 412–416.

X

Q

Q

Q

30

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Eric J. Naglich (S’09) received the B.S.E.C.E. degree from Purdue University, West Lafayette, IN, in 2007, and is currently working the Ph.D. degree in electrical and computer engineering at Purdue University. From 2007 to 2009, he was with GE Healthcare, where he was involved with electromagnetic subsystem design in medical imaging and surgical navigation machines during the Edison Engineering Development Program. His current research focuses on tunable filter synthesis and fabrication for widely tunable adaptive RF front ends in cognitive radio and radar applications. Mr. Naglich is a member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S). He is an officer of Purdue University’s Beta Chapter, Eta Kappa Nu. He is a National Defense Science and Engineering Graduate (NDSEG) Fellow. He was the recipient of the Second Place Award of the 2010 IEEE MTT-S International Microwave Symposium Student Paper Competition.

Juseop Lee (A’02–M’03) received the B.E. and M.E. degrees in radio science and engineering from Korea University, Seoul, Korea, in 1997 and 1999, respectively, and the Ph.D. degree in electrical engineering from The University of Michigan at Ann Arbor, in 2009. In 1999, he joined LG Information and Communications (now LG Electronics), where his activities included design and reliability analysis of RF components for code-division multiple-access (CDMA) cellular systems. In 2001, he joined Electronics and Telecommunications Research Institute (ETRI), where he was involved in de- and -band communications signing passive microwave equipment for satellites. In 2005, he joined The University of Michigan at Ann Arbor, where he was a Research Assistant and Graduate Student Instructor with the Radiation Laboratory, during which time his research activities focused on millimeterwave radars and synthesis techniques for multiple-passband microwave filters. In 2009, he joined Purdue University, West Lafayette, IN, where he is currently a Post Doctoral Research Associate involved with the design of adaptable RF systems. He is listed in Who’s Who in America. His research interests include RF and microwave components, satellite transponders, and electromagnetic theories. Dr. Lee was a recipient of the Highest Honor Award presented by Korea University, the Undergraduate Fellowship presented by Korea University, the Graduate Fellowship presented by LG Information and Communications, and the Graduate Fellowship presented by the Korea Science and Engineering Foundation. He was a recipient of the Rackham Predoctoral Fellowship presented by the Rackham Graduate School, The University of Michigan at Ann Arbor. He was also the recipient of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Graduate Fellowship. He coauthored the paper that was bestowed the Second Place Award of the 2010 IEEE MTT-S International Microwave Symposium Student Paper Competition.

Ku

Ka

Dimitrios Peroulis (S’99–M’04) received the Ph.D. degree in electrical engineering from The University of Michigan at Ann Arbor, in 2003. Since August 2003, he has been with Purdue University, where he currently leads a group of graduate students on a variety of research projects in the areas of RF MEMS, sensing, and power harvesting applications, as well as RF identification (RFID) sensors for the health monitoring of sensitive equipment. He has been a Principle Investigator (PI) or a co-PI in numerous projects funded by government agencies and industry in these areas. He is currently a key contributor in two Defense Advanced Research Projects Agency (DARPA) projects at Purdue that focus 1 000) RF tunable filters in mobile form factors on very high-quality ( (DARPA Analog Spectral Processing Program, Phases I, II, and III) and development of comprehensive characterization methods and models for understanding the viscoelasticity/creep phenomena in high-power RF MEMS devices (DARPA M/NEMS S&T Fundamentals Program, Phases I and II). He leads the experimental program on the Center for the Prediction of Reliability, Integrity and Survivability of Microsystems (PRISM) funded by the National Nuclear Security Administration. In addition, he heads the development of MEMS technology in a U.S. Navy project (Marines) funded under the Technology Insertion Program for Savings (TIPS) Program focused on harsh-environment wireless microsensors for the health monitoring of aircraft engines. He has authored or coauthored over 150 refereed journal and conference publications in the areas of microwave integrated circuits, sensors, and antennas. Dr. Peroulis was the recipient of the 2008 National Science Foundation CAREER Award. His students have been the recipients of numerous Student Paper Awards and other student research-based scholarships. He is a Purdue University Faculty Scholar and has also been the recipient of eight teaching awards including the 2010 HKN C. Holmes MacDonald Outstanding Teaching Award and the 2010 Charles B. Murphy Award, which is the highest undergraduate teaching honor of Purdue University.

Q>

William J. Chappell (S’98–M’02–SM’09) received the B.S.E.E., M.S.E.E., and Ph.D. degrees from The University of Michigan at Ann Arbor, in 1998, 2000, and 2002, respectively. He is currently an Associate Professor with the School of Electrical and Computer Engineering, Purdue University, West Lafayette, IN, where he is also a Member of the Birck Nanotechnology Center and the Center for Wireless Systems and Applications. His research focus is on advanced applications of RF and microwave components. He has been involved with numerous Defense Advanced Research Projects Agency (DARPA) projects involved in advanced packaging and material processing for microwave applications. His research sponsors include the Homeland Security Advanced Research Projects Agency (HSARPA), Office of Naval Research (ONR), the National Science Foundation (NSF), the State of Indiana, Communications–Electronics Research, Development and Engineering Center (CERDEC), and Army Research Office (ARO), as well as industry sponsors. His research group uses electromagnetic analysis, unique processing of materials, and advanced design to create novel microwave components. His specific research interests are the application of very high-quality and tunable components utilizing multilayer packages. In addition, he is involved with high-power RF systems, packages, and applications. Dr. Chappell was the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Administrative Committee (AdCom) secretary in 2009. He is a member of the IEEE MTT-S AdCom for 2010–2012.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

31

Design Method for Ultra-Wideband Bandpass Filter With Wide Stopband Using Parallel-Coupled Microstrip Lines Amin M. Abbosh, Senior Member, IEEE

Abstract—A method to design microstrip bandpass filters with ultra-wideband (UWB) performance, wide stopband, and practical dimensions is presented. According to the proposed method, three subsections of different lengths and coupling factors are connected to form a stepped-impedance parallel-coupled microstrip structure. A theoretical model is derived and used to find the optimum length and coupling factor for each of those subsections for an UWB passband and suppressed second and third harmonic responses in the stopband. The required performance is realized by generating and proper positioning of three transmission zeros in the upper stopband and three transmission poles in the passband. The derived model shows that the total length of the three-subsection coupled structure is one-third of the effective wavelength at the center of the passband. The theoretical model is used to find the required design values for the whole structure. The presented method is validated by building a bandpass filter that has a passband from 3.1 to 10.6 GHz with less than 1-dB insertion loss and a wide upper stopband that extends up to 28 GHz. Index Terms—Bandpass filter, microstrip filter, ultra-wideband (UWB) filter.

I. INTRODUCTION ANDPASS filters that are based on parallel-coupled microstrip lines are widely used in microwave systems due to their simple structure, low cost, and easy integration with other devices. However, their use in many wideband applications is hindered by two factors. The first one is the presence of the second and third harmonic responses. The undesired second harmonic appears due to the difference in the odd- and even-mode phase velocities of the microstrip coupled structures. That difference becomes larger, and thus, the second-harmonic problem becomes more serious when a substrate with high dielectric constant is used. The third harmonic appears due to the distributed nature of the utilized coupled microstrip lines [1], [2]. The second factor that limits the use of microstrip bandpass filters in wideband systems is the need for a very narrow spacing between the coupled lines for fractional bandwidths of more than approximately 30% [3].

B

Manuscript received July 29, 2011; accepted October 24, 2011. Date of publication November 29, 2011; date of current version December 30, 2011. This work was supported by the Australian Research Council (ARC) under an ARC Future Fellowship. The author is with the School of Information Technology and Electrical Engineering, The University of Queensland, Brisbane, Qld. 4072, Australia (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2175241

In order to address the aforementioned challenges, a wide range of methods and techniques were proposed [4]–[29]. They include combining parallel-coupled lines with different types and shapes of stubs or multimode resonators [4]–[12], manipulating the shape of the ground structure or the dielectric substrate [13]–[24], and modifying the shape of the coupled lines [25]–[29]. Each of the presented filters in [4]–[29] has its own merits. However, when it comes to the requirements of an easy to manufacture filter that has an ultra-wideband (UWB) passband and an upper stopband that is free from the effect of the second and third harmonics, there are important limitations in [4]–[29]. The upper stopband of the filters presented in [4]–[8], [10], [11], [13]–[15], [17], and [20]–[29] has limited width due to the existence of a strong third harmonic response. The inherent characteristics of the utilized structures in [6] and [7] limits the bandwidth to less than 80% as the passband is bounded by the first and third harmonic responses of the stubs. The technique used in [9] enables the reduction of the levels of the second and third harmonics, but they are not removed completely. To achieve the required UWB performance in [12] and [16], narrow gaps (0.05 mm) were needed between the coupled lines that have a narrow width of 0.1 mm in [12]. To improve the performance at the upper stopband, a low-pass filter was needed in [18]. The measured results of the filter indicate an insertion loss of 1.8 dB across most of the passband. The performance of a four-section filter in [19] reveals an extended upper stopband, but a limited-width passband. The conclusion from the aforementioned review is that there is a need for a technique that enables the design of a planar UWB bandpasss microstrip filter with less than 1-dB insertion loss across its passband, a wide upper stopband that is free from the presence of the second and third harmonic responses, and a reasonable spacing between the coupled lines. The design presented in this paper endeavours to address that need. To suppress the second and third harmonic responses, the coupled structure is divided into three subsections that have different coupling factors and lengths. A theoretical model is derived for the proposed structure. That model is used to find the required values for the length and coupling factor for each of the coupled subsections so that three transmission poles and three zeros are created in the passband and upper stopband, respectively. It is shown that while the side subsections should be designed to have a loose coupling, the central subsection should have a tight coupling that is almost twice that of the side subsections. The length of the central subsection is also twice that of any of the side sub-

0018-9480/$26.00 © 2011 IEEE

32

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 1. Symmetrical coupled structure with three subsections.

sections. The total length of the coupled structure is one-third of the effective wavelength at the center of the passband.

Fig. 2. Calculated performance of a single-section structure for different values of c . c calculated from (8).

II. THEORY Assume that a coupled structure is divided into three subsections, as depicted in Fig. 1. For a symmetrical configuration, the two side subsections are assumed to have the same coupling factor ( ) and length ( ). The central subsection has a coupling factor ( ) and length ( ). Using the even-odd mode analysis for four-port devices [30], [31], it is possible to show that the reflection ( ) and transmission ( ) coefficients of the three-subsection coupled structure are given as (1) (2) (3) (4)

(5)

(6)

and are the coupling factor and the length, respectively, of is the effective wavelength. the th coupled section, and shows that it is The iterative solution of (1)–(6) for possible to generate three transmission zeros at the upper stopband in positions that completely suppress the second and third harmonic responses when the length and coupling factor of the side and central subsections have the following relations: (7) (8) : the effective wavelength at the center frequency of the passband .

The solution (7) reveals that the coupled structure of Fig. 1 ) that is equal to one-third should have a total length ( of the effective wavelength at the center of the passband. The solution (8) shows that for a spurious-free stopband, the central subsection having a length, which is twice that of any of the side subsections, should be tightly coupled, whereas the side subsections are loosely coupled. The performance is calculated using (1)–(8) for wide range of coupling values. In those calculations and the other calculations that follow, it is assumed that the required center frequency for is 6.85 GHz as the target of the design is a the passband passband from 3.1 to 10.6 GHz. The results are shown in Fig. 2 for different values of the coupling factor . The corresponding coupling factor is calculated using (8). In all the investigated cases, it is found that the stopband is characterized by the presence of three transmission zeros ( , , and ). The position of those zeros are related to the , , center of the passband as follows: , as shown in Fig. 2. The frequency appears and at because the total length of the coupled structure, which is equal to one-third of a wavelength at , is equal to one wave. Thus, a complete reflection of the signal occurs. length at and ) are The other two transmission zero frequencies ( the result of the destructive combination of the direct and coupled/reflected signals at the output port. Concerning the performance at the passband, it is clear from the results of Fig. 2 that for low values of the coupling factors, there is one transmission pole at the passband. That pole appears exactly at . Increasing the value of the coupling factors results in splitting the central pole into two poles that are symmetrical . The two poles around . That split appears when move towards the two edges of the passband with the continuous increase in the values of the coupling factors resulting in an increase in the width of the passband. If two sections similar to that shown in Fig. 1 are connected ) and transmission ( ) in series, the effective reflection ( coefficients can be found as follows. Assume that a transmission line that has a characteristic impedance ( ) and length ( ) is used to connect the two sections that have a characteristic

ABBOSH: DESIGN METHOD FOR UWB BANDPASS FILTER WITH WIDE STOPBAND

33

impedance ( ). The connecting line has the following -parameters that can be derived from its -parameters [3]:

(9)

(10)

The effective -parameters of the whole structure (coupled section #1, connecting line, and coupled section #2) can be calculated from the -parameters of the three parts [32] (11) (12) (13) (14) and are given by (1) and (2). The iterative solution of (9)–(14) shows that the relations between the lengths and coupling factors of the two-section structure should be as in (7) and (8) in order to suppress the second and third harmonic responses in the stopband. This conclusion and . The choice of , , , and is valid for any values of according to (7) and (8) results in three transmission zeros at the same positions as those in the single-section device. As will be thoroughly discussed in the coming section, there are two possible configurations for the connection of the two sections. In one of the configurations, the two sections are connected directly without the need for any connecting line. In this case, the performance can be calculated from (9)–(14) after as. It is found that in order to achieve a passband suming from 3.1 to 10.6 GHz with less than 1-dB insertion loss and spu, and thus, from (8). rious-free stopband, Snapshots of the calculated performance for those values of and and two other set of values are shown in Fig. 3. The stopband performance is similar to that of the single-section device in having the same three transmission zeros. However, the level of attenuation in the stopband of a two-section device is better than that of the single-section device by more than 20 dB, as re. vealed when comparing Fig. 2 with Fig. 3 for, say, Moreover, the rate of cutoff at the lower and upper stopbands is larger in the two-section compared with the one-section structure. Concerning the performance in the passband, the extensive calculations and the snapshots shown in Fig. 3 indicate that , and the passband has two transmission poles; when . For the case , a third transmission pole appears between and . That with increasing . If is increased bepole moves toward yond 0.44, a fourth pole starts to appear from the position of moving toward the lower edge of the passband. That pole moves

Fig. 3. Calculated performance of a two-section structure for different values of c . c is calculated from (8).

the low cutoff frequency of the filter to lower values. Moreover, the third pole moves toward the upper edge of the passband, pushing the high cutoff frequency to higher values. Thus, wider passband can be achieved by increasing , and consequently, . A significant increase in the width of the passband beyond the UWB requirement of 109% fractional bandwidth comes at a cost; the performance at the center of the passband deteriorates , which achieves 150% as shown in Fig. 3 for the case fractional bandwidth. The results of Fig. 3 also indicate that by decreasing , and thus, , narrowband performance with very high attenuation in the stopband is realized. When assuming independent values for the coupling factors and , i.e., they are not related according to (8), it is found does not depend on the values of or that the position of , as revealed in Fig. 4. It is only defined by the value of , and thus, by the length of the coupled structure. On the other hand, the values of the other two transmission zero frequenand ) depend on the coupling values if they are not cies ( taken according to (8). For example, increasing the value of to more than the value given by (8) results in an increase in the frequency spacing between the transmission zeros, whereas decreasing that value results in a decrease in the spacing between the zeros. As shown in Fig. 4, both of those two situations cause the unwelcomed appearance of local maxima in the insertion loss at the exact positions of the two side transmission zeros of , and . the case when (8) is applied, i.e., at In the second configuration of a two-section device, a transis needed to connect mission line of length and impedance the two sections. The performance for this configuration is caland . culated using (9)–(14) for a wide range of values for In order to achieve a 3.1–10.6-GHz passband, , and are used in those calculations. Snapshots of the thus, calculations are shown in Figs. 5–7. The results of Figs. 2–7 prove that if , , , and are chosen according to (7) and (8), the three transmission zero frequencies does not depend on the number of sections or parameters of the connecting line. It is found that if , the best performance is achieved when the connecting line is as short as possible, as revealed in

34

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 4. Calculated performance of a two-section structure when c

= 0 43

Fig. 5. Calculated performance at c : and length d (per  ) of the connecting line that has Z

= 0 81. :

= 0 81 for the given = 20 . c

:

Fig. 5 for . Increasing does not change the position of the two higher poles, but it splits the first pole into two poles. However, the performance in the passband is not acceptable for (Fig. 6), the performance large values of . For the case does not depend on the length of the connecting line, and thus, it should be chosen as short as possible for a compact structure. (Fig. 7), changing the value of Concerning the case has no effect on or . The central transmission pole shifts slightly downward with increasing . A close inspection of Fig. 7 shows a possibility of improvement in the passband with a proper choice of the connecting line parameters. For ex, depicted in Fig. 7 ample, the case causes a slight, but important, improvement in the return loss at around 7 and 10 GHz compared with all the other investigated cases in Figs. 5–7. This observation is thoroughly investigated in order to get the optimum choice for and . It is found that a connecting line with and achieves better performance compared with any other values including the configuration that does not need a connecting line.

Fig. 6. Calculated performance at values of the length d (from 0 to : .

50

c

0 25



= 0 43 and

= 0 81

: : for different c ) of the connecting line that has Z

= 0 43

Fig. 7. Calculated performance at c : and length d (per  ) of the connecting line that has Z

=

= 0 81 for the given = 100 . c

:

III. DESIGN From the practical point of view, it is easy to achieve the required loose coupling of 0.43 (equivalent to 7.3 dB) at the two side subsections using conventional parallel-coupled microstrip lines. However, the tight coupling of 0.81 (equivalent to 1.83 dB) at the central subsection cannot be realized using the conventional structure. Therefore, two techniques are employed in order to facilitate the achievement of tight coupling in that subsection without the need for a very narrow gap between the coupled lines. Since the tight coupling requires very high even-mode impedance and very low odd-mode impedance, one of the employed techniques aims at decreasing the odd-mode impedance, while the other aims at increasing the even-mode impedance. Both targets are achieved while a reasonable gap between the coupled lines is maintained. In the first approach, a chip capacitor is connected between the two coupled lines at the middle of the central subsection to increase its odd-mode capacitor, and thus, to decrease its

ABBOSH: DESIGN METHOD FOR UWB BANDPASS FILTER WITH WIDE STOPBAND

35

(16)

(17)

(18)

(19)

Fig. 8. Proposed bandpass filter using: (a) inline and (b) cascaded configuration.

odd-mode impedance [33], [34]. That capacitor has no effect on the even-mode circuit. In the second approach, the conductive layer in the ground plane located directly underneath the coupled structure is removed leaving a slotted ground plane at that place. This action results in a reduction in the even-mode capacitor, and thus, an increase in the even-mode impedance [34]–[36]. The proposed method can be implemented using parallelcoupled microstrip lines in two different manners (inline and cascaded configurations), as shown in Fig. 8. Using the well-known equations that relate the coupling factor with the mode impedances [3], it is possible to show that ) and the central subsection for the side subsections ( ), the even- and odd-mode impedances are equal ( to 79.2, 31.5, and 154.3, and 16.2 , respectively. To ease the manufacturing process, the minimum value of the gaps between the coupled lines, i.e., and in Fig. 8, is assumed mm and for a certain substrate, to be 0.2 mm. With the width of the side subsections ( ) to achieve the required mode impedances of the side subsections are found using the design equations of the conventional coupled microstrip lines [35], [37]. Concerning the central subsection, the analysis for parallelcoupled lines with slotted ground [35] can be employed after considering the effect of the additional chip capacitor. The even) and odd-mode ( ) impedances are equal to (

(15)

and : first kind elliptical integral and its compleand : dielectric constant and thickmentary, respectively, are ness of the substrate. The design parameters , , and shown in Fig. 8. Since the design (15)–(19) are nonlinear, an iterative procedure was adopted to find the required values of the design parameters. That procedure starts by using the minimum (0.2 mm), the calculated mode impedances, and a value for certain value for . The procedure is repeated until reasonable values for the design parameters are found. Since the effect of the harmonic responses is more serious when the utilized substrate has a high dielectric constant and in order to show the effectiveness of the proposed method, the filter was designed and fabricated using the substrate RT6010 , mm). Assuming that ( mm, the values of the design parameters are mm, mm, mm, mm, mm, mm, mm, and pF. For a two-section configuration that needs a connecting line between mm, and mm. the two sections, the line has

IV. RESULTS AND DISCUSSIONS The calculated design values were used in the simulation tool (CST Microwave Studio) to calculate the performance of the filter should those values are used without any optimization. The results shown in Fig. 9 indicate that the passband agrees well with the target. The upper stopband has a sharp cutoff rate at 12 GHz and it is free from the presence of the second and third harmonics. However, the insertion loss at the upper stopband, especially around 18 GHz, needs to be increased. The performance also requires an improvement over part of the passband (around 9 GHz). The level of attenuation at the stopband is below that expected in theory. Those discrepancies between the theoretical and full-wave simulated performance are mainly due to the effect of the parasitic elements and substrate dielectric and conductive losses, which are not considered in the theory. Since the investigated band is extremely wide, it is typical to anticipate that the effect of the nonideal elements used in the simulation is significant. A close inspection of the performance according to the calculated design values in Fig. 9 shows that the response is to be

36

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 10. Top and bottom views of the developed filter.

Fig. 9. Simulated performance using the calculated (initial) and optimum design values for the inline and cascaded configurations.

shifted slightly upward. Thus, the lengths of the coupled structures should be decreased slightly. The central transmission pole also appears at 7 GHz, whereas it should be at around 8.9 GHz indicating lower achieved values for the coupling factors. Thus, the optimization process should target increasing those factors. The simulation tool was used to find the optimum dimensions. To ease the manufacturing process, the cost function of the utilized optimization algorithm was designed to drive and to have high values with a minimum acceptable value set at was allowed to 0.2 mm. Moreover, the added chip capacitor take only the available standard values. The optimized values mm: mm, are found to be mm, mm, mm, and pF. Concerning the inline structure, the connecting line between the two sections was found to have the optimum dimensions mm, mm. In the proposed design, a broadband microwave chip capacitor from Murata Electronics, Kyoto, Japan, was used. As shown in Fig. 8, a tapered microstrip is used to connect the coupled structure to the input and output ports. The use of the tapered line is useful in easing the constraint on the even- and odd-mode impedances of the different subsections. It is found during the optimization that a deviation of about 10 from the relation can be easily compensated using the tapered microstrip lines. If the calculated values of the design parameters are compared with the optimized values, it is possible to conclude that the presented design method, which is based on the quasi-static assumption, gives reasonable initial values. The major difference occurs in the gap spacing. That difference occurs due to the adopted optimization procedure, which encourages a larger value for the gaps for easy manufacturing. It is worth mentioning that different optimization techniques were tried. It was found that the best performance is always achieved when the width and the gap of the central subsection ( and ) are equal to those of the side subsections ( and

). The other design parameters of the central subsection ( and ) are used to get its required mode impedances, which are different from those of the side subsections. This result can be explained by the removal of all the discontinuities from the structure when the side and central subsections have the same width and gap. Using the optimized design values, the performance of the inline configuration [see Fig. 8(a)] and the cascaded configuration [see Fig. 8(b)] were simulated. The results shown in Fig. 9 reveal that both of the structures achieve the required UWB performance. The use of the optimized parameters results in a significant improvement in the performance, especially at the upper ends of the passband and stopband. Fig. 9 shows that the inline configuration has a better performance concerning the return loss at the passband and the insertion loss at the upper stopband. The return loss of the inline structure is more than 20 dB across the band from 3.5 to 10.6 GHz, whereas the return loss in the cascaded structure is better than 17 dB across the same band. The insertion loss of the inline structure is more than 40 dB, whereas it is more than 31 dB for the cascaded structure, across the stopband from 13.5 to 19.5 GHz. The improvement in the performance of the inline structure compared with the cascaded structure is achieved by the proper utilization of the connecting transmission line and a weak capacitive end coupling between the first and second sections. The level of that capacitive coupling is controlled using the distance [see Fig. 8(a)] between the first and second sections of the filter. Concerning the size of those coupled structures, it is easy to show that the inline structure needs a smaller size than that of the cascaded structure under the realistic assumption . For those reasons, the inline structure is chosen for the development of the proposed filter. The overall dimension of the manufactured filter (Fig. 10) is 1.2 cm 1.7 cm. It is worth mentioning that with a smaller size and a better performance of the inline structure compared with the cascaded structure, the parallel-coupled resonators used by Cohn [37] for the development of bandpass filters could be arranged in an inline configuration instead of the originally suggested cascaded configuration. The capacitive end coupling between subsequent sections can then be utilized to improve the performance in a similar manner to the method used in this paper.

ABBOSH: DESIGN METHOD FOR UWB BANDPASS FILTER WITH WIDE STOPBAND

37

Fig. 11. That difference can be attributed to two parameters. The first one is the performance of the utilized chip capacitor. Although the capacitor was chosen to be of a microwave broadband type, its performance according to the technical data is guaranteed up to 10 GHz. However, after 10 GHz, it could have parasitic parameters (inductors and resistor) that affect its performance. The second parameter is the Subminiature A (SMA) connectors. The utilized connectors have less than 0.4-dB insertion loss for operating frequencies up to 18 GHz. Beyond that frequency, the insertion loss of each of those connectors increases significantly. To quantify the level of distortion introduced by any bandpass filter, it is necessary to measure the deviation in the group delay across the passband of the filter. Concerning the developed filter, the measured group delay depicted in Fig. 12 shows a low peak-to-peak deviation of 0.12 ns across the band from 3.1 to 10.6 GHz. Fig. 11. Measured and simulated across the investigated band.

S -parameters

for the inline configuration

V. CONCLUSION An UWB bandpass filter based on parallel-coupled microstrip lines has been presented. In order to achieve the required UWB passband and wide spurious-free stopband, three subsections that have different lengths and coupling factors are connected to form a stepped-impedance coupled structure. The resultant structure creates three transmission poles at the passband and three transmission zeros at the upper stopband. A theoretical model is derived and used to find the optimum length and coupling factor for each of those subsections for an UWB passband with suppressed second and third harmonic responses. To achieve those targets, it is shown that the central subsection is required to be tightly coupled; whereas the side subsections are loosely coupled. The derived model shows that the total length of the three-subsection coupled structure is one-third of the effective wavelength at the center of the passband. The presented method is validated by simulations and measurements.

Fig. 12. S -parameters and group delay for the inline configuration across the lower part of the investigated band.

The simulated and measured performance of the inline configuration is shown in Fig. 11 for the whole investigated band and in Fig. 12 for the passband and small parts of the stopbands. Figs. 11 and 12 reveal a passband from 3.1 to 10.6 GHz with less than 1-dB insertion loss. The return loss is more than 20 dB according to the simulations and 18 dB in the measurements across the band from 3.5 to 10.5 GHz. Within the passband of the filter, there are three resonances that appear at 5, 8, and 10 GHz in the return loss as expected in the theoretical model. The device has a sharp cutoff at the upper stopband. The maximum insertion loss is equal to 48 dB at 15.8 GHz in the simulations and 47 dB at 15.4 GHz in the measured results. The insertion loss is more than 30 dB across the stopband from 12 to 24 GHz. The upper stopband extends up to 28 GHz. As shown in Fig. 12, the simulated and measured results agree very well across the band up to around 14 GHz. The difference between them is significant after that frequency, as revealed in

REFERENCES [1] G. Matthaei, L. Young, and E. Jones, Microwave Filters, Impedance Matching Networks and Coupling Structures. Norwood, MA: Artech House, 1980. [2] M. Jiang, M. Wu, and J. Kuo, “Parallel-coupled microstrip filters with over-coupled stages for multispurious suppression,” in IEEE MTT-S Int. Microw. Symp. Dig., 2005, pp. 12–17. [3] D. Pozar, Microwave Engineering, 3rd ed. New York: Wiley, 2005. [4] K. Song and Q. Xue, “Inductance-loaded Y-shaped resonators and their applications to filters,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 4, pp. 978–984, Apr. 2010. [5] M. Salleh, G. Prigent, O. Pigaglio, and R. Crampagne, “Quarter-wavelength side-coupled ring resonator for bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 1, pp. 156–162, Jan. 2008. [6] C. Hung, M. Weng, R. Yang, and Y. Su, “Design of the compact parallel coupled wideband bandpass filter with very high selectivity and wide stopband,” IEEE Microw Wireless Compon. Lett., vol. 17, no. 7, pp. 510–512, Jul. 2007. [7] L. Li and Z. Li, “Side-coupled shorted microstrip line for compact quasi-elliptic wideband bandpass filter design,” IEEE Microw Wireless Compon. Lett., vol. 20, no. 6, pp. 322–324, Jun. 2010. [8] K. Song and Y. Fan, “Compact ultra-wideband bandpass filter using dual-line coupling structure,” IEEE Microw Wireless Compon. Lett., vol. 19, no. 1, pp. 30–32, Jan. 2009. [9] B. Yao, Y. Zhou, Q. Cao, and Y. Chen, “Compact UWB bandpass filter with improved upper-stopband performance,” IEEE Microw Wireless Compon. Lett., vol. 19, no. 1, pp. 27–29, Jan. 2009.

38

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

[10] M. Sanchez-Soriano, E. Bronchalo, and G. Torregrosa-Penalva, “Compact UWB bandpass filter based on signal interference techniques,” IEEE Microw Wireless Compon. Lett., vol. 19, no. 11, pp. 692–694, Nov. 2009. [11] Y. Chiou, J. Kuo, and E. Cheng, “Broadband quasi-Chebyshev bandpass filters with multimode stepped-impedance resonators (SIRs),” IEEE Trans. Microw. Theory Tech., vol. 54, no. 8, pp. 3352–3358, Aug. 2006. [12] S. Wong and L. Zhu, “Quadruple-mode UWB bandpass filter with improved out-of-band rejection,” IEEE Microw Wireless Compon. Lett., vol. 19, no. 3, pp. 152–154, Mar. 2009. [13] M. Moradian and M. Tayarani, “Spurious-response suppression in microstrip parallel-coupled bandpass filters by grooved substrates,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 7, pp. 1707–1713, Jul. 2008. [14] M. Velazquez-Ahumada, J. Martel, and F. Medina, “Parallel coupled microstrip filters with ground-plane aperture for spurious band suppression and enhanced coupling,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 1082–1086, Mar. 2004. [15] L. Zhu and H. Wang, “Ultra-wideband bandpass filter on aperturebacked microstrip line,” Electron. Lett., vol. 41, no. 18, pp. 1015–1016, 2005. [16] S. Wong and L. Zhu, “EBG-embedded multiple-mode resonator for UWB bandpass filter with improved upper-stopband performance,” IEEE Microw Wireless Compon. Lett., vol. 17, no. 6, pp. 421–423, Jun. 2007. [17] X. Luo, H. Qian, J. Ma, and E. Li, “Wideband bandpass filter with excellent selectivity using new CSRR-based resonator,” Electron. Lett., vol. 46, no. 20, pp. 1390–1391, 2010. [18] J. Lee and Y. Kim, “Ultra-wideband bandpass filter with improved upper stopband performance using defected ground structure,” IEEE Microw Wireless Compon. Lett., vol. 20, no. 6, pp. 316–318, Jun. 2010. [19] H. Kuan, Y. Lin, R. Yang, and Y. Chang, “A multilayered parallel coupled microstrip bandpass filter with embedded SIR cells to have a broad upper rejection band,” IEEE Microw Wireless Compon. Lett., vol. 20, no. 1, pp. 25–27, Jan. 2010. [20] J. Park, J. Yun, and D. Ahn, “A design of the novel coupledline bandpass filter using defected ground structure with wide stopband performance,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 9, pp. 2037–2043, Sep. 2002. [21] G. Yang, R. Jin, and J. Geng, “Planar microstrip UWB bandpass filter using U-shaped slot coupling structure,” Electron Lett., vol. 42, no. 25, pp. 1461–1463, 2006. [22] Q. Chu and S. Li, “Compact UWB bandpass filter with improved upperstopband performance,” Electron. Lett., vol. 44, no. 12, pp. 742–743, 2008. [23] J. Bonache, I. Gil, J. García-García, and F. Martín, “Novel microstrip bandpass filters based on complementary split-ring resonators,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 265–271, Jan. 2006. [24] J. Kuo, M. Jiang, and H. Chang, “Design of parallel-coupled microstrip filters with suppression of spurious resonances using substrate suspension,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 83–89, Jan. 2004.

[25] S. Wang, C. Chi, M. Hsieh, and C. Chang, “Miniaturized spurious passband suppression microstrip filter using meandered parallel coupled lines,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 2, pp. 747–753, Feb. 2005. [26] T. Lopetegi, M. Laso, J. Hernandez, M. Bacaicoa, D. Benito, M. Garde, M. Sorolla, and M. Guglielmi, “New microstrip ‘wiggly line’ filters with spurious passband suppression,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 9, pp. 1593–1598, Sep. 2001. [27] J. Kuo, W. Hsu, and W. Huang, “Parallel coupled microstrip filters with suppression of harmonic response,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 10, pp. 383–385, Oct. 2002. [28] B. Kim, J. Lee, and M. Song, “An implementation of harmonic- suppression microstrip filters with periodic grooves,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 9, pp. 413–415, Sep. 2004. [29] I. Kim, “Fractal-shaped microstrip coupled-line bandpass filters for suppression of second harmonic,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2943–2948, Sep. 2005. [30] A. Abbosh, “Closed-form design method for tight parallel-coupled microstrip coupler with ultra-wideband performance and practical dimensions,” Electron. Lett., vol. 47, no. 9, pp. 547–549, 2011. [31] R. Mongia, I. Bahl, and P. Bhartia, RF and Microwave Coupled-Line Circuits. Norwood, MA: Artech House, 1999. [32] A. Abbosh, “Planar bandpass filters for ultra-wideband applications,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 10, pp. 2262–2269, Oct. 2007. [33] Y. Xu and G. Bossisio, “A novel structure of tightly coupled lines for MMIC/MHMIC couplers and phase shifters,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 9, pp. 1594–1599, Sep. 2007. [34] D. Andrews and C. Aitchison, “Wide-band lumped element quadrature 3-dB couplers in microstrip,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 12, pp. 2424–2431, Dec. 2000. [35] A. Abbosh, “Analytical closed-form solutions for different configurations of parallel-coupled microstrip lines,” IET Microw Antennas Propag., vol. 3, pp. 137–147, 2009. [36] A. Abbosh, “Broadband quadrature coupler with slotted ground plane,” Microw. Opt. Technol. Lett., vol. 50, pp. 328–331, 2008. [37] S. Cohn, “Parallel-coupled transmission-line-resonator filters,” IRE Trans. Microw. Theory Tech., vol. MTT-6, no. 2, pp. 223–231, Feb. 1958.

Amin M. Abbosh (SM’08) is currently a Senior Research Fellow with The University of Queensland, Brisbane, Qld., Australia. He has authored over 150 papers concerning wideband passive microwave devices (couplers, filters, correlators, phase shifters, etc.), planar antennas for UWB systems, and microwave-based imaging systems.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

39

Design of a Broadband Eight-Way Coaxial Waveguide Power Combiner Mohammad Amjadi and Eslam Jafari

Abstract—In this paper, a broadband high-power eight-way coaxial waveguide power combiner with axially symmetric structure is proposed. A combination of circuit model and full electromagnetic wave methods is used to simplify the design procedure by increasing the role of the circuit model and, in contrast, reducing the amount of full wave optimization. The presented structure is compact and easy to fabricate. Keeping its return loss greater than 12 dB, the constructed combiner operates within 112% bandwidth from 520 to 1860 MHz. Index Terms—Axially symmetric combiner, broadband, coaxial waveguide, passive components, power combiner.

I. INTRODUCTION

D

UE to the limitations with regard to power produced by solid-state microwave transistors, multiple power combining with low insertion loss, balanced amplitude and phase, high-power handling capability, and, last but not least, wide bandwidth, has always been an issue in communication transmitters. Whereas classical corporate or chain combiners suffer from high insertion loss as well as large size, axially symmetric structures realized by different types of transmission lines, such as radial, coaxial, or conical are now of high interest [1]–[8]. In [3] a ten-way power combiner with coaxial input and output ports is proposed that has 40% bandwidth. An 18-way power combiner at the -band is reported in [4] with a bandwidth of approximately 15%. Many authors have developed high-efficiency methods for designing multiple-port axially symmetric power combiners to achieve the aforementioned advantages at microwave frequencies. Although several circuit impedance-matching methods [9] along with field-matching techniques [10], [11] are introduced to simplify the design of these structures, the design procedure still needs to be accompanied by an optimization-based full-wave analysis. The structure introduced in [12] is a 30-way power combiner in which the powers injected to 30 input parallel-plate ports are combined in the output coaxial line port within approximately 25% bandwidth from 11 to 14 GHz. Both initial approximate design formulas and 3-D electromagnetic modeling are presented to design the combiner [12]. The bandwidth of most proposed structures does not exceed 50% except for those reported in Manuscript received April 13, 2011; revised September 17, 2011; accepted September 26, 2011. Date of publication November 15, 2011; date of current version December 30, 2011. The authors are with the Electrical Engineering Department, Iran University of Science and Technology, Tehran, Iran (e-mail: mohammad_amjadi@yahoo. com; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2171499

[13] and [14], which have bandwidths of 73% and 80%, respectively. An eight-way spatial combiner using coaxial probes and radial waveguide is reported in [13] which has 73% bandwidth from 7 to 15 GHz. In [14] a ten-way conical transmission line power combiner is presented with 80% bandwidth from 6 to 14 GHz. The hybrid method presented in this paper to design a broadband eight-way coaxial waveguide power combiner is a combination of circuit model and full electromagnetic wave analysis. A novel central coaxial line to peripheral coaxial line transition which plays an important role in the input matching network is employed to realize the circuit model elements values and, thus, achieve an excellent matching over 112% bandwidth. Keeping at the lowest freits size smaller than quency and the return loss greater than 12 dB, the constructed combiner operates from 520 to 1860 MHz. The field strength simulation of the structure at 1200 MHz with 20-KW output power, which is the typical maximum peak power handling of 7/16 connectors, shows that the maximum electric field that occurs on the sharp edges is ten times lower than the air–dielectric breakdown voltage. II. THEORY OF THE COMBINER OPERATION To gain insight into the theory of the combiner structure operation, its 2-D cross sections are illustrated in Fig. 1. One side of the oversized A–B part of the central coaxial waveguide connected to eight input coaxial lines is short-circuited at a from the peripheral input ports, while the other distance from the input ports by the side is followed at a distance output stepped-impedance coaxial-line matching section. An at the center frequency initial value of slightly smaller than is appropriate for to provide an open circuit when looking from the input ports to the short wall. For its circumferential invariance and axially symmetric electric field, the dominant TEM mode is needed to propagate in the central coaxial waveguide to avoid amplitude and phase imbalance between the individual peripheral ports. Therefore, in case of combining for maximum efficiency, the input ports must be fed symmetrically to prevent the excitation of undesirable higher order modes. The inner and outer conductor radii of the air-filled central and , respectively. oversized coaxial-line section are and Keeping the structure size as compact as possible, are chosen to provide sufficient circumference to set the input ports connectors and to provide the required line impedance for the A–B central coaxial-line section, which in an -way com. The output matching biner would be approximately comprises two sections: B–C and C–D. The B–C section is a stepped-impedance coaxial airline with a fixed outer conductor

0018-9480/$26.00 © 2011 IEEE

40

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 2. Presented equivalent circuit model of the combiner. (a) A–B section. (b) B–C section. (c) C–D section.

Fig. 3. Direct connection of the inner conductors.

Fig. 1. Two-dimensional cross section of the proposed combiner structure (a) Side view. (b) Top view.

diameter that is responsible for providing a 50 – to 50matching network, and the C–D section is a 50- coaxial waveguide with tapered inner and outer conductor profile that connects the oversized coaxial waveguide to a 50- 7/16 connector. However, the problem arises when dealing with the input matching, which is not actually as straightforward as the output matching. If the inner conductors of the input N-type connectors are directly connected to the central oversized coaxial waveguide as in [3], the matching occurs only over

about 10% bandwidth. With reference to Fig. 2, demonstrating the presented equivalent circuit model of A–B, B–C, and C–D sections of the combiner structure, the reason is revealed. In reflects the inductive effect of that length of the this model, inner conductor of the input port passing in radial direction through the air space between the outer and inner conductors of the central coaxial waveguide and is connected to the inner one. In the case of a direct connection, as is shown in Fig. 3, has negligible and has considerable value, and, thus, as the circuit model simulation by Agilent ADS Microwave Circuit Simulator shows, a wideband matching cannot be achieved yield to a by this configuration. In fact, the high values of narrowband behavior and block the desired impedance transformation over a wide range of frequency band. This is why the bandwidth of the combiner reported in [3] is less than 20%. To overcome this problem, a metal ring is placed transversally in the A–B section of the central coaxial waveguide around its inner conductor where the input ports are located as illustrated

AMJADI AND JAFARI: DESIGN OF A BROADBAND EIGHT-WAY COAXIAL WAVEGUIDE POWER COMBINER

41

TABLE I VALUES FOR THE DIFFERENT PARAMETERS OF THE EQUIVALENT CIRCUIT MODEL OF THE A–C SECTION

Fig. 5. Circuit model simulation result for the reflection coefficient of the A–C section from the C point of view. Fig. 4. Incorporated metal ring around the inner conductor of the central waveguide. (a) Top view. (b) Side view.

in Fig. 4. The incorporated metal ring causes the amount of to decrease and leads to a considerable increase of the value. The metal ring dimensions need to be optimized by a full electromagnetic wave simulator in order to meet the optimized and obtained for the desired bandwidth by the values of in the circircuit model simulation procedure. The inductor cuit model is not important in the case of symmetric excitation. However, when the input ports are driven asymmetrically, the amount of this inductor affects the isolation between the input ports. Since the isolation is not considered in the combiner design, this inductor is neglected. III. COMBINER DESIGN Based on the theory of the combiner operation discussed in the previous section, an eight-way radial coaxial waveguide power combiner is designed. The combiner mainly comprises central oversized coaxial waveguide and peripheral input ports. The impedance of the A–B section of the central coaxial , where and are the waveguide would be around numbers and impedance of the input ports respectively. However, because of the incorporated metal ring, this is a detuned value set as the initial value of the A-B section characteristics’ impedance for circuit model optimization procedure. Furtherat center frequency can be used as the initial value more, for in this simulation. The equivalent circuit model of the overall A–C section along with the peripheral input ports was simulated using Agilent ADS Microwave Circuit Simulator to and and the parameters of obtain the optimized values of the different parts of the central oversized coaxial waveguide:

TABLE II DIMENSIONS FOR THE INNER RADII OF DIFFERENT PARTS IN THE CENTRAL OVERSIZED COAXIAL WAVEGUIDE

and . The optimized values listed in Table I provide the reflection coefficient characteristic from the C point of view shown in Fig. 5. To realize the circuit model parameters by the proposed combiner structure, an appropriate dimension is needed to be for the outer radius of the A–C section set so that it provides sufficient circumference to accommodate peripheral N-type input connectors. Using the equation [15] (1) for the characteristics’ impedance of an air-filled coaxial line 60 mm, the inner radii of different parts in the A–C with section are given in Table II. The width and thickness of the metal ring are needed to be optimized by full electromagnetic wave simulation of the overall and found A–C section to meet the optimized values of by the circuit model simulator. The final values of the metal ring 3 mm and 6.1 mm. By dimensions are obtained as adding the metal ring, the inductive effect of each input probe is reduced to 0.8 nH. The length of the tapered coaxial line is determined separately. Its length is swept by a full-wave simulator

42

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 6. Simulated electric field strength around the coupling ring at 1200 MHz with an output power of 20 KW.

to achieve a minimum reflection coefficient over the frequency band of operation for matching 50 the oversized coaxial line 192 mm. The to 50- 7/16 connector and is found as length of the 50- coaxial tapered line depends on the ratio of . The greater the ratio, the longer the tapered line. IV. SUMMARY OF THE DESIGN STEPS The summary of the design steps based on the circuit model shown in Fig. 2 is given as follows. Step 1) Choose the outer radius of the A–C section as small as possible so that it provides sufficient circumference to accommodate peripheral N-type input connectors. for the impedance Step 2) Select an initial value of of the A-B section of the central oversized coaxial line. at center frequency for Step 3) Choose an initial value of the short circuit length: . between Step 4) Choose an initial value for and 50 so that 50 and choose . Step 5) Choose as the initial value for and . Step 6) Simulate the circuit model of the overall A–C sec, tion to obtain the optimized values for , the inductance , and the capacitance . can be Step 7) Once theses values were obtained, calculated using (1). Step 8) Analyze the entire A–C section with a full EM simto ulator and sweep the ring dimensions and obtain their optimized values. Step 9) Analyze the entire structure with a full EM simulator to obtain its optimized and sweep the length of value. V. POWER HANDLING CAPABILITY

Fig. 7. Two-dimensional cross section of the combiner construction map showing all connectors and fastening screws.

The predicted electric field strength at 1.2 GHz around the metal ring for an input power of 2.5 KW at each port and thus an output power of 20 KW, which is the typical peak power handling of a 7/16 connector, is shown in Fig. 6. The maximum of

the electric field is 270 KV/m near the ring edges. This amount is approximately ten times lower than the air–dielectric break-

AMJADI AND JAFARI: DESIGN OF A BROADBAND EIGHT-WAY COAXIAL WAVEGUIDE POWER COMBINER

43

Fig. 9. Simulated and measured reflection coefficient at the common port.

Fig. 10. Measured transmission coefficient of the combiner for all the eight ports. (a) Amplitude. (b) Phase.

the sharp edges of the coupling ring but by the output 7/16 connector. However, the average power handling of the connectors is another limitation which is usually much lower than the peak power handling capability. The typical average power handling of N-type and 7/16 connectors at 1 GHz are about 1 and 2.2 KW, respectively. Fig. 8. Fabricated combiner. (a) Assembled. (b) Disassembled.

down field strength of 2.9 MV/m. Therefore, the upper limit of the proposed combiner peak power handling is not limited by

VI. RESULTS AND DISCUSSIONS The 2-D cross section of the combiner construction map is depicted in Fig. 7. Different parts of the fabricated combiner by using aluminum 7075 along with the assembled structure

44

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

radii of the central oversized coaxial waveguide in the combiner structure are needed to be set so that the upper side of the combiner operation frequency band is placed under the cutoff frequency obtained by (2). However, applying this condition to the structure design leads to a very small value for the gap between the metal ring and the output conductor of the central oversized coaxial waveguide which complicates the fabrication process. Although, for providing easiness of fabrication, this issue is not considered in the combiner design procedure, the combiner isolation is comparable with those reported in [13] and [14]. VII. CONCLUSION A hybrid method composed of circuit model and full EM wave analysis was presented to design a broadband eight-way coaxial waveguide power combiner. An effective central to peripheral coaxial waveguide transition was used to realize the circuit model of the combiner structure and achieve a broadband matching on the common port by employing full electromagnetic wave optimization. The advantage of the proposed structure is its ease of fabrication as well as its wide bandwidth and high power-handling capability that makes it suitable for electromagnetic counter measure applications. REFERENCES

Fig. 11. Simulated and measured isolation of the combiner. (a) Simulated. (b) Measured.

are presented in Fig. 8. As illustrated in Fig. 9, the measured reflection coefficient obtained by an HP8720ET network analyzer is in very good agreement with the one predicted by the CST Microwave Studio simulation. The structure exhibits more than 110% bandwidth with a return loss greater than 12 dB from 520 to 1860 MHz. The experimental transmission response of the combiner is shown in Fig. 10. The overall structure insertion loss is found to be less than 0.4 dB. The maximum amplitude and phase imbalance of the structure within the above-mentioned frequency band are 0.2 dB and , respectively. Fig. 11 shows the isolation characteristics of the eight-way coaxial waveguide power divider between its output ports. It should be noted that, when the output ports are fed in an asymmetrical manner, some higher order modes are excited, and the equivalent circuit model shown in Fig. 2, which is based on the dominant TEM mode, is no longer valid. As a result, the isolation between the ports is deteriorated. The coaxial waveguide cutoff frequency , under which the higher order modes do not propagate, is determined by the following relation [15]: (2) where is the light velocity and and are inner and outer radii of the coaxial waveguide, respectively; therefore, to improve the isolation between the output ports, the outer and inner

[1] K. J. Russel, “Microwave power combining techniques,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 5, pp. 472–478, May 1979. [2] J. Schellenberg and M. Cohn, “A wideband radial power combiner for FET amplifiers,” in IEEE ISSCC Tech. Dig. Papers, 1978, vol. XXI, pp. 164–165. [3] P. W. van der Walt, “Compact high power 10:1 power combiner,” in Proc. IEEE/SAIEE AP/MTTS, 1993, pp. 21-1–21-6. [4] R. Lehmensiek and P. W. van der Walt, “A compact, high power, lowloss, L-band coaxial 18-way power divider/combiner,” Microw. Opt. Technol. Lett., vol. 16, no. 4, pp. 241–243, Nov. 1997. [5] P. C. Jia, L.-Y. Chen, A. Alexanian, and R. A. York, “Multi octave spatial power combining in oversized coaxial waveguide,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 5, pp. 1355–1360, May 2002. [6] J. P. Quine, J. G. McMullen, and D. D. Khandelwal, “Ku-band IMPATT amplifiers and power combiners,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1978, pp. 346–348. [7] A. Alexanian and R. A. York, “Broadband spatially combined amplifier array using tapered slot transitions in waveguide,” IEEE Microw. Wireless Compon. Lett., vol. 7, no. 2, pp. 42–44, Feb. 1997. [8] K. Chang and S. Cheng, “Millimeter-wave power-combining techniques,” IEEE Trans. Microw. Theory Tech., vol. MTT-31, no. 2, pp. 91–107, Feb. 1983. [9] G. W. Swift and D. I. Stonesc, “A comprehensive design technique for the radial wave power combiner,” in IEEE MTT-S Int. Microw. Symp. Dig., May 1988, pp. 279–281. [10] M. E. Bialkowski and P. Kabacik, “An electromagnetic-field method modeling of a radial line planar antenna with coupling probes,” IEEE Trans. Antennas Propag., vol. 51, no. 5, pp. 1114–1120, May 2003. [11] M. E. Bialkowski and V. P. Waris, “Electromagnetic model of a planar radial-waveguide divider/combiner incorporating probes,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 6/7, pp. 1126–1134, Jan. 1993. [12] A. E. Fathy, S. Lee, and D. Kalokitis, “A simplified design approach for radial power combiners,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 247–255, Jan. 2006. [13] K. Song, Y. Fan, and Z. He, “Broadband radial waveguide spatial combiner,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 2, pp. 73–75, Feb. 2008. [14] D. I. L. de Villiers, P. W. van der Walt, and P. Meyer, “Design of a 10-way conical transmission line power combiner,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 2, pp. 302–308, Feb. 2007. [15] N. Marcuvitz, Waveguide Handbook. New York: McGraw-Hill, 951, pp. 42–53.

AMJADI AND JAFARI: DESIGN OF A BROADBAND EIGHT-WAY COAXIAL WAVEGUIDE POWER COMBINER

Mohammad Amjadi was born in Iran on July 15, 1982. He received the B.Sc. degree in communication from Amirkabir University of Technology, Tehran, Iran, in 2004, and the M.Sc. degree in communication fields and waves from Iran University of Science and Technology, Tehran, Iran, in 2006. He is currently with the Electrical Engineering Department, Iran University of Science and Technology, Tehran, Iran. His current research interests include antennas and microwave passive components, high-power microwave amplifiers, and computational electromagnetic and periodic structures.

45

Eslam Jafari was born in Iran on July 1, 1982. He received the B.Sc. degree in communication from Amirkabir University of Technology, Tehran, Iran, in 2004, and the M.Sc. degree in communication fields and waves from Iran University of Science and Technology, Tehran, Iran, in 2007. He is currently with the Electrical Engineering Department, Iran University of Science and Technology, Tehran, Iran. His current research interests include high-power amplifier, microwave filters, microwave circuits, and broadband structures and antennas.

46

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

K -Band CMOS Differential and Quadrature Voltage-Controlled Oscillators for Low Phase-Noise and Low-Power Applications Hong-Yeh Chang, Member, IEEE, and Yuan-Ta Chiu

Abstract—In this paper, modified circuit topologies of a differential voltage-controlled oscillator (VCO) and a quadrature VCO (QVCO) in a standard bulk 90-nm CMOS process are presented for low dc power and low phase-noise applications. By utilizing current-reuse and transformer-feedback techniques, the proposed VCO and QVCO can be operated at reduced dc power consumption while maintaining extraordinary circuit performance in terms of low phase-noise and low amplitude/phase errors. The VCO circuit topology is investigated to obtain the design procedure. The VCO is further applied to the QVCO design with a bottom-series coupling technique. The coupling network between two differential VCOs and device size are properly designed based on our proposed design methodology to achieve low amplitude and phase errors. Moreover, the VCO and the QVCO are fully characterized with amplitude and phase errors via a four-port vector network analyzer. With a dc power of 3 mW, the VCO exhibits a frequency tuning range from 20.3 to 21.3 GHz, a phase noise of 116.4 dBc/Hz at 1-MHz offset, a figure-of-merit (FOM) of 198 dBc/Hz, a phase error of 3.8 , and an amplitude error of 0.9 dB. With a dc power of 6 mW, the QVCO demonstrates a phase noise of 117.4 dBc/Hz, a FOM of 195.6 dBc/Hz, a phase error of 4 , and an amplitude error of 0.6 dB. The proposed VCO and QVCO can be compared with the previously reported state-of-the-art VCOs and QVCOs in silicon-based technologies. Index Terms—CMOS, low-power RF integrated circuit (RFIC) design, microwave oscillators, phase noise, voltage-controlled oscillator (VCO).

I. INTRODUCTION ITH THE explosive growth of low-cost mobile wireless handheld devices, dc power consumption has become one of the most important design criteria in digital, analog, and RF circuits. In an RF transceiver, a voltage-controlled oscillator (VCO) plays a crucial building block that provides local oscillation (LO) signal for frequency up- and down-conversion [1].

W

Manuscript received July 04, 2011; revised October 01, 2011; accepted October 05, 2011. Date of publication December 02, 2011; date of current version December 30, 2011. This work was supported in part by the National Science Council of Taiwan under Grant NSC 99-2221-E-008-097-MY3 and Grant NSC 100-2221-E-008-118, and by the Chip Implementation Center (CIC), Taiwan. H.-Y. Chang is with the Department of Electrical Engineering, National Central University, Jhongli City, Taoyuan 32001, Taiwan (e-mail: [email protected]. edu.tw). Y.-T. Chiu was with the Department of Electrical Engineering, National Central University, Jhongli City, Taoyuan 32001, Taiwan. He is now with the Advanced Technology Development (ATD) Division, United Microelectronics Corporation (UMC), Tainan 744, Taiwan. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2175240

For the circuit implementations, CMOS technology appears to be particularly well suited due to its unparalleled advantages in the fabrication cost and the system integration [2]. Nevertheless, the inherently low transconductance of the MOSFET at high frequency has impeded the evolution of low dc power and low noise designs to the RF front-ends. To overcome the limitations, a few design methodologies and circuit techniques have been proposed [3], [4]. Among these approaches, drain-to-source transformer feedback has been widely adopted to enlarge the output signal and thus lower the phase noise [5]–[8]. The feedback structure is similar to the conventional Colpitts oscillator, which takes the advantage of the cyclo-stationary noise effect and minimizes the noise to the phase-noise transfer [8]. Meanwhile, a current-reused structure has also been used in various circuit designs to further reduce the dc power consumption [9], [10]. Unlike the conventional cross-coupled VCO where the transistors switch alternately, the current-reused VCO does not have a common-source terminal because the transistors stack in series. Therefore, the current-reused VCO is inherently immune to the phase-noise degradation caused by the second harmonic term at the common-source node [9]. Moreover, utilization of the pMOS transistor in the cross-coupled pair can further reduce the phase noise due to low flicker noise and small hot carrier effect [10]. In addition, the Colpitts oscillator was reported in [11] with low phase noise, but relatively high dc power consumption compared to the cross-coupled pairs [12]. The other topologies like cascode [13] or standing-wave [14] oscillators were also reported at -band, but with fair performance. High-accuracy quadrature LO signals are required for modern communications, such as high-level modulation transmitters and high image-rejection receivers. To provide quadrature LO signals, various circuit techniques have been demonstrated. A widely used approach is to generate the quadrature output phases by feeding differential LO signals into a polyphase filter [15], but additional buffers are typically required to boost the output power due to the high attenuation of the polyphase filter. The quadrature outputs can also be achieved by a frequency divider [16]. However, high dc power consumption is inevitable in the circuit implementations due to the high-frequency VCO and frequency divider, making it less attractive for the low dc power applications. Based on antiphase coupling between two identical differential VCOs, the quadrature VCO (QVCO) is applicable to produce quadrature outputs. In the circuit implementations, the antiphase connection can be generally realized using active or passive coupling. For the QVCOs with the active coupling,

0018-9480/$26.00 © 2011 IEEE

CHANG AND CHIU:

-BAND CMOS DIFFERENTIAL AND QUADRATURE VCOs

parallel coupling [17]–[21], top- and bottom-series coupling [22]–[24], super-harmonic coupling [25], source-injection parallel and serial coupling [26], [27], back-gate coupling [28], subharmonic injection-locked coupling [29], complementary coupling [30], and gate-modulated coupling [31] topologies can be adopted for the QVCO designs at high frequency. In addition, the QVCOs based on ring structure were proposed to minimize chip area [32], [33], but the phase noise is not good enough because of the inductorless tank. Additional dc bias current or voltage headroom is usually required for the active coupling techniques. Therefore, several passive coupling techniques, such as on-chip transformers [34], the MOSFETs in a passive mode [35], and differential three-port transformer feedback [36], can be also utilized in the QVCO design. Nevertheless, the amplitude and phase errors are restricted by the limited coupling factor of the passive components. In the previously reported transformer-feedback VCOs, there is only design formula for the transformers with an ideal coupling coefficient of 1. However, the coupling coefficient of the transformer will be limited in the very large scale integrated circuit (VLSI) technology, and the design formula should be modified as well. In this paper, the design formula and calculated phase noise for the transformer-feedback VCO are presented to obtain the design methodology. The current-reuse technique is adopted in the circuit design to further reduce the dc power consumption. In addition, an innovative QVCO is proposed using the proposed VCO topology with a bottom-series coupling technique. The coupling factor and the layout consideration for the proposed QVCO are investigated to minimize the amplitude/phase errors and the phase noise. The VCO and QVCO are successfully demonstrated in a standard bulk 90-nm CMOS process. The amplitude/phase errors and phase noise of the proposed VCO and QVCO are also fully characterized using a four-port vector network analyzer (VNA) and a signal source analyzer, respectively. The proposed VCO demonstrates good figure-of-merit (FOM) and can be compared with the previously reported state-of-the-art VCOs. To the best of the authors’ knowledge, the proposed QVCO achieves the best FOM among all the reported QVCOs above 20 GHz. This paper is organized as follows. Section II describes the analysis of the proposed VCO with the current-reuse and transformer-feedback techniques. The circuit design methodology and the experimental results of the -band VCO and QVCO are presented in Sections III and IV, respectively. Finally, a conclusion is given in Section V. II. ANALYSIS OF THE PROPOSED VCO WITH THE CURRENT-REUSE AND TRANSFORMER-FEEDBACK TECHNIQUES A. VCO Topology The schematics of the conventional cross-coupled VCO, transformer-feedback VCO, current-reused VCO, and proposed VCO are shown in Fig. 1. The proposed VCO employs both the current-reused structure and the transformer-feedback topology to achieve low dc power and low phase noise. The and , where , and resonator includes is the parasitic capacitance. The pMOS is stacked above the nMOS to form the current-reused structure. To enhance voltage

47

Fig. 1. Schematics of: (a) conventional cross-coupled VCO, (b) transformerfeedback VCO, (c) current-reused VCO, and (d) proposed current-reused transformer-feedback VCO.

swing, a differential transformer with a nonideal coupling coefficient is connected to the drain and source terminals, and thus the drain and source voltages can swing above the dc supply voltage and below the ground potential. Accordingly, the output swing of the VCO is enhanced to achieve superior close-in phase noise. The frequency tuning mechanism can be realized using varactors connected at the drain terminals. To further investigate the proposed VCO, the circuit analysis is provided as follows. B. Oscillation Frequency and Startup Conditions In order to derive the startup conditions and the oscillation frequency, the half circuit of the proposed VCO and its simplified small-signal equivalent circuit are shown in Fig. 2, where and represent the losses of the on-chip inductors and , respectively. Note that the losses of the inductors are typically modeled by a series resistance. In the equivalent circuit,

48

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

is parallel with the inductor . From (7), we have , can be assumed to be lossless (e.g., and then the inductor ). From (3) and (4), the transfer function between and is given by (8) where (9) (10) (11) (12) The circuit oscillates if the loop gain is unity, which corresponds to a voltage gain as (13) From (13), the real part is given by (14) Fig. 2. (a) Half circuit of the proposed VCO. (b) Simplified small-signal equivalent circuit.

the narrowband approximation is employed to simplify the analand can be estimated by ysis, and the shunt resistance [37] (1) (2) where and are the equivalent series resistances of and , respectively. Applying the Kirchhoff current law to the equivalent circuit at the drain and source terminals, we have (3) (4) where

is the mutual inductance between

and

, and (5) (6)

Since the resonant angular frequency of the resonator at the source terminal of the proposed VCO is far above the oscillation angular frequency , the unloaded parallel quality of the inductor at can be [8] factor (7) and are and assuming the unloaded quality factors of , respectively. At the drain of the transistor, the capacitor

and the imaginary part is given by

(15) To simplify the analysis, we assume (16) From (14)to (16), the oscillation angular frequency and the startup condition can be obtained approximately by (17) (18) where and

(19)

where and are the coupling coefficient and the turn ratio of the transformer, and and are the transconductances of the nMOS and pMOS, respectively. From (18), we can know that the required voltage gain to satisfy the startup condition will increase as increases or decreases, and the calculated result of the required voltage gain for the startup condition is plotted in Fig. 3. There are two aspects to prove the improvement of phase noise for the transformer-feedback VCO. One is from the output voltage swing, and the other is from the loaded quality factor. The former gives the output voltage swing of the transformerfeedback VCO [38] (20)

CHANG AND CHIU:

-BAND CMOS DIFFERENTIAL AND QUADRATURE VCOs

49

was proposed by Leeson, referred to as the Leeson phase-noise model. The second was proposed by Lee and Hajimiri, and is based on the time-varying property of the oscillator current waveform. To simplify the phase-noise estimation of the proposed VCO, the Leeson phase-noise model is adopted, and the formula is expressed as [39]

(25) where is the Boltzmann constant, is the absolute temperature, is the loaded quality factor of the LC tank, is the frequency offset, and is called the device noise excess factor describes the flicker noise corner or simply noise factor. is given by [37] frequency. For the oscillator, where

Fig. 3. Required voltage gain to satisfy the startup condition.

where is the voltage swing of the conventional VCO counterpart. However, this method cannot provide the information for the determination of optimum and values. In contrast, the latter method provides the optimum and values for the design directly. From (16), the transfer function (8) can be further approximated to

(21) when is large enough or close to 1. From (21), the loaded quality factor, , of the proposed VCO is given by (22)

is the unloaded quality factor of the resonator and where expressed as (23) When

,

has a maximum value

(26)

From (25), we can see that one obvious way to reduce phase . For the practical oscillators, noise is to increase is limited by the dc power supply voltage, or the maximal allowed dc supply voltage. The most effective way to lower the or higher phase noise seems to use an LC-tank with a higher . Based on (22), (25), and (26), the calculated phase noise at 1-MHz offset versus the turn ratio, for different coupling coefficient, is plotted in Fig. 4(a). Using Agilent Advanced Design System (ADS) software, the simulated phase noise is plotted in Fig. 4(b) for comparison. Both of them show the same should be modified at different trend that the optimum values. If is only 0.5, the turn ratio should be set around 1 for minimizing phase noise, and we use the values for the initial design. In addition, the device size should be a tradeoff between the phase noise and the dc power consumption. The fair comparison of the phase-noise simulation is shown in Fig. 5 for the differential VCOs of the four different topologies in Fig. 1, where the oscillation frequency and dc power consumption are all the same. A capacitor, inductor, and transformer used for the simulation are all with the same quality factor. The proposed VCO using the current-reused and transformer-feedback techniques demonstrates the lowest phase-noise performance among the four topologies. III. CIRCUIT DESIGN

(24) From (24), we can see that the loaded quality coefficient inincreases. For an ideal coupling factor of 1, the creases as optimum value should be 2. However, in the real VLSI technology, the coupling coefficient will be confined to due to the substrate loss. Therefore, we can select an optimum value according to the practical value and the layout feasibility. C. Phase Noise The time-invariant phase-noise model is generally used to explain the formulation of the phase noise as the noise source is injected into the oscillator. Thus far, two separate, but closely related phase-noise models for the oscillator exist. The first

The proposed differential VCO and QVCO are implemented in a standard low-power 1P9M 90-nm CMOS process provided by the Taiwan Semiconductor Manufacturing Company (TSMC), Hsinchu, Taiwan. The nMOS and pMOS typically up to 110 and exhibit maximum oscillation frequency 70 GHz, respectively. For the passive components, top AlCu metallization layer of 3.4- m thickness is available for on-chip inductors while metal–insulator–metal (MIM) capacitors with oxide dielectric are also provided in the process. A thin-film microstrip (TFMS) line is adopted to accomplish the inductors layout, except for the transformer because it has the advantage of easy to implement in comparison with coplanar waveguide (CPW) or grounded CPW (GCPW) [1]. The substrate thickness of the TFMS line is about 6.4 m, thus the coupling effect between transmission lines is negligible. Therefore,

50

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 6. Schematic of the proposed VCO with the current-reuse and transformerfeedback techniques.

transformer is designed using the CPW, and also the parasitic capacitance between the signal and ground can be reduced. A. Differential VCO

Fig. 4. (a) Calculated and (b) simulated phase noise of the proposed VCO for different factors. versus

N

k

Fig. 5. Comparison of simulated phase noise for the four different topologies.

the conventional matching elements like spiral inductors can be replaced by meandering TFMS lines in a very compact area to reduce the chip size. To increase the coupling coefficient, the

The schematic of the proposed VCO with the current-reuse and transformer-feedback techniques is shown in Fig. 6. The difand are also inferential common-source buffer stages tegrated for the measurement consideration. The varactors and are adopted for the frequency tuning. The inductor is optimized for a high- factor at the frequencies of interest, and then choose the inductor based on . Once the can be obinductance is determined, the required value of by tained from the designated oscillation angular frequency (17). and the transistor aspect ratio can be The optimum determined from the design specifications such as phase noise, tuning range, and dc power consumption. Finally, a global optimization is performed and design iterations may be needed to satisfy the required circuit performance. Following the design procedures, the parameters of the VCO design can be obtained. Based on the narrowband approximation of the LC-tank , the is 230 in this particular case. The recalculated value of sulting values for and are 2 10 and 4 10 , respectively, which validate the assumption in (16). The transformer layout and its simplified equivalent circuit are shown in Fig. 7. The transformer has an outer length of 180 m, an inner length of 110 m, a metal width of 12 m, and a spacing of 10 m. The use of a differential octagonal structure is motivated by its higher quality factor and smaller area compared with the single-ended counterpart [40]. The primary and secondary self inductances and quality factors at 21 GHz are simulated using a full-wave electromagnetic (EM) simulator [41] to be 0.19 nH, 0.13 nH, 30, and 33, respectively, with a coupling coefficient of 0.4, as shown in Fig. 8. At high operating frequency, the quality factor of the resonator is not only dominated by the inductors, but also the capacitors. The tuning ratio is enlarged as the size of varactor is enlarged with the factor degraded. Thus, the size of the varactor has to be carefully selected as a tradeoff between the factor

CHANG AND CHIU:

-BAND CMOS DIFFERENTIAL AND QUADRATURE VCOs

51

Fig. 7. (a) Transformer layout. (b) Its simplified equivalent circuit.

Fig. 9. Chip photograph of the proposed VCO with the current-reuse and transformer-feedback techniques, and the chip size is 0.6 0.6 mm .

2

TABLE I DESIGN PARAMETERS OF THE -BAND VCO AND QVCO

K

Fig. 8. Simulated self inductance, coupling coefficient, and transformer.

Q factor of the

and the tuning range. The continuous tuning range along with the center frequency set the capacitance of the varactor [42] inductor

, and the

(27) The selected varactors have a capacitance ratio of 2.3. Since , the varactors are sized so is close to . An additional fixed capacitance that is required to set the lower bound of the frequency range (28) The minimum capacitance of the varactor, the parasitic parallel capacitances of the inductor and the cross-coupled pair, and the capacitances of the output buffer nMOS transistors limit the maximum operating frequency of the VCO. Since the other elements have already been sized, the maximum operating frequency sets a limit to the device size of the output buffer transistors. The common-source stage is then sized according to this limit. Moreover, since adding dc-blocking capacitors between the VCO core outputs and the buffers would increase additional parasitic capacitance, they are dc coupled and the gate voltage of the common-source stage is set by the VCO output

dc voltage. The output buffer is biased by directly connecting the drain of the nMOS transistor to a separate 1.3-V dc supply via an inductor. A chip photograph of the provoltage posed VCO with the current-reuse and transformer-feedback techniques is shown in Fig. 9 with a chip size of 0.6 0.6 mm , including the RF and dc pads. The design parameters of the proposed VCO are summarized in Table I. B. QVCO The proposed QVCO is designed using the differential VCO presented in Section III-A. The schematic of the proposed QVCO topology is shown in Fig. 10. The coupling schemes applicable to the current-reused VCO include parallel coupling, series coupling, and back-gate coupling. In general, the parallel coupling consumes more dc power, and the back-gate coupling has poor quadrature accuracy due to the limited coupling factor. The bottom-series coupling is selected to couple two differential VCOs for a better tradeoff between the dc power consumption

52

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 10. Schematic of the proposed QVCO with the current-reuse and transformer-feedback techniques.

Fig. 11. Simulated phase noise and phase error versus coupling strength.

and the quadrature accuracy. The output quadrature phase error of the QVCO directly depends on the coupling strength under certain device mismatch. The coupling strength is defined as (29) where and are the transconductance and gatewidth and are the transconof the coupling transistor, and ductance and gatewidth of the switching (oscillating) transistor, respectively. The noise injected into the LC tank increases with the coupling strength. The oscillation frequency of the QVCO is further away from the resonance frequency of the LC tank, and results in quality-factor degradation. There is a design tradeoff between the quadrature error and the phase noise.

Fig. 12. Perspective views of the proposed layout for connecting between two differential VCOs. (a) Top view. (b) Bottom view (metal 1 not shown).

To properly select the device size of the coupling transistors , , , and , the simulated phase noise at 1-MHz offset and the phase error versus coupling strength are plotted in Fig. 11. As can be observed, a coupling strength of 1.8 is the

CHANG AND CHIU:

-BAND CMOS DIFFERENTIAL AND QUADRATURE VCOs

53

TABLE II COMPARISON OF SIMULATED RESULTS FOR DIFFERENT METAL LAYER ARRANGEMENTS

Fig. 14. Chip photograph of the proposed QVCO with a chip size of 1.1 0.7 mm .

2

Fig. 13. Simulated isolations between Port 1 and other Ports 2 and 4–8. (a) Without floating metal. (b) With floating metal.

most suitable value to be a tradeoff between the phase noise and phase error. The connections between the gates of the coupling devices and the drains of the core devices are realized using are used to ac coupling where capacitors , , , and block the dc voltage. The gates of the coupling transistors are . all biased to An important issue for the QVCO design is layout symmetry. There are four lines connected between the two differential VCOs, and if those lines are not properly designed, the phase and amplitude errors will increase with the operating frequency. The proposed layout for the connection between two differential VCOs is shown in Fig. 12. The simulated isolations between Port 1 and other Ports 2 and 4–8 with and without floating metal are plotted in Fig. 13. The floating metal is intentionally designed to solve the unequal coupling problem. It can be seen that the isolations between Port 1 and other ports are improved to the same level as a floating metal layer is inserted between Metal A and Metal B, as well as the isolations for any port to other ports. The insertion losses and phases of S13, S57, S24, and S68 are almost the same.

Fig. 15. Simulated and measured oscillation frequency and output power versus control voltage for the proposed VCO with the current-reuse and transformer-feedback techniques.

Since the metal layers M7–M2 are metals with the same thickness in the 1P9M CMOS process, there exists four radical arrangements of the metal layers. As shown in Table II, considering four radical arrangements of the metal layers without a floating metal layer at the same time, oscillation frequency, phase noise, and amplitude/phase errors are simulated for these eight cases. The amplitude error is improved with the floating metal layer. Case 1 is selected for the QVCO layout because it has the best tradeoff among oscillation frequency, phase noise,

54

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 17. Measured phase noise at 1-MHz offset versus control voltage for the proposed VCO with the current-reuse and transformer-feedback techniques.

Fig. 16. Measured: (a) output spectrum and (b) phase noise of the proposed VCO with the current-reuse and transformer-feedback techniques.

and amplitude/phase errors. A chip photograph of the proposed QVCO with the current-reuse and transformer-feedback techniques is shown in Fig. 14 with a chip size of 1.1 0.7 mm , including the RF and dc pads. The design parameters of the proposed QVCO are also summarized in Table I. IV. EXPERIMENTAL RESULTS AND DISCUSSIONS To evaluate the circuit performance, the chips are mounted on a printed circuit board and measured via on-wafer probing. The differential VCO and QVCO oscillation frequency and output power are measured with an Agilent E4448A spectrum analyzer. The phase noise is evaluated using an Agilent E5052B signal source analyzer with an Agilent E5053A microwave downconverter. In the modern transceiver, the high accuracy of the quadrature LO signal is essential for enhancing the signal-to-noise ratio of the modulation and demodulation signals. Thus far, many advanced circuit topologies have been proposed for the multiphase VCO design, but only a few studies are reported for characterizing the amplitude and phase accuracy of the multiphase VCO.

Fig. 18. Measurement setup for the amplitude and phase errors of the multiphase VCO.

The amplitude and phase accuracy can be obtained using an oscilloscope to measure the time-domain waveform [43]. However, large amplitude and phase errors are possibly caused since the cable length, adapter, and probe should be taken into account during the measurement. An extra mixer is employed to convert the quadrature outputs to low frequency, and then the amplitude and phase accuracy is evaluated using a low-frequency oscilloscope [44]. Nevertheless, the measurement resolution is usually not good enough due to the large frequency swing. Furthermore, a single-sideband (SSB) mixer [45] or a reflection-type modulator [46] can be used to configure as a quadrature converter, and the errors are extracted by measuring the image rejection ratio. With the integration of the mixer or the quadrature converter in the multiphase VCO, the symmetrical layout and interconnection are required to minimize the amplitude and phase uncertainty. A straightforward approach was proposed to measure the

CHANG AND CHIU:

-BAND CMOS DIFFERENTIAL AND QUADRATURE VCOs

55

TABLE III

COMPARISON OF

K - TO Ka-BAND CMOS VCOs AND THIS WORK

phase accuracy of a QVCO by using a four-port VNA operated in the receiver mode [47]. The testing setup can be performed repeatedly with good accuracy. Therefore, the amplitude and phase errors of the proposed differential VCO and QVCO are fully characterized with an Agilent PNA-X N5244A four-port VNA with proper calibration.

A. Differential VCO With a dc supply voltage of 1.3 V, the VCO core consumes a dc power of 3 mW and the buffer consumes a dc power of 2 mW. The simulated and measured oscillation frequency and output power versus control voltage from 0 to 1.3 V are plotted in Fig. 15, and the proposed differential VCO exhibits a frequency tuning range of 1 GHz (4.8%), a single-end output power of higher than 18 dBm, and a power variation of within 2 dB. The oscillation frequency versus dc supply voltage is also measured, and the maximum frequency pushing is within 220 MHz/V. The measured output spectrum at 21.2 GHz and phase noise versus offset frequency from 100 kHz to 10 MHz are plotted in Fig. 16 as the control voltage is 0.2 V. The measured harmonic suppression is better than 20 dB over the tuning range. The measure phase noise at 1-MHz offset versus control voltage is plotted in Fig. 17, which features a minimum phase noise of 116.4 dBc/Hz and an average phase noise of 113 dBc/Hz. For the characterization of the amplitude and phase errors, the VCO is measured using the four-port VNA operated in the receiver mode with a calibration technique. The calibration procedure is based on the method proposed in [47]. A multiport calibration kit (cal-kit) is required to obtain the overall system errors, including cable, probe, and through line. In this paper, we use a five-port cal-kit for the characterization of the amplitude and phase errors. The five-port cal-kit is simulated using the full-wave EM simulator, and measured using the four-port VNA with a standard multiport short-open line-thru (SOLT) -parameters calibration.

Fig. 19. Simulated and measured oscillation frequency and output power versus control voltage for the proposed QVCO with the current-reuse and transformer-feedback techniques.

The measurement setup for the amplitude and phase errors of the multiphase VCO is shown Fig. 18. There are four vector receivers in the VNA for simultaneously measuring the amplitude and phase response of the four input ports A–D. The overall measurement procedure is summarized as follows. 1) Measure the -parameters of the cal-kit using the fourand the port VNA and obtain the amplitude error phase error between the desired two paths of the . cal-kit, where and can be A–D ports, but 2) Measure the amplitude and phase response of the multiphase VCO using the four-port VNA in the receiver mode and the phase and obtain the amplitude error between the desired two receivers. error 3) Replace the multiphase VCO by the cal-kit. 4) Feed a continuous-wave signal to the cal-kit using an Agilent signal generator PSG 8257D, where the frequency is the same as the multiphase VCO. 5) Measure the amplitude and phase response of the cal-kit using the four-port VNA in the receiver mode and obtain

56

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 21. Measured phase noise at 1-MHz offset versus control voltage for the proposed QVCO with the current-reuse and transformer-feedback techniques.

TABLE IV MEASURED AMPLITUDE AND PHASE ERRORS OF THE PROPOSED QVCO WITH CURRENT-REUSED AND TRANSFORMER-FEEDBACK TECHNIQUES

Fig. 20. Measured: (a) output spectrum and (b) phase noise of the proposed QVCO with the current-reuse and transformer-feedback techniques.

the amplitude error and the phase error between the desired two receivers. 6) Use (30) and (31) to calculate the amplitude and phase errors of the multiphase VCO, respectively,

dB

(30) (31)

The probe position on the RF contact pad should be precisely controlled during the measurement [47] because it limits the phase accuracy, especially for the frequency up to 10 GHz. The measured phase and amplitude errors for the differential VCO are within 3.8 and 0.9 dB, respectively. Table III summarizes the comparison of previously reported - to -band VCOs and this work. A widely accepted FOM for evaluating a VCO is given by [4]

mW

(32)

where is the oscillation frequency, is the offset frequency from the carrier, is the phase noise at offset, and is the dc power consumption of the VCO core. This FOM is a direct deviation of the Leeson’s empirical phase-noise expression normalized to the dc power consumption. The performance of a VCO is regarded to be better with a more negative value or higher absolute value of the FOM. Besides, two additional FOMs are adopted for considering more parameters in VCOs [48], [49], and expressed as (33) Area mm

(34)

where is the frequency tuning range, and Area is the overall chip size. The calculations of the three FOMs are all listed in Table III for comprehensive comparison. Our proposed differential VCO achieves low power, low phase noise, and very good FOMs among the listed VCOs. B. QVCO With a dc supply voltage of 1.7 V, the QVCO core consumes a dc power of 6.3 mW and the buffer consumes a dc power of 11.9 mW. The simulated and measured oscillation frequency and output power versus control voltage from 0 to 1.8 V are plotted in Fig. 19. The oscillation frequency is from 20.7 to

CHANG AND CHIU:

-BAND CMOS DIFFERENTIAL AND QUADRATURE VCOs

57

TABLE V COMPARISONS OF THE PREVIOUSLY REPORTED - TO

K

Ka-BAND QVCOs AND THIS WORK

Current-reuse tranformer feedback (CR-TF)

21.4 GHz. The proposed QVCO exhibits a frequency tuning range of 700 MHz (3.1%), a single-end maximum output power of higher than 15 dBm, and a power variation of within 2 dB. The measured output spectrum at 21.3 GHz and the phase noise versus offset frequency from 100 kHz to 10 MHz are plotted in Fig. 20, when the control voltage is 1.6 V. The harmonic suppression is better than 25 dB. The measured frequency pushing is the same as the differential VCO. The measured phase noise at 1-MHz offset versus control voltage is plotted in Fig. 21, which features a minimum phase noise of 117.2 dBc/Hz and an average phase noise of 113 dBc/Hz. The amplitude and phase errors of the proposed QVCO are measured using the previously described measurement technique in Section IV-A. The measured amplitude and phase errors among the four outputs are summarized in Table IV. The measured maximum phase and amplitude errors are 4 and 0.6 dB,, while the minimum phase and amplitude errors are 1.4 and 0.4 dB, respectively. During the characterization of the amplitude/phase errors, some fluctuations possibly occur due to the free-running frequency of the QVCO and the measurement speed of the four-port receivers, especially for high tuning sensitivity or high frequency. Table V summarizes the comparison of the previously re-band QVCOs and this work. The three FOMs ported - to (32)–(34) for the VCO evaluation are listed in Table IV, and our proposed QVCO demonstrates the best FOM among the listed QVCOs above 20 GHz. The phase and amplitude errors are key specification for the QVCO, especially for the advanced modern applications, but the errors are not included in the reported FOMs. In general, the sideband suppression (SBS) of the quadrature modulator (or down converter) can be obtained based

on the amplitude and phase errors [50]. Furthermore, we proto comprehensively evaluating the QVCO. posed a new The FOM (32) can be modified as (35) is also listed in The calculation of the new proposed Table V for the QVCO comparison. Our proposed QVCO achieves low dc power, low phase noise, low amplitude, and phase errors. As compared to the other works, this work demonstrates full characterization of the phase and amplitude errors among the four outputs of the QVCO. The QVCO’s performance can be indeed enhanced using the transformer feedback and current-reused techniques. V. CONCLUSION In this paper, a -band differential VCO using current-reused and transformer-feedback techniques has been proposed to further lower dc power consumption and phase noise. Combined with a bottom-series coupling topology, an innovative QVCO is also proposed. The analysis of the circuit topology is presented to obtain the design methodology. To reduce the phase/amplitude errors for the proposed QVCO, the coupling factor and the layout consideration are provided. The phase and amplitude errors of the proposed VCO and QVCO are fully characterized with a four-port VNA. Moreover, the VCO and QVCO demonstrate good FOM, low phase noise, low dc power consumption, and low phase/amplitude errors. Owing to the good circuit performance, the circuits can be applied to the advanced modern applications. The proposed circuit topology is also suitable for

58

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

the multiphase VCOs and other analog/RF circuits to reduce the dc power consumption and phase noise. ACKNOWLEDGMENT The chips were fabricated by the Taiwan Semiconductor Manufacturing Company (TSMC), Hsinchu, Taiwan, through the Chip Implementation Center (CIC), Hsinchu City, Taiwan. The authors would like to thank A. P.-L. Chang, Taiwan Agilent, Jungli City, Taiwan, for the measurement helps. The RF probes and the RF cables for the on-wafer measurement were provided by the Allstron Corporation, Taoyuan, Taiwan, and the Bo-Jiang Technology Corporation Tainan, Taiwan, respectively. REFERENCES [1] H. Wang, K.-Y. Lin, Z.-M. Tsai, L.-H. Lu, H.-C. Lu, C.-H. Wang, J.-H. Tsai, T.-W. Huang, and Y.-C. Lin, “MMICs in the millimeter-wave regime,” IEEE Microw. Mag., vol. 1, pp. 99–117, Jan. 2009. [2] C.-H. Wang, H.-Y. Chang, P.-S. Wu, K.-Y. Lin, T.-W. Huang, H. Wang, and C.-H. Chen, “A 60 GHz low-power six-port transceiver for gigabit software-defined transceiver applications,” in Int. Solid-State Circuits Conf. Dig., Feb. 2007, pp. 192–193. [3] S.-L. Liu, K.-H. Chen, T. Chang, and A. Chin, “A low-power -band CMOS VCO with four-coil transformer feedback,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 8, pp. 459–461, Aug. 2010. [4] C.-A. Lin, J.-L. Kuo, K.-Y. Lin, and H. Wang, “A 24 GHz low power VCO with transformer feedback,” in IEEE RFIC Symp. Dig., Jun. 2009, pp. 75–78. [5] C.-C. Li, T.-P. Wang, C.-C. Kuo, M.-C. Chuang, and H. Wang, “A 21 GHz complementary transformer coupled CMOS VCO,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 4, pp. 278–280, Apr. 2008. [6] C.-K. Hsieh, K.-Y. Kao, J. R. Tseng, and K.-Y. Lin, “A -band CMOS low power modified Colpitts VCO using transformer feedback,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2009, pp. 1293–1296. [7] Y.-H. Kuo, J.-H. Tsai, and T.-W. Huang, “A 1.7-mW, 16.8% frequency tuning, 24-GHz transformer-based LC-VCO using 0.18- m CMOS technology,” in IEEE RFIC Symp. Dig., Jun. 2009, pp. 79–82. [8] K. C. Kwok and H. C. Luong, “Ultra-low-voltage high-performance CMOS VCOs using transformer feedback,” IEEE J. Solid-State Circuits, vol. 40, no. 3, pp. 652–660, Mar. 2005. [9] J. J. Rael and A. A. Abidi, “Physical processes of phase noise in differential LC oscillator,” in Proc. IEEE Custom Integr. Circuits Conf., May 2000, pp. 569–572. [10] C. Hung and K. K. O, “Fully integrated 5.35-GHz CMOS VCOs and prescalers,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 1, pp. 17–22, Jan. 2001. [11] C.-K. Hsieh, “Design of microwave and millimeter-wave CMOS VCOs,” M.S. thesis, Graduate Inst. Commun. Eng., Nat. Taiwan Univ., Taipei, Taiwan, 2008. [12] M. Törmänen and H. Sjöland, “A 25-GHz differential LC-VCO in 90-nm CMOS,” in Proc. IEEE Asia–Pacific Circuits Syst. Conf., Macao, China, Dec. 2008, pp. 554–557. [13] C. M. Yang, H. L. Kao, Y. C. Chang, M. T. Chen, H. M. Chang, and C. H. Wu, “A low phase noise 20 GHz voltage control oscillator using 0.18- m CMOS technology,” in IEEE Design Diagnost. Electron. Circuits Syst. Symp., Apr. 2010, pp. 185–188. [14] T.-H. Huang and P.-L. You, “27-GHz low phase-noise CMOS standing-wave oscillator for millimeter wave applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2008, pp. 367–370. [15] F. Behbahani, Y. Kishigami, J. Leete, and A. A. Abidi, “CMOS mixers and polyphase filters for large image rejection,” IEEE J. Solid-State Circuits, vol. 36, no. 6, pp. 873–887, Jun. 2001. [16] A. Ravi1, K. Soumyanath, L. R. Carley, and R. Bishop, “An integrated 10/5 GHz injection-locked quadrature LC VCO in a 0.18 m digital CMOS process,” in Proc. IEEE Eur. Solid-State Circuits Conf., Sep. 2002, pp. 543–546. [17] S. Hackl, J. Böck, G. Ritzberger, M. Wurzer, and A. L. Scholtz, “A 28-GHz monolithic integrated quadrature oscillator in SiGe bipolar technology,” IEEE J. Solid-State Circuits, vol. 38, no. 1, pp. 135–137, Jan. 2003.

K

K









[18] M. Törmänen and H. Sjöland, “A 26-GHz LC-QVCO in 0.13- m CMOS,” in Proc. Asia–Pacific Microw. Conf., 2007, pp. 1769–1772. [19] M. Törmänen and H. Sjöland, “A 24-GHz LC-QVCO in 130-nm CMOS using 4-bit switched tuning,” in Proc. IEEE Int. Microelectron. Conf., Sharjah, U.A.E., 2008, pp. 462–465. [20] M. Hossain and A. C. Carusone, “20 GHz low power QVCO and de-skew techniques in 0.13 m digital CMOS,” in Proc. IEEE Custom Integr. Circuits Conf., Sep. 2008, pp. 447–450. [21] M. Sanduleanu and E. Stikvoort, “Highly linear, varactor-less, 24-GHz IQ oscillator,” in IEEE RFIC Symp. Dig., Jun. 2005, pp. 577–580. [22] P. Andreani, “A 2 GHz, 17% tuning range quadrature CMOS VCO with high figure-of-merit and 0.6 phase error,” in Proc. IEEE Eur. Solid-State Circuits Conf., Sep. 2002, pp. 815–818. [23] P. Andreani, A. Bonfanti, L. Romanò, and C. Samori, “Analysis and design of a 1.8-GHz CMOS LC quadrature VCO,” IEEE J. Solid-State Circuits, vol. 37, no. 12, pp. 1737–1747, Dec. 2002. [24] S. Ko, J.-G. Kim, T. Song, E. Yoon, and S. Hong, “20 GHz integrated CMOS frequency sources with a quadrature VCO using transformers,” in IEEE RFIC Symp. Dig, Jun. 2004, pp. 269–272. [25] M. Törmänen and H. Sjöland, “A 24-GHz quadrature receiver front-end in 90-nm CMOS,” in Proc. IEEE Asia–Pacific Microw. Conf., Dec. 2009, pp. 1152–1155. [26] S. B. Shin, H. C. Choi, and S.-G. Lee, “Source-injection parallel coupled LC-QVCO,” Electron. Lett., vol. 39, no. 14, pp. 1059–1060, Jul. 2003. [27] A. Jannesari and M. Kamarei, “Source-injection serial coupled CMOS LC quadrature VCO,” IEICE Electron. Exp., vol. 4, no. 14, pp. 467–471, 2007. [28] H. Kim, C. Cha, S. Oh, M. Yang, and S. Lee, “A very low-power quadrature VCO with back-gate coupling,” IEEE J. Solid-State Circuits, vol. 39, no. 6, pp. 952–955, Jun. 2004. [29] S.-Y. Lee, L.-H. Wang, and Y.-H. Lin, “A CMOS quadrature VCO with subharmonic and injection-locked techniques,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 57, no. 11, pp. 843–847, Nov. 2010. [30] S. J. Yun, D. Y. Yoon, and S. G. Lee, “A complementary CMOS LC quadrature oscillator,” IEICE Trans. Electron., vol. E91-C, no. 11, pp. 1806–1810, Nov. 2008. [31] K.-W. Cheng and D. J. Allstot, “A gate-modulated CMOS LC quadrature VCO,” in IEEE RFIC Symp. Dig., Jun. 2009, pp. 267–270. [32] R. M. Kodkani and L. E. Larson, “A 25 GHz quadrature voltage controlled ring oscillator in 0.12 m SiGe HBT,” in Silicon Monolithic Integr. Circuits RF Syst. Symp., Jan. 2006, pp. 18–20. [33] W.-M. L. Kuo, J. D. Cressler, Y.-J. E. Chen, and A. J. Josep, “A compact 21 GHz inductorless differential quadrature ring oscillator implemented in SiGe HBT technology,” Mater. Sci. Semiconduct. Process., vol. 8, no. 1–3, pp. 445–449, Feb. 2005. [34] C.-Y. Kim, J. Yang, D.-W. Kim, and S. Hong, “A -band quadrature VCO based on asymmetric coupled transmission lines,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2008, pp. 363–366. [35] C.-T. Lu, H.-H. Hsieh, and L.-H. Lu, “A low-power quadrature VCO and its application to a 0.6-V 2.4-GHz PLL,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 57, no. 4, pp. 793–802, Apr. 2010. [36] T.-H. Huang and Y.-R. Tseng, “A 1 V 2.2 mW 7 GHz CMOS quadrature VCO using current-reuse and cross-coupled transformer-feedback technology,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 10, pp. 698–700, Oct. 2008. [37] H.-H. Hsieh and L.-H. Lu, “A high-performance CMOS voltage-controlled oscillator for ultra-low-voltage operations,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 3, pp. 467–473, Mar. 2007. [38] J. Yang, C.-Y. Kim, D.-W. Kim, and S. Hong, “Design of a 24-GHz CMOS VCO with an asymmetric-width transformer,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 57, no. 3, pp. 173–177, Mar. 2010. [39] G. D. Vendelin, Microwave Circuit Design Using Linear and Nonlinear Techniques, 2nd ed. New York: Wiley, 2005, ch. 10. [40] M. Danesh, J. R. Long, R. A. Hadaway, and D. L. Harame, “A -factor enhancement technique for MMIC inductors,” in Proc. RFIC Symp., Jun. 1998, pp. 217–220. [41] “Sonnet User’s Guide,” 12th ed. Sonnet Softw. Inc., North Syracuse, NY, 2009. [42] P. Kinget, “Integrated GHz voltage controlled oscillators,” in Analog Circuit Design: (X)DSL and Other Communication Systems; RF MOST Models; Integrated Filters and Oscillators, W. Sansen, J. Huijsing, and R. van de Plassche, Eds. Boston, MA: Kluwer, 1999, pp. 353–381.





K

Q

CHANG AND CHIU:

-BAND CMOS DIFFERENTIAL AND QUADRATURE VCOs

59

[43] S. Hackl, J. Böck, G. Ritzberger, M. Wurzer, and A. L. Scholtz, “A 28-GHz monolithic integrated quadrature oscillator in SiGe bipolar technology,” IEEE J. Solid-State Circuits, vol. 38, no. 1, pp. 135–137, Jan. 2003. [44] F. Tillman, N. Troedsson, and H. Sjöland, “A 1.2 volt 1.8 GHz CMOS quadrature front-end,” in VLSI Circuits Dig. Symp., Jun. 2004, pp. 362–365. [45] D. Guermandi, P. Tortori, E. Franchi, and A. Gundi, “A 0.83–2.5-GHz continuously tunable quadrature VCO,” IEEE J. Solid-State Circuits, vol. 40, no. 12, pp. 2620–2627, Dec. 2005. [46] H.-Y. Chang, Y.-H. Cho, M.-F. Lei, C.-S. Lin, T.-W. Huang, and H. Wang, “A 45-GHz quadrature voltage controlled oscillator with a reflection-type IQ modulator in 0.13 m CMOS technology,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2006, pp. 739–742. [47] Y. C. Chang, Y. C. Hsu, S. G. Lin, Y. Z. Juang, and H. K. Chiou, “On-wafer single contact quadrature accuracy measurement using receiver mode in four-port vector network analyzer,” in IEEE MTT-S Int. Microw. Symp. Dig., 2008, pp. 371–374. [48] H.-Y. Chang, Y.-S. Wu, and Y.-C. Wang, “A 38% tuning bandwidth low phase noise differential voltage controlled oscillator using a 0.5 m E/D-PHEMT process,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 7, pp. 467–469, Jul. 2009. [49] S.-A. Yu and P. R. Kiget, “Scaling LC oscillators in nanometer CMOS technologies to a smaller area but with constant performance,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 56, no. 5, pp. 354–358, May 2009. [50] “Optimization of quadrature modulator performance,” RF Micro Devices Inc., Greensboro, NC, Tech. Notes and Articles, 1997.

Hong-Yeh Chang (S’02–M’05) was born in Kinmen, Taiwan, in 1973. He received the B.S. and M.S. degree in electric engineering from National Central University, Jhongli City, Taoyuan, Taiwan, in 1996 and 1998, respectively, and the Ph.D. degree from the Graduate Institute of Communication Engineering, National Taiwan University, Taipei, Taiwan, in 2004. From 1998 to 1999, he was with Chunghwa Telecom Laboratories, Taoyuan, Taiwan, where he was involved in the research and development of code division multiple access (CDMA) cellular phone systems. In 2004, he was a Post-Doctoral Research Fellow with the Graduate Institute of Communication Engineering, National Taiwan University, where he was involved with research that concerned advanced millimeter-wave integrated circuits. In February 2006, he joined the faculty of the Department of Electrical Engineering, National Central University, Jhongli City, Taoyuan, Taiwan, where he is currently an Associate Professor. His research interests are microwave and millimeter-wave circuit and system designs. Dr. Chang is a member of Phi Tau Phi.

Yuan-Ta Chiu was born in Taipei, Taiwan, in 1985. He received the B.S. degree in electrical engineering from National Taiwan Ocean University, Keelung, Taiwan, in 2008, and the M.S. degree in electrical engineering from National Central University, Jhongli, Taoyuan, Taiwan, in 2011. He is currently an Engineer with the Advanced Technology Development (ATD) Division, United Microelectronics Corporation (UMC), Tainan, Taiwan, where he is involved with their 28-nm process development. His research interests include microwave and millimeter-wave multiphase oscillator circuits and advanced CMOS process technology.

60

K

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Low-Voltage -Band Divide-by-3 Injection-Locked Frequency Divider With Floating-Source Differential Injector Yi-Tsung Chen, Student Member, IEEE, Ming-Wei Li, Student Member, IEEE, Hsin-Chih Kuo, Student Member, IEEE, Tzuen-Hsi Huang, Member, IEEE, and Huey-Ru Chuang, Senior Member, IEEE

Abstract—This paper presents the design of a direct-injection divide-by-3 frequency divider operating at the -band. The divider is implemented in a 0.18- m CMOS process. The measured free-running frequency of the divider is 7.96 GHz. By utilizing a floating-source differential injector and without a varactor tuning in the divider core, the total locking range is 3.2 GHz with a power consumption of 8.28 mW from a supply voltage of 0.9 V. The total power consumption of the buffers is 9.54 mW from a supply voltage of 1.8 V. The measured phase noise of the divider is 141.3 dBc/Hz at 1-MHz offset when the input referred signal with a phase noise of 132.8 dBc/Hz at 1-MHz offset from 24 GHz. The phase-noise difference of 8.5 dB is close to the theoretical value of 9.5 dB for division-by-3. The output power of the divider is more than 11 dBm over the whole locking range. Index Terms—Direct injection, divide-by-3, floating-source differential injector, frequency divider, injection lock, -band.

I. INTRODUCTION

T

HE voltage-controlled oscillator (VCO) and high-frequency divider are the two primary blocks of a phase-locked loop (PLL). The main design concerns of the VCO are the oscillating frequency, output power, and phase noise; while the concerns of the frequency divider are the operating frequency and locking range. In order to cover the frequency offset of the VCO caused by the process variation, a wide frequency locking range for the divider is essential. Compared with the divide-by-2 circuits, a divide-by-3 circuit is more beneficial for the design of PLLs. Numerous frequency dividers have been reported for different applications. Generally, divide-by-3 circuits can be classified into those that utilize dynamic logic circuitry ring-oscillator-based injection-locked frequency divider (ILFD) topology [1], and Manuscript received May 16, 2011; revised October 11, 2011; accepted October 20, 2011. Date of publication December 01, 2011; date of current version December 30, 2011. Y.-T. Chen was with the Institute of Computer and Communication Engineering, Department of Electrical Engineering, National Cheng Kung University, Tainan 70101, Taiwan. He is now with the Taiwan Intellectual Property Office, Taipei 10637, Taiwan. M.-W. Li, H.-C. Kuo, T.-H. Huang, and H.-R. Chuang are with the Institute of Computer and Communication Engineering, Department of Electrical Engineering, National Cheng Kung University, Tainan 70101, Taiwan (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2175239

Fig. 1. Injection types of conventional divide-by-3 ILFDs. (a) Series-injection type [2]. (b) Parallel-injection type [4].

LC-resonator-based ILFD topology [2]. Dynamic logic circuitry dividers can realize very small power consumption and various division ratios, but they can only operate at a few gigahertz. The ring-oscillator-based ILFD is simple to design, however, the large unwanted harmonic components from the input signal possibly result in wrong frequency locking [3]. In comparison, LC-resonator-based ILFDs can easily operate at the highest frequency and have low power consumptions. Therefore, it is commonly used in high-frequency PLL as the first divider stage. Divide-by-3 ILFDs can be classified into the single-ended and differential-injection dividers. In addition, the input injectors of the differential divide-by-3 ILFDs can be categorized as series-injection and parallel-injection types, as shown in Fig. 1. The series-injection divider [2] is difficult to operate at low supply voltage due to the stacked-transistor topology. On the other hand, the conventional parallel injection frequency divider [4] needs extra dc current to maintain the function operation of the injection transistors. Thus, the power consumption of the conventional differential-injection dividers is typically larger. In this paper, a low-voltage -band divide-by-3 circuit is proposed with a differential pair of floating-source injection transistors and without a varactor tuning. The locking range is analyzed and compared with the simulation data. The designed circuit has been fabricated in a 0.18- m CMOS process and characterized. Without a varactor tuning, at the input power level of 0 dBm, the total locking range achieves 3.2 GHz with 8.28 mW from a supply voltage of 0.9 V.

0018-9480/$26.00 © 2011 IEEE

CHEN et al.: LOW-VOLTAGE

-BAND DIVIDE-BY-3 ILFD

61

In our design of the divider core (i.e., the self-oscillating oscillator), the inductor is chosen with a peak -factor of about 13.9 around 8 GHz, which can reduce the power consumption with a reasonably wide locking range. In addition, the NMOS-only and ) is also used to provide negcross-coupled pair ( ative resistance that compensates for the resistance loss of the and are center-taped inductor. The optimized widths of 3 15 m with a total bias current of 9.2 mA at a supply voltage of 0.9 V. B. Injection Efficiency of the Floating-Source Injector

Fig. 2. Schematic of the proposed divide-by-3 ILFD.

It has been reported that the frequency-locking range is rela[5], where is the quality factor of the tive to is the self-oscillation frequency of the LC-tank, and tank, is the injection ratio defined by the current ratio of injection current to bias current . Obviously, the locking range is directly proportional to the injection ratio . Therefore, enhancing the injection ratio is beneficial to increasing the frequency-locking range. However, the aforementioned locking range is derived based on a tail-injection-type divide-by-2 circuit [5]. Since our proposed ILFD utilizes a floating-source differential injector, the locking range has to be analyzed in a different way. Based on the circuit analysis of a half-circuit topology, the injection ratio is inversely proportional to the of the injector transistor (or ) and channel resistance can be expressed as follows [5]: (1) where

Fig. 3. Model diagram of the divide-by-3 ILFD.

II. CIRCUIT DESIGN

(2) According to the simple charge control model [6] and under the consideration of large-signal operation, the effective channel conductance of injector transistors can be expressed as

A. Circuit Topology Fig. 2 shows the proposed divide-by-3 circuit schematic, which consists of a differential LC-oscillator (i.e., the divider and ) and output buffers. Because core), input injectors ( the sources of and are commonly connected, a signal would be generated at this source node (as denoted of as Node-A in Fig. 2). The model diagram of the proposed divide-by-3 ILFD is shown in Fig. 3. Mixers 1 and 2 are and , respectively. The bandpass filters implemented by (BPFs), which are implemented by the differential LC-tank, and mix the input select the desired frequency . signals ( and ) with the common-mode signal and generate multiple harmonic tones ( , , , and ) because of the nonlinear transistor operation. However, and ) pass through the BPFs only the desired signals ( and all the other higher order harmonic terms are suppressed. In order to enable the divider to be operated at low voltage with a large voltage swing, a center-taped inductor is adopted. However, the bias current of the divider core possibly vary significantly due to the process and temperature variations. Hence, the size of the cross-coupled pair needs to be chosen carefully.

(3) where is a parameter that describes the large-signal operation resulted by the injection signal effect. The voltage swing at the input node can be expressed as

(4) where is the effective transconductance of injection transisis the common-node voltage of the injection pair. tors and Note that the phases of and are in difference. represents the tank impedance across the output nodes of the divider core and the virtual ground (i.e., the common node). Substituting (2)–(4) into (1) and taking into account the differential operation of the injector, we can have (5) According to (5), can be increased with the tank impedance . In general, by enlarging the inductance value of the tank

62

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 4. Simulated loaded tank impedance jZ j across the differential output nodes of the divider core without any capacitor, with a shunt ideal capacitor C , or with a real varactor C .

will enhance the magnitude of , as well as that of . On the other hand, to widen the operation frequency range of an ILFD, the oscillator core itself needs a wide tuning range. For traditional designs like in [7], MOS varactors were used for widening the total locking range. However, in higher radio frequency regime, the quality factor of the MOS varactor will be will degraded due to the substrate loss. The magnitude of be reduced, which will lead to the reduction of injection ratio. Therefore, in our divider core design, only an inductor is used to resonate out the parasitic capacitor and no varactor is adopted. – is adopted for The NMOS-only cross-coupled pair negative resistance generation. Such an NMOS-only structure introduces less parasitic capacitance as compared to the CMOS structure or the PMOS-only structure. The varactor effect on the output impedance is discussed in Section II-C. C. Varactor Effect on Locking Range Fig. 4 shows the magnitude of output impedance with and (e.g., fF without a shunt varactor capacitor at zero junction bias) across the designed divider core from our simulation results. The effect on the impedance magnitude fF whose quality that resulted by an ideal capacitor factor is infinite is also shown. It is found that the magnitudes of output impedance for the second and third harmonics are decreased with such extra capacitive load, no matter which output impedance for the fundamental signal is optimally designed. From (5), it is clear that the injection ratio is proportional to , as well as the injection voltage . The locking ranges with and without a shunt varactor can therefore be compared with each other if a fixed injection voltage was referred. Fig. 5 plots the magnitude of the factor versus the magnitude of tank impedance for differential channel resistance values. From the figure, we can conclude that either increasing the tank impedance or decreasing the channel resistance will enlarge the injection ratio. However, to lower the channel resistance by using a larger size transistor will introduce extra parasitic capacitance. As shown in Fig. 6, the simulation results indicate that the

Fig. 5. Magnitude of Z resistance r values.

=(Z

r

+2

) versus

Z

for different channel

Fig. 6. Simulated locking range versus the shunt capacitance load.

frequency-locking range reduces as the total parasitic capacitances at the output node increases. Therefore, the absence of the varactor is significantly conducive to the frequency-locking range and beneficial for the circuit layout. D. Locking-Range Analysis Since the function of an ILFD is to synchronize the core oscillator (i.e., the divider core in Fig. 2) to the incident signal, both the gain and phase of its output signal have to satisfy the Barkhausen criteria [8]. Fig. 7 shows the small-signal equivalent circuit of our proposed frequency divider with a floating-source differential injector. The effective drain load of the oscillator core is considered by paralleling the tank impedance with the series channel resistance of the differential injector . Based on the small-signal circuit analysis, the effeccan be expressed as tive output impedance

(6)

CHEN et al.: LOW-VOLTAGE

-BAND DIVIDE-BY-3 ILFD

63

Fig. 7. Equivalent small-signal circuit of this proposed divider.

Fig. 8. Illustration of vector currents in the equivalent circuits.

where is the tank resonant frequency, is the output represents the trans-conducfrequency, tance of the injector transistors, and is the loaded quality factor of the tank. represents the impedance of the tank. Due to the differential input, only the even harmonics can exist at the common node, i.e., at the floating source node . The node voltage is

Only those frequency components of (9) near the filtering band of the BPFs are retained and expressed as

(7) where the -terms represent the even harmonics caused by the input injection signal and the -terms represent those harmonic caused by the differential signal swing between the output ), nodes. If under the injection locked condition (i.e., (7) can be deduced by

(8) in which we assume the second harmonic will be the dominant term and the other higher order terms are neglected. and are modeled Assuming the injector transistors by the square law [6], the drain current of the injector (i.e., the ) can be expressed injection current

(10) Since the injectors are operated in the differential mode, the in. jection current On the other hand, when the oscillator core is driven to oscillation by the tank without injection, the oscillation current can be expressed as

(11) is the transconductance of the differential cross pair where and and is the oscillation current phase. Similarly, since the oscillator is operated in the differential mode, the os. cillation current is the vector Finally, the tank current and , as shown in Fig. 8. From the figure, we sum of and as the following can find the relationship between : expression when referred to

(12)

(9)

Typically, the magnitude of is proportional to the dc-bias current . That is, , where when the oscillator core operates in the maximum current-limited regime. In our design case, for the simplicity consideration, we take though a constant current source is not adopted in our circuit topology. Equation (12) can then be expressed as

W L is the transconductance paramwhere eter of the identical injector transistors and .

(13)

64

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 9. Comparison of locking range between the model [see (17)] and the simulation results.

The magnitude of resulted output voltage swing is

Fig. 10. Chip micrograph (die size is 0.74 0.43 0.32 mm ).

2

2 0.84 mm

, core size is about

(14) In general, the loop gain of the ILFD is sufficient such that the locking range is usually phase limited [8]. The Barkhausen criterion of the phase expression related to (14) will be [8], [9]

range. For a symmetric output waveform without a load pulling effect, the inverter-type buffer amplifiers are adopted to increase the driving capability, and the bias current is 2.65 mA per buffer stage at a supply voltage of 1.8 V.

(15) where

III. EXPERIMENTAL RESULTS

, and (16)

The angle expression in (16) is based on the loaded parallel R-L-C resonator structure and cited from [10]. Equation (15) indicates the solution of the output-referred phase-limited locking range to be (17) when is leading to , as shown in Fig. 8. On the other hand, we can also get another single-side locking range associlags behind . Therefore, the total ated to the case when . From (5) and (17), it indicates that when locking range is , the locking range will increase with the tank impedance and injection ratio . Also, from (10), it indicates that the locking range is also proportional to the second harmonic at the common node. The comparison of total voltage swing locking ranges calculated by (17) and evaluated from the circuit simulation is shown in Fig. 9. The simulation is conducted by exciting the circuit with an ideal sinusoidal current source, while the injector without injection still loads the circuit. The values and used are 8.0 GHz and 6, respectively. of In our design, the optimum width of the input injector transistors ( and ) is 4 15 m, which can strengthen , and thus enhance the locking the common-mode signal

Fig. 10 shows the chip micrograph of the fabricated divider 0.84 mm (the core size is about with a die size of 0.74 0.43 0.32 mm ). The power consumption of the divider core is 8.28 mW at a supply voltage of 0.9 V. The input signal is provided by a signal generator (Agilent 8257D, 250 kHz–67 GHz) and the output signal is measured with a spectrum analyzer (Agilent E4448A, 3 Hz–50 GHz). As shown in Fig. 11, the measured free-running frequency of the frequency divider is 7.96 GHz. The measured output spectrums locating at 7.23 and 8.3 GHz for input signals of 21.7 and 24.9 GHz are shown in Fig. 12(a) and (b), respectively. The measured input sensitivity of the divider is plotted in Fig. 13, which covers the frequency from 21.7 to 24.9 GHz at the input power of 0 dBm (without frequency tuning varactors). Fig. 14 plots the measured locking range versus input power. The locking range increases from 100 MHz to 3.2 GHz with increasing the injection power from 30 to 0 dBm. After de-embedding the losses from the cables, the measured output power versus the input frequency is plotted in Fig. 15 at an input signal power level of 0 dBm. In addition, the output power is greater than 11 dBm from 21.7 to 24.9 GHz for single-ended output. The measured phase noise of the free-running frequency is about 92.39 dBc/Hz at 1-MHz frequency offset. Fig. 16 shows the measured input and output phase noise. The input and output phase noises are 132.8 and 141.3 dBc/Hz, respectively, at 1-MHz frequency offset from the center frequency with input power level of 0 dBm

CHEN et al.: LOW-VOLTAGE

-BAND DIVIDE-BY-3 ILFD

Fig. 11. Measured spectrum of free-running frequency at 7.96 GHz.

65

Fig. 13. Measured input sensitivity curve at the input power of 0 dBm.

Fig. 14. Measured locking range versus input power.

Fig. 15. Measured output power curve at 0-dBm input power.

Fig. 12. Measured output spectrum of the locked frequency at the input frequency of: (a) 21.7 and (b) 24.9 GHz.

at 24 GHz. The phase-noise difference of 8.5 dB is close to the theoretical value of 9.5 dB for division-by-3. Table I summarizes the measurement performance and the comparison with the reported divided-by-3 dividers. It is observed that the proposed divided-by-3 ILFD fabricated by a 0.18- m CMOS process exhibits a wider locking range of 3.2 GHz (13.74%) without extra varactor tuning. It is also noted that the divider reported in [7] was fabricated by a 90-nm

CMOS process, a lower dc power consumption is therefore expectable as compared with our work. The divider in [7] utilizes the transformer-coupled scheme, therefore, a wider locking range is achievable due to the enhancement of both fundamental and second harmonics at the gate terminals of injector transistors. However, three transformers are necessary for the proposed circuit topology, and hence the area consumption may not be greatly reduced (the reported chip area is 0.6 mm ). The core area of the divider reported in our work occupies less than 25% (about 0.14 mm ) of the die size (0.62 mm ), as shown in Fig. 10, since only one planar differential inductor is needed. Our proposed divider provides a great advantage of design for the first stage of a divider in the -band high-speed

66

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

TABLE I

PERFORMANCE SUMMARY OF A

K -BAND DIVIDE-BY-3 ILFD AND COMPARISON WITH PREVIOUS STUDIES

The referred input power in each work is 0 dBm(= 1 mW);

at a fixed tuning voltage;

divider core only

design for the first stage of a divider in the PLL system.

-band high-speed

ACKNOWLEDGMENT The authors would like to thank the Chip Implementation Center (CIC), Hsinchu, Taiwan, of the National Science Council, Taipei, Taiwan, for supporting the Taiwan Semiconductor Manufacturing Company (TSMC) CMOS process. REFERENCES [1] W.-Z. Chen and C.-L. Kuo, “18 GHz and 7 GHz superharmonic injection-locked dividers in 0.25 m CMOS technology,” in IEEE Eur. Solid-State Circuits Conf., Sep. 2002, pp. 89–92. [2] H. Wu and L. Zhang, “A 16-to-18 GHz 0.18 m Epi-CMOS divide-by-3 injection-locked frequency divider,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2006, pp. 602–603. [3] S.-L. Jang, C.-F. Lee, and W.-H. Yen, “A divide-by-3 injection locked frequency divider with single-ended input,” IEEE Microw. Wireless Compon. Lett, vol. 18, no. 2, pp. 142–144, Feb. 2008. [4] S.-L. Jang, C.-W. Tai, and C.-F. Lee, “Divide-by-3 injection locked frequency divider implemented with active inductor,” Microw. Opt. Technol. Lett., vol. 50, no. 6, pp. 1682–1685, Jun. 2008. [5] A. Mazzanti, P. Uggetti, and F. Svelto, “Analysis and design of injection-locked LC dividers for quadrature generation,” IEEE J. Solid-State Circuits, vol. 39, no. 9, pp. 1425–1433, Sep. 2004. [6] T. Ytterdal, Y. Cheng, and T. A. Fjeldly, Device Modeling for Analog and RF CMOS Circuit Design. Hoboken, NJ: Wiley, 2003, ch. 1. [7] S.-L. Jang and C.-W. Chang, “A 90 nm CMOS LC-tank divide-by-3 injection-locked frequency divider with record locking range,” IEEE Microw. Wireless Compon. Lett, vol. 20, no. 4, pp. 229–231, Apr. 2010. [8] S. Verma, H. R. Rategh, and T. H. Lee, “A unified model for injectionlocked frequency dividers,” IEEE J. Solid-State Circuits, vol. 38, no. 6, pp. 1015–1027, Jun. 2003. [9] T.-N. Luo, S.-Y. Bai, and Y.-J. E. Chen, “A 60-GHz 0.13- m CMOS divide-by-three frequency divider,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 11, pp. 2409–2415, Nov. 2008. [10] Q. Gu, Z. Xu, D. Huang, T. LaRocca, N.-Y. Wang, W. Hant, and M.-C. Frank Chang, “A low power -band CMOS frequency divider with wide locking range and accurate quadrature output phases,” IEEE J. Solid-State Circuits, vol. 43, no. 4, pp. 991–998, Apr. 2008. [11] S. Rong and H.-C. Luong, “A 1 V 1.7 mW 25 GHz transformer-feedback divide-by-3 frequency divider with quadrature outputs,” in IEEE Asian Solid-State Circuits Conf., Nov. 2007, pp. 328–331. [12] S.-L. Jang, C.-W. Chang, W.-C. Cheng, C.-F. Lee, and M.-H. Juang, “Low-power divide-by-3 injection-locked frequency dividers implemented with injection transformers,” Electron. Lett., vol. 45, no. 5, pp. 240–241, Feb. 2009. [13] H.-H. Hsieh, F.-L. Hsueh, C.-P. Jou, F. Kuo, S. Chen, T.-J. Yeh, K. K.-W. Tan, P.-Y. Wu, Y.-L. Lin, and M.-H. Tsai, “A -band divide-bythree differential direct injection-locked frequency divider in 65-nm CMOS,” in IEEE Custom Integr. Circuits Conf., Sep. 2010, pp. 1–4.



Fig. 16. Measured input and output phase noises at 1-MHz frequency offset when the input signal is 0 dBm at 24 GHz.

PLL system. It is noted that a recent work [13] has reported that a divide-by-3 ILFD can also have a locking range of 13.7% in the -band operation range by utilizing the floating-source differential injection topology. IV. CONCLUSION A -band divide-by-3 ILFD fabricated in a 0.18- m 1P6M CMOS process has been presented with the integration of a floating-source differential injector. The locking range without varactor tuning has been discussed and analyzed. Without the varactors, the frequency locking range of the divide-by-3 ILFD can be greatly extended. At an input signal power of 0 dBm, the total locking range is 3.2 GHz with a power consumption of 8.28 mW from a supply voltage of 0.9 V. The measured phase noise of the divider is 141.32 dBc/Hz at 1-MHz frequency offset, which is about 8.5 dB below that of input signal. The output power of the direct ILFD is more than 11 dBm over the whole locking range at the input power of 0 dBm. The total power consumption of the buffers is 9.54 mW from a supply voltage of 1.8 V. The divide-by-3 ILFD exhibits a wider locking range of 3.2 GHz without extra varactor tuning. The core area of the divider occupies less than 25% (about 0.14 mm ) of the die size (0.62 mm ) since only one planar differential inductor is needed. The proposed divider provides a great advantage of





V

V

CHEN et al.: LOW-VOLTAGE

-BAND DIVIDE-BY-3 ILFD

Yi-Tsung Chen (S’09) received the B.S.E.E. degree from the National Taiwan University of Science and Technology, Taipei, Taiwan, in 2006, and the M.S.E.E. degree from the Graduate Institute of Computer and Communication Engineering, National Cheng Kung University, Tainan, Taiwan, in 2009. He is currently with the Taiwan Intellectual Property Office, Taipei, Taiwan, as a Patent Assistant Examiner. His research interests include millimeter-wave CMOS RFIC and PLL design for millimeter-wave communication systems.

Ming-Wei Li (S’10), photograph and biography not available at time of publication.

Hsin-Chih Kuo (S’10) received the B.S.E.E degree from Feng Chia University, Taichung, Taiwan, in 2006, the M.S.E.E degree from National Cheng Kung University, Tainan, Taiwan, in 2008, and is currently working toward the Ph.D. degree at the Institute of Computer and Communication Engineering, National Cheng Kung University. He is a recipient of 2011 IEEE International Microwave Workshop Series on Millimeter Wave Integration Technologies (IMWS 2011) travel grant award. He is currently with the Department of Electrical Engineering, Institute of Computer and Communication Engineering, National Cheng Kung University. His research interests include millimeter-wave RFIC design and RF system design.

67

Tzuen-Hsi Huang (M’96) received the B.S. degree in electrical engineering from National Cheng Kung University, Tainan, Taiwan, in 1988, and the Ph.D. degree in solid-state electronics from National Chiao Tung University, Hsinchu, Taiwan, in 1995. From 1995 to 2001, he was with ERSO/ITRI, Hsinchu, Taiwan. He was involved in high-speed poly-emitter bipolar technology development, device characterization/modeling, and RF circuit design. From 2001 to 2004, he was with AIROHA Technology, Hsinchu, Taiwan, where he was involved in global system for mobile communications (GSM)/wireless local area network (WLAN) front-end chip design. In August 2004, he joined the faculty of the Department of Electrical Engineering, National Cheng Kung University, Tainan, Taiwan, where he is currently an Associate Professor. His research interests include CMOS RF and millimeter-wave integrated-circuit designs.

Huey-Ru Chuang (SM’06) received the B.S.E.E. and M.S.E.E. degrees from the National Taiwan University, Taipei, Taiwan, in 1977 and 1980, respectively, and the Ph.D. degree in electrical engineering from Michigan State University, East Lansing, in 1987. From 1987 to 1988, he was a Post-Doctoral Research Associate with the Engineering Research Center, Michigan State University. From 1988 to 1990, he was with the Portable Communication Division, Motorola Inc., Ft. Lauderdale, FL. Since 1991, he has been with the Department of Electrical Engineering, National Cheng Kung University, Tainan, Taiwan, where he is currently a Professor with the Department of Electrical Engineering, Institute of Computer and Communication Engineering. His research interests include microwave/millimeter-wave circuits and systems, RFICs and antenna design for wireless communications, electromagnetic computation and applications, and microwave/millimeter-wave communication/detection systems.

68

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Compact Wideband Linear CMOS Variable Gain Amplifier for Analog-Predistortion Power Amplifiers Yan-Yu Huang, Student Member, IEEE, Wangmyong Woo, Member, IEEE, Hamhee Jeon, Student Member, IEEE, Chang-Ho Lee, Senior Member, IEEE, and J. Stevenson Kenney, Fellow, IEEE

Abstract—To predistort the signal of an analog-predistortion power amplifier system, this paper proposes a variable gain amplifier (VGA) topology that gives an optimized linearity and bandwidth performance while having a continuous, linear-in-decibel gain control curve. The design employs a self-biased differential amplifier with a dynamic current source to provide gain and linearity. The overall VGA gain is then controlled by a highly linear variable attenuator connected at the output of the amplifier. This separated controlling stage allows the VGA to have a continuous and linear-in-decibel gain curve without sacrificing the bandwidth and linearity of its amplifier stage. A VGA designed with such topology has been fabricated in a standard 0.18- m CMOS technology. Targeted for wideband code-division multiple-access applications, the VGA has a maximum gain tuning range from 13.5 to 13.5 dB, a bandwidth better than 1.8 GHz (380 MHz to 2.2 GHz), and a worst case input 1dB better than 5 and 3.6 dBm, respectively, at 850 MHz and 1.95 GHz. The post-attenuated structure also gives the VGA a noise figure as low as of 4.9 dB at 1.95 GHz. To our knowledge, the design demonstrates the best linearity performance and has other characteristics that are equivalent with other VGAs. Index Terms—Adaptive bias, broadband amplifier, CMOS amplifiers, tunable amplifier, variable gain amplifier (VGA).

I. INTRODUCTION

S

ILICON-BASED solutions have realized their potential for the implementation of wireless communication systems in recent years. The most popular silicon-based technology is CMOS because of its low cost and high integration, allowing a single chip implementation of a multiband RF front-end. Various design techniques have been proposed to overcome its inferior power and frequency performance as compared to III–V compounds semiconductor. However, CMOS power amplifiers (PAs) in the RF front-end remain challenging due to their lower power gain, worse linearity, and poorer efficiency as compared to III–V PAs. These Manuscript received March 06, 2011; revised September 16, 2011; accepted September 20, 2011. Date of publication November 18, 2011; date of current version December 30, 2011. This work was supported in part by Samsung Electro-Mechanics America Inc. Y.-Y. Huang, H. Jeon, and J. S. Kenney are with the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30308 USA (e-mail: [email protected]). W. Woo was with the Samsung Design Center, Samsung Electro-Mechanic America Inc., Atlanta, GA 30308 USA. He is now with Avago Technologies, San Jose, CA 95131-1008 USA. C.-H. Lee was with the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30308 USA. He is now with Qualcomm Inc., San Diego, CA 92121 USA. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2175234

Fig. 1. Predistortion-type PA with an amplitude control circuit.

problems are especially serious when the CMOS PA is used with nonconstant envelope modulation schemes, such as hybrid phase-shift keying (HPSK) that is utilized by wideband code-division multiple access (WCDMA). HPSK signals have a high peak-to-average power ratio (PAPR) and produce high levels of interference in PAs with inherent amplitude and phase distortion [1]. The distortion is especially serious in CMOS PAs because of the lossy silicon substrate, severe parasitic effects, and comparably nonlinear transconductance. In addition, CMOS PAs have to operate well below its saturated power to avoid severe intermodulation distortion (IMD) produced by the clipped signals. However, PAs have better efficiency at saturated power. The backoff further degrades the already dissatisfying efficiency of a CMOS PA. To provide sufficient linearity, efficiency, and output power at the same time, an analog-predistortion PA, as shown in Fig. 1, is one of the solutions known for its fast tuning and power efficient control blocks [1], [2]. To implement such a linear PA system in CMOS technology, it requires a CMOS RF variable gain amplifier (VGA) with uniform linearity performance at every gain setting, and with a linear-in-decibel control curve to properly compensate the AM–AM error of a CMOS PA while using less complicated control circuits. However, traditional VGAs are mostly designed for receivers, working at baseband and operating with smaller signals. Their performance may fail to meet the requirements of the amplitude control circuit in a predistortion PA system. An RF VGA is proposed in this paper with a topology that is much capable of handling higher input power, having a relatively wide bandwidth, smaller size, and a continuous control curve. Its key design blocks will be analyzed in Section II, while the measurement results will be demonstrated and compared with other CMOS work in Section III. II. RF VGA TOPOLOGY AND KEY DESIGN BLOCKS The pros and cons of different VGA topologies have been well analyzed in the literature [3]–[5]. Although these receiver

0018-9480/$26.00 © 2011 IEEE

HUANG et al.: COMPACT WIDEBAND LINEAR CMOS VGA FOR ANALOG-PREDISTORTION PAs

VGA topologies are unable to meet all the requirements to be used as a predistortion circuit, the analysis of their characteristics gives a direction when designing an appropriate topology for VGAs in an analog-predistortion PA system. A simple analysis of the system in Fig. 1 can help specify the exact features this VGA requires. First, the VGA in Fig. 1 is put outside the detection and comparison loop and a linear-indecibel power detector is employed to detect the gain error of the PA and to generate the gain control signal in the analog domain. This topology reduces the settling time and avoids the use of accurate/high-speed A/D converters, reducing the design complexity and the power consumption of the system. However, it necessitates an analog-control VGA with a linear-in-decibel gain control curve. In addition, the VGA is placed after the up-converter to relax the linearity requirement of the mixer in the up-converter. Therefore, the input-signal of this VGA is at the RF frequency (up to 2 GHz, depending on which WCDMA band is selected), and the input power will be close to 0 dBm depending on the gain of the PA and the RF VGA itself. These make the bandwidth and linearity primary concerns when designing such RF VGA. Based on the analysis in [3]–[5], a smaller transconductance and load impedance variation for the amplifier stage generally ensure it to stay near the optimum bias point, thereby allowing the RF VGA to have better linearity. On the other hand, a smaller parasitic capacitance from a tunable load with smaller and fewer transistors is preferable for wideband operation. Therefore, an ideal linear-in-decibel controlled RF VGA topology will be one that utilizes active circuits that stays near their optimized bias condition and drive simple and fixed loads with low parasitics. Among the traditional topologies, pre-attenuated RF VGAs have these features and show excellent linearity and bandwidth [5], making them potential candidates for signal predistortion in the mentioned PA system. In this type of RF VGA, variable attenuators are put in the input to change the signal strength, while a second variable- or fixed-gain stage is used to provide sufficient gain. Since the input attenuator carries most of the burden of gain tuning and reduces the input power, the amplifier stage is allowed to have a smaller gain-tuning range and handles lower input power. A smaller gain-tuning range reduces the dc-bias variation of the amplifier, while a lower input power relaxes the amplifier’s voltage swing requirement. Both of these help increase the overall linearity of the RF VGA. However, a serious problem in attaining good linearity of a pre-attenuated RF VGA is its poor noise figure (NF) resulting from the pre-attenuation. Although noise specification is relatively loose for transmitters, a pre-attenuated RF VGA may contribute too much noise, especially when operating at its lowest gain settings. Except for NF, the attenuator-based RF VGA has most of the features a predistorter requires. The idea of the proposed RF VGA is based on the pre-attenuated RF VGA topology, and the simplified schematic diagram is illustrated in Fig. 2. Instead of using an input attenuator, the gain of the proposed RF VGA is controlled by a highly linear fixed-input-impedance attenuator that also works as a part of the load to the gain stage. The input impedance of the attenuator should remain relatively constant so that the amplifier stage can always drive the loading impedance its been optimized for.

69

Fig. 2. Proposed post-attenuated RF VGA topology.

The attenuator also takes the major responsibility for tuning the overall gain, thereby allowing the amplifier stage to have a constant dc bias, which results in a better linearity. Different from the pre-attenuated RF VGA, the influence of the noise from the second-stage attenuator is reduced by the gain of the first-stage amplifier, giving a pre-amplified topology a better noise performance while keeping the advantage of linearity and bandwidth inherited from attenuator-based RF VGA. However, there are two challenges of implementing such a RF VGA in CMOS technology. One is to improve the power-handling capability of a differential amplifier and the other is to design a highly linear attenuator with high input impedance. These two circuits along with their design issues will be discussed thoroughly in this section. A. Fixed Gain Amplifier With Dynamic Current Bias The amplifier stage determines the gain and NF, and has critical influence on the linearity of the RF VGA. Inductive loads are commonly used in RF amplifiers both to expand the output ac voltage swing and the bandwidth. However, inductors are bulky, and the chip size of a differential RF VGA using two inductors will be unreasonably large. Therefore, instead of using inductors, the amplifier in the proposed RF VGA adapts the concept of dynamic current boost [7] in combination with a self-biased differential pair to extend the gain flatness to a higher input power. Fig. 3 shows the schematic diagram of the proposed amplifier stage. The dynamic current bias of this amplifier consists of a reference dc current source and an input power detector. The power detector generates a dynamic bias current with the magnitude determined by the input power. The low-pass filter (LPF) at the output of the dynamic bias circuit allows only the low-frequency components to pass though, dynamically adjusting the gate voltage and the drain current of . The transconducof , , , and are in a square root tance relation with their biasing current. Therefore, when the input power increases, a carefully designed power detector can prop, and hence, the of the amerly increase the current of plifier to compensate the gain compression due to a large input signal.

70

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 3. Schematic diagram of the gain stage with dynamic current bias.

Fig. 5. Output common mode voltage of different amplifiers with and without dynamic current source (simulated with 1.95-GHz input signal).

Fig. 4. Gain versus input power of two amplifiers simulated with 1.95-GHz input signals. The results in (a) and (b) shows the gain flatness while both amplifiers are biased with dc current and dynamic current source, respectively.

Fig. 6. Two attenuators with bootstrapped body bias are connected differentially.

Since the dynamic current bias only supplies currents at a and are biased higher input power, the transistor between class B and class C. When the input voltage swing and will convert it to exceeds a designated level, , current signals. These currents are added together at and the magnitude of the overall current reflects the input then copies the drain current of and adds to power. a dc-bias current at . Therefore, the drain current contains several different frequency components, of , one at the frequency of the signal including one at dc envelope, and one at the carrier frequency. Since nonlinear effects, such as AM–AM or AM–PM errors, are caused by the amplitude-dependent gain response, the envelope of the RF signal can be used to determine whether to activate the gain compensation. To extract the envelope information and filter out high-frequency components, the cutoff frequency of the LPF is set slightly higher than the envelope bandwidth. The output of , controlling its the LPF is then connected to the gate of drain currents to compensate for the gain compression.

However, this dynamic current biasing only works for certain amplifier topologies and might degrade the linearity of an amplifier with inappropriate loads. Different from [7], the proposed RF VGA design does not use inductors. This means the dynamic current will change the common mode voltage at the output of an amplifier, and thereby change the operation region of its transistors. To examine how the voltage change affects the linearity in different amplifier topologies, we compared the performance between a resistively loaded differential pair to a self-biased one, before and after initiating the dynamic current supply. As demonstrated in Fig. 4(a), a differential amplifier with compared to one self-biased pMOS loads has similar with resistor loads when they are both biased with a dc current source. However, if the bias current increases dynamically with the input power, self-biased pMOS loads can give better linearity to the amplifier while resistor loads degrade its linearity, as shown in Fig. 4(b). The ability to keep a constant output common mode voltage can explain this difference. Referring

HUANG et al.: COMPACT WIDEBAND LINEAR CMOS VGA FOR ANALOG-PREDISTORTION PAs

71

Fig. 10. At a lower gain setting, lower cutoff frequency and gain peaking of value. the RF VGA are tradeoffs related to the selection of R Fig. 7. Attenuator’s gain versus input power at different gain settings.

Fig. 8. At lower attenuation settings, a smaller R : (a) improves the attenuator linearity and (b) reduces the RF VGA gain peaking. Fig. 11. Worst, typical, and best case of the RF VGA frequency response in a 500-iteration Monte Carlo simulation. All three body bias resistors are set to have an independent 20% resistance variation.

Fig. 9. At lower attenuation settings, a smaller R : (a) reduces the attenuator bandwidth and (b) so does the overall RF VGA bandwidth.

to Fig. 3, the output common mode voltage self-biased amplifier can be calculated to be

of a

(1) Fig. 12. Extreme and typical cases of the RF VGA gain versus input power in a 500-iteration Monte Carlo simulation. All three body bias resistors are set to have an independent 20% resistance variation.

is the mobility and is the threshold voltage of where or . In addition, is the overall tail current of the and amplifier. The square root relationship between in (1) reduces the output common mode voltage drop of a

self-biased amplifier when a higher drain current is applied. On the contrary, the output common mode voltage of an amplifier with resistor loads has a linear relationship with its bias current.

72

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 13. Completed schematic diagram of the RF VGA.

Fig. 16. Measured and simulated gain versus the control voltage of the RF VGA at: (a) 850 and (b) 1950 MHz.

Fig. 14. Photograph of the chip and measurement buffer.

Fig. 17. Measured input P

Fig. 15. Measured frequency responses of the RF VGA at different gain settings.

Its output voltage drops quickly when the dynamic current is high, thereby driving the transistors into the triode region. Simulation results that compare the bias voltage variation between amplifiers with two different types of load are shown in Fig. 5. The amount of current used to compensate for the gain variation of a self-biased pMOS load amplifier lowers its output common mode voltage by 8% at 2-dBm input power,

of the RF VGA versus the control voltage.

but the common mode voltage of resistor-load amplifier drops 38% with the same amount of current. The output voltage of a resistively loaded amplifier will be too low to keep all the transistors in the saturation region at this input power level. Hence, the dynamic current does not help, but rather degrades the linearity of a resistively loaded amplifier. Hence, a self-biased amplifier is selected as the gain stage in this RF VGA design, and a linear attenuator will be connected to its output to tune the overall gain while maintaining linearity. B. Highly Linear Gain Tuning Attenuator The variable attenuator in the proposed RF VGA employs the multistack series transistors and the bootstrapped body biasing technique [8] to enhance the overall linearity of the RF VGA. Its schematic diagram is shown in Fig. 6. As addressed in the

HUANG et al.: COMPACT WIDEBAND LINEAR CMOS VGA FOR ANALOG-PREDISTORTION PAs

Fig. 18. Measured gain of the RF VGA gain curve at different gain settings and at 850 MHz.

73

Fig. 20. Measured NF of the RF VGA over different frequencies and at different control voltages.

Fig. 21. Measured NF of the RF VGA over different frequencies and at different gain settings. Fig. 19. (a) Measured IIP at different control voltage and (b) measured IMD at the critical control voltage.

reported literature [8]–[11], the nonlinear responses of an attenuator are caused by the impedance variation of its transistors and are especially serious when the control voltage is close of the transistor. Comparing to to the threshold voltage a 50- matched attenuator, the attenuator designed with high input impedance has significant gain variation because the conto get a higher channel trol voltage will be set closer to resistance. Using more transistors in a stack and a smaller bootcould still allow the atstrapped body biasing resistor tenuator in the proposed RF VGA to have enough linearity, but at the cost of bandwidth [8]–[11]. To improve the gain flatness in the lower attenuation region, triple-stacked transistors are used in each shunt branch of the proposed attenuator, making it a total of six transistors to carry the overall differential voltage swing. This number is selected to get the best linearity and an upper cutoff frequency that covers most of the WCDMA uplink. On the other hand, utilizing a improves the linearity in smaller body biasing resistance the high attenuation region, but results in a higher lower cutoff

frequency [11]. Hence, a much narrower bandwidth is expected if an attenuator is designed to have both high input impedance and uses a very small body biasing resistance to improve its linearity. However, designing a whole RF VGA is different from designing an attenuator alone. Fig. 7 shows the gain versus input , and at difpower of a -type attenuator without body bias ferent gain settings. The gain responses at a higher input power have two different directions of variation. In the lower attenuation region, the gain compresses as the input power goes high, but the gain increases with the input power in the high attenuation region. While we use multistack transistors to alleviate the compression in the low attenuation region, the increasing gain in the higher attenuation region can be used to compensate for the decreasing gain of the amplifier stage, and benefits the overall to linearity of the RF VGA. This allows us to use a larger slightly push the gain increasing point to a higher input power to avoid gain peaking at a midlevel input power, and solves the linearity-bandwidth dilemma. value that shows Simulations are performed to find the reasonable tradeoff between lower corner frequency and linearity of the RF VGA. As illustrated in Figs. 8 and 9, decreasing

74

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

TABLE I SUMMERY OF EXPERIMENTAL RESULTS

value reduces the gain peaking at a higher input power, but also reduces the bandwidth. Fig. 10 plots the simulated results of these tradeoffs. The lower corner frequency drops rapidly in is greater than the beginning, but reaches its limit when 200 , while the peaking reduction gradually reaches its limit is greater than 200 . Therefore, the value of when this design is chosen near 200 to both achieve a good linearity and a sufficient bandwidth. In addition, since the performance resistance and of the RF VGA is related to the selection of the resistance varies greatly with the process, Monte Carlo simulations are performed to estimate the difference in performance under process variation. The results is plotted in Figs. 11 and 12. If each body bias resistor has an independent 20% of resistance variation, we should expect approximately 1.8-dB gain change for the gain at 1.95 GHz, or equivalently 330-MHz variation on lower cutoff frequency. The gain peaking could also vary from 0.5 to 1.1 dB for the same 20% resistance variation. Therefore, if an accurate resistor is available for the process, it should be used to implement the body bias resistors. The complete schematic diagram of the proposed RF VGA is illustrated in Fig. 13. The control circuitry of the attenuator is used to closely approximate a linear-in-decibel curve [12], resulting in an RF VGA that has a similar control curve. The . slope of the curve can be adjusted by III. MEASUREMENT RESULTS The proposed RF VGA has been fabricated in a standard 0.18- m CMOS technology, and the die was mounted on a printed circuit board for a chip-on-board measurement. Its measurement buffer was fabricated in an independent die so that the characteristics could be measured and de-embedded. The chip microphotograph is shown in Fig. 14, and the overall active area of the RF VGA is around 400 270 m . Fig. 15 shows the frequency responses of the RF VGA. Its 3-dB bandwidth at the maximum gain setting is from 30 MHz to 2.2 GHz, but the tilted frequency response at the minimum gain setting has a much higher lower cuttoff frequency. To keep the in-band gain flatness smaller than 3-dB at all gain settings,

the actual operation frequency of the RF VGA should be limited to 380 MHz–2.2 GHz. This bandwidth is enough to cover most of the WCDMA uplink. The RFVGA has a maximum gain of 13.5 dB and a minimum gain of 13.5 dB within the frequency band. Fig. 16(a) and (b) plots the measured and simulated gain versus control curves at 850 and 1950 MHz, respectively. The measured maximum gain is well matched to the simulated results at 850 MHz, but has about 1-dB difference at 1950 MHz. Besides, the measured minimum gain is slightly higher than the simulated results. The gain deviation is mainly due to the parasitic inductance of ground-bonding wires, which increases the impedance to ground at higher frequency, thus reducing the attenuation. The RF VGA is also designed to have a linear-in-decibel control curve. To demonstrate how good the approximation is, a fit to the gain-control line is calculated based on the measurement data. The differences between each measured gain to the fitted line (known as linear-in-decibel error) are shown in the same graph. The linear-in-decibel error of the RF VGA is less than 1 dB over all the effective control range, which is from 13.5 to 13.5 dB at 850 MHz and from 11.5 dB to 11.5 dB at 1950 MHz. results measured at different gain Fig. 17 gives the input is 5 dBm at 850 MHz settings. The worst case input and 3.6 dBm at 1950 MHz. Fig. 18 plots the gain versus input power of the RF VGA. The gain was measured with a 850-MHz single-tone signal, where peakings at lower gain settings are more obvious. Two-tone measurements are also performed to at each gain setshow the IMD of this RF VGA. Measured tings are plotted in Fig. 19(a), while Fig. 19(b) gives the measured signal power and third harmonic at the most nonlinear ). The tone spacing is segain setting (5.5-dB gain, lected to be 3 MHz (slightly smaller than the signal bandwidth, 3.84 MHz, of the WCDMA standard) and centered at 1950 MHz is 7.5 dBm over all the (WCDMA band I). The worst case gain settings at 1950 MHz. A tradeoff for the linearity is the power consumption. This RF VGA consumes 11 mA from a

HUANG et al.: COMPACT WIDEBAND LINEAR CMOS VGA FOR ANALOG-PREDISTORTION PAs

1.8-V power supply at dc, but the value increases to 12 mA with 5-dBm input power and to 15 mA with 0-dBm input power. curve of this RF VGA resembles that of The shape of the a -type attenuator alone The amplifying stage provides a large gain in this RF VGA circuits, making the latter-stage attenuator performance. a dominant in the Fig. 20 illustrates the NF of the amplifier under different gain settings. The minimum NF of this work is 4.9 dB at 1.95 GHz, while it is 6.5 dB at 850 MHz. Fig. 21 plots the values at different gain settings. The input amplifier of this RF VGA minimizes the noise contribution from the attenuator, thus giving the RF VGA a comparable NF at its lower gain settings as comparing to previously reported RF VGAs [14]–[17]. As a further in Fig. 11) can improvement, the input resistive matching ( be replaced with inductive/capacitive matching networks to reduce the noise generated by the matching resistor, but this would incur the cost of a larger die area. The specification of the proposed attenuator is summarized and compared in Table I. IV. CONCLUSION This paper has presented a VGA topology optimized for linearity, bandwidth, and linear-in-decibel controllability. As compared to conventional VGA designs, this RF VGA topology is very suitable for implementing the amplitude pre-distorter in an analog-predistortion PA system. It utilizes a dynamically biased amplifier and a highly linear tunable attenuator to provide gain and linearity. The paper also demonstrated that a self-biased differential pair can get the best use of a dynamic current boost to compensate for gain reduction at a higher input power. Besides, the linearity-bandwidth tradeoff comes with different bootstrapped body bias resistances of the attenuator analyzed. Finally, a RF VGA designed with such a topology and targeted for WCDMA applications is fabricated in a commercial available standard 0.18- m CMOS technology, and has an active area of 0.108 mm . The measurement results show that it has a linear-in-decibel gain control curve from 380 MHz to 2.2 GHz with a maximum gain tuning range of 27 dB (13.5 to 13.5 dB) and less than 1-dB error. Within this bandwidth, the flatness of frequency response is less than 3 dB at every gain setting. The proposed of 5 and 3.6 dBm, reRF VGA has a worst case input is spectively, at 850 and 1950 MHz, while the minimum 7.5 dBm at 1950 MHz. To our knowledge, this worst case linearity performance is the best as compared to previous VGA studies. Moreover, the post-attenuator structure gives this RF VGA an NF similar or even better to previous work when operating at a lower gain setting. The fabricated RF VGA consumes 11 mA from a 1.8-V power supply at dc and increases to 15 mA when the input power is 0 dBm. REFERENCES [1] W. Woo, M. D. Miller, and J. S. Kenney, “A hybrid digital/RF envelope predistortion linearization system for power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 10, pp. 1349–1354, Oct. 2005. [2] W. Woo and J. S. Kenney, “A predistortion linearization system for high power amplifiers with low frequency envelope memory effects,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2005, pp. 1545–1548.

75

[3] H. D. Lee, K. A. Lee, and S. H. Hong, “A wideband CMOS variable gain amplifier with an exponential gain control,” IEEE Trans. Microw. Theory Tech., vol. 5, no. 6, pp. 1363–1373, Jun. 2007. [4] H. Elwan, A. Tekin, and K. Pedrotti, “A differential-ramp based 65 dB-Linear VGA technique in 65 nm CMOS,” IEEE J. Solid-State Circuits, vol. 44, no. 9, pp. 2503–2514, Sep. 2009. [5] J. Xiao, I. Mehr, and J. Silva-Martinez, “A high dynamic range CMOS variable gain amplifier for mobile DTV tuner,” IEEE J. Solid-State Circuits, vol. 42, no. 2, pp. 292–301, Feb. 2007. [6] B. Razavi, Design of Analog CMOS Integrated Circuits. Boston, MA: McGraw-Hill, 2001. [7] V. W. Leung, L. E. Larson, and P. S. Gudem, “Digital-IF WCDMA handset transmitter IC in 0.25-m SiGe BiCMOS,” IEEE J. Solid-State Circuits, vol. 39, no. 12, pp. 2215–2225, Dec. 2004. [8] Y.-Y. Huang, W. Woo, C.-H. Lee, and J. Laskar, “A CMOS widebandwidth high-power linear-in-decibel variable attenuator using body voltage distribution method,” in IEEE RFIC Symp. Dig., May 2010, pp. 673–676. [9] H. Dogan, R. G. Meyer, and A. M. Niknejad, “Analysis and design of RF CMOS attenuators,” IEEE J. Solid-State Circuits, vol. 43, no. 10, pp. 2269–2283, Oct. 2008. [10] H. Dogan and R. G. Meyer, “Intermodulation distortion in CMOS attenuators and switches,” IEEE J. Solid-State Circuits, vol. 42, no. 3, pp. 529–539, Mar. 2007. [11] Y.-Y. Huang, W. Woo, Y. Yoon, and C.-H. Lee, “Highly linear RF CMOS variable attenuators with adaptive body biasing,” IEEE J. SolidState Circuits, vol. 46, no. 5, pp. 1023–1033, May 2011. [12] Y. Araki, T. Hashimoto, and S. Otaka, “A 0.13 m CMOS 90 dB variable gain pre-power amplifier using robust linear-in-decibel attenuator,” in IEEE RFIC Symp. Dig., Jun. 2008, pp. 673–676. [13] B. Razavi, RF Microelectronics. Englewood Cliffs, NJ: Prentice-Hall, 1998. [14] J. Xiao, I. Mehr, and J. Silva-Matinez, “A high dynamic range CMOS variable gain amplifier for mobile DTV tuner,” IEEE J. Solid-State Circuits, vol. 42, no. 12, pp. 292–301, Feb. 2007. [15] K. Han, L. Zou, Y. Liao, H. Min, and Z. Tang, “A wideband CMOS variable gain low noise amplifier based on single-to-differential stage for TV tuner applications,” in IEEE Asian Solid-State Circuits Conf. Dig., Nov. 2008, pp. 457–560. [16] D. Im, H. Kim, and K. Lee, “A CMOS resistive feedback differential low-noise amplifier with enhanced loop gain for digital TV tuner applications,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 11, pp. 2462–2633, Nov. 2009. [17] M. Meghdadi, M. S. Bakhtiar, and A. Medi, “A UHF variable gain amplifier for direct-conversion DVB-H receivers,” in IEEE RFIC Symp. Dig., May 2009, pp. 551–554. [18] S. M. Taleie, Y. Han, T. Copani, B. Bakkaloglu, and S. Kiaei, “A 0.18 m CMOS fully integrated RFDAC and VGA for WCDMA transmitters,” in IEEE RFIC Symp. Dig., Jun. 2008, pp. 157–160. [19] S.-F. Chang, W.-L. Chen, and C.-H. Hsu, “CMOS dual-band variablegain amplifier for 3G-WCDMA and WLAN dual-mode RF receivers,” Electron. Lett., vol. 43, no. 2, pp. 102–103, Jan. 2007. [20] C.-H. Wu, C.-S. Liu, and S.-I. Liu, “A 2 GHz CMOS variable-gain amplifier with 50 dB linear-in-magnitude controlled gain range for 10GBase-LX4 ethernet,” in IEEE Int. Solid-State Circuits Conf. Dig., Feb. 2004, pp. 484–541. [21] Z. Li, F. Guo, D. Chen, H. Li, and Z. Wang, “A wideband CMOS variable gain amplifier with a novel linear-in-decibel gain control structure,” in IEEE Int. RFIT Workshop Dig., Dec. 2007, pp. 337–340. [22] H. Akyol, B. Agarwal, H. A. Firouzkouhi1, and D. A. Badillo, “A CMOS dB-linear RF VGA for SAW-less WEDGE transmitters,” IEEE RWS Dig., pp. 57–60, Jan. 2010.

Yan-Yu Huang (S’09) received the B.S. degree in electrical engineering from National Tsing Hua University, Hsinchu, Taiwan, in 2006, and the M.S. and Ph.D. degrees in electrical and computer engineering from the Georgia Institute of Technology, Atlanta, in 2009 and 2011, respectively. His major research interests are analog/RF tunable circuits design such as variable attenuators, VGAs, and phase shifters using a bulk CMOS process.

76

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Wangmyong Woo (S’00–M’03) was born in Kyunggi, Korea. He received the B.S. degree (with highest honors) in computer engineering from Myong Ji University, Yongin, Korea, in 1998, and the M.S. and Ph.D. degrees in electrical and computer engineering from the Georgia Institute of Technology, Atlanta, in 2000 and 2005, respectively. In 2005, he joined the Samsung Research and Development Center, Atlanta, GA, where he was a Research Staff Engineer involved with RF PA integrated circuit (IC) design and mobile transmitter module design area. In 2011, he joined Avago Technologies, San Jose, CA, where he is currently involved with high efficient RF PA module IC design for mobile applications. He holds over ten U.S. and international patents. His research interests include RF, analog, mixed-signal IC circuits and systems, high efficient RF PA IC design, and multichip transmitter module design.

Hamhee Jeon (S’09) received the B.S. degree in electrical engineering from Kwangwoon University, Seoul, Korea, in 2006, the M.S. degree in electrical and computer engineering from the Georgia Institute of Technology, Atlanta, in 2009, and is currently working toward the Ph.D. degree at the Georgia Institute of Technology. His major research interests include CMOS RF PAs, RF front-end circuits, analog integrated circuits, and the implementation of passive circuits on silicon substrate and integrated passive devices (IPDs).

Chang-Ho Lee (S’97–M’01–SM’06) received the B.S. and M.S. degrees in electrical engineering from Korea University, Seoul, Korea, in 1989 and 1991, respectively, and the M.S. and Ph.D. degrees from the Georgia Institute of Technology, Atlanta, in 1999, and 2001, respectively, all in electrical and computer engineering. In 2000, he joined RF Solutions Inc. Norcross, GA, where he was a Staff Engineer. In 2003, he joined the Georgia Institute of Technology, as a research faculty member. In 2005, he joined the Samsung Design Center, Atlanta, GA, where he was a Technical Director, as well as an Adjunct Professor with the Georgia Institute of Technology. Since 2011, he has been a Principal Engineer with Qualcomm Inc., San Diego, CA, where he is involved with RFIC design. He has authored or coauthored over 150 technical conference presentations and journal publications and a book. He has over 80 patent filings in the area of RFIC and module development.

Dr. Lee has served as a Technical Program Committee (TPC) member of the IEEE International Microwave Symposium (IMS), RFIC, RWS, and ISCAS. He was a recipient of the Third Place Best Paper Award of 2001 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) IMS. He was a corecipient of the finalist of the Best Paper Award of the 2003 IEEE MTT-S IMS, the 2004 ECWT Young Engineer Prize Award, and the 2008 Asia–Pacific Microwave Conference (APMC) Best Paper Competition Award.

J. Stevenson Kenney (S’84–M’85–SM’01–F’08) was born in St. Louis, MO, in 1962. He received the B.S.E.E. degree (Hons.), M.S.E.E. degree and Ph.D. degree in electrical engineering from the Georgia Institute of Technology, Atlanta, in 1985, 1990, and 1994, respectively. In January 2000, he joined the faculty of the Georgia Institute of Technology, where he is currently a Professor of electrical and computer engineering in the area of electronics design and applications. He teaches and conducts research in the areas of PA design and linearization, tunable RF materials and devices, and RFIC design. He also possesses over 14 years of industrial experience in wireless communications. He has held engineering and management positions with Electromagnetic Sciences, Scientific Atlanta, Pacific Monolithics, and Spectrian. He has served as an expert witness on a number of patent cases involving cellular telephone technology, and has testified three times at the U.S. International Trade Commission. He has authored or coauthored over 100 peer-reviewed technical papers and conference papers in the areas of acoustics, microwave design, signal processing, and telecommunications. Dr. Kenney has been an active member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) for over 25 years. He was an officer on the Santa Clara Valley Chapter of the IEEE MTT-S from 1996 to 2000. He served three terms on the IEEE MTT-S Administrative Committee (AdCom). He was treasurer from 2001 to 2003, and was the IEEE MTT-S president in 2007. He served on the IEEE MTT-S International Microwave Symposium (IMS) Steering Committees in Atlanta, GA, in 1993, San Francisco, CA, in 1996, and in Atlanta, GA, in 2008. He is currently general co-chair of the IEEE MTT-S IMS 2021, to be held in Atlanta, GA. He has served on the Editorial Board for the TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and the MICROWAVE AND WIRELESS COMPONENTS LETTERS since 1997. He served on the IEEE MTT-S IMS Technical Program Committee from 1997 to 2004. In 2002, he was the Technical Program Committee co-chair for the Radio and Wireless Conference (RAWCON), and general co-chair for RAWCON 2003 and 2004. He was the recipient of the 2005 IEEE MTT-S Application Award “for the development of PA linearization techniques and insertion into cellular/wireless systems.”

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

77

A Dual-Mode CMOS RF Power Amplifier With Integrated Tunable Matching Network Youngchang Yoon, Student Member, IEEE, Jihwan Kim, Member, IEEE, Hyungwook Kim, Student Member, IEEE, Kyu Hwan An, Member, IEEE, Ockgoo Lee, Member, IEEE, Chang-Ho Lee, Senior Member, IEEE, and James Stevenson Kenney, Fellow, IEEE

Abstract—A dual-mode CMOS power amplifier (PA) with an integrated tunable matching network is presented. A switched capacitor is fully analyzed to implement a tunable matching network in terms of power-handling capability, tuning ratio, quality factor, and linearity. Based on the presented consideration, a 3.3-V 2.4-GHz fully integrated CMOS dual-mode PA is implemented in a 0.18- m CMOS process. The PA has two power modes, high-power and low-power (LP), and each mode is optimally matched by the tunable matching network. The LP mode enables more than 50% dc current reduction from 0- to 10-dBm power range. The improved efficiency in this study is approximately twice that of other multimode CMOS PAs reported thus far. Index Terms—CMOS, RF power amplifiers (PAs), switched capacitor, tunable amplifiers, tunable matching network.

I. INTRODUCTION

R

ECENTLY, industry and consumers demand a low-cost small-sized wireless device. A fully integrated single-chip CMOS transceiver is the best solution in terms of the cost and level of integration with other functional blocks. A power amplifier (PA) is the biggest bottleneck to complete a single-chip radio solution. Therefore, a CMOS PA increasingly attracts the notice of industry and researchers. In addition, there have been increasing interests in a tunable PA as a mobile terminal becomes more compact and advanced. Motivations for the necessity of a tunable PA can be categorized as follows: • the increasing number of frequency bands to be supported as the wireless standards become more complicated; • decreasing battery lifetime due to both multitasking capability of mobile terminals and advanced wireless standards for high data-rate wireless communication; • antenna mismatch effects originated from human body contact and/or obstacles on the communication path. Manuscript received April 11, 2011; revised September 10, 2011; accepted September 13, 2011. Date of publication November 30, 2011; date of current version December 30, 2011. Y. Yoon was with the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30308 USA. He is now with Qualcomm Inc., San Diego, CA 92121 USA (e-mail: [email protected]). J. Kim is with the Intel Corporation, Hillsboro, OR 97124 USA. H. Kim is with Qualcomm Inc., Santa Clara, CA 95050 USA. K. H. An, O. Lee, and C.-H. Lee are with Qualcomm Inc., San Diego, CA 92121 USA. J. S. Kenney is with the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30308 USA. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2175235

Thus, a CMOS PA with tunability can be a cost-effective solution for an advanced mobile terminal. In this paper, a tunable CMOS PA that focuses on the extension of battery lifetime is investigated. The presented design methodology is also applicable to other motivations. Battery lifetime has been a major concern for terminal users ever since a mobile terminal was introduced. Many researchers have strived for extending the battery lifetime, and especially the improvement of low-power (LP) efficiency is at the center of attention. In most cases, the percentage of time that a PA operates at backed-off power is dominant [1]. Therefore, the efficiency enhancement in a LP region is significant to extend the battery lifetime. To improve the LP efficiency of a PA, diverse techniques have been proposed. Among reported techniques, dynamic bias control [2], [3], envelope tracking (ET) [4], and envelope elimination and restoration (EER) [5] can be categorized into one group. These techniques change the bias condition dynamically according to the instantaneous output power level. Even though these techniques are effective to increase the efficiency over the entire output power range, they have practical challenges such as significant gain variation, limited efficiency and bandwidth of a dc–dc converter, and mismatch between amplitude and phase. Furthermore, a supply voltage modulation method, such as ET and EER, in a CMOS PA introduces an additional issue related with a cascode structure, which is typically employed in a CMOS PA design to sustain high voltage stress. Decreasing a supply voltage easily makes a cascode structure out of the saturation condition. Consequently, these techniques induce various linearity problems so additional complicated circuits should be considered to achieve linearity requirements. On the other hand, physical-size-reduction methods form another major group with less complexity [6]–[12]. This technique generally introduces the LP mode with a reduced size power transistor to improve the LP efficiency. Even though the size reduction approach is effective with a relatively simple structure, it requires a load line adaptation to be a more complete solution. The dc current consumption decreases with size reduction, and it helps to improve the efficiency in the LP mode. However, if a load-line for the high-power (HP) mode is used for the LP mode, the maximum voltage swing at the drain node is limited and much smaller than that with the optimized LP mode load-line. Accordingly, the LP mode with a fixed matching network cannot generate maximum output power and maximum efficiency. Due to this constraint, a load-line adaptation exploiting a tunable matching network has been investigated to maximize the efficiency [13]–[16].

0018-9480/$26.00 © 2011 IEEE

78

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 1. (a) Block diagram and (b) schematic of the dual-mode CMOS PA with an integrated tunable matching network.

However, most of the tunable matching networks have been implemented using special process devices, such as a varactor in a silicon-on-glass technology, a microelectromechanical systems (MEMS) device, and an off-chip commercial component, which are not suitable for a fully integrated CMOS PA [13]–[15]. Even though an integrated tunable matching network in a silicon-based technology was successfully demonstrated in [16], it was implemented in a silicon-on-insulator (SOI) CMOS technology rather than a standard CMOS process. In this paper, the design of an integrated tunable matching network and its application to a PA design in a standard CMOS technology is fully investigated. A dual-mode CMOS PA has been implemented to validate the fully integrated tunable matching structure [17]. More in-depth design consideration and methodology for a tunable matching network with a switched capacitor are presented in this paper. We have fully analyzed the design of an integrated tunable matching network regarding power loss, tuning ratio, and power-handling capability. We also investigate the mechanism of voltage limitation in a switched capacitor structure. Therefore, the analysis shown here will be a design guideline for an integrated tunable CMOS PA. II. DUAL-MODE CMOS PA Fig. 1(a) presents a conceptual block diagram of a dual-mode PA. Since both the size of a transistor and a matching network are modified according to the operation mode, the PA does not require any switches in signal paths, and necessitates less expanded layout area. The schematic of the implemented dualmode CMOS PA for a 2.4-GHz wireless local area network (WLAN) application with the integrated tunable matching network is shown in Fig. 1(b). The PA has a two-stage topology to have sufficient gain, and both the driver and power stage exploit a cascode structure to relax the issue of the device reliability. A thick-oxide transistor is used as a common-gate (CG) device to sustain a large-voltage swing across the drain and the gate, and a thin-oxide transistor is used as a common-source (CS) device to secure sufficient gain. The widths of the CS device of path

A/B in the driver and power stage are 80/320 and 500/2000 m, respectively. The capacitances of the switched capacitors, and , for HP mode are 1.9 and 1.4 pF, and those for LP mode are 2.6 and 2.5 pF, respectively. The PA has two distinct power-modes, an HP mode (A B) and an LP mode (A only), which have different current levels and load-lines. In this design, the different current levels are realized by turning off one of the cascode devices, and the corresponding load lines are accomplished by utilizing switched capacitors. Both the inter-stage and output matching networks are modified to maximize efficiency enhancement. Among the two tunable matching networks (inter-stage and output matching), the output matching network is investigated because it requires higher power operation. Fig. 2 shows two required output matching points, and both matching points are determined by a load–pull analysis to obtain a high output power, as well as high efficiency. It is expected for the LP mode to have larger matching impedance than the HP mode because of reduced current level. If we consider a parallel parasitic device capacitance at the drain node (dotted line), it can be confirmed that the load impedance at the LP mode is larger than that of the HP. Two impedance points are realized by employing a two-stage LC matching network with a switched capacitor, as described in Fig. 2, which also presents all design values for inductors and capacitors. When designing a tunable matching network, two issues related with a switched capacitor should be considered: power-handling capability and power loss. First, the power-handling capability of the tunable matching network should be secured, which is mainly determined by the switched capacitor in the OFF-state [18]. If this is not guaranteed with enough margin, the PA performance will be different from the design target under the large-signal operation region. Therefore, to assure safe operation, it is necessary to define the power-handling capability of the switched capacitor, which is related with a tuning ratio and maximum voltage swing. In this design, the required tuning ratio of the switched capacitor is 1.78 (2.5 pF 1.4 pF), and the maximum voltage swing across it in the OFF-state is 5.5 V.

YOON et al.: DUAL-MODE CMOS RF PA WITH INTEGRATED TUNABLE MATCHING NETWORK

79

Fig. 4. Switched capacitors for HP application. (a) Conventional structure. (b) Proposed structure.

Fig. 2. Optimum matching impedances for both HP and LP modes. The tunable matching network is presented with components’ values.

both of the two-section LC matching networks in the HP mode exhibit step-down impedance transformation, the first and second LC matching network in the LP mode have step-down and step-up characteristics, respectively. In Section III, a more detailed description and guideline of the switched capacitor are presented. III. DESIGN OF SWITCHED CAPACITOR A. Maximum Allowable Voltage Amplitude

Fig. 3. Output matching network loss according to the quality factor of the switched capacitor in the LP mode and the detailed loss information.

Secondly, the power loss originated from a switched capacitor needs to be considered. The quality factor ( ) of a switched capacitor in the ON-state is much lower than that of a metal–insulator–metal (MIM) capacitor due to on-resistance. Therefore, the power loss of the tunable matching network with the ON-state switched capacitor can significantly increase compared to the fixed matching network with a MIM capacitor. In this design, the switched capacitor becomes the ON-state in the LP mode. Fig. 3 shows the loss of the matching network, which is obtained through the maximum available gain simulation. becomes substantial The power loss due to the degraded is less than 20. Therefore, more than 30 of the when the for the ON-state switched capacitor is required to minimize the additional power loss from the tunable matching network. Fig. 3 also presents the individual inductor’s loss and total loss of the ON-state switched at each operation mode when the capacitor is set to 30. The total loss at the LP mode is higher than that of the HP mode. This comes from narrow bandwidth characteristics of the matching network in the LP mode. While

In [18], the power-handling capability of two switched capacitors shown in Fig. 4 is compared. In this section, the maximum allowable voltage amplitudes for each structure (Fig. 4(a) conventional, and Fig. 4(b) proposed structure) with respect to a tuning ratio, the type of the switch transistor, and the number of stacked switch transistors are derived and compared. Since the power capability of a switched capacitor is mainly determined by OFF-state operation, it is necessary to investigate OFF-state operation for deriving the maximum allowable voltage amplitude. In the case of the conventional structure in Fig. 4(a), the and OFF-state capacitances are equal ON-state and , where represents a series comto indicates the sum of bination of two capacitors, and and a parasitic capacitance of a turned-off transistor, . and is , the relation If the tuning ratio between is described as (1) When is applied across the switched capacitor, the voltage , becomes swing across the switch transistor, (2) Assume that two capacitors in the OFF-state, have the same value, the applied voltage to , which can be presented as of

(

and , ) is half

(3)

80

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

K

Fig. 6. Switched capacitors with -stacked switch transistors. (a) Conventional structure. (b) Proposed structure.

more decisive is necessary to define a power-handling limitation. Fig. 5(a) shows the results of large-signal -parameter (LSSP) simulation in Advanced Design System (ADS) of an OFF-state conventional structure. The switched capacitor has the tuning ratio of 2:1 (i.e., 1.0/0.5 pF at ON/OFF-state). As shown in Fig. 5(a), the OFF-state impedance starts to distort around 10-dBm power level. To find out the major source of this distortion, the signal path through the switch transistor is divided into three parts, which are body, channel, and gate, as described in Fig. 5(b). By referring the amount of current through each path, the impedance of the corresponding signal path is extracted and presented in Fig. 5(c). Since the transistor is turned off, all of the signal paths show capacitive impedance at the small-signal region. However, after the 10-dBm power level, the magnitude of the channel impedance decreases significantly, and the phase starts to show resistive characteristic, while the other paths remain capacitive. This result means that the distortion of the conventional structure comes from the channel formation. Since the junction diodes are connected in series with reverse direction, is they cannot be forward biased at the same time. That is, , so more important for turn-on phenomenon than should be less than . Consequently, the maximum allowable voltage amplitude to Fig. 4(a) with a tuning ratio of is Fig. 5. (a) Simulated impedance of an OFF-state switched capacitor. (b) Three different current paths. (c) Simulated impedance depending on the signal paths in a turned-off switch transistor.

By the same derivation, the voltage swing across junction caand , is equal to . pacitances, When a large voltage swing is applied to a turned-off switch transistor, undesirable distortion can originate from different causes such as channel formation of a transistor and forward biasing of junction diodes. If channel formation is the main should be less than the threshold voltage to source, ensure safe operation. On the other hand, if the junction diode is more critical, the voltage differences between the body and source/drain should be less than the junction turn-on voltage . Therefore, understanding which mechanism is

(4)

and In the case of the proposed structure in Fig. 4(b), are equal to and , where indicates the sum of and a parasitic capacitance of a turned-off switch transistor. By a similar manner with and Fig. 4(a), the applied voltage amplitude across with the assumption of the tuning ratio is expressed as (5) Although (5) is the same as (3), the cause of power-handling limitation is different from Fig. 4(a). Since the reverse dc bias

YOON et al.: DUAL-MODE CMOS RF PA WITH INTEGRATED TUNABLE MATCHING NETWORK

81

Fig. 7. Calculated maximum allowable voltage amplitude across a switched capacitor according to the tuning ratio with a: (a) 0.18-m-thin gate–oxide transistor and (b) 0.4-m-thick gate–oxide transistor.

of is applied to and , the maximum alis not , lowable voltage swing that can be accepted by . However, if the magnitude of the applied signal but is larger than , the total applied voltage across the to , which may induce device gate oxide becomes more than reliability [19]. In common CMOS PA design practice, the maximum voltage swing across any two nodes in the device should [20]. As be below twice the nominal supply voltage a result, the power capability of Fig. 4(b) is not restricted by the device turn-on phenomenon, but is limited by device reliability, . Therefore, the maximum voltage amplitude which is that can be applied to Fig. 4(b) is given as follows: (6) Meanwhile, the stacked switch structure is widely utilized to increase power-handling capability in an HP switch design [16], [21]. Fig. 6 presents the general structures of the switched capacitors with a stacked switch. If the number of stacked transistors is , the voltage swing is distributed evenly to transistors. Therefore, as a first-order approximation, the equations for maximum allowable voltage amplitudes can be formulated for the structures in Fig. 6 as (7) (8) All the dependant variables of the maximum allowable , a type of a voltage amplitude, such as the tuning ratio switch transistor ( and ), and the number of stacked are considered in (7) and (8). The calcuswitch transistors lated maximum allowable voltage swing for the conventional and proposed structure with both a thin and a thick gate–oxide

transistor is illustrated in Fig. 7. The maximum voltage amplitude is strongly dependent on the tuning ratio. Since the applied voltage to the switch transistor increases as a tuning ratio increases, the switched capacitor with a larger tuning ratio is to have less power capability. When designing a switched capacitor for HP applications, the presented data in Fig. 7 is to be referred to decide the type of a transistor and the required number of stacked transistors. B. Validation With Simulation The calculated limitation of the voltage swing across the switched capacitor is confirmed with an LSSP simulation. For the purpose of comparison, we explored four kinds of switched capacitors with 2:1 tuning ratio (i.e., 1.0/0.5 pF at ON/OFF-state). Table I summarizes the structures and all the design parameters for four switched capacitors. All four switched capacitors have the same of 30 in the ON-state, and the is larger than 100 for all cases in the OFF-state at 2.4 GHz. The value of of the turned-off switched capacitor (0.5 pF) is calculated on the assumption of the 50- termination, which . Both the real and imaginary should is be constant up to certain power level of which the switched capacitor is expected to be valid. Fig. 8 presents simulated -parameters according to the applied voltage amplitude. The proposed structure maintains its value up to much higher voltage level than the conventional structure. Even though the proposed structure is more suitable for an HP application, four switched capacitors have different areas. Since the proposed structure requires more layout area, the area needs to be taken into account for more reasonable comparison, and Fig. 8 also contains the area information. The proposed structure has a comparable area with the two-stacked conventional switched capacitor. Therefore, it is reasonable to conclude that the proposed structure has better power capability

82

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

TABLE I CAPACITANCE OF THE MIM CAPACITORS AND WIDTH OF SWITCH TRANSISTORS OF THE FOUR SWITCHED CAPACITORS

Fig. 9. Simulated maximum possible

Q according to the tuning ratio.

Fig. 8. LSSP simulation results with four kinds of switched capacitor.

while considering even area. By (7) and (8), the maximum allowable voltage amplitudes for case 1–4 are calculated as 2.57, 13.2, 5.14, and 7.7 V, respectively, which are illustrated via dotted vertical lines in Fig. 8. In cases of conventional strucstarts to tures, the simulated voltage amplitude, from which deviate from the designed value, is well coincident with calculated value. However, the proposed structure maintains its value up to more than calculated limitation. Since the power capability of the proposed structure is not limited by a distortion, but by device reliability, the distinction between the calculation and simulation occurs. The well-matched simulation results imply that the derived equation (7) and (8) is valid to decide power-handling limitations. C. Quality Factor Versus Linearity As explained in Section II, the capacitances of the ON, and and OFF-state determine the required value of . Among these values, is composed of and the parasitic capacitance of the transistor. The relative of the portion of the two components has an effect on the ON-state and linearity of the OFF-state. First, for a higher with the same tuning ratio, the portion of the transistor is required to increase. That is, for a high , is the size of the transistor is increased while the value of as a constant. Therefore, the maxdecreased to keep imum achievable with a certain tuning ratio is obtained by

Fig. 10. IIP3 of the turned-off switched capacitor according to the

Q value.

as zero, which is illustrated in Fig. 9 setting the value of according to the tuning ratio. Thus, the can be selected between the minimum required value explained in Fig. 3 and the maximum achievable value shown in Fig. 9. Secondly, linearity of the OFF-state shows the opposite tendency. That is, the relative portion of the transistor should be decreased to improve the linearity performance. Since most nonlinearities originate from an active device, the switched capachas better linearity itor with a smaller transistor and larger characteristics. Fig. 10 presents the simulated third-order input intercept point (IIP3) of switched capacitors according to the tuning ratio and values. A 20-MHz spacing two-tone signal GHz and GHz) is used for this sim( ulation. As illustrated in Fig. 10, a switched capacitor with a lower value presents an improved linearity performance. Consequently, designing a switched capacitor with the minimum required is beneficial with respect to linearity. By considering aforementioned issues, a switched capacitor is designed by the following steps. The required information is , minimum value, and maximum voltage swing and is determined to comacross it. First, the value of . Secondly, the type and number of stack of switch pose

YOON et al.: DUAL-MODE CMOS RF PA WITH INTEGRATED TUNABLE MATCHING NETWORK

83

Fig. 11. Variation of the matching impedance points according to the power level. Fig. 12. Matching impedance with the conventional and proposed switched capacitor in the HP mode.

transistor is decided by referring Fig. 7. Thirdly, the size of transistor is chosen to have minimum required value. Lastly, the is selected to obtain . size of IV. SIMULATION COMPARISONS A. Integrated Tunable Matching Network As presented in Section II, the requirements of the switched capacitor for this design are the tuning ratio of 1.78, the voltage amplitude of 5 V across it, and a minimum value of 30. From Fig. 7, it can be confirmed that the proposed structures with both a thin and thick gate–oxide transistor switch can handle more than 5.5 V of voltage swing under the tuning ratio of 1.78. For comparative purpose, two tunable matching networks are designed and compared, of which one is of a conventional structure and the other is of a proposed structure. Both structures are implemented with a 0.18- m transistor. Both switched capacitors have the capacitance of 2.5 pF with the of 30 in the ON-state and the capacitance of 1.4 pF with the of more than 150 in the OFF-state at 2.4 GHz. By using (7) and (8), the maximum allowable voltage amplitudes for the conventional and proposed structure are calculated as 1.6 and 8.2 V, respectively. To compare the power capability, the input impedances of the two matching networks are simulated as the applied power increases. By referring these simulation results, it can be validated whether the targeted matching impedance is well maintained or not under the large-signal environment. Fig. 11 exhibits the simulated results, in which the triangle symbols indicate the target impedance points for the HP and LP modes. The applied power increases up to 29 dBm at the 50antenna port, which is corresponding to the voltage amplitude of 9 V across the switched capacitor that is much larger than the targeted output power. Both tunable matching networks maintain their matching points well in the case of the LP mode. In the LP mode, the switched capacitor is on, thus the applied voltage to the switch transistor is not significant. Thus, the increasing power level does not affect the matching impedance of the tunable matching network. On the other hand, in the HP mode, the switched capacitor is turned off, thus the applied voltage to the switch transistor is substantial. As a result, the HP mode shows distinct results between the conventional and proposed structure. The tunable matching network with the proposed structure

maintains its initial designed matching impedance while that with the conventional structure varies according to the power level. In the case of the conventional structure, the matching impedance starts to distort at the power level of 15 dBm. More detailed information is given in Fig. 12, which presents the simulated real and imaginary part of the matching impedance according to the voltage amplitude in the HP mode. The calculated maximum allowable voltage amplitude of 1.6 and 8.2 V and the expected maximum voltage amplitude, 5.5 V, are indicated via dashed lines. As illustrated in Fig. 12, the tunable matching network with the conventional switched capacitor starts to distort the matching impedance after 1.6 V, while that with the proposed structure maintains its matching impedance up to 10 V. This simulation results indicate that the matching network with the proposed structure can guarantee safe operation with a sufficient margin. B. PA Performance With Tunable Matching Network The performance of the PA with the above two tunable matching networks is simulated and compared with that of a fixed matching network with a MIM capacitor. Critical performance degradation of the conventional structure is predicted and its comparison results are presented in Fig. 13, which shows power gain and power-added efficiencies (PAEs) for all three cases (the conventional structure, proposed structure, and MIM capacitor). Both tunable matching networks have the same gain and efficiency at the small-signal region. However, the maximum output power of the conventional structure is much lower than that with the MIM capacitor, while the proposed structure maintains the same value. The efficiency presents the same tendency. The gain with the conventional structure moves away from the result with the MIM capacitor at the power level of 15 dBm, which is coincident with the value shown in Fig. 11. The well-confined spectrum mask is another major required feature of a PA. Since any nonlinearity components from the tunable matching network affect the output signal, the spectrum mask can be distorted due to a tunable component. Fig. 14 illustrates the output spectrums at the power level of 16 dBm. The spectrum with the proposed structure is exactly matched with the MIM capacitor case, while the spectrum with

84

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 15. Die photograph of the dual-mode CMOS PA. Fig. 13. Simulated power gain and PAE comparison.

V. EXPERIMENTAL RESULTS

Fig. 14. Simulated output spectrum comparison between the: (a) proposed structure and MIM capacitor and (b) conventional structure and MIM capacitor.

the conventional structure is significantly degraded. Until now, the adequate result with the proposed structure is presented with simulation results. In Section V, the effectiveness of the implemented tunable matching network with the proposed switched capacitor is verified with measurement results, and the simulation results are also compared to measurement results. For accurate design, post layout extraction for power transistors of both the driver and power stage was performed. In addition, all critical signal paths were also considered through the electromagnetic (EM) simulation.

Fig. 15 is a die photograph of the dual-mode PA, which is fabricated in a 0.18- m standard CMOS process. The size of the chip is 1.27 0.69 mm including all pads, and black dotted circles indicate switched capacitors, which are designed with the proposed structure. The die is attached to a printed circuit board (PCB) with 50- input/output terminations, and the loss from the board is compensated. The power gain and dc current of both modes with 2.4-GHz single-tone signal are shown in Fig. 16, and the PAEs are illustrated in Fig. 17. Both figures include the measured data, as well as the simulated data. As can be confirmed in Figs. 16 and 17, simulated and measured gain, current, and PAE are very close to each other. However, in the HP mode, the measured peak output power level is different about 1.5 dB from the simulation data. This discrepancy might come from the inaccuracy of large-signal operation modeling of devices. The measured satuof the HP and LP mode are 23.2 and ration output power 17.2 dBm. The 1-dB gain compression of the HP and LP modes are 22.3 and 16.0 dBm, and the quiescent currents are in the 40 and 12 mA, respectively. The measured PAE at HP mode is 40%, and that of the LP mode is 28%. The introduced LP mode improves the efficiency significantly at the LP region. Both Figs. 16 and 17 include the results of the LP core size with the HP mode matching (indicated as “LP w/o Tunable Matching”), which are denoted with gray lines. With this condition, the dc current at 0-dBm output power is coincident with the LP mode, while it deviates from LP and accesses to the HP mode results as the output power increases, which originates from smaller impedance than required optimum value. With the smaller impedance, the current swing at the drain node of the PA increases quickly and approaches the HP mode result. As a result, the maximum output power decreases compared to the tunable matching condition. This tendency is also relevant to efficiency results. The efficiency measurement results presented in Fig. 17 demonstrate how effectively the efficiency in the LP mode is enhanced with the assist of the tunable matching network. The gray line in Fig. 17 is the efficiency improvement boundary that is possible from the size reduction only, and further enhancement from the gray line to the LP mode is achievable by incorporating the tunable matching network.

YOON et al.: DUAL-MODE CMOS RF PA WITH INTEGRATED TUNABLE MATCHING NETWORK

Fig. 16. Measured (symbols)/simulated (lines) gain and dc current with 2.4-GHz single-tone signal.

85

Fig. 19. Measured modulated PAEs and dc currents with WLAN 802.11g 54-Mb/s 64-QAM OFDM signal at 2.4 GHz.

Fig. 17. Measured (symbols)/simulated (lines) PAEs with 2.4-GHz single-tone signal.

Fig. 20. Rectangular constellation and output spectrum at: (a) 15.7 dBm in the HP mode and (b) 10 dBm in the LP mode.

Fig. 18. Measured EVMs with WLAN 802.11g 54-Mb/s 64-QAM OFDM signal at 2.4 GHz.

The PA is also fully characterized with a modulated signal to evaluate linearity and to verify the effectiveness of the dual-mode PA for the WLAN application. WLAN 802.11g 54-Mbps 64 quadrature amplitude modulation (64-QAM) orthogonal frequency division multiplexing (OFDM) signal at 2.4 GHz is used. Fig. 18 shows error vector magnitude (EVM) measurement results with its specification ( 25 dB).

Maximum linear output power of the LP and HP modes are 10 and 15.7 dBm, respectively. Since both power modes satisfy the linearity requirements up to the 10 dBm, it is beneficial to use the LP mode up to 10-dBm output power to increase the average efficiency. Thus, the LP mode is exploited up to 10 dBm, and the HP mode is utilized from 10 to 15.7 dBm. Fig. 19 shows the average channel efficiency with the modulated signal and the amount of dc current consumption. The efficiencies at the maximum linear output power of the LP and HP modes are 15.1% and 18.5%, respectively. The black solid lines

86

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

TABLE II COMPARISON WITH RECENT MULTIMODE CMOS PAs

in Fig. 19 represent the trajectories of the average efficiency and dc current consumption while satisfying the linearity requirement. More than 50 % of dc current consumption is reduced by introducing the LP mode (more than 20-mA current reduction from the 3.3-V supply). The transmit constellation and spectral mask of the HP and LP modes are also measured. Well-confined mask and EVM constellation for the HP mode at 15.7-dBm output power and the LP mode at 10-dBm output power are shown in Fig. 20. At the maximum linear output power of both the LP and HP modes, the output spectrum is well confined within the specification mask, which implies that the tunable matching network does not destroy linearity performance of the PA. The measured performance of the dual-mode PA is compared with other recent multimode CMOS PAs, which is presented in Table II. Efficiency enhancement by employing the LP mode is presented according to the back-off level. As shown in Table II, the PA presented in this paper achieves noticeable efficiency improvement comparing to others while consuming the smallest chip area. The efficiency enhancement by the PA in this study is approximately twice better than other multimode CMOS PAs. The authors believe that the employment of the tunable matching network produces this great improvement compared to the other multimode PAs. This is possible because the proposed PA can achieve the different optimum matching condition for each mode simultaneously.

VI. CONCLUSION This paper has demonstrated a dual-mode CMOS PA with an integrated tunable matching network, which has substantially enhanced LP efficiency. The design consideration of the tunable matching network has been described in terms of power loss and HP capability. When the switched capacitor is in the ON-state, power loss should be considered. Whereas, when the switched capacitor is in the OFF-state, power-handling capability must be taken into account. The switched capacitor has been fully analyzed for PA applications in terms of power-handling capability, tuning ratio, and linearity. The presented consideration is to be a guideline when designing the switched capacitor for HP applications. Of course, the application of the tunable matching

network is not limited to the efficiency enhancement method. It could be utilized for diverse purposes, wherever tunability matters with high voltage swings. REFERENCES [1] T. Fowler, K. Burger, N.-S. Cheng, A. Samelis, E. Enobakhare, and S. Rohlfing, “Efficiency improvement techniques at low power levels for linear CDMA and WCDMA power amplifiers,” in Proc. IEEE RFIC Symp., Jun. 2002, pp. 41–44. [2] Y. S. Noh and C. S. Park, “An intelligent power amplifier MMIC using a new adaptive bias control circuit for W-CDMA applications,” IEEE J. Solid-State Circuits, vol. 39, no. 6, pp. 967–970, Jun. 2004. [3] P.-C. Wang, K.-Y. Huang, Y.-F. Kuo, M.-C. Huang, C.-H. Lu, T.-M. Chen, C.-J. Chang, K.-U. Chan, T.-H. Yeh, W.-S. Wang, Y.-H. Lin, and C.-C. Lee, “A 2.4-GHz 25 dBm P-1dB linear power amplifier with dynamic bias control in a 65-nm CMOS process,” in Proc. ESSCIRC, Jun. 2008, pp. 490–493. [4] J. Jung, D. F. Kimball, M. Kwak, C. Hsia, P. Draxler, and P. M. Asbeck, “Wideband envelope tracking power amplifiers with reduced bandwidth power supply waveforms and adaptive digital predistortion techniques,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 12, pp. 3307–3314, Dec. 2009. [5] P. A. Warr, K. A. Morris, G. T. Watkins, T. R. Horseman, K. Takasuka, Y. Ueda, Y. Kobayashi, and S. Miya, “A 60% PAE WCDMA handset transmitter amplifier,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 10, pp. 2368–2377, Oct. 2009. [6] H.-S. Oh, C.-S. Kim, H.-K. Yu, and C.-K. Kim, “A fully-integrated 23-dBm CMOS triple cascode linear power amplifier with inner-parallel power control scheme,” in Proc. IEEE RFIC Symp., Jun. 2006, pp. 4–7. [7] K. H. An, D. H. Lee, O. Lee, H. Kim, J. Han, W. Kim, C.-H. Lee, H. Kim, and J. Laskar, “A 2.4 GHz fully integrated linear CMOS power amplifier with discrete power control,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 7, pp. 479–481, Jul. 2009. [8] G. Liu, P. Haldi, T.-J. K. Liu, and A. M. Niknejad, “Fully integrated CMOS power amplifier with efficiency enhancement at power backoff,” IEEE J. Solid-State Circuits, vol. 43, no. 3, pp. 600–609, Mar. 2008. [9] D. Chowdhury, C. D. Hull, O. B. Degani, Y. Wang, and A. M. Niknegad, “A fully integrated dual-mode highly linear 2.4 GHz CMOS power amplifier for 4G WiMax applications,” IEEE J. Solid-State Circuits, vol. 44, no. 12, pp. 3393–3402, Dec. 2009. [10] J. Kim, H. Kim, Y. Yoon, K. H. An, W. Kim, C.-H. Lee, K. T. Kornegay, and J. Laskar, “A discrete resizing and concurrent power combining structure for linear CMOS power amplifier,” in Proc. IEEE RFIC Symp., May 2010, pp. 387–390. [11] P. Reynaert and M. S. J. Steyaert, “A 2.45-GHz 0.13-m CMOS PA with parallel amplification,” IEEE J. Solid-State Circuits, vol. 42, no. 3, pp. 551–562, Mar. 2007. [12] J. Deng, P. S. Gudem, L. E. Larson, and P. M. Asbeck, “A high averageefficiency SiGe HBT power amplifier for WCDMA handset applications,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 2, pp. 529–537, Feb. 2005.

+

+

YOON et al.: DUAL-MODE CMOS RF PA WITH INTEGRATED TUNABLE MATCHING NETWORK

[13] W. C. E. Neo, Y. Lin, X. Lin, L. C. N. de Vreede, L. E. Larson, M. Spirito, M. J. Pelk, K. Buisman, A. Akhnoukh, A. de Graauw, and L. K. Nanver, “Adaptive multi-band multi-mode power amplifier using integrated varactor-based tunable matching networks,” IEEE J. SolidState Circuits, vol. 41, no. 9, pp. 2166–2176, Sep. 2006. [14] J.-S. Fu and A. Mortazawi, “Improving power amplifier efficiency and linearity using a dynamically controlled tunable matching network,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 12, pp. 3239–3244, Dec. 2008. [15] H. M. Nemati, C. Fager, U. Gustavsson, R. Jos, and H. Zirath, “Design of varactor-based tunable matching networks for dynamic load modulation of high power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 5, pp. 1110–1118, May 2009. [16] F. Carrara, C. D. Presti, F. Pappalardo, and G. Palmisano, “A 2.4-GHz 24-dBm SOI CMOS power amplifier with fully integrated reconfigurable output matching network,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 9, pp. 2122–2130, Sep. 2009. [17] Y. Yoon, H. Kim, K. H. An, J. Kim, C.-H. Lee, and J. Laskar, “A fully-integrated dual-mode tunable CMOS RF power amplifier with enhanced low-power efficiency,” in Proc. 40th Eur. Microw. Conf., Sep. 2010, pp. 982–985. [18] Y. Yoon, H. Kim, Y. Park, M. Ahn, C.-H. Lee, and J. Laskar, “A high-power and highly linear CMOS switched capacitor,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 11, pp. 619–621, Nov. 2010. [19] T. H. Lee, The Design of CMOS Radio-Frequency Integrated Circuits, 2nd ed. Cambridge, U.K.: Cambridge Univ. Press, 2004. [20] A. Mazzanti, L. Larcher, R. Brama, and F. Svelto, “Analysis of reliability and power efficiency in cascade class-E PAs,” IEEE J. Solid-State Circuits, vol. 41, no. 5, pp. 1222–1229, May 2006. [21] M. Ahn, C.-H. Lee, B. S. Kim, and J. Laskar, “A high-power CMOS switch using a novel adaptive voltage swing distribution method in multistack FETs,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 4, pp. 849–858, Apr. 2008.

Youngchang Yoon (S’07) received the B.S. and M.S. degrees in electrical engineering from Seoul National University, Seoul, Korea, in 2005 and 2007, respectively, and Ph.D. degree in electrical and computer engineering from the Georgia Institute of Technology, Atlanta, in 2011. His doctoral research focused on RF front-end circuits for multistandard and multiband operations, especially highly efficient linear CMOS RF PAs. In 2008 and 2010, he was an Intern with the Samsung Design Center, Atlanta, GA, where he was an RF Integrated Circuit (RFIC) Designer involved in the development of a CMOS RF PA for mobile handset applications. Since 2011, he has been with Qualcomm Inc., San Diego, CA. His research interests include CMOS RF transceivers and PA design for wireless communication.

Jihwan Kim (S’07–M’11) received the B.S. degree in electrical and computer engineering from Hanyang University, Seoul, Korea, in 2005, and the M.S. and Ph.D. degrees in electrical and computer engineering from the Georgia Institute of Technology, Atlanta, in 2007 and 2011, respectively. His doctoral research focused on design techniques for RF and millimeter-wave front-end integrated circuits, including PAs, mixers, low-noise amplifiers (LNAs), and voltage-controlled oscillators (VCOs) using CMOS/SiGe technologies. In 2008, he was an Intern with the Samsung Design Center, Atlanta, GA, where he designed CMOS LNAs for cellular applications. In 2009, he was an Intern with RF Micro Devices (RFMD), Torrance, CA, where he designed highperformance GaAs pseudomorphic HEMT (pHEMT) LNAs and PAs for millimeter-wave applications. Since 2011, he has been with the Advanced Design Group, Intel Corporation, Hillsboro, OR. His current research interests include analog and mixed-signal integrated circuit design for high-speed wireless/wired data communications.

87

Hyungwook Kim (S’06) received the B.S. degree in physics education and M.S. degree in electronics engineering from Seoul National University, Seoul, Korea, in 1994 and 1996, respectively, and the Ph.D. degree in electrical and computer engineering from the Georgia Institute of Technology, Atlanta, in 2011. From 1996 to 2003, he was a Senior Research Engineer with LG Electronics, Seoul, Korea, where he was involved in the design and fabrication of GaAsbased electronic devices and the development of PAs for CDMA application. In 2010 he was an Intern with Qualcomm Inc., San Diego, CA. Since 2011, he has been with Qualcomm Inc., Santa Clara, CA. His major research interests include RF CMOS device modeling, CMOS RF transceivers, and PA design for wireless communication.

Kyu Hwan An (S’06–M’10) received the B.S. degree in electrical engineering (magna cum laude) from the Pohang University of Science and Technology (POSTECH), Pohang, Korea, in 1997, the M.S. degree in electrical engineering from the Korea Advanced Institute of Technology (KAIST), Daejeon, Korea, in 1999, and the Ph.D. degree in electrical and computer engineering from the Georgia Institute of Technology, Atlanta, in 2009. From 1999 to 2005, he was a Research Engineer with the Samsung Electro-Mechanics Company Ltd., Suwon, Korea, where he was engaged in the development of PA modules for CDMA and GSM applications and physical layers for IEEE 802.15.3a/4 standards. From 2010 to 2011, he was with Skyworks Solutions Inc., Irvine, CA, where he developed front-end integrated circuits and modules for cellular applications. He is currently with Qualcomm Inc., San Diego, CA. His research interests include RF integrated circuits for various wireless communications.

Ockgoo Lee (S’05–M’09) received the B.S. degree in electrical engineering from Sungkyunkwan University, Seoul, Korea, in 2001, the M.S. degree in electrical engineering from the Korea Advanced Institute of Technology (KAIST), Daejeon, Korea, in 2005, and the Ph.D. degree in electrical and computer engineering from the Georgia Institute of Technology, Atlanta, in 2009. In the spring and summer of 2008, he was an Intern with Qualcomm. Inc., San Diego, CA, where he was involved with the investigation of inductors over active circuitry. He is currently with Qualcomm Inc., San Diego, CA. His current research interests include analysis and development of PAs and integrated passive circuit for multistandards in sub-micrometer CMOS technologies.

Chang-Ho Lee (S’97–M’01–SM’06) received the B.S. and M.S. degrees in electrical engineering from Korea University, Seoul, Korea, in 1989 and 1991, respectively, and the M.S. and Ph.D. degrees from the Georgia Institute of Technology, Atlanta, in 1999, and 2001, respectively, all in electrical and computer engineering. In 2000, he joined RF Solutions Inc. Norcross, GA, where he was a Staff Engineer. In 2003, he joined the Georgia Institute of Technology, as a research faculty member. In 2005, he joined the Samsung Design Center, Atlanta, GA, where he was a Technical Director, as well as an Adjunct Professor with the Georgia Institute of Technology. Since 2011, he has been a Principal Engineer with Qualcomm Inc., San Diego, CA, where he is involved with RFIC design. He has authored or coauthored over 150 technical conference presentations and journal publications and a book. He has over 80 patent filings in the area of RFIC and module development. Dr. Lee has served as a Technical Program Committee (TPC) member of the IEEE International Microwave Symposium (IMS), RFIC, RWS, and ISCAS. He was a recipient of the Third Place Best Paper Award of 2001 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) IMS. He was a corecipient of

88

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

the finalist of the Best Paper Award of the 2003 IEEE MTT-S IMS, the 2004 ECWT Young Engineer Prize Award, and the 2008 Asia–Pacific Microwave Conference (APMC) Best Paper Competition Award.

James Stevenson Kenney (S’84–M’85–SM’01– F’08) was born in St. Louis, MO, in 1962. He received the B.S.E.E. degree (Hons.), M.S.E.E. degree, and Ph.D. degree in electrical engineering from the Georgia Institute of Technology, Atlanta, in 1985, 1990, and 1994, respectively. In January 2000, he joined the faculty of the Georgia Institute of Technology, where he is currently a Professor of electrical and computer engineering in the area of electronics design and applications. He teaches and conducts research in the areas of PA design and linearization, tunable RF materials and devices, and RFIC design. He also possesses over 14 years of industrial experience in wireless communications. He has held engineering and management positions with Electromagnetic Sciences, Scientific Atlanta, Pacific Monolithics, and Spectrian. He has served as an expert witness on a number of patent cases

involving cellular telephone technology, and has testified three times at the U.S. International Trade Commission. He has authored or coauthored over 100 peer-reviewed technical papers and conference papers in the areas of acoustics, microwave design, signal processing, and telecommunications. Dr. Kenney has been an active member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) for over 25 years. He was an officer on the Santa Clara Valley Chapter of the IEEE MTT-S from 1996 to 2000. He served three terms on the IEEE MTT-S Administrative Committee (AdCom). He was treasurer from 2001 to 2003, and was the IEEE MTT-S president in 2007. He served on the IEEE MTT-S International Microwave Symposium (IMS) Steering Committees in Atlanta, GA, in 1993, San Francisco, CA, in 1996, and in Atlanta, GA, in 2008. He is currently general co-chair of the IEEE MTT-S IMS 2021, to be held in Atlanta, GA. He has served on the Editorial Board for the TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and the MICROWAVE AND WIRELESS COMPONENTS LETTERS since 1997. He served on the IEEE MTT-S IMS Technical Program Committee from 1997 to 2004. In 2002, he was the Technical Program Committee co-chair for the Radio and Wireless Conference (RAWCON), and general co-chair for RAWCON 2003 and 2004. He was the recipient of the 2005 IEEE MTT-S Application Award “for the development of PA linearization techniques and insertion into cellular/wireless systems.”

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

89

Switching Behavior of Class-E Power Amplifier and Its Operation Above Maximum Frequency Seunghoon Jee, Junghwan Moon, Student Member, IEEE, Jungjoon Kim, Junghwan Son, and Bumman Kim, Fellow, IEEE

Abstract—The switching behavior of Class-E power amplifiers (PAs) is described. Although the zero voltage switching can be performed properly, the out charging process at the switch-off transition cannot be abrupt and the waveform deviates from the ideal shape, degrading the efficiency. For the operation above maximum frequency, the charging process should be even faster but it cannot follow. Moreover, the discharging process is not sufficiently fast and further degrades the efficiency. The discharging process is assisted by the bifurcated current at saturation. The performance of the Class-E PA above the maximum frequency is enhanced by the nonlinear out , which helps to shape the voltage waveform. The bifurcated current itself cannot generate enough of a second-harmonic voltage component to shape the required voltage waveform. The performance of the Class-E PA can be further improved by a second-harmonic tuning and a conjugate matched output load, leading to the saturated PA. Compared with the Class-E PA, the saturated amplifier delivers higher output power and efficiency. A highly efficient saturated amplifier is designed using a Cree GaN HEMT CGH40010 device at 3.5 GHz. It provides a drain efficiency of 75.8% at a saturated power of 40.2 dBm (10.5 W). Index Terms—Drain efficiency, gallium nitride, load-pull simulation, power-added efficiency (PAE), power amplifier (PA).

I. INTRODUCTION

F

OR modern wireless communication systems, a power amplifier (PA) with high efficiency is essential to reduce dc power consumption and the size of the heat sink. To achieve a high-efficiency PA, several circuit topologies have been introduced. Among the topologies, Class-F, Class-E, and Class-J are the most promising ones [1]–[8]. For the Class-F PA, high efficiency is achieved by generating a rectangular voltage waveform and a half-sine current waveform through harmonic load manipulation. However, it is difficult to make the open-circuit condition that is required for the odd-harmonic creates a short circuit and the loads because the large nonlinear cannot be tuned out properly during the output Manuscript received July 21, 2011; revised September 22, 2011; accepted September 23, 2011. Date of publication December 01, 2011; date of current version December 30, 2011. This work was supported in part by The Ministry of Knowledge Economy, Korea, under the Information Technology Research Center support program supervised by the National IT Industry Promotion Agency under Grant NIPA-2011-(C1090-1111-0011), the World Class University program through the National Research Foundation of Korea funded by the Ministry of Education, Science and Technology under Grant R31-2010-00010100-0, and the Brain Korea 21 Project in 2011. The authors are with the Department of Electrical Engineering and Information Technology Convergence Engineering, Pohang University of Science and Technology, Pohang 790-784, Korea (e-mail: [email protected]; [email protected]; [email protected]; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2011.2173208

swing, generating a looping on the load-line [2]–[4]. For the class-J PA proposed by Cripps et al. [1], [5], the fundamental by the voltage component can be increased by a factor of second-harmonic tuning [8]–[11]. However, the efficiency of the Class-J PA is the same as that of the Class-B PA due to the phase mismatch between the fundamental components of the current and voltage waveforms reducing the output power and efficiency. A comparison between the Class-J PA and the optimized amplifier, a saturated amplifier, is explained in [8]. The Class-E PA can deliver the highest efficiency among the topologies because this amplifier tunes all harmonic impedances through the – series resonator, making the ideal crossover from the conduction state to the off-state of the transistor without having discharging loss. Although the power density is lower, the amplifier can be realized through the ideal switching operation with a simple matching network [6], [7]. However, the ideal switching operation is not possible even at a low frequency, because it requires an abrupt charge at the switching off transition. At a high build-up on frequency, above the maximum operation frequency of the ideal Class-E, the discharging process of is not sufficiently fast, and residual charge at the switch-on transition is discharged through the bifurcated current at the saturated operation, thus degrading the overall efficiency significantly [13]. To achieve the high efficiency of the Class-E PA beyond , optimization of the voltage waveform with assumption of the conventional Class-E current waveform and linear was proposed [14], [15]. However, we have found that the but current waveform cannot be maintained above the is significantly deviated from that of the ideal case. Moreover, is highly nonlinear and there have been some efforts to analyze Class-E operation with nonlinear , but they only [16]–[18]. focus on operation at a low frequency below is anIn this paper, the Class-E PA with the nonlinear . For the operation at a frealyzed for operation above quency more than two times of , the ideal switching operation of the Class-E is not possible, and switching is assisted by the bifurcated current generated by the gm-driven saturated mode. This operation mode can provide high efficiency, but it is not an optimal structure. The saturated amplifier, described in [8], [19], and [20], is the optimized version of the Class-E PA in the high-frequency region. This amplifier may be identical to the harmonic tuned PA reported in [11] and [12]. However, they just figure out the second- and third-harmonic loads for the maximum efficiency without investigating the fundamental behavior. We have compared the Class-E PA and the saturated amplifier in terms of efficiency and output power. The entire analysis is carried out using a simplified transistor model. To

0018-9480/$26.00 © 2011 IEEE

90

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 2. Conventional circuit of a Class-E PA.

excellent output power and efficiency compared with those of the Class-E PA. II. ANALYSIS OF THE CLASS-E PA A. Ideal Transistor Model To explore the fundamental behavior of the Class-E PA, we construct an ideal transistor model in the Agilent’s Advanced Design System (ADS) using a symbolically defined device [8]. , linear , and The ideal transistor parameters for are set to 1.5 A, 4 V, 1.4 pF, and 3 , respectively, as shown consists of a gate–drain capacitor in Fig. 1. The nonlinear with the Miller effect and a drain–source capacitor . The nonlinear includes and with the input Miller effect. These parameters are extracted from the model of the has a very nonlinear Cree GaN HEMT CGH60015 device. , and characteristic depending on the drain–source voltage depends on the gate–source voltage [16]. The maximum frequency of the Class-E operation is limited , and and is expressed by the following [13]: by (1) For large power generation, a large device should be employed , but also increases proportional to , to increase / is a fixed value for a given process. In addiand should be the maximum rated value of the device to tion, is a generate the maximum output power. Therefore, process-dependent constant value. Based on the above parameters, the maximum frequency of this device is 730 MHz when is 26 V. In this model, the breakdown voltage is set to 100 V, which can sustain the maximum voltage swing of the Class-E 92.6 V. PA, which is 3.56 Fig. 1. (a) Ideal transistor model using the symbolically defined device. (b) DC–I –V characteristic. (c) Capacitances for the linear and nonlinear C s. (d) Capacitances for nonlinear C .

validate the ideal simulation, the behaviors of the Class-E PA are simulated using a real and saturated amplifiers above device model of Cree GaN HEMT CGH60015. From the simulation results described in Section IV-B, we demonstrate that the extracted waveforms are very similar to that of the simplified transistor case, verifying the ideal simulation. The simulation results clearly show that the saturated amplifier provides

B. Basic Operation of a Conventional Class-E PA The Class-E PA consists of a switching device, a bandpass filter, and a series load , as shown in Fig. 2. There is no power dissipation in the device because the current does not flow through the device when the switch is in the offstate, and the voltage cannot build across the switching device when the switch is in the on-state. Therefore, the Class-E PA has 100% efficiency under ideal conditions: zero on-resistance, ideal switching operation, and high- bandpass filter. The current and voltage waveforms of the Class-E PA with a linear

JEE et al.: SWITCHING BEHAVIOR OF CLASS-E PA AND ITS OPERATION ABOVE MAXIMUM FREQUENCY

Fig. 3. Operation behavior of the conventional Class-E PA driven by rectangle pulse input. (a) Current profiles. (b) Current and voltage waveforms. (c) Load-line.

output capacitance and a rectangular pulse drive are simulated at in Fig. 2, whose switch 700 MHz using the model with follows the – curve in Fig. 1(a). The resulting waveforms are depicted in Fig. 3. They are very close to those of the ideal case. However, we can clearly see the nonideal switching with looping from the load-line shown in Fig. 3(c), because the cacannot support the required current at the tranpacitance sition state from the switch-on to the switch-off, which is a de. This charge build-up process should be pleted state of the very fast, but the switch cannot respond that fast because of the constant whose is large as determined by the load-line. However, the zero-voltage switching is carried out very accuis already depleted. Because of the finite rately because the

91

Fig. 4. Operation behavior of the conventional Class-E PA with two sinusoidal input drives levels: 24 and 30 dBm. (a) Current profiles. (b) Current and voltage waveforms. (c) Load lines.

on-resistance of 2 and the nonideal switching, the calculated efficiency is 91.6% with an output power of 40.3 dBm. Generally, the transistor cannot be driven by the pulse at a high frequency because the harmonics are cut off at the input by and the input capacitance . Thus, to consider the finite the real operation, the Class-E PA is simulated with a sinusoidal input drive, and the resulting current and voltage waveforms and load-lines are shown in Fig. 4. As we described earlier, the zerovoltage-switching (ZVS) and zero-voltage-derivative-switching (ZVDS) conditions can be satisfied since discharging the cato near zero. However, pacitor is fast enough to lower the the turn-off process has a bigger problem because the slower

92

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

sinewave drive generates an even larger load resistor. Compared with the pulsed input condition, the efficiency is decreased to 85.5% or 90%, depending on the input drive level. The efficiency can be increased with a large input drive condition with a reduced gain because of the faster charging process. III. OPERATION OF CLASS-E PA BEYOND MAXIMUM OPERATION FREQUENCY A. Operation of the Class-E PA Near

With Linear

For the Class-E PA operation above , the conventional current waveform of the Class-E PA cannot be retained because the capacitor cannot be charged or discharged fast enough to support the required voltage waveform. To investigate the oper, we simulate the Class-E ation of the Class-E PA near PA with an input power of 24 dBm (lower power case in Fig. 4) at 0.9, 1.5, and 2.1 GHz, which are 1.2, 2.1, and 2.9 times larger . The matching circuit topology is identical to the than previous case, but the fundamental load is optimized for the maximum efficiency at an output power of 40 dBm. Fig. 5 shows the current and voltage waveforms of the Class-E PA at each frequency. At 0.9 GHz, the operation of the Class-E PA is similar to that of the conventional Class-E PA case, as shown in Fig. 4. The turn-off transition times remain almost a constant for the three cases, indicating that the transition is governed by the RC time constant. However, the ZVDS cannot be satisfied at the turn-on because the charge in process for the operation above the capacitor cannot be discharged sufficiently quickly. The remaining charge at the transition state is discharged very quickly through on-resistance, thus creating the bifurcated current. The is decreased beefficiency of the class-E operation of cause of the large internal power consumption at the on and off transitions, as shown in Fig. 6. From the simulation results, we can see that the behavior of the Class-E PA significantly deviates from that of the ideal case for frequencies above 1.5 GHz, . The power performances are which is about 2.1 times summarized in Table I. B. Operation of the Class-E PA at Far Above Linear

With

To optimize the Class-E operation beyond , we designed the amplifier at 3.5 GHz, which is 4.7 times larger than of the conventional Class-E operation. The fundathe mental-harmonic load-pull simulation is carried out using Agilent ADS to achieve maximum efficiency. All harmonic output impedances are open, and a sinusoidal input is provided with 0.3 A, which is a class-AB bias to increase power-added efficiency (PAE) at high frequency. From the simulation, the best performance is achieved when the Class-E PA has a bifurcated current waveform with a large second-harmonic component, which can help to shape the drain voltage waveform as shown in Fig. 7. This behavior is quite different from that of the conventional Class-E PA because the transistor cannot operate as an ideal switch at the high frequency because of the RC time-related switching operation. To build up the voltage wave, the transistor is pushed into the saturated reform across gion. The quasi-ZVS and ZVDS occurs at the bifurcated current point A in Fig. 7. From there, the voltage increases slowly as it

follows the on-resistance. From point B, the transistor gradually gets into the off-state, raising the voltage due to the slow charging process of the capacitor at the turn-off transition. The capacitor cannot be discharged enough at the off-state, and the bifurcated current (see the C point) assists to complete the discharging process. In this step, the bifurcated drain current enhances the negatively flowing current through the capacitor. The increased negative current enhances the turn-off sharpness. As mentioned earlier, due to the reduced of the switch in the saturated region, the discharging process becomes faster. From the simulation results, we can see that the high efficiency of the is sustained by the bifurcated curClass-E PA beyond rent waveform. , the For efficient operation of the Class-E PA beyond fundamental load of the Class-E PA should be increased because of the enlarged fundamental voltage and reduced fundamental current, which is caused by the bifurcated current during the saturated operation. In this simulation, the fundamental load is set to 56.2 , which is 1.1 times larger than that of the conventional Class-E PA. Fig. 8 shows the simulated time-domain voltage and current waveforms and load-lines of the Class-E PA according to the input power level. The operation is similar to a -drive saturated amplifier. The performances of the Class-E PA are summarized in Table II. C. Operation of a Class-E PA Beyond Maximum Operation Frequency With Nonlinear and To consider a real device case, we have simulated the Class-E and , which PA using the ideal model with nonlinear does not proare described in Section II-A. The nonlinear duce any significant second-harmonic current because the major harmonic current source is the bifurcated operation. However, generates a large out-of-phase second-harthe nonlinear monic voltage component, as shown in Table II [8]. Due to the increased second-harmonic voltage component, the fundamental voltage increases with the increased fundamental load impedance. In this simulation, the fundamental load is set to 70.4 , which is determined from the fundamental load-pull simulation. The voltage and current waveforms in Fig. 9 indicate generates the second-harthat, even though the nonlinear monic voltage component to shape the drain–source voltage, the bifurcated current is necessary to shape the current waveform to and , enhancing the effiminimize the overlap between ciency. Due to the voltage waveform shaping by the nonlinear , the efficiency is improved. IV. OPTIMIZATION OF A CLASS-E PA BEYOND MAXIMUM FREQUENCY AND VERIFICATION A. Saturated Amplifier To further optimize the Class-E PA, fundamental and second-harmonic loads are tuned. The optimized fundamental load at the current source is purely resistive with a large value, and the second-harmonic load is inductive instead of the open circuit, which is the harmonic-matching circuit for the conventional Class-E PA. The resulting amplifier, which we call the saturated amplifier [19], [20], provides the highest efficiency due to the well-shaped voltage waveform. We believe

JEE et al.: SWITCHING BEHAVIOR OF CLASS-E PA AND ITS OPERATION ABOVE MAXIMUM FREQUENCY

Fig. 5. Current and voltage waveforms of the Class-E PA beyond f

Fig. 6. Load lines of the Class-E PA beyond f frequency.

with linear C

with linear C

93

at (a) 0.9 GHz (b) 1.5 GHz, and (c) 2.1 GHz.

at each

TABLE I PERFORMANCE OF CLASS-E PA AT EACH FREQUENCY WITH AN INPUT POWER OF 24 dBm

that this amplifier is the optimized one for efficiency at the saturated operation and may be identical to the PA reported in [11] and [12] optimized by the harmonic load-pull technique. Fig. 10 shows the simulated second-harmonic load-pull contours for the output power and efficiency when the fundamental impedance is the same as that of the Class-E PA with case in Section III-C. In the simulation, the nonlinear is 2.05 pF, and the the average fundamental nonlinear

Fig. 7. (a) Current profiles and (b) current and voltage waveforms of the Class-E PA beyond f with linear C .

second-harmonic load impedance that has the highest effi, which tunes out the nonlinear ciency is

94

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

TABLE II COMPARISON OF A CLASS-E PA WITH LINEAR C

AND

NONLINEAR C

AND

C

Fig. 9. Current and voltage waveforms of Class-E PA beyond and C . nonlinear C

f

with

Fig. 8. Simulated (a) time-domain voltage and current waveforms and (b) loadlines of the Class-E PA with linear C at 3.5 GHz.

of . To investigate the effect of the second-harmonic load impedance, we explore the drain–source voltage waveform for the second-harmonic impedances at the marked points on the Smith chart in Fig. 10. Due to the tuned second-harmonic load, the out-of-phase second-harmonic voltage is increased, and the fundamental voltage component and efficiency are also increased [11]. Our summary results, given in Table III and Fig. 11, show clearly the behavior. Fig. 12 shows the waveforms of the saturated amplifier with the optimum fundamental and second-harmonic loads. We cannot see the zero voltage switching observed in the Class-E operation in Fig. 9. The waveforms are similar to the inverse Class-F PA and contain higher fundamental current and voltage components, thus delivering better power performance than that of the Class-E PA. Fig. 13 shows the load-lines of the two amplifiers. The load-lines clearly show that the Class-E PA operates as a switch amplifier assisted by bifurcated cur-driven amplifier. rent while the saturated amplifier is a Table IV summarizes the performances of the Class-E PA and and . From the the saturated amplifier with nonlinear

Fig. 10. Second-harmonic load-pull result of the ideal transistor with nonlinear C and C .

results, we can see that the fundamental load at the current is purely resistive, the out-of-phase second-harmonic voltage

JEE et al.: SWITCHING BEHAVIOR OF CLASS-E PA AND ITS OPERATION ABOVE MAXIMUM FREQUENCY

95

TABLE III COMPARISON OF DRAIN VOLTAGE COMPONENT AT THE MARKED POINTS ON THE SMITH CHART IN FIG. 10

Fig. 11. Waveforms of drain voltage at the marked points on the Smith chart in Fig. 10.

component is increased by the harmonic generation of the , and the largest value can be achieved when the nonlinear second-harmonic output load tunes out the output capacitance. Due to the large out-of-phase second voltage component, the fundamental voltage increases as the fundamental current component increases. Therefore, the saturated amplifier delivers higher output power and efficiency with proper voltage shaping. However, a better performance is obtained at the expense of the larger voltage swing.

Fig. 12. Current and voltage waveforms of the saturated amplifier.

B. Comparison of the PA Operations With the Ideal Transistor and Real Device Thus far, we have investigated the characteristics of the Class-E and the saturated amplifiers using the simplified model. To validate the study, we design two amplifiers at 3.5 GHz using a Cree GaN HEMT CGH60015 bare chip model using and are set to 150 mA and 26 an ADS simulator. V, respectively. The fundamental source impedance is provided by the source-pull simulation with a shorted harmonic input load [8]. As described in Section II-C, the fundamental load-pull simulation is carried out with the open harmonic load. To realize the saturated amplifier, the second-harmonic load-pull simulation is also carried out using the identical fundamental load with the Class-E case. As depicted in Fig. 14, the second-harmonic load-pull result is quite similar to the ideal case shown in Fig. 10. Fig. 15 shows the waveforms of the two amplifiers simulated using the real device, and those waveforms are very similar to those of the ideal transistor case. From the real device simulation, we can claim that the behavior of the

Fig. 13. Load-lines of the Class-E and saturated amplifiers with nonlinear capacitors.

saturated amplifier evaluated with the simplified model is accurate. The saturated amplifier generates a higher fundamental voltage component with a larger second-harmonic voltage. The current waveform, which is quite similar to that shown in Fig. 12, has a larger fundamental current because the current

96

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

TABLE IV COMPARISON OF CLASS-E AND SATURATED PA AT THE SAME INPUT POWER WITH AN IDEAL TRANSISTOR

TABLE V COMPARISON OF CLASS-E AND SATURATED PA WITH CGH60015 BARE CHIP MODEL

Fig. 14. Second-harmonic load-pull result of CGH60015 bare chip model.

Fig. 16. Waveform of the Class-E PA and saturated amplifier for CGH40010 package device containing a Cree CGH60010 bare chip model.

C. Implementation and Experimental Results

Fig. 15. Waveform of the Class-E PA and saturated amplifier for CGH60015 bare chip model.

waveform is less bifurcated. Therefore, the output power of the saturated amplifier is higher than that of the Class-E PA. The performances are summarized in Table V.

The saturated amplifier is implemented using a Cree GaN HEMT CGH40010 package device containing a Cree CGH60015 bare chip since we cannot handle the bare chip properly. The previous simulation is carried out using the packaged device model and the waveform are depicted in Fig. 16. The waveforms are very similar to that of the CGH60015 bare chip case. Using the simulation result, the saturated amplifier was implemented at 3.5 GHz. Fig. 17 shows a photograph of the designed saturated amplifier implemented on a Taconic and a thickness of 31 mil. In the TLY-5 substrate with 50 mA) at experiment, the gate bias is set to 2.74 V ( of 26 V. To validate the simulation a supply drain voltage result, the simulated and measured -parameters are compared

JEE et al.: SWITCHING BEHAVIOR OF CLASS-E PA AND ITS OPERATION ABOVE MAXIMUM FREQUENCY

97

PAE, and gain are also well matched, as shown in Fig. 19. The peak drain efficiency of 75.8% is obtained at a saturated output power of 40.2 dBm. V. CONCLUSION

Fig. 17. Photograph of the designed saturated amplifier.

The ideal Class-E operation that can deliver 100% efficiency is investigated. Even in the ideal operation at a low frequency, the capacitor cannot be charged sufficiently quickly, thus degrading the efficiency. The ideal Class-E operation is not possible at high frequencies because the discharging process is not sufficiently fast, further restricting the ideal switching. To obtain higher output power and efficiency for the operation above , the Class-E PA is optimized using load-pull simulation. The best efficiency is obtained from the bifurcated current waveform at the saturated operation. The Class-E PA with and for operation above is analyzed nonlinear and optimized for high efficiency. It is shown that the nonlinear output capacitor enhances the efficiency due to the out-ofphase second-harmonic voltage generation. The further optimized Class-E PA becomes the saturated amplifier. The main difference in the circuit is the inductive second-harmonic load, tuning out the output capacitor at the second harmonic and the power-matched fundamental load. Although the Class-E PA op, the saturated erates in the switching mode even above PA is a -driven mode. The saturated amplifier delivers the higher performance with well-shaped half-sinusoidal voltage waveform and a less bifurcated current waveform. The saturated amplifier is implemented at 3.5 GHz using a Cree GaN HEMT CGH40010 device. It provides a drain efficiency of 75.8% at a saturated power of 40.2 dBm (10.5 W). ACKNOWLEDGMENT

Fig. 18. Simulated and measured S -parameters of the saturated amplifier.

The authors would like to thank Cree for providing the transistors and the large-signal model of GaN HEMT used in this study. REFERENCES

Fig. 19. Simulated and measured output performances of the saturated amplifier.

at a frequency band from 0.5 to 7.5 GHz in Fig. 18. The measured -parameters are very similar to the simulated one. The simulated and measured output power, drain efficiency (DE),

[1] S. C. Cripps, RF Power Amplifiers for Wireless Communications. Norwood, MA: Artech House, 2006. [2] F. H. Raab, “Class-F power amplifiers with maximally flat waveforms,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 11, pp. 2007–2012, Nov. 1997. [3] S. Gao, “High-efficiency class-F RF/microwave power amplifiers,” IEEE Microw. Mag., vol. 7, no. 1, pp. 40–48, Feb. 2006. [4] Y. Y. Woo, Y. Yang, and B. Kim, “Analysis and experiments for highefficiency Class-F and inverse Class-F power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 5, pp. 1969–1974, May 2006. [5] S. C. Cripps, P. J. Tasker, A. L. Clarke, J. Lees, and J. Benedikt, “On the continuity of high efficiency modes in linear RF power amplifiers,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 10, pp. 665–667, Oct. 2009. [6] N. O. Sokal and A. D. Sokal, “Class-E: A new class of high-efficiency tuned single-ended switching power amplifiers,” IEEE J. Solid-State Circuits, vol. SC-10, pp. 168–176, Jun. 1975. [7] F. H. Raab, “Idealized operation of the Class-E tuned power amplifier,” IEEE Trans. Circuit Syst., vol. CAS-24, no. 12, pp. 725–735, Jun. 1975. [8] J. Moon, J. Kim, and B. Kim, “Investigation of a Class-J power amplifier with a nonlinear C for optimized operation,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 11, pp. 2800–2811, Nov. 2010. [9] P. Colantonio, F. Giannini, G. Leuzzi, and E. Limiti, “High efficiency low-voltage power amplifier design by second-harmonic manipulation,” Int. J. RF Microw. Comput.-Aided Eng., vol. 10, no. 1, pp. 19–32, 2000.

98

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

[10] P. Colantonio, F. Giannini, G. Leuzzi, and E. Limiti, “Class G approach for high efficiency PA design,” Int. J. RF Microw. Comput.-Aided Eng., vol. 10, no. 6, pp. 366–378, Nov. 2000. [11] P. Colantonio, F. Giannini, and E. Limiti, High Efficiency RF and Microwave Solid State Power Amplifiers. Hoboken, NJ: Wiley, 2009. [12] P. Saad, H. Nemati, K. Andersson, and C. Fager, “Highly efficient GaN-HEMT power amplifiers at 3.5 GHz and 5.5 GHz,” in Proc. 12th Wireless Microw. Technology Conf., Apr. 2011, pp. 1–4. [13] T. B. Madar, E. W. Bryerton, M. Markovic, M. Forman, and Z. Popovic, “Switched-mode high-efficiency microwave power amplifier in a free-space power-combiner array,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 10, pp. 1391–1398, Oct. 1998. [14] E. Cipriani, P. Colantonio, F. Giannini, and R. Giofre, “Theory and experimental validation of a Class E PA above theoretical maximum frequency,” Int. J. Microw. Wireless Tech., vol. 1, no. 4, pp. 293–299, Jun. 2009. [15] E. Cipriani, P. Colantonio, F. Giannini, and R. Giofre, “Optimization of Class E power amplifiers above theoretical maximum frequency,” in Posc. 38th IEEE Eur. Microw. Conf., Oct. 2008, pp. 1541–1544. [16] R. Pengelly, B. Million, D. Farrel, B. Pribble, and S. Wood, “Application of non-linear models in a range of challenging GaN HEMT power amplifier design,” in IEEE MTT-S Int. Microw. Symp. Dig, Jun. 2008. [17] T. Suetsugu and M. K. Kazimierczuk, “Comparison of Class-E amplifier with nonlinear and linear shunt capacitance,” IEEE Trans. Circuit Syst. I, Fundam. Theory Appl., vol. 50, no. 8, pp. 1089–1097, Aug. 2003. [18] A. Mediano, P. M. Gaudo, and C. Bernal, “Design of Class-E amplifier with nonlinear and linear shunt capacitances for any duty cycle,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 3, pp. 484–492, Mar. 2007. [19] B. Kim, J. Moon, and J. Kim, “Highly efficient saturated power amplifier assisted by nonlinear output capacitor,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2010. [20] J. Kim, J. Kim, J. Moon, J. Son, I. Kim, S. Jee, and B. Kim, “Saturated power amplifier optimized for efficiency using self-generated harmonic current and voltage,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 8, pp. 2049–2058, Aug. 2011. Seunghoon Jee received the B.S. degree in electronic and electrical engineering from Kyungpook National University, Daegu, Korea, in 2009. He is currently working toward the Ph.D. degree at the Pohang University of Science and Technology (POSTECH), Pohang, Korea. His current research interests include highly linear and efficient RF power-amplifier design.

Junghwan Moon (S’07) received the B.S. degree in electrical and computer engineering from the University of Seoul, Seoul, Korea, in 2006. He is currently working toward the Ph.D. degree at the Pohang University of Science and Technology (POSTECH), Pohang, Korea. His current research interests include highly linear and efficient RF power-amplifier (PA) design, memory-effect compensation techniques, digital predistortion (DPD) techniques, and wideband RF PA design.

Mr. Moon was the recipient of the Highest Efficiency Award at the Student High-Efficiency Power Amplifier Design Competition at the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS) in 2008 and the First Place Award at Student High-Efficiency Power Amplifier Design Competition at IEEE MTT-S IMS in 2011.

Jungjoon Kim received the B.S. degree from Han-Yang University, Ansan, Korea, in 2007, and the M.S. degree in from the Pohang University of Science and Technology (POSTECH), Pohang, Korea, in 2009, both in electrical engineering. He is currently working toward the Ph.D. degree at the POSTECH, Pohang, Korea. His current research interests include RF power-amplifier design and supply modulator design for highly efficient transmitter systems.

Junghwan Son received the B.S. degree in physics from Sejong University, Seoul, Korea, in 2008, and the M.S. degree in computer and communications engineering in from the Pohang University of Science and Technology (POSTECH), Pohang, Korea, in 2010. He is currently working toward the Ph.D. degree in electrical and electronics engineering at POSTECH. His current research interests include RF poweramplifier design and linearity.

Bumman Kim (M’78–SM’97–F’07) received the Ph.D. degree in electrical engineering from Carnegie Mellon University, Pittsburgh, PA, in 1979. From 1978 to 1981, he was engaged in fiber-optic network component research with GTE Laboratories Inc. In 1981, he joined the Central Research Laboratories, Texas Instruments Inc., where he was involved in development of GaAs power field-effect transistors (FETs) and monolithic microwave integrated circuits (MMICs). He has developed a large-signal model of a power FET, dual-gate FETs for gain control, highpower distributed amplifiers, and various millimeter-wave MMICs. In 1989, he joined the Pohang University of Science and Technology (POSTECH), Pohang, Korea, where he is a POSTECH Fellow and a Namko Professor with the Department of Electrical Engineering, and Director of the Microwave Application Research Center, where he is involved in device and circuit technology for RF integrated circuits (RFICs). He has authored over 300 technical papers. Prof. Kim is a member of the Korean Academy of Science and Technology and the National Academy of Engineering of Korea. He was an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, a Distinguished Lecturer of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S), and an AdCom member.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

99

Broadband Doherty Power Amplifier via Real Frequency Technique Guolin Sun and Rolf H. Jansen, Fellow, IEEE

Abstract—A comprehensive method of designing a broadband Doherty power amplifier is presented in this paper. The essential limitations of bandwidth extension of a Doherty power amplifier are discussed based on the proposed structure of the Doherty power amplifier, which also takes the output matching networks of both sub-amplifiers into account. The broadband matching is realized by applying the simplified real frequency technique with the desired frequency-dependent optimum impedances. GaN transistors were selected to implement the circuit structure. Index Terms—Broadband, Doherty power amplifier, real frequency technique, systematic design procedure.

I. INTRODUCTION

M

ODERN wireless communication systems, such as WiMAX, W-CDMA, UMTS, and LTE, introduce the amplitude modulation to enhance the data transmission rate and maximize the bandwidth efficiency in the provided limited frequency band [1]. However, their associated high peak-to-average power ratio (PAPR) constrains the power amplifiers working at a sufficient back-off power level to ensure the acceptable linearity, which is at the expense of efficiency [2]. The Doherty amplifier employing active load–pull modulation is considered to be a very promising solution to enhance the efficiency over a large back-off power region. Linearization techniques have been utilized to improve the linearity properties [3], [4]. Broadband Doherty power amplifiers have been reported recently [5]–[10]. Both the quarter-wave impedance transformer and the output capacitance of the transistors were considered as the limiting factors of the bandwidth extension in the broadband Doherty power amplifier design [7]. Parallel inductors were introduced to compensate the output capacitances of the transistors for a broadband real impedance transformation. Nevertheless, the resulting LC resonant circuits possess small bandwidth that in turn limits the bandwidth of the Doherty power amplifier. Assuming ideal transistors without output capacitors, Bathich has reported the mathematical analysis of a broadband Doherty power amplifier in [8], which reveals that the impedance inverter has a great influence on the bandwidth extension at both the Manuscript received May 08, 2011; revised October 17, 2011; accepted October 21, 2011. Date of publication November 18, 2011; date of current version December 30, 2011. The authors are with the Chair of Electromagnetic Theory, Rheinisch-Westfälische Technische Hochschule (RWTH) Aachen, Aachen University, 52072 Aachen, Germany (e-mail: [email protected]; [email protected]) Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2175237

back-off and saturation power levels. However, the influence of the peaking power amplifier at the back-off power level was not included in the analytical model. To the authors’ knowledge, all the analysis of a broadband Doherty power amplifier reported in the previous works are based on the simplified classical Doherty model proposed by Cripps [2] without considering the package, the bias tee, or the output matching networks (OMNs). All these disregarded factors will be discussed in detail in this paper. Re-optimization of the OMNs contributes to the bandwidth extension of the broadband Doherty power amplifier. The real frequency technique was primarily introduced by Carlin and Komiak [11] and further developed by Yarman and Carlin [12] and Yarman [13]. It employs a nonlinear optimization simulator for the optimum matching solution over a given frequency band. Aksen represented his methods of constructing the matching networks using lumped elements together with the transmission lines in the design of microwave amplifiers in his dissertation [14]. A designed -band broadband GaN power amplifier by applying the real frequency technique has been reported in [15]. In this paper, the real frequency technique for solving broadband double matching problems is briefly introduced. The bottlenecks of the Doherty power amplifier’s bandwidth extension are discussed in detail. Design methods of the broadband Doherty power amplifier are presented. The sub-amplifiers are constructed, followed by an assembly, which fulfills several necessary conditions derived from the vector analysis. Doherty power amplifiers were implemented with equal-size GaN HEMT transistors to validate the proposed methods. II. THEORETICAL ANALYSIS OF THE BROADBAND DOHERTY AMPLIFIER A. Real Frequency Technique for the Double-Matching Problem The frequency-dependent property of an ideal lossless reciprocal two-port network depicted in Fig. 1 is described by its scattering matrix in the Belevitch canonic form [16] as (1) where as

,

0018-9480/$26.00 © 2011 IEEE

, and

are polynomials of variable

(2) (3) (4)

100

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 1. Double-matching problem.

where is a strictly Hurwitz polynomial [17], is a real monic is a unimodular constant. polynomial, and The polynomials , , and are related by the losslessness requirement [14] (5) deg deg deg . Fig. 1 illustrates a twowhere doubly terminated with the frequency-depenport network and . The transducer power gain is dent load impedances as [14] defined in terms of the scattering parameters of

(6) An LC low-pass filter is employed as the optimization prototype is simplified to a constant value, namely, . so that and , the components’ values Based on the knowledge of in the LC filter are optimized by applying Levenberg–Marquardt algorithms for the transducer power gain as high and as flat as possible over the given frequency interval. In particular, if eior is frequency independent, the double-matching ther problem degenerates into a single-matching problem. B. Necessary and Sufficient Conditions of Assembling Sub-Power Amplifiers for the Doherty Working Principle The carrier power amplifier works in coordination with the peaking power amplifier to realize the Doherty working principle. The respective designs of both sub-amplifiers followed by an assembly is desired to simplify the Doherty power amplifier design procedure. The peaking power amplifier with an equal size transistor cannot deliver the desired amount of power at the saturation power level, which is equal to that from the carrier amplifier. Therefore, the ideal load modulation is not realizable. The broadband Doherty power amplifier can be optimized at either the back-off or the saturation power level according to the design specifications, termed as “optimization at the back-off power level (option I)” and “optimization at the saturation power level (option II),” respectively. Option I (II) implies that the optimum modulated impedance of the carrier power amplifier can be only achieved at the back-off (saturation) power level, while the modulated impedance at the saturation (back-off) power level assumes only a suboptimum value due to the nonideal load modulation. As depicted in Figs. 2(c) and 3(d), the OMN of the Doherty power amplifier consists of three two-

Fig. 2. Block diagram explaining the design procedure associated with the back-off optimization method (option I). (a) [S ] and [S ] construction at the back-off power level. (b) Respective design and optimization of the carrier and peaking power amplifiers at the saturation power level. (c) Assembly of the Doherty power amplifier at the saturation power level.

port networks , , and , whose frequency properties are described by their associated scattering parame, , and . and ters denote the optimized impedances of the carrier and the peaking power amplifiers at the saturation power level. represents the optimized impedance of the carrier power amplifier at , , and the given back-off power level. are defined as the corresponding desired impedances. is the impedance looking into the transistor drain node under the repcold field-effect transistor (cold-FET) condition. resents the impedance looking into the peaking power amplifier at the junction, when the peaking transistor does not work. The systematic design procedures for both options will be discussed. 1) Optimization at the Back-Off Power Level (Option I): The is transferred to via a two-port network load impedance . is assumed to possess frequency dependent scattering parameter equidistantly placed on the edge of the 50normalized Smith chart with (quasi-open-circuit impedance [29]) over the desired frequency is matched to band. The resulting impedance

SUN AND JANSEN: BROADBAND DOHERTY POWER AMPLIFIER VIA REAL FREQUENCY TECHNIQUE

101

selected based on the load–pull simulation and measurement , the impedance results. With the knowledge of is obtained as (8) The modulated impedances of both sub-amplifiers looking into the combination junction are calculated as

(9)

(10) which can be further rearranged as (11)

(12) The powers delivered into the junction are computed as

(13)

(14)

Fig. 3. Block diagram explaining the design procedure associated with the saturation optimization method (option II). (a) Respective design and optimization of the carrier and peaking power amplifiers at the saturation power level. (b) Determination of the common load impedance Z , the modulated impedances Z and Z , and the characteristic impedance and phase of the impedance inverter. (c) Re-optimization of the output matching work of the carrier amplifier at the saturation power level to compensate the influence of the impedance inverter. (d) Assembly of the Doherty power amplifier at the back-off power level.

via a two-port network by applying the real frequency technique with as the optimization goal. The -matrix is defined as of (7) At the saturation power level, the frequency-dependent impedance associated with drain efficiency and of the carrier power amplifier is subjectively output power

The desired power delivered by the peaking power amplifier is obtained as (15) of the Doherty system is If minimum drain efficiency required over the specified frequency band, the minimum drain is efficiency provided by the peaking power amplifier calculated as (16) The selection of should fulfill the following requirements. • The frequency-dependent drain efficiency at the saturation should be as flat and as high as possible. power level • The resulting desired output power of the Doherty system should be as flat and as high as possible.

102

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

• Since the modulated impedance must be passive in should be selected the working frequency range, in the frequency-dependent stable modulation’s area (details in Appendix A). The broadband Doherty design method based on the back-off power level optimization is demonstrated in Fig. 2 and explained as follows. is constructed to transfer to a low impedance • over the working frequency range. The desired associated with the maximum achievimpedance is determined through the harable drain efficiency monic-balance simulation at each frequency point within the specified frequency range. Assuming the , is ob“quasi-open-circuit” impedance . and tained based on the knowledge of are employed as the generator and load impedances in the nonlinear optimization for solving the double-matching problem. As illustrated in Fig. 2(a), the is optimized so that the transducer two-port network power gain , defined in (6), is as flat and as high as possible. Since the circuit involves the active nonlinear transistor, a further optimization is necessary to achieve the drain efficiency as flat and as high as possible over the specified frequency range by applying the ADS optimization and harmonic-balance simulator. • The desired frequency-dependent load modulation’s desis subjectively selected at the saturation tination power level that, in turn, enables the calculation of , , and . • The carrier amplifier is simulated with the frequency-deat the saturation pendent complex load impedance power level. , , and at the load terminaare determined through the harmonic-balance tion and are calculated through (15) simulation. and (16). • The peaking power amplifier is simulated with the load . is transferred to via termination . The two-port network is the two-port network optimized so that the transistor delivers flat output power with the minimum drain efficiency around over the given frequency interval. Moreover, the quasiis also included as open-circuit requirement on . an optimization’s boundary of constructing is simulated at the load termination • The current of the peaking power amplifier. The phase differand is adjusted to equal to the ence between and of phase of by tuning the electrical lengths phase compensation lines, as depicted in Fig. 2(b). • Both designed sub-amplifiers are assembled at the saturation power level. All the circuit parameters are adjusted to achieve the best performance of the broadband Doherty power amplifier, as illustrated in Fig. 2(c). 2) Optimization at the Saturation Power Level (Option II): If the broadband Doherty power amplifier is to be optimized at and assume real the saturation power level, both degenerates to a freimpedance values, which implies that quency-dependent real value over the specified frequency range.

Therefore, according to (15), the current modulation coefficient is derived as (17) The modulated impedances at the saturation power level are calculated as follows:

(18) (19) The design procedure of a broadband Doherty power amplifier optimized at the saturation power level is illustrated in Fig. 3 and summarized as follows. • The carrier and peaking power amplifier are designed and with the to deliver flat output power maximum achievable drain efficiency at the saturation power level, with a load termination of 50- load impedance, as illustrated in Fig. 3(a). Primarily, in order to achieve the optimum solution with the highest flat transducer power gain of the carrier (peaking) power amplifier’s OMN over the specified frequency range, and are utilized as the generater and load impedances in the nonlinear optimization for solving broadband double-matching problems. Since the nonlinear active transistor is involved in the circuit, a further optimization is necessary by applying the optimization and harmonic-balance simulators in the Advanced Design System (ADS) software for the desired optimum performance, where the solutions provided by the real frequency technique are regarded as the initial guesses. During the optimization, the large signal -parameter simulation is performed at the back-off power level to determine at the same time, which is also the impedance employed together with the harmonic-balance simulation results as the optimization goals. is selected according to (19). Since • The impedance is smaller than 0.5 for Doherty power the ratio exhibits low amplifiers with equal size transistors, impedance smaller than 50 with small fluctuation over the frequency range. An impedance inverter is introduced between the junction point and the two-port network , whose characteristic impedance and electrical length are adjusted to transfer to around the origin of the 50- normalized Smith chart, as illustrated in Fig. 3(b). • The OMN of the carrier amplifier is reconstructed with by the frequency-dependent load termination applying the real frequency technique (double matching as the optimization goal. is problem) with re-optimized for the previously achieved flat output power over the frequency interval so that the influence of the impedance inverter is compensated and absorbed into , as illustrated in Fig. 3(c).

SUN AND JANSEN: BROADBAND DOHERTY POWER AMPLIFIER VIA REAL FREQUENCY TECHNIQUE

103

Fig. 4. Broadband matching problem due to the transistor package. Fig. 5. Graphical explanation of the bandwidth limitation. (a) Narrowband matching. (b) Broadband matching.

• The phase difference between currents and is compensated to be lower than 5 since is real over the working frequency range. • Finally, both sub-power amplifiers are assembled together at the saturation power level. A section of transmission and line with the characteristic impedance is introduced between and the electrical length impedance inverter to adjust the active load modulation in the desired direction, as illustrated in Fig. 3(d).

C. Limitations of the Doherty Power Amplifier Bandwidth Extension Several aspects limit the bandwidth extension of the Doherty power amplifier, which are either related to the general broadband matching limitation theory or associated with the Doherty working mechanisms. 1) General Limitation of Broadband Matching: Bode [18] and Fano [19] have introduced the limitations of broadband matching problems based on mathematical analysis. In this paper, the limitation is discussed graphically in the Smith chart. According to the conventional analysis method of a power amplifier proposed by Cripps [2], as illustrated in Fig. 4, the at the transistor intrinsic current optimum impedance – possesses a frequency-independent generater plane value, which is determined by the current and voltage boundaries of the selected transistor and the power amplifier working principle (e.g., class B, E, and J). Since any physically realizable circuit component introduces positive phase dispersion – (defined in Appendix B), the desired impedance at the plane exhibits negative phase dispersion, which is observed from both the load–pull simulation and measurement is transferred by the OMN to results. The load impedance , with which the transducer power gain is optimized as high and as flat as possible over the specified frequency range. Generally, the resonance frequency of the transistor’s package is highly above the working frequency range. If assuming that the OMN does not introduce any resonance over the given freand are smooth arcs with quency interval, both opposite phase dispersion polarities. The increasing frequency directions are indicated by the increasing frequency values, as with denoting the names of the curves. In Fig. 5(a), both arcs intersect only once at frequency , represented by the coincidence of and . (defined in Appendix B) The frequency-dependent angle

Fig. 6. Investigation of the influence of the quarter-wave impedance inverter. Optimization of the OMN to compensate the influence of the quarter-wave impedance inverter. (a) Impedance transformation from the frequency-dependent impedance Z . (b) Impedance transformation from the frequency-independent impedance Z .

between both instantaneous normal vectors is always greater than 90 , which implies the narrowband matching only around . Otherwise, as illustrated in Fig. 5(b), a resonance is dewithin the given frequency liberately introduced around range. During part of the resonance, becomes smaller than 90 , which enables the broadband matching over the specified frequency range. Generally, the broadband matching is limited by the following. • The complexity of the desired impedance terminations and in Fig. 1 (analytical proof in [20]). • The maximum number of components allowed in the matching networks. • The realizable values of the components in the working frequency range: lumped components are limited by their associate self-resonance frequencies. Distributed microstrip lines are limited by the range of the implementable linewidth. 2) Influence of the Impedance Inverter: Up to now, the transmission line impedance inverter is asserted as the bottleneck of the bandwidth extension in the broadband Doherty power amplifier design and implementation. Actually, its influence can be compensated by the OMN of the carrier amplifier by investigating the impedance transformation in Fig. 6. represents the frequency-dependent input In Fig. 6(a), impedance looking into the impedance inverter with at the center frequency. Assuming an ideal load modulation , the at the saturation power level, namely,

104

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

impedances over the specified frequency range from 2.3 to 2.9 GHz at the saturation power level are obtained as

(20) (21) (22)

The influences of the impedance inverter over the specified frequency range is included in the frequency-dependent . In contrast, in Fig. 6(b), possesses a impedance . represents the frequency-independent impedance desired optimum impedance associated with the maximum drain efficiency at the saturation power level over the given frequency range, which are simulated with the GaN transistor CGH40006P large-signal model at the reference plane directly following the bias tee, as depicted in Figs. 4 and 6. Both and are to be transferred to the desired impedances impedance to achieve the highest flat drain efficiency and over the specified frequency range. OMNs are optimized from 2.3 to 2.9 GHz by applying the real frequency technique (double-matching problem). The LC low-pass filter prototype is employed in the optimization. The optimized matching networks containing two-stage LC filters and and the associated resulting impedances are demonstrated together with the desired impedance in Fig. 7(a) and (b), respectively. The optimized components in the LC prototype are different for the both cases. However, extremely small differences between the resulting impedances and looking into both OMNs cannot be distinguished. Provided with “the same” implemented impedances , the transistor will deliver “the same” performance for both cases. Therefore, the influence of the impedance inverter, represented by the frequency dependence , is compensated by and absorbed into the of the impedance OMN. The same conclusion can be also derived from the optimization results by applying the three-stage LC filter structure, as illustrated in Fig. 8. Better matching solutions are achieved. However, the resulting unrealistic components labeled with red color (in online version) are difficult to be implemented over the microwave frequency range. 3) Bandwidth Limitation Due to the Quasi-Open-Circuit : Requirement on • Up to the back-off power level, the peaking power amlooking into plifier does not work. The impedance the transistor at the cold-FET condition is transferred to at the junction via . Ideally, has an infinite impedance to prevent power leakage up to the back-off power level [30], which was assumed in the analactually lies ysis by Bathich et al. [9]. However, on the edge of the Smith chart. The phase of is controlled within the range 45 45 to fulfill the quasi-open-circuit requirement. Any realizable component

Fig. 7. Optimization of the OMNs (two-stage LC structure) to compensate the influence of the impedance inverter. (a) Impedance transformation via a two-stage optimized LC matching network from the frequency-dependent impedance Z . (b) Impedance transformation via a two-stage optimized LC matching network from the frequency-independent impedance Z .

Fig. 8. Optimization of the OMNs (three-stage LC structure) to compensate the influence of the impedance inverter. (a) Impedance transformation via a three-stage optimized LC matching network from the frequency-dependent impedance Z . (b) Impedance transformation via a three-stage optimized LC matching network from the frequency-independent impedance Z .

in the peaking power amplifier output path introduces posi, which, in turn, degentive phase dispersion into erates the maximum achievable bandwidth of the Doherty power amplifier. Therefore, any component excluding the OMN should be avoided between the peaking transistor and the junction . 4) Bandwidth Limitation Associated With the Optimization Methods: • If the broadband Doherty power amplifier is optimized at the given back-off power level, the bandwidth extension of the Doherty system is determined by the general broadand the band matching theory on the construction of at the back-off quasi-open-circuit requirement on power level. Moreover, at the saturation power level, the

SUN AND JANSEN: BROADBAND DOHERTY POWER AMPLIFIER VIA REAL FREQUENCY TECHNIQUE

subjective selection of the frequency-dependent de, , and . Several optimization termines , labeled with boundaries are applied for constructing red color (in online version) in Fig. 2(b), explained as follows. is transferred from via the to be opti• . Provided with , mized two-port network the transistor should deliver a flat output power around with the minimum drain effithe calculated value . ciency of transferred from at the back-off power • level must fulfill the quasi-open-circuit requirement at the back-off power level. Nonlinear optimization with several boundaries presents difficulty in providing feasible solutions over a broad frequency band [21]. • If the broadband Doherty power amplifier is optimized is optimized at the saturation power level, around 50 at the saturation power. Inserting a section of transmission line with characteristic impedance will not change the matching condition at the saturation power level [see Fig. 3(d)]. Increasing the at the center frequency results in electrical length clockwise rotation of the impedance starting around the origin in the 50- normalized from also leads to more positive phase Smith chart. Larger dispersion since the wavelength is frequency dependent and inversely proportion to the frequency. On the other hand, the desired frequency-dependent load modulation’s destination is the impedance associated with the maximum drain efficiency over the specified frequency range at the back-off power level, which exhibits negative phase dispersion over the given frequency range. For example, the optimum impedance associated with the maximum drain efficiency at the back-off power level, evaluated at the output of the constructed carrier power amplifier OMN, is illustrated in Fig. 9 (simulation results with CGH40006P GaN transistor large-signal model). In contrast, any realor possesses positive istic impedance phase dispersion, which implies the impossibility of an ideal broadband matching. At the back-off power level, can be adjusted and optimized either for the explicit drain efficiency enhancement over a relatively small frequency range (version I) or for maximum achievable flat drain efficiency over a wide frequency band (version II). As illustrated in Fig. 3(d), since the matching condition at the saturation power level is not changed by increasing , both versions provide the same performance. The at the output of the simulated impedances of matching network for both cases are illustrated in Fig. 9. III. IMPLEMENTATION AND MEASUREMENT OF THE BROADBAND DOHERTY AMPLIFIER To verify the proposed ideas in Section II, Cree GaN CGH4000P transistors are selected to implement the symmetrical broadband Doherty power amplifier with the center frequency at 2.6 GHz. As for the broadband Doherty power

105

Fig. 9. Simulation results of load impedances at 6-dB back-off power level at the carrier power amplifier OMN output plane (Optimization II). (a) Version I. (b) Version II.

Fig. 10. Simulated second-harmonic load–pull contours of output power and drain efficiency applying fundamental and harmonic impedance Z : j : ,Z : j : , and Z Z . (a) 2.3 GHz. (b) 2.9 GHz.

= 24 972 + 8 149

= = 50

= 24 645 + 6 724

amplifier based on back-off power level optimization, the strict , optimization boundaries imposed on the construction of , which are determined by the subjective choice of always result in unacceptable performance. Therefore, this paper focuses solely on the design option II. A. Circuit Design and Implementation Primarily, the transistor drain side package model is obtained by applying the method of Paolo et al. [22]. The carrier power V, amplifier was designed at the operating point of mA. The optimum source impedance changes along with the increasing input power due to the nonlinearity and . Assuming the tuned-load termination at the of intrinsic current generator plane, the frequency-dependent opis obtained for the maximum timum source impedances drain efficiency at the saturation power level. By applying the with a dereal frequency technique, 50 is transferred to sired transducer power gain greater than 0.9 over the design frequency range. The second harmonic impedance is employed to improve the efficiency of the carrier amplifier over the specified frequency range [23], [24]. Second harmonic load–pull – in Fig. 4 were persimulations at the reference plane formed at the transistor drain node by applying the optimum fundamental impedances associated with the maximum output power at the saturation power level, where the third harmonic impedances were set to 50 . The optimum second harmonic

106

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 11. Fabricated broadband Doherty power amplifier (version I).

Fig. 14. Measured scattering parameters of the broadband Doherty power amplifier (version II).

Fig. 12. Fabricated broadband Doherty power amplifier (version II). Fig. 15. Measured and simulated drain efficiency at the saturation and the 5–6-dB back-off output back-off power levels with CW signal.

Fig. 13. Measured scattering parameters of the broadband Doherty power amplifier (version I).

impedance exhibits anticlockwise rotations (negative phase dispersion) with the increasing frequency, as illustrated in Fig. 10. The second harmonic load impedance affects both the dc and fundamental components of the current and voltage obtained from the nonlinear simulation [25]. The output power is saturated and changes little, while more than 8% drain efficiency improvement can be achieved due to the decrease of the dc current by applying an appropriate second harmonic load termination. The modified drain bias circuit is employed in the carrier power amplifier design, as depicted in Figs. 11 and 12, which introduces less positive phase dispersion over the second harmonic frequency range. The peaking power amplifier is constructed at V, V. Its bias tee is the bias point of placed at the junction point, where in Figs. 3(d) possesses

Fig. 16. Measured and simulated output power at the saturation and the 5–6-dB back-off output back-off power levels with CW signal.

a low impedance in the frequency range so that the influence of is minimized (see Figs. 11 and 12). The dc block capacitor of the peaking power amplifier is shifted and placed just in front of the output SMA connector. All broadband matching networks are optimized based on the knowledge of optimum impedances via the real frequency technique. The same topology is employed for both carrier and peaking power amplifiers for an easy phase compensation of both power-amplifier paths over the design frequency band. The optimum components in the LC low-pass filters are replaced with microstrip lines at the center

SUN AND JANSEN: BROADBAND DOHERTY POWER AMPLIFIER VIA REAL FREQUENCY TECHNIQUE

107

Fig. 17. Measured drain efficiency of the broadband Doherty power amplifier (version I) with CW signal.

Fig. 20. Measured gain of the broadband Doherty power amplifier (version II) with CW signal.

Fig. 18. Measured drain efficiency of the broadband Doherty power amplifier (version II) with CW signal.

Fig. 21. Measured upper band IMD3 of the broadband Doherty power amplifier (version I) with two-tone signal.

Fig. 19. Measured gain of the broadband Doherty power amplifier (version I) with CW signal.

Fig. 22. Measured lower band IMD3 of the broadband Doherty power amplifier (version I) with two-tone signal.

frequency of 2.6 GHz [26]. The Rogers RF substrate 4350B with and mm is utilized to fabricate the circuit layouts, which were optimized through the electromagnetic simulation by applying the ADS Momentum harmonic-balance in Fig. 3(d) was adco-simulation. The electrical length justed for the broadband Doherty power amplifier version I, which exhibits explicit efficiency enhancement over the band 2.4–2.9 GHz and for the version II, which provides more than 40% drain efficiency at the 5–6-dB output power back-off level between 2.2–3.0 GHz, as depicted in Figs. 11 and 12.

B. Measurement Results The scattering parameters of the fabricated broadband Doherty power amplifiers were measured under their nominal bias mA, V, and points, namely, V). The measurement results (with solid lines) and the simulation results (with dashed lines) are reported between in Figs. 13 and 14, respectively. Differences in both versions have been observed due to the different electrical lengths of the phase compensation lines. Continuous wave

108

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 23. Measured upper band IMD3 of the broadband Doherty power amplifier (version II) with two-tone signal.

Fig. 26. Measured ACPR with WiMAX signal at 2.6 GHz.

TABLE I RECENT RESEARCH ON BROADBAND DOHERTY POWER AMPLIFIERS

Fig. 24. Measured lower band IMD3 of the broadband Doherty power amplifier (version II) with two-tone signal.

Fig. 27. Definition of the phase dispersion associated with the frequency-dependent impedances: (a) and . (b) Curve B. (c) Curve C. (d) and .

Fig. 25. Measured gain, drain efficiency and PAE with WiMAX signal at 2.6 GHz.

(CW) signals were applied to characterize the drain efficiency and output power performance. Fig. 15 presents the measured drain efficiency at the saturation and the 5–6-dB back-off power levels of both broadband Doherty power amplifiers (versions I and II), while the associated measurement results of the output power are given in Fig. 16. The measured drain efficiency on dependence of the output power is presented in Figs. 17 and 18. The measured gain with respect to the input power is reported in Figs. 19 and 20. The linearity properties of the fabricated Doherty power amplifiers were evaluated by

measuring the third-order inter-modulation (IMD3) characteristic by applying two-tone signals with 5-MHz frequency spacing in the frequency range from 2.2 to 3 GHz with a step of 100 MHz. Frequency-dependent harmonic cancellation have been observed for both versions [27], as illustrated in Fig. 21 to Fig. 24. Moreover, WiMAX (64 quadrature amplitude modulation (64 QAM) digital modulated) signal with PAPR of 10.01 dB at 0.01% probability of complementary cumulative distribution function (CCDF) generated by the Agilent signal studio was applied to measure the adjacent channel power ratio (ACPR) of the Doherty power amplifiers at the center frequency 2.6 GHz. The ACPR was measured with the channel integration bandwidth of 4.2 MHz at 5-MHz offset point from the center frequency. Measurement results are reported in Figs. 25 and 26. Version I exhibits higher average drain efficiency than Version II by applying the WiMAX signal since it possesses much

SUN AND JANSEN: BROADBAND DOHERTY POWER AMPLIFIER VIA REAL FREQUENCY TECHNIQUE

higher average drain efficiency at the back-off power level at 2.6 GHz. Moreover, the measured power-added efficiency (PAE) is also reported in Fig. 25. The PAE performance can further improved if the impedances associated with the maximum PAE is selected as the goal of the nonlinear optimization in the design of the broadband Doherty power amplifiers.

109

the -parameter matrix of

IV. CONCLUSION The bandwidth limitations of a Doherty power amplifier have been discussed with considering the OMNs of both sub-amplifiers by applying the real-frequency technique. It reveals the generalized and novel bottlenecks for the bandwidth extension in a broadband Doherty power-amplifier design. Design methods of broadband Doherty power amplifiers have been for presented with the introduced current modulation factor both optimization methods. The classical Doherty power amplifier’s topology was modified to mitigate the optimization’s requirements on constructing the peaking power amplifier. Two versions of the broadband Doherty power amplifier have been designed and fabricated. The performance of the fabricated broadband Doherty power amplifiers (versions I and II) are compared with those in the previous publications in Table I. The frequency band, over which the drain efficiency greater than 40% can be obtained at the 5–6-dB back-off output power level, is utilized for the performance evaluation of the broadband Doherty power amplifiers. Version I exhibits explicit drain efficiency enhancement from 2.3 to 2.8 GHz, while Version II provides drain efficiency higher than 40% over the frequency range from 2.2 to 2.9 GHz at the 5–6-dB output power back-off power level. Up to the authors’ knowledge, the presented measurement performances of the broadband Doherty power amplifiers in this paper are among the highest ones with equal size transistors. Moreover, the works proposed by Bathich et al. [9], [10] utilize SMD capacitors in the broadband matching networks. The self-resonance frequency of commercial available SMD components limits their application in the microwave circuit design. The production tolerance will generally result in unpredictable deviations between the simulation and measurement results that, in turn, presents difficulties in the post tuning procedure. In this work, microstrip transmission lines are employed in the design, which overcomes these problems. No post-tuning is necessary during the measurements because of the accurate performance prediction with the ADS co-simulation. Acceptable nonlinearity characteristics have been measured, which can further improved applying digital predistortion techniques.

APPENDIX A STABILITY CIRCLE DEFINITION FOR THE CASE OF BACK-OFF OPTIMIZATION DESIGN METHOD ,

, and are the -parameters of , , and with the norm impedance . can be represented by with the knowledge of

(23) The current modulation coefficient

is then obtained as (24)

Further, the desired load impedance of the peaking power amplifier looking into the combination junction at the , saturation power level can be represented in terms of calculated as

(25)

(26) with

(27) , its scattering parameter should As for the impedance be limited in the area for the a feasible implementation of the broadband matching network. Under the assumption of , the impedance should be selected out of the circle described by its center and radius [28]. Specially, represents the stable boundary of (28) (29)

110

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

APPENDIX B DEFINITION OF PHASE DISPERSION WITH IN A GIVEN FREQUENCY RANGE Fig. 27 illustrates three convex curves representing frequency-dependent impedances in the Smith chart, where the arrows indicate the increasing frequency directions. , in Fig. 27(a), helps to determine the increasing frequency direction. It is defined as the phase between the instantaneous tangential vector at and the vector starting from the scattering to that at in the Smith chart. As in parameter at Fig. 27(a), the direction of the instantaneous tangential vector , is so defined that it always fulfills is a small frequency step. represents the phase where starting from the positive horizontal direction to the tangential vector in clockwise direction. Under the assumption of two and with , the phase increment frequency points is defined as at frequency (30) For convex curves, possesses the same polarity in the fre. The phase dispersion of the impedance quency rang in the given frequency range

(31) where is located in the frequency range . Fig. 27(b) and (c) describe two impedance curves possessing the same pattern with different increasing frequency directions. The phase dispersion of the impedance represented by the curve in Fig. 27(b) is positive, while the phase dispersion of the other is negative. The unit normal vector is 90 behind the tangential vector (clockwise), as illustrated in Fig. 27. represents the phase between instantaneous unit normal vectors of two curves at the . Fig. 27(d) illussame frequency point with and between curves B and trates the instantaneous phase and . The frequency-dependent C at frequency points over the specified frequency range is employed for estimating the feasibility of a broadband matching. ACKNOWLEDGMENT The authors would like to thank A. Noculak, Chair of Electromagnetic Theory of Rheinisch-Westfälische Technische Hochschule (RWTH) Aachen, Aaachen, Germany, for fruitful discussions and support during the measurements. The authors are also grateful to R. Baker, CREE Inc., Durham, NC, for providing the large signal model of the CREE transistor. REFERENCES [1] J. Kim, J. Moon, Y. Y. Woo, S. Hong, I. Kim, J. Kim, and B. Kim, “Analysis of a fully matched saturated Doherty amplifier with excellent efficiency,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 2, pp. 328–338, Feb. 2008. [2] S. C. Cripps, RF Power Amplifiers for Wireless Communications. Boston, MA: Artech House, 1999.

[3] Y. Y. W. Y. Yang and J. Yi, “Optimum design for linearity and efficiency of a microwave Doherty amplifier using a new load matching technique,” Microw. J., vol. 44, pp. 20–36, Dec. 2001. [4] J. Moon, J. Kim, I. Kim, J. Kim, and B. Kim, “A wideband envelope tracking Doherty amplifier for WiMAX systems,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 1, pp. 49–51, Jan. 2008. [5] “Wideband Doherty Amplifier for WIMAX,” Nitronex Corporation, Durham, NC, Tech. Rep, 2007. [6] D. Kang, J. Choi, D. Kim, D. Yu, K. Min, and B. Kim, “30.3% PAE HBT Doherty power amplifier for 2.5–2.7 GHz mobile WiMAX,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2010, pp. 769–799. [7] J. Qureshi, N. Li, W. Neo, F. van Rijs, I. Blednov, and L. de Vreede, “A wideband 20W LMOS Doherty power amplifier,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2010, pp. 1504–1507. [8] A. Markos, K. Bathich, and G. Boeck, “Design of GaN HEMT based Doherty amplifiers,” in IEEE 11th Annu. Wireless Microw. Technol. Conf., Apr. 2010, pp. 1–5. [9] K. Bathich, A. Z. Markos, and G. Boeck, “Frequency response analysis and bandwidth extension of the Doherty,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 4, pp. 934–944, Apr. 2011. [10] K. Bathich, A. Markos, and G. Boeck, “A wideband GaN Doherty amplifier with 35% fractional bandwidth,” in Eur. Microw. Conf., 2010, pp. 1006–1009. [11] H. Carlin and J. Komiak, “A new method of broadband equalization applied to microwave amplifiers,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 2, pp. 93–99, Feb. 1979. [12] B. Yarman and H. Carlin, “A simplified real frequency technique appliable to broadband multistage microwave amplifiers,” in IEEE MTT-S Int. Microw. Symp. Dig., 1982, pp. 529–531. [13] B. S. Yarman, “Broadband matching a complex generator to a complex load,” Ph.D. disseration, Dept. Elect. Eng., Cornell Univ., Ithaca, NJ, 1982. [14] A. Aksen, “Design of lossless two-ports with mixed lumped and distributed elements for broadband matching,” Ph.D. disseration, Dept. Elect. Eng., Ruhr Univ., Bochum, Germany, 1994. [15] D.-T. Wu, F. Mkadem, and S. Boumaiza, “Design of a broadband and highly efficient 45W GaN power amplifier via simplified real frequency technique,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2010, pp. 1090–1093. [16] V. Belevitch, Classical Network Theory. San Francisco, CA: HoldenDay, 1968. [17] P. P. Herbert and J. Carlin, Wideband Circuit Design. Boca Raton, FL: CRC, 1997. [18] H. W. Bode, Network Analysis and Feedback Amplifier Design. Boston, MA: Tech. Composition Company, 1959. [19] F. M. Fano, “Theoretical limitations on the broadband matching of arbitrary impedances,” MIT, Cambridge, MA, Tech. Rep., 1960. [20] Y. S. Binboga, Design of Ultra Wideband Power Transfer Networks. New York: Wiley, 2010. [21] S. Boyd and L. Vandenberghe, Convex Optimization. New York: Cambridge Univ. Press, 2004. [22] E. L. C. Paolo and G. Franco, High Efficiency RF and Microwave Solid State Power Amplifiers. New York: Wiley, 2009. [23] J. Moon, J. Kim, and B. Kim, “Investigation of a class-J power amplifier for optimized operation,” IEEE Trans. Microw. with a nonlinear C Theory Tech., vol. 58, no. 11, pp. 2800–2811, Nov. 2010. [24] P. Wright, J. Lees, J. Benedikt, P. Tasker, and S. Cripps, “A methodology for realizing high efficiency class-J in a linear and broadband PA,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 12, pp. 3196–3204, Dec. 2009. [25] S. A. Maas, Nonlinear Microwave and RF Circuits. Boston, MA: Artech House, 2002. [26] M. L. H. Jia-Sheng, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001. [27] J. Kim, B. Fehri, S. Boumaiza, and J. Wood, “Power efficiency and linearity enhancement using optimized asymmetrical Doherty power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 2, pp. 425–434, Feb. 2011. [28] G. Gonzalez, Microwave Transistor Amplifiers. Englewood Cliffs, NJ: Prentice-Hall, 1996. [29] J. Hoversten, “Efficient and linear microwave transistors for high peak-to-average ratio signals,” Ph.D., Dept. Electron. Eng., Colorado Univ., Boulder, CO, 2010. [30] K.-J. Cho, J.-H. Kim, and S. Stapleton, “A highly efficient Doherty feedforward linear power amplifier for W-CDMA base-station applications,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 292–300, Jan. 2005.

SUN AND JANSEN: BROADBAND DOHERTY POWER AMPLIFIER VIA REAL FREQUENCY TECHNIQUE

Guolin Sun received the B.Sc. degree in electrical engineering from the Beijing University of Aeronautics and Astronautics, Beijing, China, in 2004, the Dipl.Ing. degree in electrical engineering from the Rheinisch-Westfälische Technische Hochschule (RWTH) Aachen, Aachen University, Aachen, Germany, in 2008, and is currently working toward the Dr. Ing. at the Institut für Theoretische Elektrotechnik (ITHE), RWTH Aachen. His research interests involve design of broadband and high-efficiency microwave power amplifiers.

111

Rolf H. Jansen (M’75–SM’84–F’89) received the Ph.D. degree in electrical engineering from the Rheinisch-Westfälische Technische Hochschule (RWTH) Aachen, Aachen, Germany, in 1975. From 1976 to 1979, he performed research as a Senior Scientist with RWTH. From 1979 to 1986, he was an Associate Professor with Duisburg University, Duisburg, Germany. From 1986 to 1992, he was a Senior Research Engineer involved with GaAs monolithic microwave integrated circuit (MMIC) technology with GEC Marconi, Caswell, U.K. Since 1994, he has been the Chair of Electromagnetic Theory with RWTH Aachen. From 2000 to 2004, he was the Dean of the Electrical Engineering and Information Technology Faculty. He has authored or coauthored approximately 250 technical papers concerning microwave computer-aided design (CAD), GaN technology, as well as organic LED (OLED) technology. Prof. Jansen has served the IEEE for 30 years including having been the Division IV Director (1995–1996) and Germany Section chair (1997–1999).

112

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

A 17–35 GHz Broadband, High Efficiency PHEMT Power Amplifier Using Synthesized Transformer Matching Technique Pin-Cheng Huang, Student Member, IEEE, Zuo-Min Tsai, Member, IEEE, Kun-You Lin, Member, IEEE, and Huei Wang, Fellow, IEEE Abstract—This paper presents a 17 GHz to 35 GHz broadband power amplifier (PA) using 0.15- m GaAs pHEMT technology. The synthesized transformer using microstrip line matching technique is proposed in this PA design to enhance the broadband frequency response and minimize the chip size. The design procedures are also presented. A high efficiency broadband PA in commercial 0.15 m GaAs pHEMT process with the best 1 dB of 22 dBm, sat of 23.5 dBm, and PAE of 40% are demonstrated to verify the design concepts. This PA has the highest PAE, smallest chip size, and wide fractional bandwidth among the broadband GaAs HEMT PAs from K to Ka band. Index Terms—Monolithic microwave integrated circuit (MMIC), GaAs PHEMT, broadband power amplifiers (PAs).

I. INTRODUCTION

T

HE growing demands in point to multi-point and satellite communication have motivated the need for broadband power amplifiers (PAs) design in both K and Ka band. A small chip size, broadband power amplifier that can cover most of K and Ka band is attractive because of the low fabrication cost [1], [2]. The main challenge of designing a PA over a broadband frequency range is to match the optimum load impedance and maintain wide-band gain performance simultaneously. The feedback topology [3], [4], distributed amplifiers [5], [6], and the balanced amplifiers [7], [8] are all commonly used to broaden the operating bandwidth. The main drawback of negative feedback method is gain degradation. Although the balanced amplifier can also achieve good input and output Manuscript received July 29, 2011; accepted September 14, 2011. Date of publication November 07, 2011; date of current version December 30, 2011. This work was supported in part by the National Science Council of Taiwan, R.O.C., under Grant NSC 95-2219-E-002-009, Grant NSC 95-2219-E-002-006, Grant NSC 95-2752-E-002-003-PAE, and Grant NSC 95-2218-E-002-057, and by the National Taiwan University under Excellent Research Project 95R0062AE00-01. P.-C. Huang was with the Graduate Institute of Communication Engineering and Department of Electrical Engineering, National Taiwan University, Taipei 106, Taiwan. He is now with the HTC Corporation, New Taipei City 231, Taiwan. Z.-M. Tsai was with the Graduate Institute of Communication Engineering and Department of Electrical Engineering, National Taiwan University, Taipei 106, Taiwan. He is now with the Department of Electrical Engineering, National Chung Cheng University, Chiayi County 621, Taiwan. K.-Y. Lin and H. Wang are with the Graduate Institute of Communication Engineering and Department of Electrical Engineering, National Taiwan University, Taipei 106, Taiwan (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2170091

matching over wide bandwidth, the balanced amplifiers use the 3-dB couplers to separate the input signal into the half amplifier and combine the output power. Each amplifier in the balanced structures still requires the output power matching circuit for maximum power delivery, which result in very large chip size. As the combining numbers of power amplifier increased, all these matching networks become more complex, which will also degrade output power due to the loss from passive power combining components. The distributed amplifier is a well known structure used in wideband system, but the low power-added efficiency and large chip area make the traveling-wave distributed topology unsuitable for power amplifier applications. Recently, the input transformer matched stack PA has demonstrated the broadband performance below 10 GHz [9]. The output distributed active transformer (DAT) matching topology is also widely used in CMOS PA design [10]–[14]. The DAT topology can provide impedance transformation and power combining at the same time. However, tuning the design parameters for the input transformer matched stack PA and DAT PA, such as the coupling coefficient, self inductance of inter-wound transformers, using EM software is time consuming and requires several design iterations. The coupling coefficient is also very sensitive to the process variation of layer thickness and line gap width, which may result in mismatch to optimum load impedance and degrade the power performance. In CMOS technology, the absence of back side metal results in higher self-inductance and stronger mutual coupling in coupled windings. With the back side ground in the GaAs based technologies, the wound transformers will have lower self resonance frequency and lower mutual coupling coefficient. Therefore, it is difficult to implement the DAT power amplifiers in GaAs pHEMT technologies at higher frequency. In addition, the use of transformer in the PA output matching design usually occupies large chip size, especially for high biasing dc currents. In this paper, we designed a broadband PA using the output matching topology realized with microstrip T-shaped impedance transformer. The parasitic capacitance of the device is absorbed into the output matching design. The synthesized transformer has better immunity to process variations and provides output binary power combining and dc biasing paths with low loss and small size. The bandwidth limitation and impedance transformation ratio are analyzed through the circuit parameters. The proposed PA not only provides the broadband power matching procedures for wideband PA, but also shows a significant low loss matching strategy for output binary power combining and output matching simultaneously. The results

0018-9480/$26.00 © 2011 IEEE

HUANG et al.: A 17–35 GHz BROADBAND, HIGH EFFICIENCY PHEMT POWER AMPLIFIER

113

Fig. 1. Proposed broadband PA topology.

indeed demonstrate state-of-the-art gain and PAE performance in GaAs HEMT broadband medium PA designs. II. DESIGN OF BROADBAND PA The realization of broadband power amplifier consists of three parts, which are: i) the device size selection, ii) output impedance transformer design, and iii) the input match. The device size and combining numbers can be selected according to the gain and power specifications. To achieve broadband power match, the output matching network should be designed to transform 50 to the desired load-pull impedance within the frequency band of interest. Finally the input matching network was designed to maintain the gain flatness. In the following sections, various design aspects of matching transformers are discussed, including bandwidth limitation, the impedance transformation ratio, and circuit realization technique. A. Broadband PA Architecture The proposed PA topology is shown in Fig. 1, which composes the gain shaping input matching circuit, the binary combined transistors, and the output matching. The gain flatness is achieved using the high pass input matching circuit cascaded with the device’s low pass frequency response, and the band pass shape of output matching. To deliver the specific power level with high gain performance, optimum device combining numbers and device gate width are selected via the similar concept reported in [15]. The load-line technique and wideband load-pull simulation are then performed using large signal model provided by WIN semiconductor [16] to determine the optimum impedances. Fig. 2 shows the load-pull simulation results from 17 GHz to 35 GHz. In this case the binary combined devices can be modeled as a voltage control current source shunt with a parallel RC network [17]. The values of the RC network can be extracted using the loadline technique and the loadpull simulation results. The value of is calculated from the loadline simulation. Once the value of is chosen, the value of can be estimated from the loadpull simulation results. Maximum output power transformation is expected between the source and termination load 50 when the device is matched. Here the resistance is chosen as the termination resistor for output transformer design. The is absorbed into output matching network to capacitor form the band pass impedance transformer. As a result, looking into the device drain terminal, the output matching impedances are close to the simulated load pull data from 17 GHz to 35 GHz (Fig. 2). Taking the parasitic capacitance into impedance

Fig. 2. Simulated loadpull impedance and output transformer matched impedance.

transformer considerations, the impedances seen from the device model resistor are also very close to 25 , which is equal to the calculated load-line data. Therefore flat output power performance over a wide bandwidth can be achieved. B. Output Impedance Transformer Fig. 3 shows the ideal transformer model, the coupled coils model and the equivalent T network. Ideally through a 1: transformer, we can transform 50 by ratio of . In practice, a monolithic transformer is usually constructed by two coupled and , as shown in Fig. 3(b). The mutual inducinductors, tance is denoted as , where (1) and is the coupling coefficient. Then the input impedance can be derived as (2) By choosing the inductances of and , and the coupling can be coefficient , the impedance transformation ratio decided. Usually the resonant capacitors and are added to perfectly match to the real part impedance at the input terminal to further reduce the loss of transformer [18]–[21]. Meanwhile, the coupling coefficient of the edge coupled or broadside coupled coils is sensitive to the gap width or thickness variation. In Fig. 3(c), the T-network uses three inductors to model the mutual coupled windings. This model is only valid for ac signal because it allows dc current to pass from one side to another. The T-network can be used for RF impedance transformer realization with dc blocking capacitors at ground and output port. Moreover, the T-model requires smaller series inductors by reand , respectively. The ducing the inductance to

114

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 4. The simulated gap width and the metal width variation versus the coupling coefficient of the edge-coupled transformer. Fig. 3. (a) The ideal transformer; (b) coupled coil model; (c) equivalent T network model.

inductance of shunt inductor is also small because the range of coupling coefficient is from 0 to 1. Compared to the coupled windings coils, the T-network provides design procedures to intuitively choose the design parameters without EM tuning. With smaller inductance, lower loss and smaller transformer size can be obtained. Moreover, the T-network is composed of three individual inductors, which means the coupling coefficient is less sensitive to the process variations. To illustrate the immunity regarding process tolerances, we simulated the broadside-coupled transformer, the edge-coupled transformer, and our proposed microstrip line transformer, all 0.22 nH and 0.32 nH. with the same self inductances: Fig. 4 shows the gap width and the metal width variation versus the coupling coefficient of the edge-coupled transformer. To achieve wideband PA performance, minimum coupling coefficient should be larger than 0.7. Even with the minimum gap separation width of 1 m, the coupling coefficient of the edgecoupled transformer is only 0.58. And by varying the gap width from 1 m to 4 m, the coupling coefficient of the edge-coupled transformer varies from 0.48 to 0.58. The coupling coefficient also changes from 0.54 to 0.58 when we set 10% variation on the metal width of the edge-coupled transformer. For the broadside-coupled windings, changing the dielectric slab thickness from 0.12 m to 0.17 m with 0.01 m step, the coupling coefficient of the broadside-coupled transformer varies from 0.73 to 0.82. After varying the separation of the two overlapped metals, from overlap 1 m to the gap separation width of 4 m, the coupling coefficient of the edge-coupled transformer vary from 0.78 to 0.46. The coupling coefficient shows tremendous changes once the separation distance and the dielectric thickness varied due to the process. The separation distance and the dielectric thickness versus the coupling coefficient of the broadside-coupled transformer are shown in Fig. 5.

Fig. 5. The simulated gap width and the dielectric thickness variation versus the coupling coefficient of the broadside-coupled transformer.

On the other hand, the T-shape transformer is composed of three individual inductors. Therefore the mutual inductances are better immune to the thickness or spacing change due to process variation. In the proposed T-shape microstrip line transformer, the coupling coefficient changes only from 0.75 to 0.76. The value and the electrical performance of microstrip transformer are less sensitive to the process variation compared to the coupled-line transformers. C. Limitations on Bandwidth and Impedance Transformation Ratio Since there are two resonators in both sides of transformer and the transformer is either electrically coupled or magnetically coupled, the bandwidth performance and impedance characteristic of transformer can be analyzed using coupled resonator filter theory [20]. Fig. 6 shows the two port equivalent

HUANG et al.: A 17–35 GHz BROADBAND, HIGH EFFICIENCY PHEMT POWER AMPLIFIER

115

circuit of coupled resonators impedance transformer. The transformer characteristics can be presented in matrix form as (3) (4) (5) (6)

Fig. 6. Transformer equivalent circuit of coupled resonators.

where and denote as the quality factor of inductors and , and represents the Thevenin’s equivalent voltage source. By observing Fig. 6, the normalized voltage waves can be expressed as

(7) The relationship between and can be solved from (3). Hence, the insertion loss (IL) and input return loss (IRL) of impedance transformer can be calculated as (8)

(9) To simplify the design procedure, two resonators are designed with the same self-resonant frequency, which means (10) After the device size and biasing point are selected, the impedance transformation ratio between the source and load is defined as (11) The two resonant frequencies of interest can be expressed as

Fig. 7. Insertion loss frequency response versus coupling coefficient.

coefficient. With higher coupling coefficient, the deeper the insertion loss trough appears in mid-band frequency response. The return loss also bounces in the middle of desired frequency. Therefore the coupling coefficient selection is a trade-off between the bandwidth and mid-band frequency response, as shown in Fig. 7. Meanwhile, in order to realize the impedance and transformer using T-network, the inductances of should be positive for circuit implementation. Therefore, from (15) Substitute (1) and (11) into (15), the impedance transformation ratio is limited by

(12) Substitute (1) and (10) into (11), we can express the resonant frequencies as (13) The operation bandwidth is calculated as (14) From (13), it is observed that increasing the coupling coefficient can separate the two resonant frequencies and increase the operation bandwidth of the impedance transformer. Figs. 7 and 8 present the IL and IRL frequency responses versus coupling

(16) Equations (14) and (16) indicate that the operation bandwidth of the impedance transformer is inversely proportional to the impedance transformation ratio. For high output power amplifier design, the impedance transis usually very large. One formation ratio from 50 to way to extend the impedance transformation ratio of the T-network is to increase the external quality factor by adding external shunt capacitors in both sides. By increasing the quality factors of resonators, the bandwidth of the transformer is decreased. The required coupling coefficient and the value of self-inducare also reduced. This results in a positive inductance tance in T-network for possible circuit realization. value of

116

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 9. Circuit diagram of proposed integrated broadband PA. Fig. 8. Input return loss frequency response versus coupling coefficient.

D. Broadband PA Realization Technique For biasing transistors with large dc current, considering the current density of the metal layer, low impedance transmission lines are used for the realization of inductors in the T-network. The inductance and Q are calculated through the following equation in [11]: (17) where and are the characteristic impedance and propagation constant of the transmission line, respectively. Finally, with the design constraints of bandwidth, impedance transformation ratio for the load impedance of 50 , the design procedures of T-shape transformer combined broadband PA are summarized as follows. i) Choose high gain and high output power devices using the optimum device selection method illustrated in [15]. Determine the optimum load impedance through load-line and load-pull analysis. The device combining numbers can be obtained from power specifications. In this power amplifier, to achieve 23 dBm output power under 4 V biasing supply, two devices of 4-finger transistors with 400- m total gate width are combined for the output stage. ii) Based on device output load model, calculate the inductance values, impedance transformation ratio, and operation bandwidth of the output matching transformer. The resistance and capacitance values of device output load model are 25 and 0.27 pF, respectively. The impedance transformation ratio is set to 0.5, and the operation bandwidth for the power amplifier should cover from 17 GHz to 35 GHz. Then the self and mutual inductance values of the impedance transformer can be calculated. The value , and the mutual inductance of self inductance are 0.22 nH, 0.32 nH, and 0.2 nH. iii) Divide the inductance values by combining numbers to in T-network transformer for output binary combining. Therefore the equivalent inductances of the series matching stubs are 0.01 nH and 0.06

nH. The equivalent inductances of the shunt matching stubs is 0.1 nH iv) Design the input conjugate matching circuit with high pass frequency response. To validate the binary T-shape combining transformer, a 17 GHz to 35 GHz broadband PA is designed using WIN Semiconductor’s 0.15- m GaAs pHEMT technology. The unit current gain and maximum oscillation frequency of transistors are 85 GHz and 200 GHz, respectively. The typical breakdown voltage is 10 V. This process provides air-bridge, MIM capacitors, and thin-film resistors on 4-mil substrate. Backside gold plating and slot via holes are also available. Fig. 9 shows the circuit schematic diagram of the proposed broadband PA. It is composed of binary combining two devices with T-shape matching circuits. By combining two devices with 4-finger, 200 m total gate width, the overall transistor size is 400 m. The odd mode resistor of 200 is placed between the two transistors to prevent the PA from odd mode oscillation [27], [28]. The desired even-mode signals are not affected by the odd mode suppression resistors. The value of odd mode resistor is calculated from the source and load stability circle simulation of transistors. The equivalent inductance is 0.2 nH, is 0.02 nH, is 0.12 nH, and is 0.18 pF. The circuit is simulated using Agilent’s ADS software, where the EM simulation are performed using SONNET. Fig. 10 shows the chip microphotograph. The chip size of the PA is 1.5 mm 1 mm. The simulated maximum saturation power of the power amplifier varies from 23 to 24 dBm for 17 GHz to 35 GHz, while the load pull simulation results show 24 to 24.3 dBm. III. MEASUREMENT RESULTS This PA is measured on-wafer. The PA is biased at the class A. The supply voltage is 4 V for each binary combining cell. The V to achieve maximum voltage gate bias points are all at swing. The total dc power consumption is 498 mW. The S-parameter is measured through Agilent E8361C vector network analyzer. The 0.9 dB loss for a single probe and the 2.5 dB loss of a single cable at 35 GHz are all calibrated in the power measurement. The measured and simulated S-parameters from 15 to 40 GHz are shown in Fig. 11. The amplifier has 11 dB gain dB from 17 GHz to 35 GHz. The input return loss is with

HUANG et al.: A 17–35 GHz BROADBAND, HIGH EFFICIENCY PHEMT POWER AMPLIFIER

117

TABLE I COMPARISON TABLE OF GAAS PHEMT BROADBAND PAS

Fig. 10. Chip photograph of designed broadband PA. The chip size is 1:5 mm .

21

better than 7 dB from 17 to 33 GHz, and better than 4 dB over the operation frequency. Power performances were measured by adding a signal source as input and an Agilent 8487A power sensor with Agilent E4419B power meter at the output port for measuring the output power. The bias condition is the same as the small-signal from 17 GHz to measurement. The output measured at 17 GHz 35 GHz are from 21 dBm to 22 dBm, and to 35 GHz changes from 22 dBm to 23 dBm. The measured

Fig. 11. Simulated and measured s-parameters of integrated broadband PA. The supply voltage is 4 V with 0:5 V gate biasing voltage.

0

power-added efficiency (PAE) at is better than 30% at 17 GHz to 35 GHz, as shown in Fig. 12. Table I compares the GaAs pHEMT based broadband and medium power amplifiers and our work. From the power density point of view, the proposed PA shows high power density with broadband frequency response in small chip size. Compare

118

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 12. Measured power performance frequency response from 17 GHz to 35 GHz. The supply voltage is 4 V with 0:5 V gate biasing voltage.

0

to [8] in Table I, which is a three-stage design, our proposed PA achieved comparable gain, higher PAE, higher gain per stage, and wider bandwidth in only single-stage design with a smaller chip size. The proposed PA demonstrates high power density, highest PAE performance, wide fractional bandwidth of 69%, and has the smallest chip size with good and flat power performance over a wide bandwidth among the reported GaAs HEMT PAs. IV. CONCLUSION A compact, broadband PA in commercial 0.15- m GaAs pHEMT process has been developed and measured. The binary combining technique, bandwidth and impedance transform limitations of T-shape impedance transformer are described and analyzed. The proposed output matching configuration has the advantage of increasing bandwidth with low loss and small size. The demonstrated PA shows over 10 dB gain from 17 GHz and power performances are to 35 GHz. Both output flat over desired frequency band. The PA achieves output of 21–22 dBm and of 22–23.5 dBm, respectively. The PAE is greater than 30% at 17 GHz to 35 GHz. These results show that the proposed broadband matching techniques is useful in the design of wideband PA with compact chip size. ACKNOWLEDGMENT The authors would like to thank G.-S. Huang from National Taiwan University for the helpful suggestions and assistance in measurements. This chip was fabricated by WIN semiconductor through the support of Taiwan National Chip Implementation Center, Hsin-Chu, Taiwan. REFERENCES [1] M. K. Siddiqui, A. K. Sharma, L. G. Callejo, and R. Lai, “A high power and high efficiency monolithic power amplifier for local multipoint distribution service,” in IEEE Int. Microwave Symp. Dig., 1998, vol. 2, pp. 569–572. [2] M. Akasishi, N. Yakuwa, K. Wada, and O. Myohga, “A Ka-band fully integrated transceiver multi-chip-module based on aluminum nitride multi-layer LCC package with the waveguide interface,” in IEEE Int. Microwave Symp. Dig, 1999, vol. 2, pp. 471–474.

[3] Y. Sasaki, H. Kurusu, H. Hoshi, T. Hisakaa, and Y. Mitsui, “20–30 GHz broadband MMIC power amplifiers with compact flat gain PHEMT cells,” in IEEE Int. Microwave Symp. Dig., 2001, vol. 2, pp. 1067–1070. [4] P.-C. Huang, K.-Y. Lin, and H. Wang, “A 4–17 GHz Darlington cascode broadband medium power amplifier in 0.18-m CMOS technology,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 1, pp. 43–45, Jan. 2010. [5] M.-C. Chuang, P.-S. Wu, M.-F. Lei, and H. Wang, “A miniature 15–50-GHz medium power amplifier,” in IEEE Radio Frequency Integrated Circuits Symp. Dig., Jun. 11–13, 2006, pp. 471–474. [6] M.-C. Chuang, M.-F. Lei, and H. Wang, “A broadband medium power amplifier for millimeter-wave applications,” in IEEE Asia-Pacific Conf., Proc., 2005, vol. 3, pp. 1593–1595. [7] K. W. Hamed, A. P. Freundorfer, and Y. M. M. Antar, “A new broadband monolithic passive differential coupler for K/Ka-band applications,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 6, pp. 2527–2533, Jun. 2006. [8] Y. C. Lee and C. S. Pard, “17–36 GHz broadband PHEMT MMIC power amplifier for point-to-multipoint applications,” in Proc. Int. Conf. Solid-State and Integrated Circuits, 2001, vol. 2, pp. 1320–1323. [9] P.-C. Huang, Z.-M. Tsai, K.-Y. Lin, and H. Wang, “A high efficiency, broadband CMOS power amplifier for cognitive radio applications,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 12, pp. 3556–3565, Dec. 2010. [10] I. Aoki, S. D. Kee, D. B. Rutledge, and A. Hajimiri, “Fully integrated CMOS power amplifier design using distributed active-transformer architecture,” IEEE J. Solid-State Circuits, vol. 37, no. 3, pp. 371–383, Mar. 2002. [11] I. Aoki, S. D. Kee, D. B. Rutledge, and A. Hajimiri, “Distributed active transformer—A new power-combining and impedance-transformation technique,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 1, pp. 316–331, Jan. 2002. [12] Y.-N. Jen, J.-H. Tsai, T.-W. Huang, and H. Wang, “A V-band fully integrated CMOS distributed active transformer power amplifier for 802.15.TG3c wireless personal area network applications,” in Proc. 2008 IEEE Compound Semiconduct. IC Symp., Oct. 2008, pp. 1–4. [13] Y.-N. Jen, J.-H. Tsai, T.-W. Huang, and H. Wang, “Design and analysis of a 55–71-GHz compact and broadband distributed active transformer power amplifier in 90-nm CMOS process,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 7, pp. 1637–1646, Jul. 2009. [14] S. Kim, K. Lee, B. Kim, S. D. Kee, I. Aoki, and D. B. Rutledge, “An optimized design of distributed active transformer,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 380–388, Jan. 2005. [15] P.-C. Huang, J.-L. Kuo, Z.-M. Tsai, and H. Wang, “A 22-dBm 24-GHz power amplifier using 0.18-m CMOS technology,” in IEEE Int. Microwave Symp. Dig., 2010, pp. 248–251. [16] 0.15 m InGaAs PHEMT Power Device Model Handbook, WIN Semiconductors Corp., 2008. [17] G. D. Vendelin, A. M. Pavio, and U. L. Rohde, Microwave Circuit Design Using Linear and Nonlinear Techniques, 2nd ed. New York: Wiley, 2005, ch. 9. [18] J. R. Long, “Monolithic transformers for silicon RF IC design,” IEEE J. Solid-State Circuits, vol. 35, no. 9, pp. 1368–1382, Sep. 2000. [19] J. C. Park and J. Y. Park, “Design of wideband LC balun embedded into organic substrate using coupled LC resonators,” in Proc. IEEE Conf. Microelectronics, 2001, pp. 85–88. [20] J.-S. Hong, “Couplings of asynchronously tuned coupled microwave resonators,” IEEE Proc. Microwaves, Antenna and Propagation, vol. 147, pp. 354–358, Oct. 2000. [21] D. H. Shin, J. Park, and C. P. Yue, “A low-power, 3–5 GHz CMOS UWB LNA using transformer matching technique,” in Proc. IEEE Asia Solid-State Circuits Conf. Dig., Nov. 12–14, 2007, pp. 95–98. [22] HMC283 Data. Hittite Microwave Corp., Chelmsford, MA, 2002. [23] J.-H. Tsai, H.-Y. Chang, P.-S. Wu, Y.-L. Lee, T.-W. Huang, and H. Wang, “Design and analysis of a 44-GHz MMIC low-loss built-in linearizer for high-linearity medium power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 6, pp. 2478–2496, Jun. 2006. [24] A. Bessemoulin, S. J. Mahon, J. T. Harvey, and D. Richardson, “Compact K-band watt-level GaAs PHEMT power amplifier MMIC with integrated ESD protection,” in IEEE Eur. Microw. Conf. Dig., Jun. 2006, pp. 1743–1746. [25] S. Chen and S. Nayak, “A 1/2 Watt high linearity and wide bandwidth PHEMT driver amplifier MMIC for millimeter-wave applications,” in IEEE Int. Microw. Symp. Dig., Jun. 11–16, 2006, pp. 1863–1866.

HUANG et al.: A 17–35 GHz BROADBAND, HIGH EFFICIENCY PHEMT POWER AMPLIFIER

[26] Y.-J. E. Chen, L.-Y. Yan, and W.-C. Yeh, “An integrated wideband power amplifier for cognitive raio,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 10, pp. 2053–2058, Oct. 2007. [27] R. G. Freitag, “A unified analysis of MMIC power amplifier stability,” in IEEE MTT-S Int. Microwave Symp. Dig., 1992, pp. 297–300. [28] L. Samoska, K.-Y. Lin, H. Wang, Y.-H. Chung, M. Aust, S. Weinreb, and D. Dawson, “On the stability of millimeter-wave power amplifiers,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2002, vol. 1, pp. 429–432.

Pin-Cheng Huang (S’07) was born in Kaohsiung, Taiwan, in 1982. He received the B.S. degree in electrical engineering from National Cheng Kung University, Tainan, Taiwan, in 2004, and the Ph.D. degree in communication engineering from National Taiwan University, Taipei, Taiwan, in 2011. He is currently a Senior RF Engineer in the HTC Corporation. His research interests include the design and analysis of microwave and MMW amplifiers, mixers, and oscillators.

Zuo-Min Tsai (S’03-M’06) was born in Mailo, Taiwan, in 1979. He received the B.S. degree in electronic engineering and the Ph.D. degree in communication engineering from National Taiwan University, Taipei, Taiwan, in 2001 and 2006, respectively. In August 2011, he joined the faculty of the Department of Electrical Engineering in National Chung Cheng University, as an Assistant Professor. His research interests are the theory of microwave or MMW circuits.

119

Kun-You Lin (S’00–M’04) was born in Taipei, Taiwan, in 1975. He received the B.S. degree in communication engineering from the National Chiao Tung University, Hsinchu, Taiwan, in 1998, and the Ph.D. degree in communication engineering from National Taiwan University, Taipei, Taiwan, in 2003. From August 2003 to March 2005, he was a Postdoctoral Research Fellow with the Graduate Institute of Communication Engineering, National Taiwan University. From May 2005 to July 2006, he was an advanced engineer with Sunplus Technology Co., Ltd., Hsin-Chu, Taiwan. Since July 2006, he joined the faculty of the Department of Electrical Engineering and Graduate Institute of Communication Engineering of National Taiwan University, where he is currently an Associate Professor. His research interests include the design and analysis of microwave/RF circuits. Dr. Lin is a member of the Phi Tau Phi Scholastic Honor Society.

Huei Wang (S’83–M’87–SM’95–F’06) was born in Tainan, Taiwan, on March 9, 1958. He received the B.S. degree in electrical engineering from National Taiwan University, Taipei, Taiwan, in 1980, and the M.S. and Ph.D. degrees in electrical engineering from Michigan State University, East Lansing, MI, in 1984 and 1987, respectively. During his graduate study, he was engaged in the research on theoretical and numerical analysis of electromagnetic radiation and scattering problems. He was also involved in the development of microwave remote detecting/sensing systems. He joined Electronic Systems and Technology Division of TRW Inc. since 1987. He has been an MTS and Staff Engineer responsible for MMIC modeling of CAD tools, MMIC testing evaluation and design and became the Senior Section Manager of MMW Sensor Product Section in RF Product Center. He visited the Institute of Electronics, National Chiao-Tung University, Hsin-Chu, Taiwan, in 1993 to teach MMIC related topics and returned to TRW in 1994. He joined the faculty of the Department of Electrical Engineering of National Taiwan University, Taipei, Taiwan, as a Professor in February 1998. He served as the Director of Graduate Institute of Communication Engineering of National Taiwan University from August 2006 to July 2009. Dr. Wang is a member of the honor societies Phi Kappa Phi and Tau Beta Pi. He received the Distinguished Research Award of National Science Council, Taiwan, in 2003. He was the Richard M. Hong Endowed Chair Professor of National Taiwan University in 2005–2007. He was elected as an IEEE Fellow in 2006, and has been appointed as an IEEE Distinguished Microwave Lecturer for the term of 2007–2009. He received the Academic Achievement Award from Ministry of Education, Taiwan, in 2007, and the Distinguished Research Award from Pan Wen-Yuan’s Foundation in 2008. He was elected as a National Chair Professor by Ministry of Education, Taiwan, in 2010.

120

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

A 50-Mb/s CMOS QPSK/O-QPSK Transmitter Employing Injection Locking for Direct Modulation Shengxi Diao, Yuanjin Zheng, Member, IEEE, Yuan Gao, Member, IEEE, San-Jeow Cheng, Member, IEEE, Xiaojun Yuan, Senior Member, IEEE, Minkyu Je, Member, IEEE, and Chun-Huat Heng, Member, IEEE

Abstract—A 50-Mb/s quadrature phase-shift keying (QPSK)/ offset quadrature phase-shift keying (O-QPSK) transmitter suitable for biomedical high-quality imaging application is presented. Centered at 915 MHz, the phase modulation is achieved by directly modifying the self-resonant frequency of an LC voltage-controlled oscillator through capacitor bank switching. By eliminating many unnecessary building blocks in the conventional QPSK/O-QPSK transmitter, significant power and area savings are achieved. Implemented in 0.18- m CMOS technology, it occupies an active core area of 0.28 mm2 . With 305-MHz injection frequency and consuming 5.6 mW under 1.4-V supply, the transmitter achieves error vector magnitude (EVM) of 11.4%/5.97% for O-QPSK/QPSK modulation while delivering output power of 3 dBm at 50 Mb/s. By lowering the injection frequency to 101.67 MHz, it consumes 5.88 mW under the same supply voltages while delivering an output power of 3.3 dBm. The transmitter achieves measured EVM of 6.4% at 50 Mb/s under QPSK modulation. Index Terms—CMOS, endoscopy, injection locking (IL), low power, offset quadrature phase-shift keying (O-QPSK), pill camera, quadrature phase-shift keying (QPSK), transmitter.

I. INTRODUCTION ITH THE recent development of wireless medical applications such as ingestible capsule endoscopy and multichannel neural recording, there is a growing demand for an energy-efficient transmitter with a high data rate. In 1999, the Medical Implant Communication Services (MICS) [1] band of 402–405 MHz with 300-kHz channel spacing has been approved by the Federal Communications Commission (FCC), and it is widely used for implanted medical devices. However, it only allows transmission up to 500 kb/s and cannot support high-resolution (640 480) image transmission with fast frame rate 10 f/s . Although there have been many proposals on high data rate in-body communication targeting for wireless body area networks (WBANs), a standard has yet

W

to be finalized. Various compression or sorting techniques [2], [3] have also been proposed to reduce the size of image data to enable faster frame rate. However, this might deteriorate the image quality and might not be desirable for diagnostic purpose. As a rough estimate, given color depth of 8 bits/pixel, frame size of 640 480 and frame rate of 10 f/s, the required raw data rate is in the proximity of 24.6 Mb/s. An ingestible medical imaging device such as a pill camera requires at least 8 h of continuous operation once ingested. The device size constraint limits the ultimate capacity of battery. Therefore, it is important for the proposed transmitter to achieve high data rate transmission while consuming very little power. In addition, high chip-level integration is desirable to achieve a small form factor for the prototype. In this paper, we propose an alternative architecture for quadrature phase-shift keying (QPSK) or offset-quadrature phase-shift keying (O-QPSK) transmitter centered at a 915-MHz industrial–scientific–medical (ISM) band. Part of the work has been presented in [4]. The proposed architecture achieves high data-rate transmission with low power consumption through direct phase modulation employing the injection-locking (IL) technique. The phase modulation is achieved by directly modifying the self-resonant frequency (SRF) of the LC voltage-controlled oscillator (VCO). In this paper, we will discuss the design consideration of the IL-VCO-based transmitter in depth. More experimental results compared to [4] have also been incorporated in this paper. This paper is organized as follows. The system design considerations are first discussed in Section II. This is followed by the proposed QPSK/O-QPSK transmitter architecture in Section III. Section IV shows the CMOS implementations. The measurement results and conclusion are given in Sections V and VI, respectively. II. SYSTEM DESIGN CONSIDERATION

Manuscript received April 25, 2011; revised October 15, 2011; accepted October 21, 2011. Date of publication November 18, 2011; date of current version December 30, 2011. This work was supported by the Agency for Science, Technology and Research (A*STAR), Science and Engineering Research Council (SERC) Medtech Research Program under Grant 082-140-0033 and by the National University of Singapore (NUS) under Grant NUS AcRF R-263-000-562112. S. Diao, Y. Zheng, Y. Gao, S.-J. Cheng, X. Yuan, and M. Je are with the Institute of Microelectronics (IME), Agency for Science, Technology and Research (A*STAR), Singapore 117685 (e-mail: [email protected]). C.-H. Heng is with the Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117576 (e-mail: [email protected]. sg). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2174377

Due to the stringent requirements for an in vivo transmitter, it prompts us to reconsider the possibility of simplifying the transmitter architecture and shift the design burden to the external receiver side. In this section, we will go through various system considerations that lead to the simplified transmitter architecture. A. Frequency Band The selection of frequency band depends on a lot of factors, such as body loss, antenna sizing, ease of integration, and the desired data rate. A detailed study of in-body (including radiation loss, attenuation loss , and reflection loss) and antenna

0018-9480/$26.00 © 2011 IEEE

DIAO et al.: 50-Mb/s CMOS QPSK/O-QPSK TRANSMITTER EMPLOYING IL

121

TABLE I TARGET PILL CAMERA TRANSMITTER SPECIFICATIONS

Fig. 1. Loss versus frequency for in-body wireless transmission [5].

loss has been done in [5] and is reproduced in Fig. 1. As illustrated, there is little difference in total loss if the operating frequency is below 1 GHz. Beyond 1 GHz, the in-body loss dominates and higher total loss is expected. Although an MICS band is commonly used for implantable medical devices, the allocated bandwidth of 5 MHz might not be sufficient for the targeted data rate of 24.6 Mb/s. In addition, it is difficult to integrate a compact and high-quality on-chip spiral inductor for frequency centered around 400 MHz. In this project, the U.S. ISM band (902–928 MHz) is chosen, which provides sufficient bandwidth for the desired data rate with added benefits of smaller inductor/antenna size and loss. It should be pointed out that 900 MHz is reported in [4] as a proof of concept only. In this paper, the center frequency is adjusted to meet the chosen ISM band. B. Modulation Scheme Given the limited allocated bandwidth 26 MHz , a bandwidth efficient modulation scheme has to be chosen. Simple on–off keying (OOK) with twice the bandwidth requirement is thus not desirable. Popular constant amplitude frequency shift keying (FSK) with a relaxed power amplifier (PA) requirement is also not chosen as most of the reported FSK transceivers [6]–[8] have a limited data rate up to a few megabits/second only. In theory, QPSK doubles the bandwidth efficiency of OOK and quadruples the bandwidth efficiency of FSK [9]. In [10], the reported O-QPSK architecture has an achieved data rate up to 17.5 Mb/s with much relaxed requirement on the PA. Therefore, QPSK and O-QPSK are chosen for this project. C. Power Budget A typical pill size endoscope with two tiny batteries (Energizer 399 model) has a total capacity of 167.4 mW/h. For 8 h of operation time, this translates to an average power consumption of 20.9 mW. The image sensor and LED lighting employed can consume up to 12 mW under normal operations (10 f/s) [11]. Therefore, the designed transmitter and digital baseband circuitry should consume less than 8.9 mW in total. The targeted specifications based on system considerations are tabulated in Table I.

Fig. 2. (a) Conventional QPSK transmitter. (b) O-QPSK transmitter in [10].

III. PROPOSED DIRECT QPSK/O-QPSK TRANSMITTER Conventional QPSK transmitter [12] shown in Fig. 2(a) usually employs quadrature mixing to generate the desired RF waveform. The modulation is achieved by many analog and RF building blocks, such as digital-to-analog converters (DACs), filters, mixers, phase-locked loops (PLLs), summers, and PAs. Although it offers flexibilities such as digital baseband filtering and pulse shaping, it often results in high power consumption for high data-rate transmission due to tight power-bandwidth tradeoff of various blocks. In addition, the mismatch between in-phase (I) and quadrature (Q) paths will limit the quality of the modulated signal. The O-QPSK transmitter shown in Fig. 2(b) relaxes the power-bandwidth tradeoff by eliminating various building blocks such as DACs, filters and mixers. It achieves lower power consumption and direct modulation by employing simple RF phase multiplexers and multiphase PLLs. However, the need of multiphase PLLs and VCOs operating at twice the desired output frequency limits its minimum achievable power consumption. Recently, the injection-locked oscillator has gained popularity for low power transmitters [13], [14]. The key is its simplified architecture, which only involves a reference generator and an LC VCO. It has been successfully applied for low-power OOK [15] and FSK [16] transmitters with a data rate up to 180 kb/s. This work firstly exploits the phase

122

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 3. Block diagram of proposed QPSK/O-QPSK transmitter.

Fig. 5. Schematic of the proposed QPSK/O-QPSK transmitter.

than the on-chip LC VCO [18]. Therefore, good error vector magnitude (EVM) performance for the transmitter can be obtained with a good injected reference source. On the contrary, the poorer phase-noise performance of the on-chip VCO for the conventional architectures shown in Fig. 2 will impact the EVM. Fig. 4. Phase modulation through modifying SRF of LC tank.

IV. CIRCUIT IMPLEMENTATION versus injected frequency relationship in IL-VCOs to realize low-power QPSK/O-QPSK transmitters, as shown in Fig. 3. The proposed architecture consists of only an IL-VCO, a polarity swap circuit, a buffer, and a mapping circuitry, which transforms the input I and Q signals to the corresponding output phases. By eliminating the multiphase PLL and operating the VCO at the desired output frequency, the power consumption can thus be further reduced. The phase-modulation principle of the proposed architecture is illustrated in Fig. 4. Although the output frequency of the IL-VCO is locked to the harmonic of the injected signal, its output phase is actually determined by the difference between the SRF of the VCO LC tank and the harmonic of the injected signal [17]. As illustrated, by controlling the SRF of the LC tank to be lower than the harmonic frequency of the injected signal (solid lines), the locked LC tank signal leads the harmonic of the injected signal by 45 . On the other hand, by making the SRF higher than the harmonic of the injected signal (dotted lines), the locked LC tank signal lags behind the harmonic of the injected signal by 45 . Therefore, by changing the SRF of the LC tank, we can create a phase difference of 90 in the output signal. The SRF of the LC tank can be easily modified through capacitor bank switching. To generate all four phases required for QPSK/O-QPSK modulation, additional polarity swap circuit is employed to introduce 180 phase shift to the output signal. By employing both the capacitor bank and polarity swap circuit, we could thus realize 45 , 45 , 135 , and 135 phase shifts required for QPSK/O-QPSK modulation, as shown in Fig. 4. Another added benefit of the proposed transmitter is that the output phase noise depends on the injected reference rather

The proposed transmitter is shown in Fig. 5. The IL-VCO consists of a symmetrical nMOS cross-coupled pair (NM2, NM3), an LC tank incorporating a center-tapped differential and a capacitor bank, a differential pair inductor transistors (NM4, NM5) for signal injection, and tail currents and ). and are the differential injected ( centered signals. The free-running LC VCO has an SRF . The designated around the targeted carrier frequency harmonic of the injected signal is chosen to be the same as . The SRF can be changed by switching the capacitor bank to generate the desired phase shift, as explained earlier. , , and the capacitor bank are key design In this design, parameters and will be discussed in Sections V and VI. The differential inductance is chosen to maximize its quality factor at around 915 MHz. Large and parallel tank resistance will reduce the tank current required for larger voltage swing and lead to lower power consumption. Based on Momentum simulation, a differential inductor with value of 20.8 nH with a factor of 5 is designed. A. Locking Time Consideration Although the proposed transmitter can support both QPSK and O-QPSK modulation, O-QPSK modulation is generally preferred as it allows the use of a nonlinear power-efficient PA [19] due to less abrupt phase change and relatively constant amplitude. For the targeted data rate of 25 Mb/s with O-QPSK modulation, a symbol period of 80 ns is needed. However, since a half symbol delay is introduced between I and Q paths for O-QPSK modulation, the phase change occurs every 40 ns. In general, it takes time for the IL-VCO to lock to the desired phase and the

DIAO et al.: 50-Mb/s CMOS QPSK/O-QPSK TRANSMITTER EMPLOYING IL

locking time lows [20]:

is related to the locking range

123

as fol-

(1) To allow sufficient phase information to transmit for the is set to one-quarter of the symbol whole symbol period, of around 64 MHz. For QPSK period. This gives rise to would be halved due to the much modulation, the resulting relaxed requirement. and For the IL-VCO, the locking range is related to as follows [21]: (2)

Fig. 6. Phase characteristics for !

0!

for IL-VCO.

(3) where is the injected current of the th harmonic. For certain reference frequency, this sets the desired ratio of to . As an example, if a 305-MHz reference signal is used, greater than 0.57 is required. It should be pointed out that the locking time in (1) considers the worst case scenario where and . the largest phase difference is assumed between For our proposed architecture, the initial phase difference is aland would result in much faster locking time ways within requirement. Although larger is desirable for and smaller faster locking time, it has to be kept smaller than the reference to prevent false locking. frequency B. Phase Range Consideration The phase characteristic for the IL-VCO versus different has been studied in [17] and [21]. The following expression without any weak injection assumption has been derived [21]: (4) where is the phase change due to the difference between and . In [17] and [21], is fixed and is varied to obtain the phase characteristics, which is generally the case while studying IL behavior. Under this scenario, the left-hand side of (4) is since is fixed. This gives symmetric around rise to symmetric phase characteristic under weak injection asis fixed sumption. However, for our proposed architecture, is changed to obtain the phase characteristics. Thereand fore, the left-hand side of (4) is no longer symmetric around . This results in two distinct phase features compared to [17] and [21], as shown in Fig. 6. Firstly, the phase even under range is no longer symmetric around weak injection assumption. Secondly, the phase characteristic seems to saturate with larger . For identical phase range covwill lead to smaller change in erage (within 90 ), smaller . This is challenging to design as smaller is needed to obtain fine phase resolution, which leads to a finer capacitance tuning requirement. It will be shown later that this requirement

can be further relaxed as phase matching to 45 is not required and only phase difference of 90 between constellations needs is related to as (4), and is also to be maintained. Since linked to circuit parameters, such as and , a relationship can be derived that relates with . According to this, the required capacitance tuning can be estimated from the gra, as follows: dient of Fig. 6, i.e.,

(5) where corresponds to

and are the SRF and capacitance 45 phase shift. Therefore,

(6) On the other hand, larger will result in wider variations, which might eventually be limited by the achievable to ratio. As an example, for any given inductance, assuming : ratio of 4:1 for typical high- switched capacitor array [22], the allowable frequency variation is about . With parasitic considerations, the achievable range is even smaller. C. EVM Consideration To achieve raw bit-error rate (BER) better than 10 , a typical QPSK transmitter requires an EVM better than 23% [10]. By employing forward error correction (FEC) within the data packet, the actual BER can be improved to 10 , which is sufficient for video transmission [23]. It should be pointed out that the focus of our EVM analysis is on systematic constellation errors due to capacitor bank design. The EVM due to other random noise sources are not considered in this analysis. To estimate the EVM, the vector plot shown in Fig. 7 can be used. In is the ideal vector corresponding to particular the plot, vector is the actual transmitted vector due constellation and vector to magnitude error and phase error . If the phase error

124

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 7. Vector plot for EVM derivation.

Fig. 8. IL-VCO and its vector diagram [21].

is assumed to be small, a right-angle triangle can be formed, as illustrated, and the EVM can be obtained as follows: (7) Assuming both and contribute equally to EVM, this will give rise to the following error constraints, i.e., and . To better quantify the EVM, we can proceed to derive the magnitude error based on Fig. 8. It should be pointed out that Fig. 8 has been employed in [21] to derive the phase-frequency relationship for any injection strength. However, we expand on this conceptual diagram in this paper to work out the EVM impact and the corresponding constraint on capacitor bank design. is given as As shown in Fig. 8, the total vector current

Fig. 9. EVM versus phase error (') at: (a) +45 and (b)

045

.

where , , and are the parameters associated with the resonant tank. After some algebra manipulation, the following amplitude can be obtained: closed-form formula for (10)

The desired occurs when and . It should be noted that and are related according to (4) (11) By solving (10) and (11), the relative magnitude error can thus be found (12)

(8) where is the transconductance of the transistor, and is the oscillator output voltage. Here we assume that the injection from the oscillator current has a phase difference of 45 output. Once the total current is determined, the oscillator output can be obtained as follows: (9)

From the above derivation, it is obvious that the magnitude . The resulting EVM error is also related to the phase error due to the phase error can thus be obtained according to (7) and is illustrated in Fig. 9. As shown, for EVM smaller than 23%, a phase error as large as 10 can be tolerated for all values. Larger allowable phase error relaxes the capacitor tuning requirement. The relative magnitude error is also shown in Fig. 10. One interesting note is that the resulting magnitude error due to

DIAO et al.: 50-Mb/s CMOS QPSK/O-QPSK TRANSMITTER EMPLOYING IL

125

Fig. 11. Choice of

K.

Fig. 10. Relative magnitude error versus phase error (') at: (a) +45 and (b) 45 .

0

phase error is much smaller. Therefore, the whole EVM is dominated by the phase error. D. Choice of By considering the locking time, locking range, and phase values can be range discussed earlier, a range of suitable and ), as shown in Fig. 11. found for different SRFs ( To achieve locking time less than 10 ns, has to be larger than 0.57. On the other hand, it is desirable that the proposed transmitter can operate with reference frequencies of either 101.67 or 305 MHz to get output frequency of 915 MHz. Therefore, has to be smaller than 0.72 to limit the locking range below is chosen. This 101.67 MHz. To relax the design, larger 6 fF be seallows minimum capacitance resolution of 4 lected according to Fig. 11. In this design, the minimum achievable capacitance is set to 50 fF, which results in phase resolution of around 10 . Therefore, the expected phase deviation from the desired 45 will be within 5 . From Fig. 10, the achievable EVM would be less than 8%. It should be noted that the above parameters are optimized for reference frequency of 305 MHz. is chosen to maintain For 101.67-MHz reference, and thus total power. This worsens the locking similar value and the earlier chosen mintime to 20 ns. With this imum capacitance of 50 fF, the resulting phase resolution would be around 25 ( fF for ). The expected phase deviation would be worsened to 12.5 . From Fig. 9, this still

Fig. 12. (a) Switched capacitor bank. (b) Switched capacitor unit.

meets the EVM requirement of 23%. However, closer examination of Fig. 10 allows us to achieve much better EVM performance. When the SRFs deviate from frequencies corresponding to 45 , the resulting relative magnitude error 2 is much smaller than the phase error, as illustrated in Fig. 10. For the proposed QPSK or O-QPSK transmitter, we only have to guarantee a relative phase difference close to 90 . As an example, due to finite phase resolution 25 , we might end up having SRF that corresponds to phases of 67.5 or 32.5 instead of 45. We can thus choose 62.5 and 27.5 as our desired ideal constellation points. As the relative magnitude error is around 2%, as illustrated in Fig. 10, the EVM can be made small by maintaining the quadrature phase close to 90 . The resulting phase error from desired constellation ( 62.5 and 27.5 ) would only be 5 . In summary, absolute accuracy of 45 is not important for the proposed architecture due to small relative magnitude error. It should be pointed out that any deviation from the desired 45 will introduce a fixed phase offset for the resulting

126

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 13. Inverter-type PA.

Fig. 14. Die photograph.

Fig. 16. Measured EVM with 305-MHz injection signal. (a) O-QPSK at 25 Mb/s. (b) O-QPSK at 50 Mb/s. (c) QPSK at 50 Mb/s.

Fig. 15. Phase transition time with 305- and 101.67-MHz injection frequency.

modulation ( in the above-mentioned example). This phase offset would be eliminated at the receiver end through the carrier-data recovery loop and would not impact the performance of the QPSK/O-QPSK transmitter. This idea has been exploited and proven in transmitting (TX) EVM measurement with 101.67-MHz injection reference. E. Capacitor Bank Design The schematic of capacitor bank is shown in Fig. 12(a). To cover full 90 phase range with the chosen 10 phase resolution, a 6-bit binary capacitor bank is required. The resonant freand by setting the control words quency can be tuned to and , respectively. To avoid loading

Fig. 17. PSD of QPSK signal with 305-MHz injection signal at 50 Mb/s for: (a) O-QPSK measurement, (b) O-QPSK MATLAB simulation, (c) QPSK measurement, and (d) QPSK MATLAB simulation.

the tank, the factor of each switch-capacitor unit within the capacitor bank is designed to be around 45. This ensures that the total tank is limited by the inductor. The switch-capacitor unit is shown in Fig. 12(b). It con, shunt sists of metal–insulator–metal (MIM) capacitors switches , and a series switch , all controlled

DIAO et al.: 50-Mb/s CMOS QPSK/O-QPSK TRANSMITTER EMPLOYING IL

127

Fig. 20. Spectrum mask for ISM device and PSD of QPSK signal with 101.67-MHz injection signal with resolution bandwidth (RBW) of 100 kHz.

Fig. 18. Measured phase noise under: (a) 305-MHz injection signal and (b) 101.67-MHz injection signal.

Fig. 21. Phase versus capacitor plot. TABLE II PERFORMANCE SUMMARY

Fig. 19. Measured EVM for QPSK at 50 Mb/s with 101.67-MHz injection signal.

by . will set the dc bias to ground when acis mainly determined tivated and can be kept small. The . This configuration halves the series by series switch resistance and allows smaller to be used. This leads to to ratio. smaller parasitic capacitance and larger The temperature effect on the ILO is also investigated through simulation. As the proposed system is intended to use within body, the temperature is not expected to vary much. Once and are set through capacitor bank to achieve 45 at 37 C, the whole system is simulated again at two other different temand peratures, i.e., 25 C and 50 C. It was found that both vary by less than 0.07 . The resulting constellation varies by less than 1.2 . Therefore, the EVM should not deteriorate much during the in-body operation. F. Data Control Gray coding is adopted for the phase modulation. Phases of 45 , 45 , 135 , and 135 correspond to Data0 and Data1 of “00,” “10,” “01,” and “11,” respectively. It is observed that 180 phase shift occurs whenever Data1 changes, as shown in Fig. 5. Therefore, the polarity swap circuitry is controlled by Data1. On

the other hand, “00” and “11” will generate phase corresponds , whereas “01” and “10” will generate phase correto SRF . Therefore, an XOR gate can be employed to sponds to SRF switch between and , as illustrated in Fig. 12(a). With this arrangement, QPSK modulation can be easily achieved. For O-QPSK modulation, Data1 is shifted by a half symbol period with respect to Data0 before sending to the whole circuit. G. PA An inverter-type output buffer is adopted in this design, as shown in Fig. 13. Its performance is limited by the QPSK mod-

128

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

TABLE III PERFORMANCE COMPARISON

ulation due to its nonconstant envelope nature. For O-QPSK with quasi-constant-envelope nature, the linearity requirement is much relaxed. Therefore, a nonlinear PA with high power efficiency can be used [10]. Fortunately, the targeted output power is limited to 3 dBm and the employed inverter-type PA can meet the linearity requirement with careful design [24]. As shown in Fig. 13, the PA includes a trans-impedance stage and an inverter stage. is around 50 k , which set the dc bias to around half of the supply voltage. From [25], the linearity requirement of the PA can be worked out as follows: relative sideband

(13)

is the desired output third-order intermodulation where point in dBm, is the transmitter output power in dBm, and relative sideband is the relative first sidelobe suppression in decibels. With the targeted output power of 3 dBm and the first sidelobe suppression of 12 dB (ideal QPSK), the desired is 4.5 dBm. This leads to an output 1-dB compression requirement of 5.5 dBm. point In this design, the aspect ratios of PM2 and NM2 are chosen to be 25 m/0.18 m and 10 m/0.18 m, respectively. The PA output is connected to a 50- load via a 3.5-pF ac coupling of 4.2 dBm with 20% power capacitor. It achieves efficiency and the output power saturates at 1.13 dBm. H. Reference Generator Although the proposed transmitter can operate with either 305- or 101.67-MHz reference, 101.67-MHz reference is a more viable option for integrated solution. A third overtone crystal oscillator can be easily employed to reduce the cost of generating such a high reference frequency. From simulation, 200 A is needed to maintain the oscillation, which only occupies a very small percentage of the overall power budget. We have included this reference generator in our next version of the transmitter to address the integration issue. V. MEASUREMENT RESULTS The QPSK/O-QPSK transmitter chip was fabricated in Global Foundries’ 0.18- m CMOS process and occupies an

active core area of 0.4 mm 0.7 mm, as shown in Fig. 14. The chip was mounted on a Rogers printed circuit board (PCB) for testing. The 305- and 101.67-MHz injection signals are generated from Agilent pattern generator 81134A. The differential output is connected to a 50- load Agilent oscilloscope 81204A or Agilent spectrum analyzer E4448A via a combiner (with 3-dB loss) for time- and frequency-domain measurement. The EVM is measured with VSA89600. For a 305-MHz injection signal, the measured phase transition time is less than 8 ns, as illustrated in Fig. 15, which is close to the theoretical estimation. For the targeted data rate of 25 Mb/s with O-QPSK modulation, this settling time is more than sufficient for the symbol period of 40 ns. As shown in is about 3.9%, which is Fig. 16(a), the measured equivalent to a signal-to-noise ratio (SNR) of 28.2 dB, with an output power of 3 dBm under 1.4-V supply. For a data worsens to about 11.49%, which rate of 50 Mb/s, the is equivalent to an SNR of 18.8 dB, as the symbol period of 20 ns is now comparable with the settling time, as illustrated in Fig. 16(b). However, this EVM is still well within the 23% requirement for a BER less than 10 . Due to the half symbol delay shift between the I and Q path for O-QPSK, the symbol period is twice shorter than QPSK modulation. Therefore, for QPSK modulation, we expect better performance at a similar data rate. As shown in Fig. 16(c), the measured for the transmitted QPSK signal at a data rate of 50 Mb/s under 1.4-V supply voltage is 5.97%, which is equivalent to an SNR of 24.5 dB. This is twice better than O-QPSK due to the longer symbol period. In fact, with QPSK modulation, the maximum achievable data rate is 100 Mb/s. Both the simulated and measured power spectrum for O-QPSK and QPSK are shown in Fig. 17. As illustrated, there is very little difference between simulation and measurement for the first 12 dB . This indicates that there is sidelobe suppression very little spectral regrowth and the linearity of the PA meets the requirement. The measured PA efficiency (the output power over dc power consumption) is 21%, which is similar to the simulation result. The measured phase noise of the IL-VCO is also shown in Fig. 18(a). It follows the input reference phase , where is the ratio of the output noise, but scaled by 20 frequency to the reference frequency. The measured phase

DIAO et al.: 50-Mb/s CMOS QPSK/O-QPSK TRANSMITTER EMPLOYING IL

noise is 125 dBc Hz at 1-MHz offset with a total integrated phase error of 1.13 . The total power consumption for the whole transmitter is around 5.6 mW. For the 101.67-MHz injection signal, the measured phase transition time is around 15 ns, as shown in Fig. 15. For QPSK modulation with a data rate of 50 Mb/s, the transmitter achieves of 6.4%, which is equivalent to an SNR of a good 23.8 dB, as illustrated in Fig. 19. The total power consumption is 5.88 mW at a 1.4-V supply. The measured phase noise is 119 dBc Hz at a 1-MHz offset with a total integrated phase error of 1.23 , as shown in Fig. 18(b). Stipulated by FCC regulation, medical devices operating at the ISM band needs to meet the field strength of 25 and 15 V m within and beyond the ISM band, respectively, at a distance of 300 m [26]. This translates to an in-band mask of 27 dBm and an out-of-band mask of 31 dBm. In addition, the radiation power is measured within a bandwidth of 100 kHz. As illustrated in Fig. 20, our transmitter spectrum meets the FCC mask regulation. It should also be pointed out that the additional loss (a few decibels) provided by our antenna has not been included in Fig. 20. Shown in Fig. 21 is the phase versus capacitor characteristic. As illustrated, the 6-bit binary capacitor bank is able to cover phase range from 70 to 70 , which includes the desired constellation points 45 . The key performance is summarized in Table II and compared with other related works in Table III. Employing the figure of merit (FOM) definition in [10], our design performs at least three times better than the rest. According to simulation, if the power of an additional third overtone crystal oscillator is included, the FOM will worsen slightly from 6.81 and 10.5 to 7.15 and 11, respectively. VI. CONCLUSION A 915-MHz high data-rate QPSK/O-QPSK transmitter based on the IL technique and its detailed design considerations have been presented in this paper. The proposed architecture achieves the desired modulation through direct control of the self-resonant tank frequency and polarity swap circuit. This eliminates the need for a PLL, mixer, and summer, resulting in better phasenoise performance, lower power consumption, good EVM, and smaller die size. Our design performs at least three times better than the rest. The achieved data rate of 50 Mb/s under 5.6 mW shows promising result for endoscopy application. ACKNOWLEDGMENT The authors would like to thank D. Yan, L. Jia, W. Toh, Z. Chen, and K.-W. Cheng, all with the Institute of Microelectronics, Singapore, for their kind suggestions and helpful discussions on this circuit design and implementation. REFERENCES [1] “MICS band plan,” FCC, Washington, DC, FCC Rules and Regulations, Part 95, Jan. 2003. [2] M. Chae, W. Liu, Z. Yang, T. Chen, J. Kim, M. Sivaprakasam, and M. Yuce, “A 128-channel 6 mW wireless neural recording IC with on-the fly spike sorting and UWB transmitter,” in Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2008, pp. 146–603.

129

[3] J. N. Y. Aziz, K. Abdelhalim, R. Shulyzki, B. L. Bardakjian, M. Derchansky, D. Serletis, and P. L. Carlen, “256-channel neural recording and delta compression microsystem with 3-D electrodes,” IEEE J. Solid-State Circuits, vol. 44, no. 3, pp. 995–1005, Mar. 2009. [4] S. Diao, Y. Zheng, Y. Gao, X. Yuan, M. Je, and C.-H. Heng, “A 5.9 mW 50 Mb/s CMOS QPSK/O-QPSK transmitter employing injection locking for direct modulation,” in ASSCC Tech. Dig., Nov. 2010, pp. 37–40. [5] K. Kim, S. Lee, E. Cho, J. Choi, and S. Nam, “Design of OOK system for wireless capsule endoscopy,” in Proc. ISCAS, May 2010, pp. 1205–1208. [6] “Datasheet ZL70250,” Microsemi Corporation, Aliso Viejo, CA, Jan. 2008. [Online]. Available: http://www.zarlink.com/zarlink/zwebzl70250-datasheet-jan10.pdf [7] A. C. Wong, G. Kathiresan, C. K. T. Chan, O. Eljamaly, O. Omeni, D. Mcdonagh, A. J. Burdett, and C. Toumazou, “A 1 V wireless transceiver for an untra-low-power SoC for biotelemetry application,” IEEE J. Solid-State Circuits, vol. 43, no. 7, pp. 1511–1521, Jul. 2008. [8] J. L. Bohorquez, A. P. Chandrakasan, and J. L. Dawson, “A 350 W CMOS MSK transmitter and 400 W OOK super-regenerative receiver for medical implant communications,” IEEE J. Solid-State Circuits, vol. 44, no. 4, pp. 1248–1259, Apr. 2009. [9] B. Sklar, Digital Communications: Fundamentals and Applications. Upper Saddle River, NJ: Prentice-Hall, 1988. [10] Y.-H. Liu, C.-L. Li, and T.-H. Lin, “A 200-pJ/b MUX-based RF transmitter for implantable multichannel neural recording,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 10, pp. 2533–2541, Oct. 2009. [11] “OV7740 VGA product brief,” OmniVision, Santa Clara, CA, 2010. [Online]. Available: http://www.ovt.com/download document.php?type=sensor&sensorid=83 [12] B. Razavi, RF Microelectronics. Upper Saddle River, NJ: PrenticeHall, 1998. [13] K. W. Li, L. L. K. Leung, and K. N. Leung, “Low power injection locked oscillators for MICS standard,” in Proc. BioCAS, Nov. 2009, pp. 1–4. [14] M. R. Haider, S. K. Islam, and M. R. Mahfouz, “Power-efficient injection-locked oscillator for biomedical telemetry applications,” Electron. Lett., vol. 46, no. 18, pp. 1252–1254, Sep. 2010. [15] Y. H. Chee, A. M. Niknejad, and J. Rabaey, “An ultra-low power injection locked transmitter for wireless sensor networks,” in Proc. CICC, Sep. 2005, pp. 797–800. [16] J. Pandey and B. Otis, “A 90 W MICS/ISM band transmitter with 22% global efficiency,” in Proc. RFIC, May 2010, pp. 285–288. [17] B. Razavi, “A study of injection locking and pulling in oscillators,” IEEE J. Solid-State Circuits, vol. 39, no. 9, pp. 1415–1424, Sep. 2004. [18] J. Lee and H. Wang, “Study of subharmonically injection-locked PLLs,” IEEE J. Solid-State Circuits, vol. 44, no. 5, pp. 1539–1553, May 2009. [19] S. Pasupathy, “Minimum shift keying: A spectrally efficient modulation,” IEEE Commun. Mag., vol. 17, no. 4, pp. 14–22, Jul. 1979. [20] R. Harjani, N. LanKa, and S. Patnaik, “Fast hopping injection locked frequency generation for UWB,” in IEEE Int. Ultra-Wideband Conf., Sep. 2007, pp. 502–507. [21] S. Shekhar, M. Mansuri, F. O’Mahony, G. Balamurugan, J. E. Jaussi, J. Kennedy, D. J. Allstot, R. Mooney, and B. Casper, “Strong injection locking in low-Q LC oscillators: Modeling and application in a forwarded-clock I/O receiver,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 56, no. 8, pp. 1818–1829, Aug. 2009. [22] C. H. Heng, A. Bansal, and S. J. Cheng, “Techniques for improving CMOS VCO performance,” in Proc. RFIT, Dec. 2009, pp. 182–186. [23] A. Hirata, R. Yamaguchi, T. Kosugi, H. Takahashi, K. Murata, T. Nagatsuma, N. Kukutsu, Y. Kado, N. Iai, S. Okabe, S. Kimura, H. Ikegawa, H. Nishikawa, T. Nakayama, and T. Inada, “10-Gbit/s wireless link using InP HEMT MMICs for generating 120-GHz-band millimeter-wave signal,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 5, pp. 1102–1109, May 2009. [24] W. Sansen, “Low-power, low-voltage design,” in Int. Solid-State Circuits Conf., 2009, short course. [25] J. Feigin, “Don’t let linearity squeeze with accurate models, the range-and data-rate-limiting,” Commun. Syst. Design, pp. 12–16, Oct. 2003. [26] “Field strength limitation,” FCC, Washington, DC, FCC Rules and Regulations, Part 18.305, 2011. [Online]. Available: http://www.tsmc. com/FCC47CFRpart18.pdf [27] “Datasheet ZL70101,” Microsemi Corporation, Aliso Viejo, CA, Dec. 2006. [Online]. Available: http://www.zarlink.com/zarlink/zwebzl70101-datasheet-dec09.pdf

130

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Shengxi Diao received the B.S. degree from East China Normal University, Shanghai, China, in 2001, and the M.S. degree from Nanyang Technological University, Singapore, in 2006. From 2002 to 2006, he was involved with RF identification (RFID) systems development with TOPPAN Electronics, Singapore. At the end of 2006, he joined the Institute of Microelectronics, Agency for Science, Technology and Research (A*STAR), Singapore, where he is currently a Senior Research Engineer with the Biomedical Integrated Circuit (IC) Group of the Miniaturized Medical Devices Programme. He has been involved with low-power ultra-wideband and high data-rate biomedical integrated-circuit design.

Yuanjin Zheng (M’02) received the B.Eng. and M.Eng. degrees from Xi’an Jiaotong University, Xi’an, China, in 1993 and 1996, respectively, and the Ph.D. degree from the Nanyang Technological University, Singapore, in 2001. From July 1996 to April 1998, he was with the National Key Laboratory of Optical Communication Technology, University of Electronic Science and Technology of China. In 2001, he joined the Institute of Microelectronics (IME), Agency for Science, Technology and Research (A*STAR), Singapore, as a Senior Research Engineer, and was then promoted to a Principle Investigator. With the IME, he has led and developed various projects like CMOS RF transceivers, baseband system-on-a-chip (SoC) for wireless local area networks (WLANs), WCDMA, ultra-wideband (UWB), and low-power medical radio, etc. In July 2009, he joined the Nanyang Technological University, as an Assistant Professor. His research interests are gigahertz RFIC and SoC design, UWB systems and circuits, bio-IC systems and circuits, adaptive signal and image procession algorithms, and application-specific integrate circuits (ASICs). He has authored or coauthored over 70 international journal and conference papers, 11 patents filed, and one book chapter. He has successfully led and contributed numerous public-funded research and industry projects.

Xiaojun Yuan (M’93–SM’04) received the B.S. and M.S. degrees from the University of Electronic Science and Technology of China (UESTC), Chengdu, China, in 1987 and 1990, respectively, and the Ph.D. degree from the University of Utah, Salty Lake City, in 1997. In 1997, he joined Hughes Space & Communications Inc., Los Angeles, CA, as a Member of Technical Staff, where he focused on RF/millimeter (MM) transceiver system design for satellite communications. In 2000, he joined IBM, San Diego, CA, where he was an Application Engineer supporting IBM’s customers developing RF integrated transceivers in advanced SiGe and RF CMOS technologies. He has supported Qualcomm in its first-generation RF CMOS transceiver development for CDMA phones, which lead to high-volume production. From 2005 to 2008, he was with LSI Technology Inc., as a Technical Manager, LSI assignee in Singapore, to manage a Singapore team for LSI’s system-on-chip (SoC) development with Chartered Semiconductor. He has lead the team bring up several SoC products form development to high-volume high-yield production in SiGe 0-m, 13-m, and 65-nm CMOS technology. His team has also developed the 0.16-m SiGe technology for LSI preamp SoC productions. Since 2008, he has been with the Institute of Micrcoelectronics (IME), Singapore, as a Director with the Integrated Circuit and System Laboratory, where he leads the team for integrated circuit and system research in the areas of low-power analog- and mixed-signal circuits, energy-efficient radios for sensor and medical applications, millimeter-wave (MMW) and sub-MMW research for multigigabit/second data communications. He has authored or coauthored over 40 peer-reviewed papers. He holds several U.S. patents. Dr. Yuan has served as the vice chair for the IEEE Solid-State Circuits (SSC)/ Microwave Theory and Techniques (MTT)/Antennas and Propagation (AP) San Diego Chapter from 2003 to 2005. He was an invited speaker at the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Santa Clara Chapter in 2005, ICMMT2002 Beijing, China, and ISIC2005, Singapore.

Yuan Gao (S’04–M’08) received the B.E. and M.E. degrees in electrical engineering from the Huazhong University of Science and Technology, Wuhan, China, in 2000 and 2003, respectively, and the Ph.D. degree in electrical engineering from National University of Singapore, Singapore, in 2008. Since 2007, he has been with the Integrated Circuits and Systems Laboratory, Institute of Microelectronics (IME), Agency for Science, Technology and Research (A*STAR), Singapore, as a Senior Research Engineer. His research interests include low-power RF/analog integrated-circuit design, ultra-wideband communication systems, and biomedical circuits design.

Minkyu Je (S’97–M’03) received the M.S. and Ph.D. degrees in electrical engineering and computer science from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 1998 and 2003, respectively. In 2003, he joined Samsung Electronics, Giheung, Korea, as a Senior Engineer, where he was involved with multimode multiband RF transceiver SoCs for GSM/GPRS/EDGE/WCDMA standards. Since 2006, he has been with the Institute of Microcelectronics (IME), Agency for Science, Technology and Research (A*STAR), Singapore, where he is currently a Member of Technical Staff and leads the Biomedical Integrated Circuit (IC) Group of the Miniaturized Medical Devices Program. He is also an Adjunct Assistant Professor with the Department of Electrical and Computer Engineering, National University of Singapore, Singapore. His main research areas are low-power analog/mixed-signal circuits and systems interfacing with bio and microelectromechanical systems (MEMS) sensors, circuit design and multifunctional system integration with novel nanodevices, and wireless telemetry circuits and systems for biomedical applications. Dr. Je currently serves on the Technical Program Committee of the IEEE International Solid-State Circuits Conference.

San-Jeow Cheng (S’06–M’11) received the B.Eng. (Hons.) degree in electrical engineering from the National University of Singapore (NUS), Singapore, in 2000, and is currently working toward the Ph.D. degree at NUS. From 2000 to 2006, he was with Agere Systems Singapore, as a Library Developer. He is currently working with the Signal Processing and Very Large Scale Integration (VLSI) Laboratory, NUS. Since 2010, he has been with the Integrated Circuits and Systems Laboratory, Institute of Microelectronics (IME), Agency for Science, Technology and Research (A*STAR), Singapore. His current research interests include CMOS wideband VCOs, PLLs, and delay-locked loops (DLLs).

Chun-Huat Heng (S’96–M’04) received the B.Eng. and M.Eng. degrees from the National University of Singapore (NUS), Singapore, in 1996 and 1999, respectively, and the Ph.D. degree from the University of Illinois at Urbana-Champaign, in 2003. From 2001 to 2004, he was with Wireless Interface Technologies, which was later acquired by Chrontel. Since 2004, he has been with the NUS. He has been involved with CMOS integrated circuits involving synthesizers, delay-locked loops, and transceiver circuits. Dr. Heng is currently the associate editor for the IEEE TRANSACTION ON CIRCUITS AND SYSTEMS—PART II: EXPRESS BRIEFS. He is a Technical Program Committee member for the Asian Solid-State Circuits Conference. He was the recipient of the NUS Annual Teaching Excellence Award in 2008.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

131

An Open-Loop Half-Quadrature Hybrid for Multiphase Signals Generation Huan-Sheng Chen and Liang-Hung Lu, Member, IEEE

Abstract—In this paper, a passive half-quadrature hybrid (HQH) is presented for multiphase signal generation at radio frequencies. Utilizing capacitance ratios as the primary design parameters, the proposed hybrid exhibits excellent magnitude and phase balance even in the presence of process and temperature variations. Therefore, it is well suited for integrated-circuit designs with on-chip capacitive elements. Using a standard 0.18- m CMOS process, the HQH circuit is integrated with a 5.6-GHz quadrature voltage-controlled oscillator (QVCO) and four down-conversion mixers for demonstration. As the QVCO oscillation frequency sweeps from 5.4 to 5.8 GHz, the measurement results indicate a root-mean-square (rms) magnitude error less than 0.5 dB and an rms phase error ranging from 4 to 7 at the half-quadrature outputs without any feedback correction. Index Terms—Half-quadrature signal generation, multiphase clocks, phase interpolator.

I. INTRODUCTION

Fig. 1. Schematic of the proposed HQH.

ULTIPHASE clock signals are widely used in modern communication systems and processor designs. In highspeed applications, multiphase clock signals enable the possibility of driving parallel functional blocks such that a data or processing rate higher than the internal clock can be achieved. Based on the multiphase clock signals, high-speed integrated circuits such as clock-data-recovery (CDR), time-interleaved analog-to-digital data converters (ADCs), and microprocessors have been successfully demonstrated [1]–[4]. For circuit implementations, precise multiphase signals can be generated by using active components with proper feedback control [4]–[9]. Due to the output phase requirement, such a circuit typically suffers from higher power dissipation and larger chip area. Alternatively, multiphase clock signals can be provided by cascading frequency dividers to save power and chip area. As signal generation at higher frequency is generally needed in this approach, it is not suitable for microwave or millimeter-wave applications. On the other hand, there are circuit techniques developed for multiphase signal generation with on-chip passive elements. By filters or phase shifters, the power consumption adopting and area overhead for the oscillators are alleviated. It is noted that the passive multiphase techniques are generally susceptible

M

Manuscript received April 21, 2011; revised October 14, 2011; accepted October 21, 2011. Date of publication December 02, 2011; date of current version December 30, 2011. This work was supported in part by the National Science Council under Grant 99-2220-E-002-030 and Grant 99-2220-E-002-032. The authors are with the Department of Electrical Engineering and Graduate Institute of Electronics Engineering, National Taiwan University, Taipei 10617, Taiwan (e-mail:[email protected]). Digital Object Identifier 10.1109/TMTT.2011.2175236

to device mismatch and process variations, leading to significant performance degradation due to undesirable phase and magnitude at the outputs. To address the issues of output imbalance, a circuit topology for half-quadrature signal generation is presented. By properly choosing the capacitance ratio in the proposed circuit, half-quadrature signals with precise output phase and magnitude can be achieved. Using a 0.18- m CMOS process, the proposed half-quadrature hybrid (HQH) is monolithically integrated with a quadrature voltage-controlled oscillator (QVCO) and down-conversion mixers for demonstration. This paper is organized as follows. Section II describes the operation principle of the proposed HQH circuit and discusses its nonideal effects. Circuit implementation and experimental results are presented in Sections III and IV, respectively. Finally, a conclusion is provided in Section V. II. PROPOSED ARCHITECTURE Fig. 1 shows the circuit schematic of the proposed HQH, which is composed of eight capacitors with capacitance values and , respectively. It generates half-quadrature output of from quadrature excitations ( , , , signals ). The operation principle and circuit characteristics of and the HQH along with the nonideal effects are examined as follows. A. Operation Principle and Requirements The generation of output half-quadrature signals is based on the vector-summed mechanism. Applying the superposition principle to the HQH with in-phase excitation, the simplified

0018-9480/$26.00 © 2011 IEEE

132

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 2. Applying superposition principle to the HQH with the in-phase excitation.

equivalent circuit is shown in Fig. 2. The signals be expressed as

and

can Fig. 3. Superimposing the contribution of in-phase and quadrature-phase excitations.

(1) (2) where is a capacitance ratio with a magnitude less than unity. Similarly, by superimposing the quadrature-phase excitations, the complete signal presentations of the HQH are illustrated in and Fig. 3. As the phase difference between the vectors is expected to be 45 for half-quadrature signal generation, the design constraint of the HQH is given by (3) Fig. 4. Half-circuit when considering the source impedances.

According to (3), the value of is 0.3 and a capacitance ratio between and is specified by

rms magnitude error (6)

(4) It is noted that the analysis implies that, by providing a proper capacitance ratio between and , the proposed HQH generates half-quadrature signals that are independent of the absolute values of the capacitances. Considering the excellent matching property of the on-chip components, accurate halfquadrature output phases can be generated by the HQH even in the presence of process and temperature variations. Besides, the analysis also indicates frequency independent characteristics, making it inherently suitable for wideband applications. To investigate the phase and magnitude imbalance of the halfquadrature signals at the HQH output, root-mean-square (rms) errors are adopted and the definitions are given by

where , and in this particular case. In denote the phase, magnitude, and avaddition, , , and erage magnitude, respectively. Based on the above derivations, the theoretical rms phase and magnitude errors of the HQH without any nonideal effects are zero, while the circuit performance is independent of the value of . B. Source Impedances and Finite

Factor of the Capacitances

Generally speaking, the excitations have nonzero source impedances. Taking the source impedance into consideration, as depicted in Fig. 4, the contributions of the in-phase and the can be expressed as quadrature-phase excitations on (7)

rms phase error (5)

(8)

CHEN AND LU: OPEN-LOOP HQH FOR MULTIPHASE SIGNALS GENERATION

Fig. 5. Voltage gain A

versus the source impedance R .

Fig. 6. Voltage gain A

Therefore, the voltage gain of the HQH at the fundamental frequency is defined as

dB

(9)

Based on (9), the voltage gain versus source impedance at a fundamental frequency of 5 GHz and a capacitance of 200 fF is shown in Fig. 5. It is indicated that the voltage gain of the HQH is 2.33 dB for zero source impedance, and the gain decreases as the impedance increases. In order to have a better understanding of the HQH property, a coefficient is defined as the ratio of the source impedance and the reactance of the (10) Substituting (9) and the value of can be rewritten as

133

into (10), the expression of

versus the Q factor of C for different values of R .

As the value of only depends on the voltage gain , one can calculate the value of based on the required voltage gain with the aid of (11). It is noted that the above derivations are based on lossless of conditions for the capacitors. By taking the quality factor and into consideration, the corresponding voltage gain is given by (12), shown at the bottom of this page, provided . Fig. 6 shows the voltage gain versus the factor that for different values of , indicating a weak dependence of of the voltage gain on the quality factor . In integrated circuit implementations, it is typically the case that source impedances of the input excitations are identical and the factors of the on-chip capacitors are the same. As a result, the rms phase/magnitude errors are kept intact for the proposed HQH circuit. C. Second-Order Harmonic Rejection Generally, large-signal excitations contain components at harmonic frequencies. Among these harmonics, the second order is the major concern as the common-mode signal for the succeeding stage, which may deteriorate the performance if sufficient common-mode rejection ratio (CMRR) is not provided. The equivalent circuit at the second-order harmonic of the HQH is shown in Fig. 7, and the voltage gain of the is given by second-order harmonic

dB (11)

(13)

and the Fig. 8 shows the voltage gain of the fundamental versus the source impedance at a second-order harmonic

(12)

134

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 9. Schematic of the HQH with load impedances Z .

Fig. 7. Equivalent circuit at second-order harmonic.

Fig. 10. Simulated rms phase/magnitude errors versus the ratio between load capacitance C and C . Fig. 8. Fundamental voltage gain A and the second-order harmonic voltage gain A versus the source impedance R .

fundamental frequency of 5 GHz and a capacitance of 200 fF, indicating a harmonic suppression better than 5.37 dB for the HQH. D. Loading Effect Another important issue for half-quadrature signal generation is the loading effect. First, a pure capacitive load case, as . The simshown in Fig. 9, is discussed with a loading of ulated rms phase/magnitude errors versus the ratio are demonstrated in Fig. 10. It is obvious that a pure capacitive load introduces only phase error at the HQH output. For a design with a typical rms phase error tolerance of 5 , the maximum capacitive load allowed is 0.35 for cases where a pure resistive is applied. The rms phase and magnitude errors load for different values of are shown in Fig. 11. It versus is worth noting that a pure resistive load introduces both phase error and magnitude error to the HQH.

For CMOS circuit designs, the output loading of the HQH is typically the gate terminal of the MOSFET, which indicates that the loads are mainly capacitive. As a result, only the phase error has to be taken into account. However, at higher frequencies, the input impedance at the gate terminal of a MOSFET is generally modeled by a parallel combination of a resistance and a capacitance. In this case, both phase error and magnitude error are essential in the HQH design. Based on the results from circuit simulations, significant rms phase and magnitude errors can be is larger than 1 k . prevented as the load resistance E. Robustness As indicated in the analysis, the half-quadrature signal generation of the HQH only relies on the capacitance ratio of and , making it inherently robust versus process and temperature variations. In order to further investigate this feature, Monte Carlo simulations were conducted on the HQH circuit, as shown in Fig. 1, where all capacitances are realized by using square metal–insulator–metal (MIM) capacitors in a standard CMOS process. The required capacitance ratio is achieved by setting the width ratio of and accordingly. Fig. 12 shows

CHEN AND LU: OPEN-LOOP HQH FOR MULTIPHASE SIGNALS GENERATION

135

Fig. 12. Monte Carlo simulation results of the rms phase/magnitude errors while keeping the ratio a constant.

Fig. 11. (a) Simulated rms phase error and (b) rms magnitude error versus the load resistance R for different values of C at 5 GHz.

the simulated rms phase/magnitude errors of the HQH under process variation within 1000 trials, indicating an rms phase error of 0.05 with negligible rms magnitude error. In addition, another simulation was carried out and the design parameter is randomly selected from a Gaussian distribution with a mean of 1.4 and a standard deviation of 1%. It is noted that, typically, 10-bit accuracy, i.e., 0.1% [1], is achievable for capacitance matching in a standard CMOS process. The result is simply given as a reference for the worst case scenario. Two extreme cases, which are fast corner at 45 C and slow corner at 125 C, were investigated in comparison with a typical corner case at 25 C. Based on the Monte Carlo simulations, the rms phase and magnitude errors are illustrated in Figs. 13 and 14, respectively. Within 1000 trials, the rms phase error is less than 1 , while the rms magnitude error is negligible.

Fig. 13. Monte Carlo simulation results of the rms phase error under different corners and extreme temperature.

Fig. 14. Monte Carlo simulation results of the rms magnitude error under different corners and extreme temperature.

A. HQH III. CIRCUIT IMPLEMENTATION Fig. 15 illustrates the block diagram of the circuit implementation, in which the QVCO and down-conversion mixers are incorporated for testing purposes.

As presented in Section II, the HQH behavior strongly depends on the ratio rather than the absolute values of the capacitances. However, the input impedance of the HQH apparently and . A straightdepends on the absolute capacitance of forward implementation of the HQH by using MIM capacitors

136

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 15. Block diagram of the implemented circuits.

Fig. 16. Schematic of the implemented HQH.

may result in a process-sensitive input impedance since the absolute capacitance of such devices has more than 15% variations at different corner cases. This could, in turn, lead to significant frequency deviation of the QVCO without power-hungry buffer stages. Therefore, a circuit topology with reduced sensitivity to process variations is employed and the schematic is shown in Fig. 16. In the proposed scheme, the capacitances are realized by using the overlap capacitance of the pMOS transistors which shows less than 5% variation in the absolute value at different corner cases. For minimum phase and magnitude errors, the layout of the HQH is of crucial importance. To facilitate system integration, a reasonable configuration for the HQH is to take the inputs from one side and to provide the half-quadrature outputs from the other. However, while folding a ring-shaped topology, the crosses of the metal traces are inevitable. These layout crosses deteriorate the output characteristics, especially at higher frequencies. As mentioned in Section II, the behavior of the HQH is theoretically frequency independent. Nevertheless, in reality, the highest operating frequency of the HQH is limited by its layout.

Fig. 17. Schematic of the: (a) QVCO and (b) down-conversion mixer.

B. QVCO and Down-Conversion Mixers The QVCO topology adopted in this work is shown in Fig. 17(a), where the formation of the quadrature signals is based on transformer coupling [10]. A symmetric layout is utilized for the QVCO such that minimum phase and magnitude mismatch can be achieved for the quadrature output. In practical design cases, buffers are typically employed at the QVCO output to relieve the loading effect from the following stage. In this particular design, the QVCO drives the HQH directly without buffer stages to save the additional power consumption and chip area, making it very attractive for integrated-circuit applications. At microwave frequencies, it is a difficult task to verify the phase balance of half-quadrature signals by instruments. As a result, the half-quadrature signals generated by the HQH are down-converted by four on-chip differential-to-single-ended

CHEN AND LU: OPEN-LOOP HQH FOR MULTIPHASE SIGNALS GENERATION

137

TABLE I CIRCUIT PARAMETERS

Fig. 19. Measured tuning characteristic of the QVCO.

Fig. 20. Measured rms phase error versus the operation frequency.

Fig. 18. Microphotograph of the fabricated circuits.

mixers with an auxiliary local oscillator (LO) signal for testing purposes. The schematic of the mixers is shown in Fig. 17(b). Using a standard 0.18- m CMOS process, the HQH, QVCO, and the down-conversion mixers are integrated in a single chip. The design parameters of the integrated circuits are tabulated in Table I. IV. EXPERIMENTAL RESULTS Fig. 18 shows the microphotograph of the fabricated circuit with a chip area of 0.82 0.93 mm in which the active area of the HQH occupies 0.12 0.19 mm . The measurements were conducted with bond wires onto a printed circuit board (PCB), while a Tektronix DPO 7354 oscilloscope is utilized to evaluate the rms phase and magnitude errors. The measured frequency tuning characteristic of the on-chip QVCO is shown in Fig. 19, covering a frequency range from

Fig. 21. Measured rms magnitude error versus the operation frequency.

5.4 to 5.8 GHz. In order to facilitate the measurement of the phase and magnitude errors, the output signals of the HQH are down-converted with an auxiliary LO by the on-chip mixers. The down-converted signals are processed by the oscilloscope with over a 1000 average. Based on the rms errors, as defined in (5) and (6), the experimental results are demonstrated in Figs. 20 and 21. Within the QVCO frequency range, the rms

138

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 22. Measured time-domain waveform of the down-converted half-quadrature signals.

phase error varies from 4 to 7 while the rms magnitude error is less than 0.5 dB. It is noted that the simulated rms phase error of the QVCO and the HQH are 3 and 1.4 , respectively. Finally, the measured time-domain waveforms of the down-converted half-quadrature signals are illustrated in Fig. 22. The output frequency is approximately 15 MHz, and the peak-to-peak magnitude is 400 mV. V. CONCLUSION An open-loop HQH for robust multiphase signal generation has been proposed, analyzed, and demonstrated. Since the design only relies on the ratio of on-chip capacitances, it is insensitive to process and temperature variations. Using a standard 0.18- m CMOS process, the proposed HQH is integrated with a 5.6-GHz QVCO and four down-conversion mixers for demonstration. The experimental results indicate excellent phase and magnitude balance for half-quadrature signal generation at RF frequencies, making it very attractive for low-power and lowcost integrated-circuit applications. ACKNOWLEDGMENT The authors would like to thank the National Chip Implementation Center (CIC), Hsinchu, Taiwan, for chip fabrication. REFERENCES [1] R. van de Plassche, CMOS Integrated Analog-to-Digital and Digital-to-Analog Converters, 2nd ed. Boston, MA: Kluwer, 2003. [2] S.-J. Song, S. M. Park, and H.-J. Yoo, “A 4-Gb/s CMOS clock and data recovery circuit using 1/8-rate clock technique,” IEEE J. Solid-State Circuits, vol. 38, pp. 1213–1219, Jul. 2003.

[3] K. Yamaguchi, M. Fukaishi, T. Sakamoto, N. Akiyama, and K. Nakamura, “A 2.5-GHz four-phase clock generator with scalable no-feedback-loop architecture,” IEEE J. Solid-State Circuits, vol. 36, no. 11, pp. 1666–1672, Nov. 2001. [4] J. Lee and B. Razavi, “A 40-Gb/s clock and data recovery circuit 0.18-m CMOS technology,” IEEE J. Solid-State Circuits, vol. 38, no. 12, pp. 2181–2190, Dec. 2003. [5] S. Sidiropoulos and M. A. Horowitz, “A semidigitaldual delay-locked loop,” IEEE J. Solid-State Circuits, vol. 32, no. 11, pp. 1683–1692, Nov. 1997. [6] B. W. Garlepp, K. S. Donnelly, J. Kim, P. S. Chau, J. L. Zerbe, C. Huang, C. V. Tran, C. L. Portmann, D. Stark, Y.-F. Chan, T. H. Lee, and M. A. Horowitz, “A portable digital DLL for high-speed CMOS interface circuits,” IEEE J. Solid-State Circuits, vol. 34, no. 5, pp. 632–644, May 1999. [7] L. Sun and T. A. Kwasniewski, “A 1.25-GHz 0.35-m monolithic CMOS PLL based on a multiphase ring oscillator,” IEEE J. Solid-State Circuits, vol. 36, no. 6, pp. 910–916, Jun. 2001. [8] L.-C. Cho, C. Lee, and S.-I. Liu, “A 1.2-V 37–38.5-GHz eight-phase clock generator 0.13-m CMOS technology,” IEEE J. Solid-State Circuits, vol. 42, no. 6, pp. 1261–1270, Jun. 2007. [9] F. Herzel and W. Winkler, “A 2.5-GHz eight-phase VCO SiGe BiCMOS technology,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 52, no. 3, pp. 140–144, Mar. 2005. [10] A. W. L. Ng and H. C. Luong, “A 1-V 17-GHz 5-mW CMOS quadrature VCO based on transformer coupling,” IEEE J. Solid-State Circuits, vol. 42, no. 9, pp. 1933–1941, Sep. 2007. Huan-Sheng Chen was born in Tainan, Taiwan, in 1983. He received the B.S. and M.S. degrees in electrical and control engineering and communications engineering from National Chiao-Tung University, Hsinchu, Taiwan, in 2006 and 2008, respectively, and is currently working toward the Ph.D. degree in electronic engineering at National Taiwan University, Taipei, Taiwan. His research interests include the efficiency enhancement techniques for CMOS RF power amplifiers and RF integrated-circuit designs.

Liang-Hung Lu (M’02) was born in Taipei, Taiwan, in 1968. He received the B.S. and M.S. degrees in electronics engineering from National Chiao-Tung University, Hsinchu, Taiwan, in 1991 and 1993, respectively, and the Ph.D. degree in electrical engineering from The University of Michigan at Ann Arbor, in 2001. During his graduate study, he was involved in SiGe HBT technology and monolithic microwave integrated circuit (MMIC) designs. From 2001 to 2002, he was with IBM, where he was involved with low-power and RF integrated circuits for silicon-on-insulator (SOI) technology. In August 2002, he joined the faculty of the Graduate Institute of Electronics Engineering and the Department of Electrical Engineering, National Taiwan University, Taipei, Taiwan, where he is currently a Professor. His research interests include CMOS/BiCMOS RF and mixed-signal integrated-circuit designs.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

139

A Phased Array RFIC With Built-In Self-Test Capabilities Ozgur Inac, Student Member, IEEE, Donghyup Shin, Student Member, IEEE, and Gabriel M. Rebeiz, Fellow, IEEE

Abstract—An X-Band phased-array RF integrated circuit with built-in self-test (BIST) capabilities is presented. The BIST is accomplished using a miniature capacitive coupler at the input of each channel and an on-chip I/Q vector receiver. Systematic effects introduced with BIST system are covered in detail and are calibrated out of measurements. The BIST can be done at a rate of 1 MHz with 55 dB signal-to-noise-ratio and allows for the measurement of an on-chip array factor. Measurements done with BIST system agree well with S-parameter data over all test conditions. To our knowledge, this is the first implementation of an on-chip BIST with high accuracy.

individually and with high accuracy (better than the number of phase and amplitude bits required for proper operation) and should not reduce the RF performance of the chip (additional loss, NF, or coupling). Also it should be done in a short time 1 s per measurement point) and should not (preferably occupy a large area on the RFIC. This paper presents the first on-chip BIST for an X-band phased array and with all the performance parameters listed above.

Index Terms—Built-in self-test (BIST), phase shifters, phased arrays.

II. BUILT-IN SELF-TEST SYSTEM DESIGN A. System-Level Description

I. INTRODUCTION ILICON-BASED arrays have been demonstrated in transmit and receive modes for microwave and millimeter-wave applications. The All-RF architecture is predominant in phased-array designs due to its simplicity and its scalability to a large number of elements [1]–[12]. The silicon designs allow the integration of many channels on the same chip, together with the power combining network, and all the necessary digital control electronics. Recently, wafer-scale power combining arrays have been demonstrated at 90–100 GHz [13], and phased-arrays systems with a local oscillator, phase locked loop (PLL) and I/Q receiver (Rx) or up-converter (Tx) have been demonstrated up to 16 elements, and these form the basis of several Gbps communication systems [12], [14]. One of the key bottlenecks of RFIC-based phased arrays is the S-parameter testing of so many different channels on a single chip. This is typically done using expensive ground-signal-ground (GSG) probes and is time consuming. In fact, the cost of testing a phased array far exceeds the cost of the chip itself, especially at millimeter-wave frequencies [15]. The use of on-chip built-in self-test (BIST) capabilities would not only lower the testing cost, but will also allow the phased array to be tested in-situ and recalibrated versus temperature and aging. The BIST should be able to measure each channel

S

Manuscript received June 07, 2011; revised September 01, 2011; accepted September 08, 2011. Date of publication December 02, 2011; date of current version December 30, 2011. This work was supported in-part by an Intel/UCDiscovery Program, Ian Young and Jad Rizk program monitors, and in part by the US-Army Research Office, Alfred Hung and Edward Viveiros, program monitors. The authors are with the Electrical and Computer Engineering Department, University of California San Diego, La Jolla, CA 92093 USA (e-mail: [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2170704

The BIST can be designed in a variety of ways depending on the phased array chip functionality. Fig. 1(a) presents a phased array chip used in systems where the system-level transceiver is located at the sum port of the entire antenna (8–2000+ elements). In this case, a low power oscillator is integrated on-chip to provide the BIST test signal and is coupled to all the antenna ports using a 20 dB coupler. Each channel is then turned on individually and a compact I/Q receiver is integrated on-chip to measure the amplitude and phase of the injected signal, thus determining the channel vector response. Alternatively, the BIST signal can be provided from an external source and distributed to all the chips in the phased-array. Fig. 1(b) presents a self-contained 8–16 elements phasedarray communication (or radar) system with an integral oscillator and I/Q down-converter. In this case and during BIST operation, the local oscillator is routed to the antenna ports using switches, and the I/Q receiver is used to measure the channel response. The BIST systems in Fig. 1 are based on the homodyne approach, and therefore, the mixer I/Q outputs are mostly at DC. This is acceptable since the signal levels are high enough and the DC drifts can be normalized out of the measurements. For self-contained systems, the operational amplifier after the mixer can be part of the standard IF amplifier chain, or as a stand-alone unit that is engaged when the BIST mode is selected. The BIST systems are shown in the receive mode, but similar BIST systems can be implemented for transmit or transmit/receive phased arrays or for systems using IF or LO beamforming [16]–[18]. It is also possible to build a heterodyne BIST system with a low intermediate frequency, but perhaps at the expense of higher power consumption. The BIST should also be operational under two different conditions: 1) input ports left open-circuited and BIST is done on a low cost station with DC and low-frequency probes (testing a chip before use in an actual phased array) and 2) input ports connected to antennas and BIST is done “in the field”. The difference between these conditions is the impedance seen at the

0018-9480/$26.00 © 2011 IEEE

140

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 2. Simulated S-parameters of a BIST-to-RF line capacitive coupler.

Fig. 3. Effect of BIST-to-RF couplers on phased-array channel-to-channel cou,d :  , f 10 GHz). pling (

= 21

=05

=

Fig. 1. BIST systems for (a) large phased arrays and (b) self-contained phased arrays.

RF input ports, which can affect the coupling value between the BIST line and the input ports. This will be discussed below. B. BIST Couplers and Their Effect on Channel-to-Channel Coupling A key component in the BIST system is the compact coupler between the BIST transmission-line and the antenna port. The BIST line feeds all the antenna ports and is terminated with a matched resistor so as to eliminate any end reflections and result in an accurate coupling value. In fact, most of the power in the BIST line is coupled into this terminating resistor since the coupling value is low (-23 to -30 dB). There are two types of couplers that can be used: An electrically-small coupler that is capacitive or resistive in nature with no coupler directivity, or a transmission-line coupler with high directivity [19]. Fig. 2 presents a 2-channel system with a capacitive coupling mechanism (C = 34 fF). The same coupling value can be achieved if a 450 resistor is used between the BIST and RF lines. In this case, the insertion loss is 0.1 dB and the coupling 26 dB for a matched load at Port 2, but value is increases to 20 dB for an open-circuit load. A high-directivity coupler is not affected by the RF input port impedance and is a preferable choice, but it has 0.5–1 dB insertion loss, and requires a large area, which may not be compatible with a multi-element phased array. The BIST-to-RF line coupling must be necessarily low since it also determines the coupling between the phased array channels on the same chip. For the case of standard operation (no BIST signal is engaged), the BIST couplers are still present and provide a leakage path between the phased array channels 52 dB for matched (Fig. 2). In this case,

loads at all ports. While this may appear as sufficiently low coupling, care must be taken in phased-array operation. Consider a 4-channel array as in Fig. 3 with a BIST transmission-line between the ports and a coupling value of 26 delay dB (matched ports). For a plane-wave incidence angle of such ,( is the antenna-to-antenna that spacing), the inputs at ports 1–4 are

Assuming

and after S-matrix manipulations

where the first term denotes the through signal coming from the antenna and the second term represents the power coupled to for a speeach channel through the BIST couplers. If cific angle , all coupling vectors are in phase for Port 8 and a maximum coupling of 42.5 dB is obtained for 26 dB . In general, for an -element phased array connected using a non-directional coupler line, the maximum . Conversely, it is also possible voltage coupling is to obtain a coupling value of 70 dB, when all the coupling vectors cancel coherently for a specific angle (Fig. 3). It is therefore essential that the coupling, , is low enough so that the total 35 dB under all conditions and does not limit coupling is the phased-array performance.

INAC et al.: A PHASED ARRAY RFIC WITH BUILT-IN SELF-TEST CAPABILITIES

141

Fig. 5. The IBM8RF metal stack-up (all dimensions in m) and simulated transmission-line characteristics of the embedded BIST and RF transmission lines.

B. BIST Transmission-Line and Coupler

Fig. 4. Block diagram of the phased array channel and measured S-parameters.

C. Other Applications of BIST On-Chip Phased Array Patterns: The BIST transmission line feeds all channels at the same time, and therefore, an on-chip phased array pattern can be obtained if all the channels are turned on together and phase/amplitude controlled. This is also an ideal way to test the entire RFIC and find any asymmetry in the chip layout or power combiner. Frequency Response and Absolute Gain: The BIST can also be used to determine the normalized frequency response, and the absolute gain can also be obtained with on-chip power detectors. However, as will be seen in Section V, the absolute gain is hard to measure accurately if a non-directional coupler is used and the RF ports are connected to antennas with a reflection coefficient of -10 dB. III. X-BAND PHASED ARRAY WITH BIST: BUILDING BLOCKS A. Phased Array Channel The phased array channel design and Wilkinson power combiner were presented in detail in [20] and a short summary is included here. The chip is designed in the IBM8RF 0.13 m 100 GHz and 8 metal layers. An amCMOS process with plifier/phase-shifter/amplifier approach is used with a center frequency of 9.5 GHz. The channel has a 5-bit phase shifter based on switched-LC unit cells, 3-bit gain control with a gain variation of 5–6 dB, an average gain of 10.3 dB, a NF of 3.5 dB and of 13 dBm, all at 9.5 GHz, and consumes 20 an input mA from a 1.8 V supply. The channel also provides input and 10 dB at 9–11 GHz. The measured on-chip output match of S-parameters over the 32 phase states are shown in Fig. 4.

The RF transmission-line is built using a CPW configuration using the top metal layers (MA to LY) and the BIST transmission line is defined in the MQ layer below the RF line and ground plane (LY) (Fig. 5). The BIST transmission line needs to feed multiple channels, and therefore it is important to simulate its insertion loss and propagation constant (Fig. 5). The BIST line has a simulated loss of 0.9 dB/mm at 10 GHz, and for a channel-to-channel spacing of 550 m, the BIST signal at channel 1 is 0.8 dB and as compared to channel 2. For 16-element chips arranged with 8-channels on each side, a difference of 3–4 dB exists between channel 1 and channel 8, and this can be calibrated out in the measurements. The capacitive coupler is implemented by moving up the BIST transmission line to E1 layer and enlarging it underneath the RF line, and the overlap area determines the coupling value m results in a coupling (Fig. 6). An overlap of value of 26 dB (all ports matched) and 20 dB (port 1 open circuited) at 9–10 GHz. The simulations are done using Sonnet, a full-wave electromagnetic simulator [21]. The BIST coupler has very little effect on the S-parameters of the RF CPW line, is 0.1 dB and is given by the CPW and the simulated line loss (0.2 dB/mm at 9.5 GHz). C. BIST Circuits (I/Q Mixer, Op-Amp, Switch) The BIST phased array chip block diagram is shown in Fig. 7 for a single channel test. Note that the Wilkinson power combiner has an additional 3 dB loss since the other channel is not active. The BIST circuits need to occupy a small amount of area on the chip and therefore are implemented without any inductors (Fig. 8). For this demonstration, the BIST signal is generated off-chip and is fed using a GSG (CPW) probe. The signal is first divided between the BIST coupler line and the BIST LO line for the on-chip I/Q receiver using a wideband 3-port resistive

142

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 6. Sonnet 3-D view and simulated S-parameters of the BIST coupler using back-end metal in IBM8RF process.

noise of 251 V integrated over the entire 3 MHz bandwidth (needed for fast BIST operation). The noise is much lower if averaged over a narrower bandwidth using an off-chip DSP. The I/Q down-converter consumes 30 mA from a 1.5 V supply with a simulated voltage gain of 15–12 dB at 8.5–10.5 GHz [Fig. 9(a)]. Due to the all-resisitive design, the frequency response of the I/Q receiver is not constant vs. frequency, and it can be calibrated out of the measurements. The simulated BIST system results in 0.6 and 0.3 dB imbalance between the I and Q channel responses at 8–12 GHz, which is mostly due to at 9.5 GHz is 9 device and layout mismatch. The input dBm at plane B (Fig. 7), which is more than enough for BIST of 7 dBm, and a operation. The BIST LO balun has a power level of 0 dBm at the BIST input port is enough to drive the I/Q receiver. The output BIST sampler (Fig. 8) can be designed using a 10 to 20 dB coupler or using a switched resistive load. A switch was chosen for improved signal to noise ratio (SNR) and to also provide a near 50 impedance at the output port of the Wilkinson power combiner under standard operation and BIST conditions. This is achieved as follows: If the phased-array chip is tested without any termination on its output port (i.e., not connected to a phased array), then the CMOS switch is engaged and the switch on-resistance together with the 65 and the input impedance of the RF balun provide a 50 load to the Wilkinson power combiner and a coupling value of 3 dB. If a 50 termination is present at the output port, then the CMOS switch of 150load at 10 GHz is conis not engaged, and a nected in shunt across the RF line (Fig. 8). This results in an efat the Wilkinson output port (still fective impedance of 4017 dB from 8 to 12 GHz), a coupling value of matched to 18 dB and an RF insertion loss of 1.1 dB at 10 GHz. The added insertion loss may not be acceptable in certain systems, and a directional coupler could be a better choice at this location. D. BIST Signal-to-Noise Ratio The signal power at plane A is for an input BIST signal of 0 dBm and an open circuit at the RF input port. at plane B The simulated single-sideband noise figure of 28.3 dB is 38.5 dB [Fig. 9(b)] which translates to an at plane A due to the channel gain. This results in an equivalent in a 3 MHz IF bandwidth of noise power at plane A

Fig. 7. The BIST phased array chip block diagram showing power or voltage gain of each block.

splitter with 6 dB loss. The I/Q receiver is based on differential passive mixers built using 0.13 m CMOS with a simulated conversion loss of 6 dB. The BIST LO signal is amplified using an active balun, and a single-stage polyphase filter and limiting amplifiers are used to drive the I/Q mixers. The RF signal, coupled from the output of the chip, also passes by an RF active balun, and the signal is current divided into two paths (in phase) and fed to the I/Q mixers. Operational amplifiers, with a simulated closed-loop voltage gain of 25 dB and a 3 dB bandwidth of 3.15 MHz and built using wide CMOS transistors for low 1/f noise and are DC coupled to the mixer outputs. The Op-Amps have a closed-loop output impedance of 620 , and an output

(dB)

(Hz)

80.9 dBm and the resulting SNR at plane A is 54.9 dB The output signal and noise voltages can also be calculated using a similar approach. For an input at plane A of 26 dBm at 9.5 GHz, the signal level at plane B is 18.7 dBm and the output voltage (calculated as is 153.1 mV. The simulated output rms noise in a 3 MHz bandwidth is 194.7 V, which results in an SNR of 54.9 dB.

INAC et al.: A PHASED ARRAY RFIC WITH BUILT-IN SELF-TEST CAPABILITIES

143

Fig. 8. Block diagram of I/Q receiver and schematics of blocks. All active transistors are biased through current mirrors and not shown here. All unlabeled capacitors are 1 pF.

IV. MEASUREMENTS The 2-element phased-array chip with BIST is shown in Fig. 10. Note the BIST coupler, resistive splitter and BIST I/Q receiver. A. Individual Channels The phased array response was measured using the BIST technique at 8.5–11.5 GHz with 250 MHz steps, but only few frequencies are shown for brevity. Fig. 11 presents the measured I/Q outputs at 9.5 GHz for all 32 phase states and a BIST input signal level of 0 dBm (channel 1 active and channel 2 turned off). The small steps in the I and Q voltages are not noise but actual change in the channel amplitude response at different phase states (the phase shifter has PM-AM conversion). The I and Q data are then processed externally to obtain the normalized amplitude and phase response using the standard formulas

Fig. 9. (a) Simulated voltage conversion gain of receiver (V =V ulated noise figure of the BIST receiver at plane B.

). (b) Sim-

The SNR is large enough to allow for a lower BIST input power ( 10 dBm instead of 0 dBm) and very fast BIST operation in 1 s testing speeds. The measured rms gain and phase errors using BIST with an LO power of 10 to 10 dBm are shown in Section IV.

Fig. 12 presents a comparison of the measured BIST and S-parameter channel response versus phase states at 8.75, 9.5, and 10.25 GHz. The measurements are normalized to the state (i.e., phase set to , average amplitude set to 0 dB) and the BIST measurements clearly predict the phase response and amplitude modulation due to the switched-LC phase shifters. Also, one can accurately measure the large jump in phase at 8.75 GHz due to the use of a high-pass/low-pass network for

144

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

2

Fig. 10. Chip microphotograph of fabricated 2-channel phased array with BIST. Chip size is 2.95 1.52 mm .

Fig. 11. Measured I and Q voltages at 9.5 GHz versus 32 phase states.

the 180 phase shifter cell and the use of a low-pass only network for the 11 , 22 , 45 , and 90 cells (more detail in [20]). The difference between the BIST and S-parameter phase measurements at 10.25 GHz is mainly due to the I/Q mismatch in the BIST receiver, since the center frequency of the I/Q polyphase network is designed to be at 9.5 GHz. The rms amplitude and phase errors can be calculated from the BIST measured data and agree well with S-parameter measurements (Fig. 13). The frequency response can also be measured and is shown in Fig. 14 for two representative states (00111 and 10101). The response is normalized at 9.5 GHz due to the absence of on-chip power meters. Note that the BIST signal is higher at 8.5 GHz and lower at 10.5 GHz due to the frequency response of the BIST I/Q receiver with a maximum error of 1.9 dB. The gain control and any associated AM-PM conversion can also be measured using BIST and good agreement is obtained with S-parameter measurements (Fig. 15). Channel 1 response was also tested versus the BIST signal level and no change in the channel response was observed other than an absolute level change in the output I and Q voltages. Fig. 16 presents the measured BIST rms gain and phase error versus BIST power level. The rms gain error reduces versus LO power due to compression in RF and LO BIST paths. Still the change is 0.1 dB and the BIST can be operated over a 20 dB power range. The time domain response of the BIST system is shown in Fig. 17. In this case, the phase shifter is changed between two phase states with a 180 difference and the Op-Amp output

Fig. 12(a). Measured channel 5-bit response using BIST and S-parameters at (a) 8.75 GHz.

was captured on an oscilloscope with 14 pF input capacitance. The measured 10%–90% fall time is 165 ns which results in an Op-Amp bandwidth of 2.1 MHz. The 90% system settling time is 235 ns, but this is not accurate enough for 5-bit phase amplishifter measurements since an 11 phase shift has tude modulation in the I and Q vectors. Therefore, it is important to quote the 98% settling time of 340 ns, and the BIST can be operated at 1 MHz rate with no problems. This means that an 8-element array can be tested in 1 ms over a wide range of amplitude and phase settings. Measurements on channel 2 were identical to channel 1 and are not repeated. However, as expected, channel 2 shows 0.8 dB phase shift as compared to channel 1 higher gain and a (Fig. 18(a)—only I channel shown). This is due to the loss and the propagation constant of the BIST line, and agrees well with Sonnet simulations as shown in Fig. 18(b). In Fig. 18(b), the

INAC et al.: A PHASED ARRAY RFIC WITH BUILT-IN SELF-TEST CAPABILITIES

145

Fig. 13. Measured rms gain and phase error using BIST and S-parameters.

Fig. 14. Measured normalized frequency response using BIST and S-parameters for state 00111 and state 10101.

Fig. 15. Measured gain control using BIST and S-parameters: amplitude and phase.

Fig. 12. (Continued.) Measured channel 5-bit response using BIST and S-parameters at (b) 9.5 GHz and (c) 10.25 GHz.

measured amplitude and phase difference is determined by comparing the amplitude and phase for the 0 phase states of the two channels. The 0.5 dB error at 8.5 GHz is due to an impedance dB which mismatch at the phased array input port changes the coupling between the BIST line and RF ports. B. On-Chip Array Factor As mentioned above, one of the most useful features of BIST is the possibility to synthesize an on-chip array factor. In this

Fig. 16. Measured rms phase and gain error at 9.5 GHz versus BIST power level.

case, both channels 1 and 2 are turned on and summed using the Wilkinson power combiner. The RF signal is then sampled using the BIST resistive coupler. Fig. 19(a) presents the measured I and Q voltages and Fig. 19(b) shows the corresponding when the phase of channel 2 amplitude response is set at 0 (state 00000) and 180 (state 10000) and the phase of channel 1 is changed from 0 to 360 . One can clearly see the 2-element array factor. Note the peak voltage is 235 mV which is twice that of a single channel as expected from a 6 dB power increase. This is due to the signals in the two channels adding

146

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 17. Measured time domain response of BIST measurement.

Fig. 18. (a) Measured channel 1 and channel 2 I-vector responses versus phase states at 9.5 GHz using BIST, and (b) measured amplitude and phase difference between channel 1 and channel 2 due to the BIST line.

coherently 3 dB and to the fact that the Wilkinson power combiner has an additional 3 dB of loss when a single channel is tested. The BIST phase difference between the channels, which is due to the finite length of the BIST line (21 ) can be obtained from this measurement assuming that the channels’ electrical lengths are identical. In the 0 case, perfect cancellation occurs when channel 1 is at 180 , but due to the 21 delay between the channels, cancellation occurs at state 13 (180 –22 ). The BIST gain difference due to BIST line between the channels 0.8 dB can also be obtained by setting the channel phases 180 apart and adjusting the channel gains to obtain a deep null. However, the phase shifter PM-AM conversion must be first calibrated out from each channel since it is of the same order. Fig. 19(c) presents the synthesized 2-channel array factor where the BIST transmission-line phase and amplitude difference is calibrated out of the measurements. In this case, perfect cancelation occurs at state 15 (180 ) for 0 setting of channel 2.

Fig. 19. (a) Measured I and Q voltages during array-factor measurements with BIST. (b) Measured 2-channel array factor using BIST at 9.5 GHz. (c) Synthesized 2-channel array factor at 9.5 GHz with the BIST line amplitude and phase difference calibrated out of measurement (see text).

Also, the nulls in the calibrated on-chip array factor are much deeper than the un-calibrated case due to the correction of the 0.8 dB amplitude difference in the BIST line. V. DISCUSSION The BIST system can be used with calibrated power detectors measurements which to result in absolute gain and input is very useful. This can be done using a calibrated power detector at the output port and is straightforward when the phased array chip is not connected to the antennas (stand-alone test mode). In this case, the coupling value can be simulated using Sonnet, is constant versus frequency and is not dependent on

INAC et al.: A PHASED ARRAY RFIC WITH BUILT-IN SELF-TEST CAPABILITIES

147

power combiner presents an additional 3 dB loss, and this results in a 9 dB more loss for an 8-element array. Still, as long as the output SNR is sufficient for accurate measurements, the additional loss will not be a limitation. VI. CONCLUSION This paper presented the first on-chip BIST for phased-array RFICs. The effect of BIST coupler on the phased-array performance is presented in detail and it is shown that, for non-directional couplers, it is important to have a low coupling value at the input of the chip. The BIST measurements agree very well with S-parameter measurements. It is expected that the BIST technique will be used in millimeter-wave phased arrays and will greatly reduce the RFIC testing costs. REFERENCES

Fig. 20. (a) Effect of antenna port impedance on coupler performance and (b) proposed switch network for accurate gain measurements.

the RF port impedance (it is an open-circuit). However, when the chip is being used in a phased array system, the RF port impedance (or antenna impedance) depends on frequency and on the scan angle, and the coupling value is dependent on the RF port impedance since the lumped-element coupler does not have any directivity. Fig. 20(a) presents the simulated coupling value 34 fF 26 dB at 10 for a capacitive cross-over with GHz when all ports are matched) and for an RF port VSWR of 1.0, 1.4, 2.0, and 2.6. The large variation in the coupling value, even at VSWR of 2.0, indicates that the simple capacitive (or resistive) coupler cannot be used to determine an accurate gain or a frequency response unless the RF port is well matched (-16 dB reflection coefficient). The effect of the antenna impedance can be reduced with the use of CMOS switches. A series switch at the RF port isolates the lumped-element coupler when the BIST is engaged at the expense of additional loss [see Fig. 20(b)]. A shunt switch can also be used at the RF port, and can be resonated out using a shunt inductor when the BIST is not engaged which results in 0.2 dB . However, when the BIST is engaged very low loss and the shunt switch is ON with a low impedance to ground , the coupling value becomes 35.5 dB 26 dB and ) which may affect the system (for dynamic range. Of course, directional couplers at the RF port solve this problem and should be employed if space allows. The proposed BIST technique can be extended to large arrays, but care must be taken to calibrate out the amplitude and phase difference between the channels. In this case and for an 8-element array, the amplitude and phase difference between channel-1 and channel-8 would be 5.6 dB and 147 , respectively. This difference can be predicted accurately using EM simulations and can be calibrated out of measurements. Another challenge in large arrays is the additional loss due to power combining network (for passive power combiners). Since only one channel is turned on during BIST operation, each Wilkinson

[1] M. Teshiba, R. Van Leeuwen, G. Sakamoto, and T. Cisco, “A SiGe MMIC 6-bit PIN diode phase shifter,” IEEE Microw. Wirel. Comp. Lett., vol. 12, no. 12, pp. 500–501, Dec. 2002. [2] R. Tayrani, M. A. Teshiba, G. M. Sakamoto, Q. Chaudhry, R. Alidio, Y. Kang, I. S. Ahmad, T. C. Cisco, and M. Hauhe, “Broad-band SiGe MMICs for phased-array radar applications,” IEEE J. Solid-State Circuits, vol. 38, no. 9, pp. 1462–1470, Sep. 2003. [3] T. M. Hancock and G. M. Rebeiz, “A 12-GHz sige phase shifter with integrated LNA,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 977–983, Mar. 2005. [4] A. P. de Hek, M. Rodenburg, and F. E. van Vliet, “A cost-effective high-power S-band 6-bit phase shifter with integrated LVCMOS control logic,” in Proc. Microw. Integr. Circuit Conf., EuMIC. Euro., 2007, pp. 463–466. [5] K.-J. Koh and G. M. Rebeiz, “An X- and Ku-band 8-element phasedarray receiver in 0.18- SiGe BiCMOS technology,” IEEE J. SolidState Circuits, vol. 43, no. 6, pp. 1360–1371, Jun. 2008. [6] J. P. Comeau, M. A. Morton, W.-M. L. Kuo, T. Thrivikraman, J. M. Andrews, C. M. Grens, J. D. Cressler, J. Papapolymerou, and M. Mitchell, “A silicon-germanium receiver for X-band transmit/receive radar modules,” IEEE J. Solid-State Circuits, vol. 43, no. 9, pp. 1889–1896, Sep. 2008. [7] T. Yu and G. M. Rebeiz, “A 22–24 GHz 4-element CMOS phased array with on-chip coupling characterization,” IEEE J. Solid-State Circuits, vol. 43, no. 9, pp. 2134–2143, Sep. 2008. [8] K.-J. Koh and G. M. Rebeiz, “A millimeter-wave (40–45 GHz) 16-element phased-array transmitter in 0.18- SiGe BiCMOS technology,” IEEE J. Solid-State Circuits, vol. 44, no. 5, pp. 1498–1509, May 2009. [9] D. Carosi, A. Bettidi, A. Nanni, L. Marescialli, and A. Cetronio, “A mixed-signal X-band SiGe multi-function control MMIC for phased array radar applications,” in Microw. Conf., EuMC. Euro., 2009, pp. 240–243. [10] D.-W. Kang, K.-J. Koh, and G. M. Rebeiz, “A Ku-band two-antenna four-simultaneous beams SiGe BiCMOS phased array receiver,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 4, pp. 771–780, Apr. 2010. [11] E. Cohen, C. Jakobson, S. Ravid, and D. Ritter, “A thirty two element phased-array transceiver at 60 GHz with RF-IF conversion block in 90 nm flip chip CMOS process,” in Proc. IEEE Radio Freq. Integr. Circuits Symp. (RFIC), 2010, pp. 457–460. [12] A. Valdes-Garcia, S. T. Nicolson, J.-W. Lai, A. Natarajan, P.-Y. Chen, S. K. Reynolds, J.-H. C. Zhan, D. G. Kam, D. Liu, and B. A. Floyd, “A fully integrated 16-element phased-array transmitter in SiGe BiCMOS for 60-GHz communications,” IEEE J. Solid-State Circuits, vol. 45, no. 12, pp. 2757–2773, Dec. 2010. [13] Y. A. Atesal, B. Cetinoneri, M. Chang, R. Alhalabi, and G. M. Rebeiz, “Millimeter-wave wafer-scale silicon BiCMOS power amplifiers using free-space power combining,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 4, pp. 954–965, Apr. 2011. [14] A. Natarajan, S. K. Reynolds, M.-D. Tsai, S. T. Nicolson, J.-H. C. Zhan, D. G. Kam, D. Liu, Y.-L. O. Huang, A. Valdes-Garcia, and B. A. Floyd, “A fully integrated 16-element phased-array receiver in SiGe BiCMOS for 60-GHz communications,” IEEE J. Solid-State Circuits, vol. 46, no. 5, pp. 1059–1075, May 2011.

m

m

148

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

[15] G. M. Rebeiz and F. E. VAN Vliet, “Lunch panel session sige/CMOS RF-IC phased arrays: will they be used in defense and commercial systems?,” presented at the IEEE MTT-S Int. Microw. Symp., Boston, MA, Jun. 2009. [16] S. Raman, N. S. Barker, and G. M. Rebeiz, “A W-band dielectriclens-based integrated monopulse radar receiver,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 2308–2316, Dec. 1998. [17] S. Kishimoto, N. Orihashi, Y. Hamada, M. Ito, and K. Maruhashi, “A 60-GHz band CMOS phased array transmitter utilizing compact baseband phase shifters,” in Proc. IEEE Radio Freq. Integr. Circuits Symp. (RFIC), 2009, pp. 215–218. [18] X. Guan, H. Hashemi, and A. Hajimiri, “A fully integrated 24-GHz eight-element phased-array receiver in silicon,” IEEE J. Solid-State Circuits, vol. 39, no. 12, pp. 2311–2320, Dec. 2004. [19] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998. [20] D. Shin and G. M. Rebeiz, “A high-linearity X-band four-element phased-array receiver: CMOS chip and packaging,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 8, pp. 2064–2072, Aug. 2011. [21] Sonnet Software Inc., Syracuse, NY, “Sonnet ver.12.52,” 2009.

Donghyup Shin (S’08) received the B.S. degree in electrical engineering from Seoul National University, Seoul, South Korea, in 2006 and the M.S. degree in electrical and computer engineering from University of California at San Diego, La Jolla, in 2009, where he is currently pursuing the Ph.D. degree from the Department of Electrical and Computer Engineering (ECE). His doctoral study includes analog, RF and millimeter-wave integrated circuits in silicon technologies for phased array systems and wireless communications.

Gabriel M. Rebeiz (S’86–M’88–SM’93–F’97) received the Ph.D. degree from the California Institute of Technology, Pasadena. He is a Professor of electrical and computer engineering with the University of California at San Diego, La Jolla. Prior to this appointment, he was at the University of Michigan from 1988 to 2004. He has contributed to planar mm-wave and THz antennas and imaging arrays from 1988–1996, and his group has optimized the dielectric-lens antennas, which is the most widely used antenna at mm-wave and THz frequencies. His developed 6–18 GHz and 40–50 GHz 8- and 16-element phased arrays on a single silicon chip, making them one of the most complex RFICs at this frequency range. His group also demonstrated high-Q 200) and the new angular-based RF MEMS tunable filters at 1–6 GHz (Q RF MEMS capacitive and metal-contact switches. As a consultant, he helped develop the USM/ViaSat 24 GHz single-chip automotive radar, phased arrays operating at X, Ku-Band and W-band for defense and commercial applications, the RFMD RF MEMS switch and the Agilent RF MEMS switch. He has graduated over 40 Ph.D. students, and currently leads a group of 20 Ph.D. students and 5 Post-Doctoral Fellows in the area of mm-wave RFIC, microwaves circuits, RF MEMS, planar mm-wave antennas and terahertz systems, and is the Director of the UCSD/DARPA Center on RF MEMS Reliability and Design Fundamentals. He is the author of the book RF MEMS: Theory, Design and Technology (Wiley, 2003). Prof. Rebeiz was a recipient of is an URSI Koga Gold Medal, an IEEE MTT 2000 Microwave Prize, the IEEE MTT 2010 Distinguished Educator Award, the 1998 Eta-Kappa-Nu Professor of the Year Award, the 1998 Amoco Teaching Award given to the best undergraduate teacher at the University of Michigan, and the 2008 Teacher of the Year Award at the Jacobs School of Engineering, UCSD. He is an NSF Presidential Young Investigator and the IEEE MTT 2003 Distinguished Young Engineer. His students have won a total of 19 Best Paper Awards at IEEE MTT, RFIC, and AP-S conferences. He has been an Associate Editor of IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, and a Distinguished Lecturer for IEEE MTT and IEEE AP.

>

Ozgur Inac (S’09) received the B.S. degree in electronics engineering from Sabanci University, Turkey, in 2008 and the M.S. degree in electrical and computer engineering from University of California at San Diego, La Jolla, in 2010, where he is currently pursuing the Ph.D. degree from the Department of Electrical and Computer Engineering (ECE). His research interests include RF and millimeter-wave integrated circuits in silicon technologies for phased array systems and high data rate wireless communications.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

149

Fast Multiharmonic Active Load–Pull System With Waveform Measurement Capabilities Mattias Thorsell, Student Member, IEEE, and Kristoffer Andersson, Member, IEEE

Abstract—A novel fast multiharmonic active load–pull system is presented, which allows for the acquisition of the complete voltage and current waveforms. The system is capable of measuring multiple different load impedance or input power states within a short time instance (typically 180 states within 20 ms). It also facilitates high-power capability (up to 100 W) and high-frequency operation (up to 50 GHz). Furthermore, the dynamic range at the higher order harmonics is significantly improved by introducing an equalizer in cascade with the wideband harmonic samplers. A new algorithm for presenting the wanted load impedance to the device-under-test is also proposed. The method allows for simultaneous optimization on multiple harmonics, converging within five iterations. This method is applicable to all open loop active load–pull systems and could significantly reduce the number of iterations, hence reducing the measurement time. This paper provides a detailed description of the measurement system, including on-wafer verification measurements. Index Terms—Active load–pull, equalizer, large-signal network analyzer (LSNA), microwave measurements, microwave-power field-effect transistors (FETs).

I. INTRODUCTION HERE IS an increasing interest in performing nonlinear characterization of high-power transistors, and several commercial solutions are available.1 2 3 Nonlinear measurements provides the voltage and current waveforms at the device terminals, which gives insight into harmonic generation and the operating condition of the device-under-test (DUT). This is especially of interest for transistors intended for high-efficiency operation, such as in harmonically tuned power amplifiers. The loading condition affects both the performance, as well

T

Manuscript received July 07, 2011; accepted September 19, 2011. Date of publication November 01, 2011; date of current version December 30, 2011. This work was carried out at the GigaHertz Centre, Chalmers University of Technology, under a joint research project financed by the Swedish Governmental Agency of Innovation Systems (VINNOVA), Chalmers University of Technology, Comheat Microwave AB, Ericsson AB, Infineon Technologies Austria AG, the Mitsubishi Electric Corporation, NXP Semiconductors BV, Saab AB, and the SP Technical Research Institute of Sweden. The authors are with the GigaHertz Centre, Microwave Electronics Laboratory, Chalmers University of Technology, SE 412 96 Göteborg, Sweden (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2170090 1Agilent PNA-X series nonlinear vector network analyzer (NVNA), Agilent Technol., Santa Clara, CA, 2011. [Online]. Available: http://www.agilent.com/ find/nvna 2NMDG, Bornem, Belgium, 2011. [Online]. Available: http://www.nmdg.be 3High Frequency Engineering (HFE), San Vittore, Switzerland, 2011. [Online]. Available: http://www.hfemicro.com

as the harmonic generation, and it is therefore important to include load–pull capabilities in the nonlinear measurement system. The load–pull can either be performed by using passive impedance tuners [1] or by active injection [2]. The efficiency of an amplifier driven into compression is strongly dependent on the load impedance at the higher order harmonics [3]. It is therefore of interest to control the load impedance at the fundamental and at least at the second harmonic. Possible solutions are to either use cascaded tuners or combine tuners in parallel using multiplexing filters [4], [5]. However, the possible load impedances for passive tuners are limited by the losses between the tuner and the DUT, due to reflectometers cables and probes. The loss due to the reflectometers can be removed by placing the tuners between the reflectometers and the DUT. However, this requires a pre-characterization of the tuners, which is time consuming and relies on repeatable tuners. Another possible solution is to use low-loss reflectometers, and place the tuners outside of these [6]. The active load–pull solution facilitates the possibility to present any load impedance at the fundamental frequency, as well as higher order harmonics with full Smith-chart coverage [7]. The wanted load impedances are presented either by calibrating the injected signal (hence, knowing its amplitude and phase at the DUT port) or an iterative procedure where the load impedance is measured and the injected signal is changed until the wanted impedance is obtained. The iterative procedure is slow and often requires significantly more than 15 iterations before converging [8].4 Commercial measurement systems capable of nonlinear measurements with multiharmonic terminations are available,5 but the measurement time is comparable to tuner-based systems since one state is optimized and measured at a time. The measurement time for a complete nonlinear characterization, including load–pull, can be significantly reduced by modulating the injected signals. The modulated input signals allows for real time control of the load impedance and a wideband harmonic sampler is used to measure the modulated incident and reflected waves [9]–[11]. However, these methods presents a continuously changing load impedance; hence, no steady-state results are obtained. This can be solved be controlling the injected signal in discrete steps, as shown in [12].6 However, the measurement systems in [12] are limited to load–pull measurements, and the voltage and current waveforms are therefore not available. 4Anteverta Microwave, Delft, The Netherlands, 2011. [Online]. Available: http://www.anteverta-mw.com/Single%20load%20and%20second 5Mesuro, Cardiff, U.K., 2011. [Online]. Available: http://www.mesuro.com 6Anteverta Microwave, Delft, The Netherlands, 2011. [Online]. Available: http://www.anteverta-mw.com

0018-9480/$26.00 © 2011 IEEE

150

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 1. Schematic of the active load–pull measurement system with fundamental and second harmonic load pull.

This paper presents a novel active load–pull system for high-power and high-frequency measurements, capable of presenting multiple impedance states within one measurement cycle while capturing the complete voltage and current waveforms. It makes use of a large-signal network analyzer (LSNA)7 to sample the time-domain waveforms at the ports of the DUT, but it can be integrated with any time-domain-based nonlinear measurement system. The load impedances are synthesized using the open-loop technique [2]. The injected fundamental and harmonic waves are controlled, in amplitude and phase, by digitally controlled vector modulators. The harmonic waves are generated from the fundamental frequency by using frequency doublers. This allows for full control over the measured impedances, and unstable regions are easily avoided. A new fast and robust method for presenting the wanted load impedances based on the Newton–Raphson algorithm is presented. The method makes full use of the capabilities to present multiple impedance states during one measurement cycle to minimize the total measurement time. Furthermore, the dynamic range at the higher order harmonics is significantly improved by inserting equalizers in front of the wideband harmonic samplers. This paper is organized as follows. The principle of active load–pull and the measurement setup are presented in detail in Section II. The proposed optimization algorithm for finding the wanted load impedances is presented in Section III. To demonstrate the capabilities of the fast multiharmonic load–pull setup, on-wafer verification measurements are carried out in Section IV. II. MEASUREMENT SETUP The active load–pull setup is based on an LSNA (Maury/ NMDG MT4463) , shown in Fig. 1. The LSNA measures the harmonics of the voltages and currents at the DUT terminals from 600 MHz up to 50 GHz with absolute magnitude and phase relation between them [13]. The dc level is measured separately using the bias supply and added to the LSNA data to obtain the 7Maury Microwave Corporation, Ontario, CA, and NMDG NV, Bornem, Belgium, MT 4463, 2011. [Online]. Available: http://www.nmdg.be/start_mt4463. html

complete time-domain voltage and current waveforms. From the waveforms, one can directly calculate quantities such as output , all with power, efficiency, and load reflection coefficient data captured within one measurement cycle. The LSNA is well suited for load–pull measurements since is measured at a calibrated reference plane. The active load–pull setup in this paper is based on an open loop active injection architecture [2]. The load reflection coefficient is the and the transmitted wave ratio between the incident wave . Therefore, by controlling the amplitude and phase of , arbitrary are realized according to (1) A. Vector Modulators The active load–pull is realized by using vector modulators wave, as to control the amplitude and phase of the injected shown in Fig. 1. No pre-characterization of the vector modulators is needed since they are placed outside the reflectometers; wave is measured after the modulator. hence, the injected The amplitude and phase of are set by the vector modulators and ; hence, any signal can be realized control signals as illustrated in Fig. 2. The benefit of using vector modulators compared to in-phase/quadrature (I/Q) mixers, commonly used in active load–pull systems, is that the problem with local oscillator (LO) leakage is eliminated. The system uses commercially available vector modulators having bandwidths of 2–6, 4–12, and 6–18 GHz, and a response time of 0.5 s. The vector modulators has a dynamic range of 20 dB, with 12-bit resolution for the digital control signals and . The input signal (see Fig. 1) to the vector modulator needs to be phase locked to the input signal of the DUT to have a stable load impedance during the measurement. A power splitter is connected to the output of the signal generator, with one port connected to the input of the DUT and the other port connected to the vector modulator. This provides absolute phase coherence between the injected signals at the input and at the output of the DUT. The wanted power levels are obtained by using suitable power amplifiers at both the input and output of the DUT.

THORSELL AND ANDERSSON: FAST MULTIHARMONIC ACTIVE LOAD–PULL SYSTEM WITH WAVEFORM MEASUREMENT CAPABILITIES

151

Fig. 2. Illustration of the amplitude and phase control of a by using a vector modulator.

The system is easily extended to multiharmonic load–pull by including additional vector modulators. Phase coherence between the fundamental frequency and the second harmonic for the setup in Fig. 1 is obtained by using a frequency doubler. Higher order harmonics can be generated by including additional frequency doublers and triplers. Another option is to use multiple signal sources and phase lock them to a common reference signal (e.g., 10 MHz). The benefit with the proposed setup in Fig. 1 is that only one signal source is needed; hence, significantly reducing the cost of the measurement setup. B. Controlling the LSNA The LSNA is used in modulation mode; hence, capturing time-varying periodic signals with a maximum period set by the chosen modulation frequency. Thus, by changing the control signals during the measurement time of the LSNA, several different impedance states are presented during one measurement cycle. In order to produce a periodic signal, the vector modulator control signals and the LSNA must have a common time base. It is not necessary to control the trigger to the LSNA, but it simplifies the data analysis if the first state in the control vector is the first measured state by the LSNA. A 16-bit digital pattern generator (DPG) is used as a common time base for the LSNA and the vector modulators (Fig. 1) [14]. The DPG generates the LSNA sample clock, LSNA trigger, and vector modulator control signals, and it is frequency locked to the 10-MHz reference signal of the RF source. Since the DPG has a maximum of 16 channels (1 bit per channel), the two 12-bit control signals for the vector modulators ( and ) are generated by feeding 12-bit shift registers with serial data from the DPG. Hence, the shift registers will act as serial to parallel converters. Fig. 3 illustrates how the shift registers are used to generate the 12-bit control signals. The DPG is used to generate the serial data signals, the shift register clock signal, and the strobe signal. The strobe signal is set high at the completion of a 12-bit word, and the data is put on the 12-bit bus connected to the vector modulator. The proposed setup allows for several different control words to be sent to the vector modulators during one measurement cycle of the LSNA. The maximum number of states is partly limited by the response time of the vector modulators 0.5 s ,

Fig. 3. Data signals to the shift registers controlling the digitally controlled vector modulators. The different states are 0.3, 0, and 0.3 for U and 0.3, 0.3, and 0.3 for U .

0

0

but effectively limited by the measurement capabilities of the LSNA. The LSNA measures the wanted number of harmonics, and around each harmonic a limited number of sideband frequencies with a fixed frequency separation set by the modulation frequency. The output from the LSNA is therefore not the full spectrum, but the modulation spectrum around each calibrated harmonic. The modulation frequency sets the time record length (modulation frequency of 100 Hz equals 10-ms record length), and the number of measured sidebands sets the resolution within the record. The maximum number of sidebands is limited depending on the measurement mode of the LSNA, and the system is capable of measuring at least 180 different steady-state impedances within 20 ms when using the narrowband modulation mode. The broadband modulation mode of the LSNA allows for significantly more states to be presented, but the IF spectrum is then no longer a down-converted copy of the RF spectrum; hence, the highest order harmonics are not filtered out but instead folded down on top of the lower order ones [15]. A similar method is proposed in [12], where a mixed-signal multidimensional load–pull setup is presented. The setup is based on in-house developed hardware and algorithms [16], it is therefore not easily integrated with other nonlinear measurement systems such as the LSNA. Furthermore, that system is only intended for load–pull measurements; hence, no voltage and current waveforms are obtained. The number of harmonics in the LSNA-based setup in this paper is limited by the maximum operating frequency of 50 GHz; hence, it is possible to measure up to 25 harmonics for a fundamental frequency of 2 GHz. C. Bias Current Measurement The active load–pull system in this paper allows for very fast measurements of output power versus impedance for several harmonics. However, it does not allow for direct measurement of the efficiency since the dc power is unknown. The bias current through the DUT is dependent on the load impedance; hence,

152

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 4. Equalizer with high-pass filter and variable attenuator.

the current will change at the same speed as the vector modulators. The reading on the bias supply gives the time average of the current, and is therefore not useful for efficiency calculation for each individual load impedance state. The solution is to measure the time-varying bias current with a current probe (50-MHz bandwidth) connected to an oscilloscope. The oscilloscope shares the same trigger as the LSNA to allow synchronized measurements, as shown in Fig. 1. The current is then extracted for each impedance state and combined with the LSNA data at the corresponding state to obtain the complete voltage and current waveforms. This allow for simultaneous measurement of RF power, dc power, efficiency, and their dependence on load impedance. D. Dynamic-Range Improvement The input signal levels to the harmonic samplers in the LSNA are controlled by calibrated step attenuators. The step attenuators are set to ensure linear operation of the samplers, and the attenuation is increased with increasing power levels. The highest power is usually measured for the fundamental frequency when characterizing transistors for power amplifier operation, thus effectively setting the attenuation. The difference in power level between the fundamental and higher order harmonics might reduce the dynamic range at the higher harmonics due to too high attenuation. This affects the waveform measurements, as well as the load–pull capability at the higher order harmonics. An equalizer is therefore introduced between the measurement couplers and the harmonic samplers, shown in Fig. 4. The equalizer consists of a power splitter, power combiner, high-pass filter, and variable attenuator. The variable attenuation sets the power level for the fundamental frequency, while the higher order harmonics pass through the high-pass filter with low attenuation. The cutoff frequency of the high-pass filter is 4 GHz; hence, suitable for fundamental frequencies between 2–4 GHz. By selecting appropriate values of the attenuator, it is possible to reduce the power level of the fundamental to the power level of the strongest higher order harmonic. This improves the dynamic range at the higher order harmonics due to lower loss between the reflectometers and the harmonic samplers. The insertion loss of the equalizer is close to 10 dB at the higher order harmonics, which should be compared with the loss through the step attenuators in front of the harmonic samplers. The step attenuators are practically never set to 0 dB, except for very low-power measurements; hence, the equalizer improves the dynamic range at the higher order harmonics for mediumand high-power measurements. Verification measurement of the improved dynamic range at higher order harmonics are carried out with the LSNA. The har-

Fig. 5. Raw measurements with and without the equalizer on the HPR of the LSNA.

monic phase reference (HPR) of the LSNA, used in the calibration, is used to generate the harmonics. The HPR is driven with a 1-GHz input signal, which generates an output signal consisting of the 1-GHz signal and all higher order harmonics up to 50 GHz. The output signal is split into two paths, the first path includes the equalizer, and is connected to port 1 of the LSNA. The other path includes a variable attenuator and is connected to port 2 of the LSNA. The variable attenuator is used to obtain equal power level of the fundamental signal at the measurement receivers. The uncalibrated IF spectrum of the LSNA is measured to compare the two receivers, with and without the equalizer. The LSNA has a 10-MHz IF bandwidth, and the measured spectrum is shown in Fig. 5, where each of the measured peaks corresponds to a harmonic of the 1-GHz pump signal. The measured power levels at the higher order harmonics are significantly improved with the equalizer inserted; hence, improving the dynamic range of the system when driven with a high-power fundamental signal. III. OPTIMIZATION ALGORITHM The wanted load reflection coefficient is presented to the DUT and to the by selecting the appropriate control signals vector modulator. The procedure for finding and often requires a calibration of the I/Q mixer used to control the load impedance [8]. This calibration gives the relation between the control signals and the injected wave at the DUT plane. The load reflection coefficient is then controlled by measuring out and in from the DUT and solving

(2) This procedure would directly give the correct control signals, but due to nonlinear distortion in the DUT, the resulting differs from the expected. Thus, iterative procedures are used to [16], [17]. Furthermore, the need for prefind the wanted characterizing the setup put high demands on components such

THORSELL AND ANDERSSON: FAST MULTIHARMONIC ACTIVE LOAD–PULL SYSTEM WITH WAVEFORM MEASUREMENT CAPABILITIES

as amplifiers and synthesizers in terms of drift and nonlinearities. It is also a time-consuming procedure to perform the precharacterization. The iterative procedures can be improved by using poly harmonic distortion (PHD) model assisted prediction algorithms and , which sigfor finding the correct combination of nificantly reduces the number of iterations [18]. However, a characterization measurement is needed to first derive the PHD model. The multiharmonic load–pull systems creates a new problem due to the coupling between the harmonics. The output power at the higher order harmonics is strongly dependent on the load impedance at the fundamental. Solutions to this problem is to use recursive search algorithms [19]; hence, optimizing one harmonic at a time. This increases the number of iterations and the measurement time significantly. and , A new method is therefore proposed to find which requires no prior knowledge of either the measurement setup or the DUT. It can be directly applied after the standard calibration of the LSNA. The method is based on the Newton–Raphson algorithm and is similar to the method presented in [20]. The proposed method in this paper extends the method in [20] to allow for multiharmonic load–pull measurements. The convergence is very fast, and it usually requires 3–5 iterations to find the correct control signals. The Newton–Raphson method calculates the step lengths for and by determining the Jacobian of the harmonic load reflection coefficients with respect to and of the vector modulators. This allows for multiharmonic optimizations, in contrast to the method in [20], where each harmonic is considered individually. The multidimensional Jacobian is given by

.. .

.. .

..

.

.. .

(3)

where is the number of load and source impedances to optiand imaginary part of are associmize. The real ated with and , respectively. The measurement procedure for obtaining the Jacobian is described in detail for one harmonic, but the principle is the same for multiharmonic optimization. The derivatives of the load reflection coefficient are obtained from three different measurefor the starting ments. The load reflection coefficient and is first measured. Then small deviations values of and , are added to the control signals and the corresponding load reflection coefficients are measured and ). The derivatives for the Jacobian are then ( approximated as (4) (5)

153

Fig. 6. Measurement on a thru. The error of the optimization for four different load impedances (marked in inset) versus number of iterations. Inset: Showing the starting values (o) and end values (x) of the 39 different impedances states, together with the path of the optimization of the four out of 39 selected load impedances. The black line shows the global error of the optimization.

where is the index to optimize (one unique index for each port and harmonic combination), and is the index corresponding to if only one harmonic the vector modulator in use. Hence, is considered. The Jacobian is directly obtained from one single measurement by adding the two extra states for each harmonic to the control signal vectors; hence, increasing the number of states . The presented during each measurement by a factor of active load–pull setup with multiple impedance states within one measurement is therefore well suited for multidimensional optimizations such as multiharmonic source–pull and load–pull. The fast convergence of the Newton–Raphson method is first illustrated with measurements on a through connection. The system is set to present 39 different impedances [including 78 extra states that are used to calculate the Jacobian in (3)] evenly distributed across the Smith chart. The error of the optimization is defined as the difference between the measured and wanted complex valued load reflection coefficients. A significant decrease in the error is observed with increasing number of iterand ations, shown in Fig. 6. The correct control signals are found within five iterations, and the noise floor of the measurement system is reached within three iterations, when a starting value close to 50 is used for all impedance states. The number of iterations is dependent on the chosen starting value and previous measurement results can be used to reduce it further. The starting conditions and the final load impedances are shown in the inset of Fig. 6. The optimization procedure is further demonstrated on a 2 100 m GaN HEMT. A multiharmonic load–pull measurement is carried out, presenting 50 different load impedance combinations during one measurement cycle. The optimization is simultaneously carried out on both the fundamental and at the second harmonic. The fundamental load impedance is kept constant at a high output power impedance, while the phase of the load reflection coefficient at the second harmonic is swept

154

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

2

Fig. 7. Measurement on a 2 100 m GaN HEMT. (bottom) Error of the optimization for two different load impedance combinations (marked in insets) versus number of iterations. The black line shows the global error of the optimization. (top left) Showing the starting values (o), the end values (x), and the optimization path of the two out of 50 load impedances at the fundamental frequency. (top right) Showing the starting values (o), the end values (x), and the optimization path of the two out of 50 load impedances at the second harmonic.

Fig. 8. (top) Measured modulated load reflection coefficient with 39 different states within 21 ms. (bottom) Measured drain current during the load–pull characterization.

The measurement system presented in the previous sections allows for fast and accurate characterization of high-power transistors for multiharmonic loading conditions. The capabilities are demonstrated in this section by fast multiharmonics load–pull measurements on in-house manufactured GaN HEMTs, with a total gatewidth of 4 200 m, and 2 100 m [21]. The fundamental frequency is 3 GHz, and six harmonics are measured; hence, the maximum measured frequency is 18 GHz.

states is shown in Fig. 8. Each measurement of the optimized state has a steady-state response of the DUT since no transients are observed; hence, it is fair to assume that no memory effects influence the measurement results at each impedance state for the chosen modulation frequency. The duration of each state can be increased until steady state is obtained if the DUT suffer from memory effects. dependence on load impedance is The drain currents measured with a current probe connected to the bias feed. The reading from the bias supply provides only the time average current; hence, an oscilloscope is used to capture the fast varying drain current. The drain current varies between 150 and 300 mA/mm and the measurement show steady-state response of the current for each impedance state; hence, accurate efficiency calculations are possible (Fig. 8). The waveform measurement capabilities of the presented multiharmonic load–pull system are shown in Fig. 9. The load reflection coefficients closest to the real axis are chosen, and the corresponding voltage and current waveforms are calculated. The load line for the highest impedance (black) clearly shows that the voltage swing is limited by the on-resistance. This information is very important for model verifications and amplifier designs. Furthermore, the complete waveforms also gives information about problems with knee walkout, which limits the output power [22].

A. Load–Pull at

B. Load–Pull at

Fundamental load–pull measurements are first carried out on a 4 200 m GaN HEMTv with load reflection coefficients evenly distributed around the Smith chart up to a magnitude of 0.75. A total of 39 different reflection coefficients are used (including 78 extra states that are used to calculate the Jacobian), and the total measurement time for the 39 different states is 21 ms; hence, each state is presented during approximately 0.5 ms (0.18 ms during the optimization). The time-domain

The importance of multiharmonic load pull is further illus100 m GaN trated in the following measurements. A 2 HEMT is measured during multiharmonic loading conditions. The fundamental load impedance is set to the impedance corresponding to the highest output power. The load reflection coefficient at the second harmonic is then set to a fixed magnitude of 0.8, and the phase is swept around the Smith chart with 50 different points.

around the Smith chart. Each impedance combination needs four extra states to calculate the Jacobian in (3); hence, a total of 250 states are measured at each iteration. This creates a Jacobian where the coupling between the harmonics is included to improve the convergence for the multiharmonics load–pull. The optimization reaches the noise floor within five iterations for both the fundamental and second harmonic (Fig. 7). The convergence and speed of the presented system is a significant improvement compared to a fast commercial load–pull system, which requires more than 15 iterations for each harmonic .

IV. VERIFICATION MEASUREMENTS

THORSELL AND ANDERSSON: FAST MULTIHARMONIC ACTIVE LOAD–PULL SYSTEM WITH WAVEFORM MEASUREMENT CAPABILITIES

155

Fig. 9. Output current versus voltage waveforms for the load impedances marked in the Smith chart for a 4 200 m GaN HEMT.

Fig. 11. (top left) Showing the hot impedance (red x in online version) and in online version). (top right) Drain current versus cold impedance (green duty cycle. (bottom left) Output power versus duty cycle. (bottom right) Drain efficiency versus duty cycle.

Fig. 10. Power-added efficiency versus phase of the second harmonic load impedance. Insert show the measured load impedances at the fundamental and second harmonic.

Fig. 12. Drain current versus drain voltage waveforms for 50% and 100% duty cycle for the cold impedance, and 50% duty cycle for the hot impedance.

2

The input power, output power, and drain current are exis calculated tracted for each state and the drain efficiency according to

(6) The termination of the second harmonic largely influences the efficiency of the DUT. It is therefore of interest to characterize this dependence for accurate modeling of transistors for highefficiency amplifier designs. The efficiency is calculated from (5), and the variations in efficiency with the phase of the second harmonic load impedance are shown in Fig. 10. This illustrates the sensitivity of the termination of the second harmonic; hence, the importance of accurate models. This active load–pull setup

+

provides the possibility to quickly characterize this dependence for model verification and faster design procedures. C. Confidence in Fast Load–Pull Measurements Fast load–pull measurements could introduce unreliable results when measuring devices that suffer from low-frequency dispersion such as GaN HEMTs [23]. The sensitivity to the speed of the load–pull measurement is investigated by presenting a mismatched load impedance, called the hot impedance, and a high output power impedance, called the cold impedance. The hot impedance dissipates most of the dc power internally in the DUT; hence, an increase in the channel temperature. The cold impedance dissipates most of the power into the load, resulting in a lower channel temperature. The duty cycle of the cold impedance in relation to the hot impedance is varied between 3%–100% by using the fast load–pull setup.

156

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

The total measurement time is set to approximately 21 ms for all measurements, but the impedance states are continuously presented to the DUT; hence, the 100% duty cycle corresponds to the steady-state response of the DUT. The performance of the DUT versus duty cycle is shown in Fig. 11, and an increase in the drain current is observed with increasing duty cycle. This could be due to the lower channel temperature for higher duty cycles; hence, higher electron mobility. The output power versus duty cycle is flat up to 50%, and a small decrease is observed at 100% duty cycle. This dependence can not easily be explained by a lower channel temperature. The drain efficiency is, as expected from the previous results, decreasing with duty dycle. The small decrease in output power between the 50% and 100% duty cycles is visible when comparing the load lines for the two different measurements. The load lines in Fig. 12 show a small difference at low drain voltages. The on-resistance for the 100% case is slightly larger, which could be due to trapping phenomena [22]. This clearly illustrates the importance in waveform acquisition when performing fast load–pull measurements on GaN-based HEMTs, which suffer from low-frequency dispersion. V. CONCLUSION A fast multiharmonic active load–pull system with waveform measurement capabilities has been presented in this paper. The LSNA is used for the waveform measurements, and a DPG is integrated in the system to control the sample clock of the LSNA, as well as the vector modulators. Furthermore, the dynamic range at the higher order harmonics is improved by the inclusion of an equalizer. The equalizer provide a low-loss path between the reflectometers and the harmonics sampler for the higher order harmonics, while providing a higher attenuation for the fundamental frequency. The proposed optimization routine, optimizing the load impedance on multiple harmonics simultaneously, make full use of the fast setup. The Jacobian of the optimization routine is measured by adding extra states to the control vectors of the vector modulators. This allows for the measurement of both the actual state, as well as the Jacobian during one measurement cycle. The number of iterations needed is shown to be significantly lower than for other active load–pull systems. Fast load–pull measurements could give unreliable results when measuring on devices, which suffers from low-frequency dispersion. These effects are studied, and it is shown that the measurement results are sensitive to it. Furthermore, the importance of waveform acquisition capabilities is shown for the analysis of the low-frequency dispersion. REFERENCES [1] J. Cusack, S. Perlow, and B. Perlman, “Automatic load contour mapping for microwave power transistors,” IEEE Trans. Microw. Theory Tech., vol. MTT-22, no. 12, pp. 1146–1152, Dec. 1974. [2] Y. Takayama, “A new load–pull characterization method for microwave power transistors,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1976, pp. 218–220.

[3] S. C. Cripps, RF Power Amplifiers for Wireless Communications, 2nd ed. Norwood, MA: Artech House, 2006. [4] S. Dudkiewicz and R. Meierer, “Cascading tuners for high-VSWR and harmonic load pull.” Maury Microwave, Ontario, CA, Appl. Notes, Jan. 2009, vol. 30, pp. 1–11. [5] G. Simpson and M. Vassar, “Importance of 2nd harmonic tuning for power amplifier design,” in 48th Automat. RF Tech. Group Conf. Dig., Dec. 1996, vol. 30, pp. 1–6. [6] F. De Groote, J. Verspecht, C. Tsironis, D. Barataud, and J.-P. Teyssier, “An improved coupling method for time domain load–pull measurements,” in 65th Automat. RF Tech. Group Conf. Dig., Jun. 2005, pp. 1–4. [7] F. Blache, J. Nebus, P. Bouysse, and J. Villotte, “A novel computerized multiharmonic active load–pull system for the optimization of high efficiency operating classes in power transistors,” in IEEE MTT-S Int. Microw. Symp. Dig., May 1995, pp. 1037–1040. [8] M. S. Hashmi, A. L. Clarke, S. P. Woodington, J. Lees, J. Benedikt, and P. J. Tasker, “An accurate calibrate-able multiharmonic active load–pull system based on the envelope load–pull concept,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 3, pp. 656–664, Mar. 2010. [9] P. Roblin, S. J. Doo, X. Cui, G. Jessen, D. Chaillot, and J. Strahler, “New ultra-fast real-time active load–pull measurements for high speed RF power amplifier design,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 1493–1496. [10] V. Teppati, A. Ferrero, and U. Pisani, “Recent advances in real-time load–pull systems,” IEEE Trans. Instrum. Meas., vol. 57, no. 11, pp. 2640–2646, Nov. 2008. [11] M. Thorsell, K. Andersson, and C. Fager, “Characterization setup for device level dynamic load modulation measurements,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2009, pp. 1197–1200. [12] M. Squillante, M. Marchetti, M. Spirito, and L. de Vreede, “A mixed-signal approach for high-speed fully controlled multidimensional load–pull parameters sweep,” in 73rd Automat. RF Tech. Group Conf. Dig., Jun. 2009, pp. 1–5. [13] J. Verspecht, “Large-signal network analysis,” IEEE Microw. Mag., vol. 6, no. 4, pp. 82–92, Dec. 2005. [14] K. Andersson, M. Thorsell, G. Pailloncy, and F. Verbeyst, “Largesignal waveform acquisition of pulsed signals,” in Proc. 41st Eur. Microw. Conf., Oct. 2011, pp. 910–913. [15] P. Vael and Y. Rolain, “Comparison of calibrated S -parameters measured under CW and pulsed RF excitation with a non-linear vectorial network analyzer,” in 55th Automat. RF Tech. Group Conf. Dig., Jun. 2000, vol. 37, pp. 1–10. [16] M. Marchetti, M. Pelk, K. Buisman, W. Neo, M. Spirito, and L. de Vreede, “Active harmonic load–pull with realistic wideband communications signals,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 12, pp. 2979–2988, Dec. 2008. [17] S. Bonino, V. Teppati, and A. Ferrero, “A novel methodology for fast harmonic-load control with a passive tuner and an active loop,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2010, pp. 1158–1161. [18] R. E. Leoni, A. Scott, and D. G. Ries, “Active simultaneous harmonic source and load pull assisted by local polyharmonic distortion models,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2010, pp. 1166–1169. [19] S. J. Doo, P. Roblin, V. Balasubramanian, R. Taylor, K. Dandu, J. Strahler, G. H. Jessen, and J.-P. Teyssier, “Pulsed active load–pull measurements for the design of high-efficiency class-B RF power amplifiers with GaN HEMTS,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 4, pp. 881–889, Apr. 2009. [20] P. Poire, D.-L Le, and F. Ghannouchi, “A PC controlled fully automatic active load–pull measurement system using a pseudo-gradient algorithm,” in IEEE Instrum. Meas. Tech. Conf., Apr. 1995, pp. 628–631. [21] M. Südow, M. Fagerlind, M. Thorsell, K. Andersson, N. Billström, P.-Å. Nilsson, and N. Rorsman, “An AlGaN/GaN HEMT based microstrip MMIC process for advanced transceiver design,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 8, pp. 1827–1833, Aug. 2008. [22] B. Green, V. Tilak, V. Kaper, J. Smart, J. Shealy, and L. Eastman, “Microwave power limits of AlGaN/GaN HEMTs under pulsed-bias conditions,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 618–623, Feb. 2003. [23] M. Thorsell, K. Andersson, H. Hjelmgren, and N. Rorsman, “Electrothermal access resistance model for GaN-based HEMTs,” IEEE Trans. Electron Devices, vol. 58, no. 2, pp. 466–472, 2011.

THORSELL AND ANDERSSON: FAST MULTIHARMONIC ACTIVE LOAD–PULL SYSTEM WITH WAVEFORM MEASUREMENT CAPABILITIES

Mattias Thorsell (S’08) received the M.Sc. degree in electrical engineering from the Chalmers University of Technology, Göteborg, Sweden, in 2007, and is currently working toward the Ph.D. degree at the Chalmers University of Technology. His main research topic is characterization and modeling of wide bandgap transistors.

157

Kristoffer Andersson (S’03–M’06) received the M.Sc. and Ph.D. degrees in electrical engineering from the Chalmers University of Technology, Göteborg, Sweden, in 2001 and 2006, respectively. He is currently an Assistant Professor with the Chalmers University of Technology. His research interests are in the area of characterization and modeling of wide-bandgap transistors.

158

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Broadband Electromagnetic Modeling of Woven Fabric Composites Mark S. Mirotznik, Senior Member, IEEE, Shridhar Yarlagadda, Raymond McCauley, and Peter Pa, Student Member, IEEE

Abstract—We demonstrate a new method for predicting the broadband electromagnetic (EM) wave propagation characteristics of woven fabric composites. The method combines a rigorous EM model with effective media theory to predict the EM properties of structural composites from dc to 50 GHz. Experimental results are provided that demonstrate the validity of the method. We also describe the presence of large narrow band electromagnetic resonances that occur above 30 GHz. These resonances, which are shown to be guided mode resonances, can be predicted by solving a simple dispersion relation. Index Terms—Composites, dielectric properties, effective medium, guided mode resonances, millimeter wave, rigorous couple wave, woven fabrics.

I. INTRODUCTION

W

OVEN FABRIC composites are a popular core building block material of many commercial and military platforms in addition to being a common substrate for circuit-board manufacturing. The composite’s high strength-to-weight ratio, low cost, and good thermal properties are among some reasons for their popularity. Conventional composites are composed of layers of woven fabrics, usually consisting of glass, polymer, or carbon fibers that are held together by a polymer matrix or resin. Decades of military, academic, and industrial research have gone into the design and manufacturing of composites whose mechanical properties are optimized. Much more recently, material researchers have begun to investigate ways to create composites that have other attractive material properties beyond their mechanical strength, such as electromagnetic (EM) properties [1]–[3]. By tailoring the EM properties of structural composites (e.g., complex permittivity and permeability), it may be possible to integrate antennas, frequency-selective surfaces, and other electromagnetic components directly into the structural skin of future commercial and military vehicles and structures. Such applications would be greatly aided by good predictive models that could be used to select the proper base materials (i.e., fabric type, fabric architecture, and resin) and

Manuscript received April 05, 2011; revised September 20, 2011; accepted September 29, 2011. Date of publication November 18, 2011; date of current version December 30, 2011. This work was supported by the Office of Naval Research. The authors are with The University of Delaware, Newark, DE 19716 USA (e-mail: mirotzni@ ece.udel.edu; [email protected]; [email protected]; [email protected]) Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2171980

layered configuration to create a structural composite with attractive EM and mechanical properties. The literature reports several approaches for simulating the EM properties of woven composites. The first uses effective media theory [4]–[6] to provide closed-form approximations for the composite’s effective dielectric constant as a function of the dielectric properties of the fiber and resin components and the geometrical architecture of the fabric. Although attractive from a computational perspective, effective media theory is accurate only for fabric architectures in which the length scales (i.e., fabric’s unit cell size) are much smaller than the wavelength of illumination. As the wavelength approaches the periodicity of the fabric, which is referred to as the resonance regime, the assumptions on which these closed-form expressions are based are no longer valid. For most structural fabrics, this occurs well within the microwave region, and, for composite substrates used in circuit boards, the resonance regime shifts to even higher frequencies due to weaves with smaller unit cells. A second approach described by Chin and Lee [7], [8] predicts the dielectric properties of unidirectional composite fabrics and laminates by constructing equivalent lump circuit representations. The circuit models, consisting of parallel RC circuits, were shown to accurately predict the effective dielectric properties of composite laminates within the X-band (8–12 GHz). The method was extended to full 3-D woven fabrics by Yao [9]. The circuit analog method becomes less accurate as the frequency increases to the point in which the wavelength approaches the unit cell size. A third approach utilizes rigorous EM models. Although computationally more difficult, this approach can generate accurate results for woven composites of any fabric architecture [22]. Several different rigorous EM methods can be used for this purpose, including the finite-element method (FEM) and finite-difference time-domain (FDTD) and modal-based solution methods, such as the rigorous coupled wave (RCW) algorithm. The major disadvantage of employing rigorous methods is the computational expense. An FEM model that incorporates the exact geometry of the unit cell, including the fiber bundle, requires hours of computation time on a medium sized workstation. In this paper, we present a hybrid EM model that combines effective media theory with a rigorous EM method (i.e., RCW method). The end result is a computationally efficient model that predicts the EM properties of woven fabric composites, including resonance effects, at frequencies as high as 50 GHz. Moreover, this method can be easily applied to complicated 2-D and 3-D weave architectures and to multilayered laminates. In

0018-9480/$26.00 © 2011 IEEE

MIROTZNIK et al.: BROADBAND ELECTROMAGNETIC MODELING OF WOVEN FABRIC COMPOSITES

159

centrate on modeling only single-layer fabrics—both dry and infused with a polymer resin. However, the described methods can easily be applied directly to the analysis of multilayered composite laminates. For the sake of brevity, we will present the multilayered results in a subsequent manuscript. III. APPROXIMATE EM REPRESENTATION

Fig. 1. Common weave architectures used in woven fabric structural composites. (a) 1-D unidirectional weave. (b) 2-D plain weave. (c) 2/2 twill weave. (d) Four harness satin weave.

this paper, experimental validation from 4 to 50 GHz is provided for single layers of dry structural-grade woven glass fabrics and for the same fabrics infused with a vinyl ester resin. II. WOVEN FABRIC ARCHITECTURES Fiber-reinforced plastic (FRP) structures use a large variety of structural-grade woven fabrics. These fabrics vary in fiber type (e.g., glass, carbon, kevlar, or aramid), thickness, weight, and geometrical architecture of the weave. For most applications, a number of fabric layers are stacked in specific orientations and infused with a polymer resin (e.g., thermosets such as epoxy, vinyl ester, or polyester) to create an FRP structure that satisfies the mechanical requirements of the application. In creating electromagnetically functionalized composite structures, choice of the proper fabrics and resins must also take into account the electromagnetic requirements (e.g., low loss and low scattering). The broadband EM properties are sensitive to the choice in fiber type, weave, bundle size, and bulk dielectric properties of the resin. Here, we present a model that can be used to predict the broadband EM properties of FRPs. For the sake of brevity, we provide illustrative examples for the most common weave architectures (Fig. 1). These are unidirectional and common 2-D weaves. A unidirectional fabric, shown in Fig. 1(a), is composed of a periodic arrangement of fiber bundles aligned along the same axis. It should be noted that each fiber bundle, shown in the figure, is actually composed of thousands of small cylindrical fibers. The cross-sectional shapes of the bundles typically form elongated ellipses with an eccentricity close to unity. In 2-D weaves, the fiber bundles are aligned along two orthogonal axes. The most common 2-D weaves are the plain, twill, and satin weaves (Fig. 1(b)–(d), respectively). There are a number of other 2-D and 3-D weave types that can also be modeled using the methods described in this paper. Here, we con-

Here, we describe the approximate EM representations of the woven fabric composites. Before proceeding, we outline the following objectives of the model: 1) to create an EM model that accurately predicts the EM response of a woven fabric composite over a broad range of frequencies (dc to 50 GHz) where effective media theory becomes invalid; 2) to create an EM model that can be applied directly to a wide variety of fabric and resin types and weave architectures; and 3) to create a model that is computationally efficient and can be integrated subsequently into an iterative design algorithm. Our approach was to combine effective media theory, where valid, with an efficient rigorous EM algorithm. To this end, we employed the following assumptions to model a single woven fabric layer. 1) The fabric weave has adequate uniformity so that it can be modeled as an infinitely periodic structure. 2) The dielectric properties of the individual fiber bundles shown in Fig. 1(a)–(d) can be approximated by their effective bulk anisotropic properties. This is a reasonable approximation for the frequencies of interest here (i.e., 50 GHz) since the diameter and spacing of the individual fibers is very small compared with the wavelength. The specific effective media model used for the fiber bundles is discussed in more detail later in this paper. 3) For regions in which two fiber bundles overlap, such as the 2-D weaves shown in Fig. 1(b)–(d), the EM properties are insensitive to the order (i.e., insensitive to which bundle is on the top). Moreover, the dielectric properties within the overlap region are assumed to be an average of the - and -directed fiber bundle properties. This is a reasonable approximation as long as the thickness of the fiber bundle is small compared with the wavelength. For most structural-grade fabrics, the bundle thickness 0.5 mm. Consequently, this approximais less than tion will begin to break down as the frequency increases much beyond 50 GHz. 4) The cross-sectional shape of the fiber bundle, which is typically an elongated ellipse, can be approximated as a rectangular cross section of the same cross-sectional area. This is again a reasonable assumption as long as the thickness of the fiber bundle is thin compared with the wavelength. With these approximations in mind, the EM representations for the unidirectional and 2-D weaves shown in Fig. 1 are now presented. A. Unidirectional Fabrics Fig. 2(a) shows a typical unidirectional composite fabric. It also shows the thin polymer stitching thread used to hold the fiber bundles in place. The stitching thread takes up less than

160

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 2. EM model used to analyze unidirectional composite fabrics.

2% of the total fabric volume, and, as a result, its contribution to the fabric’s EM properties was considered negligible. Fig. 2(a) illustrates a single layer of a dry fabric in which all spaces not occupied by a fiber, both internal to a fiber bundle and between fiber bundles, are assumed to be free space. However, in the vast majority of applications, this fabric is infused with a resin that fills in all of these unoccupied spaces. Fig. 2(b) shows the approximate EM representation of the unidirectional fabric. Here, we applied three of the approximations listed previously, namely, that the EM properties of the fiber bundles were modeled as an effective anisotropic material and that the cross-sectional geometry of the fiber bundles was approximated using a rectangular geometry. It is clear that, for the unidirectional fibers, our EM representation is simply a 1-D dielectric grating with anisotropic material properties. B. 2-D Woven Fabrics—Four-Region Model Fig. 3 illustrates a standard orthogonal 2-D woven composite fabric with a plain weave. It is constructed with two sets of orthogonal fiber bundles running along the and axes. The approximate EM representation of this fabric is presented in Figs. 3 and 4. Applying the four approximations described previously, we construct a unit cell composed of four regions. Region 1 is the portion of the fabric in which the - and -directed fiber bundles overlap; regions 2 and 3 contain only the and -directed fiber bundles, respectively; and region 4 is completely unoccupied by fibers. To account for the region where the fiber bundles overlap, the total thickness of the unit cell is

Fig. 3. Planar view of the four-region model used to represent the unit cell of 2-D woven fabrics. The fabric is assumed to be infinitely periodic in both the x- and y -directions with a periodicity of 3 and 3 , respectively. It should be noted that the periods along the x- and y -axes may not be identical as weaves can be nonsymmetric. This could lead to anisotropic EM properties.

twice the thickness of the fiber bundle (i.e., ). To create a complete fabric this unit cell is periodically replicated with peand along the and axes, respectively. riods of In the -direction, each unit cell is broken into two equally thick layers [Fig. 4(a)]. The thickness of each layer is assumed of a fiber bundle. The bottom layer to be the thickness [Fig. 4(b)] contains the nonoverlapping fiber bundles as well as the overlapping region. The top layer [Fig. 4(c)] only contains the overlapping region. All regions unoccupied by fibers are assumed to be filled with air (fabric model) or resin (composite model). Fig. 4 shows the dielectric properties within each of the various regions. Specifically, , , and , and denotes the effective permittivity in each of the four regions illustrated in Figs. 3 and 4. In regions 2 and 3, the permittivity will depend on the orientation of the fiber bundle (e.g., - or -directed fibers) and the polarization of the incident field (e.g., or linear polarization). This can be described mathematically as permittivity tensors given by

(1) where the superscripts in (1) refer to the orientation of the fibers and the subscripts denotes the polarization state of the incident

MIROTZNIK et al.: BROADBAND ELECTROMAGNETIC MODELING OF WOVEN FABRIC COMPOSITES

161

Fig. 4. (a) Detailed 3-D view of the four-region model used to model the fabric’s unit cell. In the z -direction, the model is composed of two equally thick layers with dielectric properties shown in (b) and (c). Fig. 5. Illustration of fiber bundles used to create the woven fabrics. Each bundle is comprised of thousands of individual cylindrical fibers.

field. For the case in which the fiber bundles oriented in the - and -directions are identical (the most common case), then and . In region 1 of Fig. 3 (i.e., overlapping region) we assume the effective permittivity is simply an average of regions 2 and 3 and is given by (2) In region 4, the permittivity is scalar equal to the bulk proper. The proposed unit cell descripties of the resin, tion is similar to the “mosaic model” used in fabric mechanical property prediction models [10]. In the end, the four-region model of 2-D woven fabrics resembles a double-periodic dielectric-grating structure in which the dielectric properties within the grating are the effective anisotropic properties described above. Once the effective properties are calculated, the EM response of the grating can be determined using a rigorous EM algorithm described in more detail later in this paper. It should be noted that the EM representation for 2-D woven fabrics remains the same across the standard weave configurations shown in Fig. 1. This results from the fact that the only variation between these weaves is the order, in which the fiber bundles overlap. Since we are assuming that the EM properties are insensitive to that order, the same general model can be employed. Experimental validation for this assumption is provided later in this paper. C. Effective Dielectric Constant of Individual Fiber Bundles Each fiber bundle within a composite fabric is itself a heterogeneous mixture of thousands of individual cylindrical fibers packed within a background material or resin (Fig. 5). If we assume that the diameter of each individual fiber is small compared with the wavelength, then we can employ effective media theory to represent the bundle properties as an effective anisotropic bulk medium. Since the diameter of most standard

glass or carbon fibers within structural composites is less than 25 m, this is a reasonable assumption well into the millimeter or even terahertz frequency regimes. A number of investigators have explored effective media approximations of composite systems that we can apply to our system. In particular, Bal and Kothari [4] reviewed a number of dielectric mixture formulas specific to woven fabrics. Of those formulas, Bruggeman’s approximation for 2-D parallel cylinders was the most representative of the fiber bundles encountered in structural composite fabrics. Without any loss in generality, we will assume the fiber bundles to be oriented along the -axis. Bruggeman’s formula calculates the effective anisotropic dielectric properties when the electric field is polarized parallel to the fiber direction as (3) where denotes the volume fraction of fiber within the bundle, and denote the bulk permittivity of the fiber and resin components, respectively, and represents the effective permittivity for the case of an -directed fiber bundle with the incident field polarized parallel to the axis of the fibers. If the incident electric field vector is oriented perpendicular to the fiber axis, then the effective media approximation is simply given as a straight volume fraction average (4) where represents the effective permittivity of the -directed fiber bundle for the perpendicular polarization case. The properties for the -directed fiber bundles of (1) are easily derived from (3) and (4) by a simple substitution of “ for ” and “ for .” It should be noted that the effective dielectric

162

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 6. Micrograph image of the cross section of a typical fiber bundle. The white objects denote the fibers. The image clearly indicates that fiber packing is far from ideal.

properties of the fiber bundles, calculated using (1)–(4), are reasonably sensitive to the bundle’s volume fraction of fiber to resin . Unfortunately, there is always some uncertainty in determining . In theory, the maximum theoretical value of for a tightly hexagonally packed bundle is 92%. However, in reality, the bundles are never perfectly formed, and the volume fraction can be as low at 60%. To arrive at a more accurate estimate, we for a number used cross-sectional microscopy to measure of fiber bundles. The micrograph image of Fig. 6 illustrates the imperfect arrangement of fibers within a typical bundle. Based on a statistical sampling of various fiber bundle measurements, we arrived at an average volume fraction of fiber to resin of 70%. Thus, throughout the remainder of this paper, we assume for all numerical simulations. It should be noted that Bruggeman’s formula is applied only for the case of nontwisted fiber bundles. While we believe that the model will still be accurate in the case of fabrics in which the fiber bundles have a slight twist, it would likely need to be revised for the case of highly twisted bundles (e.g., rope-like bundles). Fortunately, the vast majority of structural fabrics do not have twisted bundles.

IV. EM MODELING OF WOVEN FABRIC

A. Rigorous Coupled Wave Analysis To predict the wideband electromagnetic properties of woven fabric composites using the models shown in Figs. 2 and 4, we chose to implement the RCW algorithm originally presented by Moharam and Gaylord [12], [13]. Our specific implementation is based on the enhanced transmittance matrix approach introduced by Moharam et al. [14] and later refined by Lalanne [11], [15] and Noponen and Turunen [16]. Using this method, we define regions within the solution domain illustrated in Fig. 7. These are: 1) an incident region that is assumed to be an infinite half-space filled with a lossless dielec; 2) an exit region that is assumed to be another tric of index ; infinite half-space filled with a lossless dielectric of index

Fig. 7. Solution domain used by the RCW theory to solve for the reflected and transmitted fields from woven fabric composites.

and 3) a layered grating region that contains multiple layers of dielectric slabs with periodic structures. The total thickness of the layered region is

(5) where denotes the thickness of each layer and denotes the total number of layers. The first step in the RCW method is to represent the EM fields in each of the three regions. 1) Incident Region: Within the incident region, denoted as region I, the EM fields consist of an incident plane wave plus all of the diffracted orders reflected from the structure [16]. This is written for the electric fields as

(6)

where and denote the wave vector and unit polarization vector of the incident plane wave, respectively. The second term in (6) accounts for all of the reflected diffractive orders. Since each of the components in (6) represents a plane wave, the magnetic field equations can be easily derived from these. and denote the vector reflection coefficient In (6), th reflected order in region I, reand wave vector of the spectively. The vector components of , result from the phase-matching and Floquet conditions and are given by

(7) is the polar angle and where incident plane wave.

is the azimuth angle of the

MIROTZNIK et al.: BROADBAND ELECTROMAGNETIC MODELING OF WOVEN FABRIC COMPOSITES

The -component of the wave vector, given in (7), is written more explicitly as

163

and represent the amplitudes of the spawhere tial harmonics in the th layer for the magnetic and electric fields, respectively [16]. Substituting (12) into Maxwell’s two curl equations and eliminating the component results in the following coupled system of first-order differential equations for the spatial harmonic amplitudes of (12):

(8) and denote the and components of the wave where vector given in (7). It is easily deduced from (7) and (8) that, if and are small compared with the inthe grating periods , only the diffractive cident wavelength order will propagate in reflection and transmission (i.e., all other diffractive orders will be evanescent). This condition is written mathematically as

(9) 2) Exit Region: Within the exit region, denoted as region III, the EM fields consist of all of the diffracted orders transmitted through the structure [16]. This is written for the electric fields as

(13)

(10)

and denote the Fourier components for the where , and the inverse permittivity permittivity distribution of the th layer given by distribution

and denote the vector transmission coeffiHere, cient and wave vector of the th transmitted order in region III, respectively. The wave vector in region III takes the same mathematical form as (7) and (8) with the one exception of rewith . Using a similar analysis to that of region placing I, it can easily be shown that, to avoid any propagating diffractive orders in the transmitted region other than the term, the grating periods must satisfy the relations

(11) 3) Multilayered Grating Region: Between the incident and exit regions is a unit cell of the woven fabric composite models illustrated in Figs. 2 and 4. In the RCW method, the electric and magnetic fields within each layer of the grating region, denoted by the superscript , are written as a Fourier expansion of spatial harmonics given by

(14) For the geometries of interest here, shown in Figs. 2 and 4, (14) can be solved analytically. After substituting (14) into (13) and enforcing boundary conditions across all planar interfaces, an eigenvalue problem results that can be solved numerically for the reflected and transand . For a more detailed demitted diffracted orders scription of the numerical implementation of RCW, the reader is referred to [12], [15] and [16]. Our custom RCW code, developed using the MATLAB programming environment, was used to calculate the complex transmission and reflection coefficients from woven fabrics. B. Low-Frequency Effective EM Properties of Woven Structural Fabrics

(12)

At frequencies where the wavelength is much larger than the , the periodicity of the woven fabric EM properties of woven fabrics can be approximated by a bulk anisotropic permittivity derived using simple volume averaging.

164

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

For the 1-D unidirectional weaves, illustrated in Fig. 2, the effective permittivity of the fabric is given as

(15) and denote the effective permittivity of 1-D where weaves when the incident field is linearly polarized along the and -axes, respectively. Also, in (15), and denote the effective dielectric properties of the -directed fiber bundles, deand denote the width of the fiber scribed in (1)–(3), and bundles and periodicity of the geometry of the fabric (illustrated in Fig. 2), respectively. For the 2-D woven fabrics illustrated in Figs. 3 and 4, the effective permittivity of the fabric is given by

Fig. 8. Free-space focused beam system used to characterize the EM properties of woven fabrics from 4 to 50 GHz.

TABLE I COMPOSITE SAMPLES USED TO VALIDATE EM MODELING

(16) where and denotes the low-frequency effective permittivity of the 2-D weaves when the incident field is linearly polarized along the - and -axes, respectively. In the following sections, we compare experimental and numerical results for several commonly used structural fabrics using both the RCW method and the effective media expressions given by (15) and (16). V. SAMPLE FABRICATION AND CHARACTERIZATION To experimentally validate the performance of our model, we fabricated a variety of samples and measured the transmissivity and reflectivity from 4 to 50 GHz. A. Experimental Characterization To measure the EM response of the samples over a broad frequency range, we employed the free-space focused beam approach illustrated in Fig. 8 and described in numerous publications [17]. To cover the entire 4–50-GHz frequency band, we varied the type and size of the antennas and lenses into four bands. Specifically, within the lower 4–18-GHz band, we used a custom-made focused beam system available at the Naval Surface Warfare Center, Carderock Division. This system integrates custom made large ( 18-in diameter) dielectric lenses to cover the lower frequencies range. Within the -band (18–26 GHz), -band (26–40 GHz), and -band (40–50 GHz), we used commercial lens antennas purchased from QuinStar Technology, Inc. Using these systems, we measured the transmittance of each sample using a Agilent PNA vector network analyzer and calibrated using Agilent’s standard

calibration kits. Time gating was used to remove undesirable reflections from the dielectric lenses and other components within the system. No other postprocessing of the measurement data was conducted. B. Sample Preparation To validate the model presented here, over 70 different samples were prepared and tested. The samples varied in fiber and resin type as well as in bundle size and weave architecture. For all of the samples characterized to date, the measured and modeled results show good agreement. For the sake of brevity, detailed results from five of the samples are presented here. Three of the five samples were a single ply of dry woven fabric (i.e., no resin) with different weave architectures. The other two were a single ply of the same woven fabrics infused with an epoxy vinyl ester resin (Derakane 510A) and cured. To create the infused samples, we used the standard vacuum-assisted resin transfer molding (VARTM) process. All samples were mounted in 12-in 12-in frames and characterized using the free-space focused beam system. Table I lists the relevant material and geometrical information from those samples. Table III presents the effective dielectric properties of the fiber bundles. The listed values are calculated using (2) and (3) and assuming a glass-to-resin volume fraction of 70% and the bulk dielectric properties given in Table II. Here, we assumed the bulk properties of the glass and resin to be frequency-independent. However, since the RCW model is

MIROTZNIK et al.: BROADBAND ELECTROMAGNETIC MODELING OF WOVEN FABRIC COMPOSITES

165

TABLE II BULK DIELECTRIC PROPERTIES [WWW.AGY.COM]

TABLE III ANISOTROPIC EFFECTIVE MEDIA PROPERTIES OF THE BUNDLES

Fig. 9. Coordinate system used to reference the polarization of the incident field with the principal axes of the samples measured.

a frequency-domain technique, it can easily handle dispersive materials without modification. VI. RESULTS In the following sections, experimental results for the samples described in Table I are compared with predicted results using both the low-frequency effective media theory and our model based on the RCW algorithm. Results are provided for incident fields linearly polarized in the - and -directions with respect to the fabric geometry. This is illustrated in Fig. 9. A. Low-Frequency Effective Media Model Results Experimental results are first compared with the low-frequency effective media approximations. The transmission coefficient of the samples, assuming a bulk permittivity calculated using (15) or (16), is given as [19]

(17) where is the speed of light in a vacuum, is the frequency, is is the effective permitthe thickness of the fabric layer, and tivity calculated using either (15) or (16). The transmittance is the squared magnitude of the transmission coefficient given as (18) 1) Unidirectional Fabrics: Fig. 10 compares the experimental results to the low-frequency effective media predictions, calculated from (17), for the single dry layer of unidirectional fabric that is described as sample #1 in Tables I and II. It is not surprising that both the prediction and the experiment show

Fig. 10. Effective media theory prediction and experimental results from sample #1 as a function of frequency and polarization. No resin for this sample.

a distinct anisotropic response. As presented in Table II, the dielectric constant of the fiber bundles has a significant polarization dependence that will be reflected in the transmittance of the fabric. It is interesting, however, that the simple effective media equations do an adequate job of predicting the EM behavior of the unidirectional fabrics over the entire frequency band studied (4–50 GHz). As will be shown later, this is a direct result of the small fiber bundle size (1.6 mm) and the tight spacing between fiber bundles (0.3 mm). While resonant effects are expected to occur, they are likely to be seen beyond the frequency range studied. 2) 2-D Woven Fabrics: Figs. 11 and 12 compare the experimental results with the low-frequency effective media predictions for the single dry layer of fabrics described as samples #3 and #5 in Tables I and III. As with unidirectional fabrics, the effective media equations do a reasonable job of predicting the electromagnetic response up to approximately 30 GHz. Unlike the case with 1-D fabrics, however, the anisotropic nature of the response is largely diminished. This is clearly an effect of having two sets of fiber bundles running in orthogonal directions.

166

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 11. Effective media theory prediction and experimental results from sample #3 as a function of frequency and polarization. No resin for this sample.

Fig. 13. RCW predicted and experimental results from sample #1 as a function of frequency and polarization. No resin for this sample.

Fig. 14. RCW predicted and experimental results from sample #2 as a function of frequency and polarization. Resin is 510A vinyl ester for this sample. Fig. 12. Effective media theory prediction and experimental results from sample #5 as a function of frequency and polarization. No resin for this sample.

An interesting effect that can be observed in the experimental results for the 2-D fabrics is occurrence of large resonances beyond 30 GHz. These resonances, which are polarization-sensitive, can significantly reduce the transmittance 20 dB even for single layers of thin dry fabrics (i.e., fabrics that are much thinner than the wavelength). The polarization sensitivity of the response, shown very clearly in Fig. 12, is due to the asymmetric properties of some of the fabrics. Later in this paper, we will show that these resonances are, in fact, guided-mode resonance (GMRs) effects. GMRs have been studied for some time within the optics community [19], [20], but, to the best of our knowledge, have never before been observed in woven glass fabrics or in structural composites. The exact spectral locations, amplitudes, and polarization properties of these resonances are a function of the fabric’s weave architecture, as well as the bulk dielectric properties of the fiber and resin used. As a result of GMRs, simple effective media theory will not accurately predict the EM response beyond the frequency of the first GMR. For most structural fabrics, this occurs in the – -band (18–35 GHz). However, for heavier fabrics in which the fiber bundles are larger and spaced further apart, the -band or even within resonances can begin to occur at the the -band (8–18 GHz).

B. RCW Results 1) Normal Incidence Results: Figs. 13–17 compare the predicted transmittance curves using the new hybrid EM model with the experimental results for samples #1–5. The transmittance was measured using the two orthogonal polarizations described in Fig. 9 at normal incidence. Fig. 13–17 show that our model adequately predicts the EM responses of all fabrics tested. This includes predicting the polarization-dependent resonant effects seen at the higher frequencies. Comparing Figs. 14–17 also demonstrates how adding polymer resin to the fabric layers shifts the GMRs to lower frequencies and decreases their amplitudes without eliminating them completely. It should be noted the RCW calculations, shown in Figs. 13–17, were computed in less than 30 s using a standard desktop computer. This is well over an order of magnitude faster than the same analysis using the FEM. In Section VII, we will provide a brief analysis of the resonant effects as well as some simple expressions to predict when they are likely to occur. It should be noted that the measured results in Figs. 16 and 17 reveal two closely spaced resonances for each of the two polarization states. This double resonance effect is not predicted by the model. We believe that this effect is due to the fabric weaves not being perfectly periodic, as was assumed by the RCW model. A slight spatial variation in periodicity produces

MIROTZNIK et al.: BROADBAND ELECTROMAGNETIC MODELING OF WOVEN FABRIC COMPOSITES

Fig. 15. RCW predicted and experimental results from sample #3 as a function of frequency and polarization. No resin for this sample.

167

Fig. 18. RCW predicted and experimental results from sample #2 as a function of frequency for several angles of incidence. Resin is 510A vinyl ester for this sample.

Section VII, we will describe the physical nature of these observed resonances. VII. EM RESONANCES IN WOVEN FABRICS

Fig. 16. RCW predicted and experimental results from sample #4 as a function of frequency and polarization. Resin is 510A vinyl ester for this sample.

It has been shown that, within the microwave regime, common woven fabrics exhibit distinct EM resonances. These effects depend on the architecture of the weave as well as the bulk dielectric properties of the fiber bundles and resin. They have two likely causes: 1) Bragg resonances and 2) GMRs. Bragg resonances occur in periodic structures when higher diffractive orders transition from evanescent to propagating modes. Since woven fabrics to a first order appear electromagnetically as 1-D or 2-D dielectric gratings, it is certainly possible that they could excite Bragg resonances. It is straightforward to determine the minimum frequency at which the Bragg effects would occur, since it is a simple funcand ) and the incident tion of the fabric’s periodicity (i.e., angle illumination . Specifically, the minimum Bragg frequency is given as [21] GHz

Fig. 17. RCW predicted and experimental results from sample #5 as a function of frequency and polarization. No resin for this sample.

multiple closely spaced resonances in the measured results. Further investigation of this effect is needed to fully understand the discrepancy. 2) Variation With Incidence Angle: Fig. 18 compares the calculated transmittance of sample #2 given in Table I as a function of incidence angle with the measured results. The elevawas varied from 0 to 20 . As predicted by our tion angle model and confirmed by measurements, the resonances shift towards lower frequencies as the incidence angle is increased. In

(19)

For all fabrics studied in this paper (see Table I), we calculated the minimum Bragg frequency to always be greater than 45 GHz at normal incidence. However, our results demonstrate resonances well below 45 GHz. Moreover, our measured resonant frequencies vary significantly as the resin type is changed from air (i.e., no resin) to a polymer resin. Thus, the resonances we are observing are clearly not Bragg effects. The second likely cause of the resonances is leaky GMRs [19]. A GMR is a phenomenon in which leaky dielectric waveguide modes are excited in the transverse plane of the fabric and then simultaneously re-emitted (illustrated in Fig. 19). At specific resonant frequencies, GMRs can produce very strong reflections in the specular direction. To a first order, the guided-mode resonant frequencies can be modeled by phase matching the Floquet modes of the dielectric grating (e.g., the fabric) with the transverse dielectric waveguide modes [20]. The waveguide modes are calculated assuming a bulk effective permittivity of the fabric in the transverse plane.

168

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

(20e)

waveguide modes. In (20), is the thickness of the fabric layer, denotes the effective permittivity calculated using (15) or (16), is the speed of light in a vacuum, and are the incident angles shown in Fig. 7, and denotes the resonant frequency. For a given sample and incident field, the equations given in (20) can be solved numerically for all of the allowable GMR frequencies. The dominant (or lowest frequency) modes for our and modes for the -and -polarfabrics were the ized incident field, respectively. Table IV compares the dominant guided-mode resonant frequencies that were calculated using (20) with the measured resonant frequencies for samples #2–#5. As illustrated in Table IV, the approximate GMR approach was able to predict the dominant resonance frequencies of woven fabric composites within 10% of the RCW predictions and the measured results. Fig. 19. Illustration of GMRs within a woven fabric composite. Transverse dielectric waveguide modes are excited within the fabric layer or layers in the case of a laminate and then re-emitted, thus producing a strong specular reflection.

TABLE IV DOMINANT RESONANT FREQUENCIES FOR BOTH x- AND y -POLARIZATION PREDICTED USING THE RCW APPROACH, THE GMR APPROACH, (20) AND COMPARED WITH MEASURED RESULTS1

VIII. DISCUSSION AND CONCLUSION We have presented a hybrid EM model that combined effective media theory with the RCW method to model the EM properties of woven fabric composites. The method was shown to accurately predict measured results including resonant effects not predicted using pure effective media theory. We also demonstrated for the first time, ti the best of our knowledge, GMRs that occur in standard structural-grade woven composite fabrics and laminates. These resonances, which occur at subwavelength frequency, can be approximately modeled using simple dielectric waveguide theory. In future work, we will extend the methods and results given here to multilayered composite laminates. We will also present results for more complicated 2-D and 3-D woven fabrics as well as custom-designed composite fabrics with heterogenous combinations of fiber types. By doing so, we will show that it is not only possible to predict the EM behavior of structural composites, but also to actually tailor it for particular applications. REFERENCES

For the 2-D woven fabrics, this results in the set of equations given in (20a) (20b)

(20c) (20d) and , shown at the top of this page. These can be numerically solved for the resonant frequencies of the TE and TM dielectric

[1] S. C. Nemat-Nasser, A. Amirkhizi, T. Plaisted, J. Isaacs, and S. NematNasser, “Structural composites with integrated electromagnetic functionality,” in Proc. SPIE, 2002, vol. 4698, pp. 237–245. [2] T. Plaisted, A. Amirkhizi, D. Arbelaez, S. C. Nemat-Nasser, and S. Nemat-Nasser, “Self-healing structural composites with electromagnetic functionality,” in Proc. SPIE, 2003, vol. 5054. [3] M. S. Mirotznik, B. Good, P. Ransom, D. Wikner, and J. N. Mait, “Design of inverse moth-eye antireflective surfaces,” IEEE Trans. Antennas Propag., vol. 58, no. 9, pp. 2969–2980, Sep. 2010. [4] K. Bal and V. K. Kothari, “Permittivity of woven fabrics: A comparison of dielectric formulas for air-fiber mixture,” IEEE Trans Dielectr. Electr. Insulation, vol. 17, no. 3, pp. 881–889, Jun. 2010. [5] R. Agarwal and A. Dasgupta, “Prediction of electrical properties of plain-weave fabric composites for printed wiring board design,” J. Electron. Packaging, vol. 115, no. 2, pp. 219–224, Jun. 1993. [6] S. Rikte, M. Andersson, and G. Kristensson, “Homogenization of woven materials,” Int. J. Electron. Commun., vol. 53, no. 5, pp. 261–271, 1999. 1Note: NA in the above when either the resonant frequency is beyond our measurement range (4–50 GHz) or if a particular resonance was not detectable.

MIROTZNIK et al.: BROADBAND ELECTROMAGNETIC MODELING OF WOVEN FABRIC COMPOSITES

[7] W. Chin and D. Lee, “Binary mixture rule for predicting the dielectric properties of unidirectional E-glass/epoxy composite,” Composite Structures, vol. 74, pp. 153–162, 2006. [8] W. Chin and D. Lee, “Laminating rule for predicting the dielectric properties of E-glass/epoxy laminate composite,” Composite Structures, vol. 77, pp. 373–382, 2007. [9] L. Yao, X. Wang, F. Liang, R. Wu, B. Hu, Y. Feng, and Y. Qiu, “Modeling and experimental verification of dielectric constants for three-dimensional woven composites,” Composites Sci. Technol., vol. 68, pp. 1794–1799, 2008. [10] J. Byun and T. Chou, “Modeling and characterization of textile structural composites: A review,” J. Strain Anal. Eng. Design, vol. 24, no. 4, pp. 253–262, 1989. [11] P. Lalanne and J. Hugonin, “High-order effective-medium theory of subwavelength gratings in classical mounting: Application to volume holograms,” J. Opt. Soc. Amer. A, vol. 15, pp. 1843–1851, 1998. [12] M. G. Moharam and T. K. Gaylord, “Rigorous coupled-wave analysis of planar-grating diffraction,” J. Opt. Soc. Amer. A, vol. 71, pp. 811–818, 1981. [13] M. G. Moharam, E. B. Grann, D. A. Pommet, and T. K. Gaylord, “Formulation for stable and efficient implementation of the rigorous coupled wave analysis of binary gratings,” J. Opt. Soc. Amer. A, vol. 12, pp. 1068–1076, 1995. [14] M. G. Moharam, D. A. Pommet, and E. B. Grann, “Stable implementation of the rigorous coupled-wave analysis for surface relief gratings: Enhanced transmittance matrix approach,” J. Opt. Soc. Amer. A, vol. 12, pp. 1077–1086, 1995. [15] P. Lalanne, “Improved formulation of the coupled-wave method for two-dimensional gratings,” J. Opt. Soc. Amer. A, vol. 14, pp. 1592–1598, 1997. [16] E. Noponen and J. Turunen, “Eigenmode method for electromagnetic synthesis of diffractive element with three-dimensional profiles,” J. Opt. Soc. Amer. A, vol. 11, pp. 2494–2502, 1994. [17] J. Musil and F. Zacek, Microwave Measurements of Complex Permittivityby Free-Space Methods and Their Applications. New York: Elsevier, 1986. [18] C. Balanis, Advanced Engineering Electromagnetics. New York: Wiley, 1989. [19] S. Wang and R. Magnusson, “Theory and applications of guide-mode resonance filters,” Appl. Opt., vol. 13, no. 14, pp. 2606–2613, May 1993. [20] S. Wang, R. Magnusson, and J. Bagby, “Guided-mode resonances in planar dielectric-layer diffraction gratings,” J. Opt. Soc. Amer. A, vol. 7, no. 8, pp. 1470–1474, Aug. 1990. [21] B. Munk, Frequency Selective Surfaces: Theory and Design. New York: Wiley, 2000. [22] V. Volski and G. Vanderbosch, “Full-wave electromagnetic modeling of fabrics and composites,” Composites Sci. Technol., vol. 69, pp. 161–168, 2009. Mark S. Mirotznik (S’87–M’92) received the B.S.E.E. degree from Bradley University, Peoria, IL, in 1988, and the M.S.E.E. and Ph.D. degrees from the University of Pennsylvania, Philadelphia, in 1991 and 1992, respectively. From 1992 to 2009, he was a Faculty Member with the Department of Electrical Engineering, The Catholic University of America, Washington, DC. Since 2009, he has been an Associate Professor and Director of Educational Outreach with the Department of Electrical and Computer Engineering,

169

University of Delaware, Newark. In addition to his academic positions, he an associate editor of the Journal of Optical Engineering and is a Senior Research Engineer for the Naval Surface Warfare Center (NSWC), Carderock Division. His research interests include applied electromagnetics and photonics, computational electromagnetics and multifunctional engineered materials. Prof. Mirotznik was the recipient of the 2010 Wheeler Prize Award for Best Application Paper in the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION.

Shridhar Yarlagadda received the B.Tech. degree from Indian Institute of Technology, Madras, India, in 1989, and the M.S. and Ph.D. degrees from The Pennsylvania State University, University Park, in 1992 and 1999, respectively, all in aerospace engineering. Since 1997, he has been with the Center for Composite Materials, University of Delaware, Newark. He is currently the Assistant Director for Research with the Center and holds an Adjunct Faculty appointment with the Department of Electrical and Computer Engineering, University of Delaware. His research interests include multifunctional composite materials, novel composite processing methods, and hybrid composite materials for improved durability and damage tolerance.

Raymond A. McCauley received the B.M.E. degree from the University of Delaware, Newark, in 2009, where he is currently working toward the M.S. degree in mechanical engineering. He has participated in undergraduate research with micro robotics and completed a summer internship with NASA Langley under the DEVELOP program in 2008. Since 2008, he has been a member of SAMPE and plays a key role in the annual composite bridge and wing competition at the University of Delaware.

Peter Pa (S’11) received the B.S. degree in electrical engineering from the University of Delaware, Newark, in 2011, where he is currently working toward the Ph.D. degree in electrical and computer engineering. His research interests are computational electromagnetics, electromagnetic properties of materials, and engineered electromagnetic materials.

170

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Low-DC Voltage-Controlled Steering-Antenna Radome Utilizing Tunable Active Metamaterial Tao Jiang, Zhiyu Wang, Dong Li, Jingnan Pan, Bin Zhang, Jiangtao Huangfu, Yannick Salamin, Changzhi Li, Member, IEEE, and Lixin Ran

Abstract—In this paper, we experimentally realized a steering antenna using a type of active metamaterial with tunable refractive index. The metamaterial is realized by periodically printed subwavelength metallic resonant patterns with embedded microwave varactors. The effective refractive index can be controlled by low direct-current (dc) bias voltage applied to the varactors. In-phase electromagnetic waves transmitting in different zones of such metamaterial slab experience different phase delays, and, consequently, the output direction of the transmitted wave can be steered with progressive phase shift along the interface. This antenna has a simple structure, is very easy to configure the beam direction, and has a low cost. Compared with conventional phased-array antennas, the radome approach has more flexibility to operate with different feeding antennas for various applications. Index Terms—Metamaterial, steering antenna, varactor.

I. INTRODUCTION HASED-ARRAY antennas have been widely equipped in both military and civilian applications because of their unique capability to have steerable beams to track a single or multiple targets under computer control. However, they also suffer some flaws of complex feeding network, expensive transceiver system, and insufficient reliability [1]–[3]. The efforts to find simpler ways to control beams have never stopped. Examples of the early works on low-cost phased arrays can be found in [4]–[6]. In this paper, we will investigate a new scheme to realize a beam-steering antenna based on an active metamaterial with tunable refractive index. Metamaterial, known as an artificial composite fabricated using densely arranged subwavelength resonators [7]–[10], has the most important property that its effective permittivity and permeability (and therefore its effective refractive index) can be

P

Manuscript received April 11, 2011; revised September 14, 2011; accepted September 20, 2011. Date of publication November 16, 2011; date of current version December 30, 2011. This work was supported in part by the National Science Foundation of China under Grant 61071063 and Grant 61131002, 863 Project under Grant 2009AA01Z227, and the Ministry of Education of China under Grant NCET-07-0750. T. Jiang was with the Department of Information and Electronics Engineering, Zhejiang University, Hangzhou 310027, China. He is currently with Huawei Technologies Corporation, Shanghai, China. Z. Wang, D. Li, B. Zhang, Y. Salamin, J. Huangfu, and L. Ran are with the Department of Information and Electronics Engineering, Zhejiang University, Hangzhou 310027, China (e-mail: [email protected]; [email protected]). J. Pan is with the Electromagnetic Compatibility Laboratory, Missouri University of Science and Technology, Rolla, MO 65409 USA. C. Li is with the Department of Electrical and Computer Engineering, Texas Tech University, Lubbock, TX 79424 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2171981

arbitrarily tuned to a positive or negative value. Such a property makes metamaterials very attractive in novel applications such as negative refraction, highly directive emission, subwavelength superlensing, and electromagnetic invisibility [11]–[18]. Recently, the introduction of active elements, i.e., diodes and transistors, into the unit cells of metamaterials yields the so-called “active metamaterial,” which enables metamaterials to further possess a tunable refractive index. This implies a new method of realizing steering antennas with a more concise configuration that does not require complex microwave feeding networks and phase shifters [19]–[22]. Several concepts of steering antennas based on active devices were introduced in the past few years [23]–[29], which used technologies such as electronically tunable impedance surface, electronically controlled transmission line, and microelectromechanical systems (MEMS) to achieve beam-steering or beamwidth configuration. In this paper, a full functional prototype steering antenna based on an active metamaterial embedded with -band microwave varactors is physically realized and investigated. Driven by a standard patch antenna array, this prototype antenna is able to provide a wide scanning range of 60 under very simple dc voltage control that does not exceed 10 V. Compared with the previous proposed active steering antenna, it demonstrates attractive features of very simple structure, convenient control, cost-effective realization, and greater scanning angle. The principle of steering antennas based on tunable active metamaterials is presented in Section II. The design and simulation of a metamaterial radome of steering antenna is discussed in Section III. Experimental results are presented in Section IV, and a conclusion is drawn in Section V. II. PRINCIPLE As mentioned, one way to obtain metamaterials with tunable refractive index is to introduce microwave varactors into subwavelength resonant cells. Examples of such unit cells can be found in [23] and [24], where metamaterials consisting of single-layer paired S-shaped resonators or double-layer I-shaped resonators are embedded with varactors to possess tunable refractive index under dc voltage control. Fig. 1 shows the tunable I-shaped resonator and its equivalent circuit. The applied dc voltage makes the varactor act as an adjustable capacitor ( in the equivalent circuit) and controls the effective refractive index by influencing the resonance. If different dc voltages are applied to different zones of a metamaterial slab consisting of this type of cell, a phase-controlled radome can be obtained to realize a steering antenna system without employing a traditional complex microwave feeding network.

0018-9480/$26.00 © 2011 IEEE

JIANG et al.: LOW-DC VOLTAGE-CONTROLLED STEERING-ANTENNA RADOME UTILIZING TUNABLE ACTIVE METAMATERIAL

171

Fig. 1. Unit cell of a double-layer I-shaped resonator and its equivalent circuit.

Fig. 2. Block diagram of the dc voltage-controlled steering antenna.

The block diagram of a prototype antenna utilizing such tunable metamaterial is shown in Fig. 2, which consists of a feeding antenna to provide a quasi-plane wave as the source, and a metamaterial slab in front of the feeding antenna as a tunable refractive index radome. The metamaterial radome, subwith a length of and a thickness of is divided into wavelength segments along the direction, and an -channel programmable dc voltage supply is used to dispatch separate dc voltages to each individual segment according to a phase-voltage converting program run on a computer. In this way, the effective refractive index associated with each segment can be tuned independently, and the phase of the transmitting electromagnetic wave inside these segments can experience different shifts. Thus, the radiation can be controlled and steered with different progressive phase shifts between adjacent segments at will. This operating mechanism is illustrated with simulations in CST MICROWAVE STUDIO. As an example, we consider a 36 72 mm, and 450 mm, dielectric radome with as shown in Fig. 3. The transverse magnetic (TM) incidence upon the input aperture of the radome requires a plane or quasiplane wave magnetically polarized along the -direction, which is generated using the “waveguide port” excitation provided by CST MICROWAVE STUDIO with the same aperture size as the radome. The incident wave transmits through the radome and experiences different phase shifts after penetrating each segment of the slab. If the refractive index for each segment increases (or decreases) progressively with a constant value, for the radiation aperture at the output interface, we can essentially model the successive segments as a linear dipole array with a

Fig. 3. Operating principle of metamaterial steering antenna. (a) Zones of the radome, (b) near-field distributions, and (c) far-field radiation patterns for different progressive phase shifts.

constant progressive phase shift relative to its adjacent element, thus the radiation angle relative to the normal direction, i.e., the -direction, can be calculated using (1) where is the wave number in free space. We perform this simulation at a frequency of 4.7 GHz, which is around the operating frequency used in the following experiments. The constitutive parameters of all of the dielectric segments are first set to be the same as in free space. As a result, the incident quasi-plane wave has the same phase shift through all of the segments, and the outgoing wave has the same direction as the incident wave. Then, to get a radiation angle of deviated from the normal direction, the phase difference should

172

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

be

0.214 rad based on (1). Because , where is the effective refractive index difference between adjacent 0.0302 at a frequency of 4.7 GHz. Thus, elements, we get the relative permittivity and permeability are set to be and , respectively, where 1 to 36. The effective refractive index can be obtained as . As a result, there should be a progressive refractive index with an increment of for such a metamaterial radome to produce a radiation angle of 10 . and the refractive Likewise, we can obtain that index increment is needed for a 20 deviation is needed for a 30 deviangle, and 72 mm, it can be found from ation angle. As that when . This means we can get a full range of phase shift within the range of refractive index from 1 to 1.887. Consequently, the refractive index will start from unity for the first segment, gradually increase along the -direction until 1.887, and start from unity again if it is larger than 1.887. Full wave simulations using homogeneous material with ideal refractive index have been performed to demonstrate the operating mechanism. In simulation, the geometric and constitutive parameters of each segment are set as described above for different radiation angles. An electromagnetic wave electrically polarized along the -direction is incident along the -direction on the radome. The results are plotted in Fig. 3(b) for near-field distributions and Fig. 3(c) for far-field patterns. The equal-phase front inclines in the radome to deflect the output radiation direction, and it has more discontinuity for larger deflected angles. This is because there is a phase jump between adjacent segments due to the discontinuity of refractive index. A positive 30 deviated angle is observed clearly from Fig. 3(c). A negative 30 steering angle can be obtained by using opposite refractive index arrangement. In this simulation, where the period of the dielectric block is 12.5 mm, a steering range of 60 (or 30 ) is obtained. As the steering angle becomes larger, the effective aperture decreases, and, therefore, the main lobe level will decrease and the half-power beam width (HPBW) will increase, as shown in Fig. 3(c). This is similar to all other array antennas. However, such performance deterioration is tolerable and acceptable within a 30 scanning range, as the side lobe is at least 10 dB lower than the main lobe. Based on the above principle, the design, simulation, and experiment of a real prototype will be discussed in the following sections. III. METAMATERIAL RADOME DESIGN AND SIMULATION

Fig. 4. (a) Unit cell of the realized metamaterial and its equivalent circuit. (b) Capacitance of Philips Semiconductors BB143 as a function of the dc bias voltage. Inset shows an actual unit cell soldered with the chip varactor. (c) Pattern of the metamaterial built up by the unit cells.

The unit pattern of the tunable metamaterial physically realized in this paper is shown in Fig. 4(a) with detailed geometric dimensions, which is designed and optimized based on the I-shaped cell as discussed in Fig. 1. The varactor is Philips Semiconductors BB143, which behaves with optimal performance in the -band around 4–5 GHz. Trying to lower the operating frequency of the I-shaped resonator to -band while keeping the unit size as small as possible compared with the operating wavelength, an interdigital structure is introduced, yielding a strong electric resonance with

large value of equivalent capacitance . The varactor is soldered between the interdigital structures and functions as a cain parallel with . The capacitance of the varpacitor actor as a function of the dc bias voltage is shown in Fig. 4(b), according to the datasheet provided by its vendor. We see that, changes from 1.5 when the bias changes from 10 to 1 V, and , the resonant freto 6.5 pF accordingly. Combining , where is the effective quency is inductance of the structure. Therefore, the resonant frequency

JIANG et al.: LOW-DC VOLTAGE-CONTROLLED STEERING-ANTENNA RADOME UTILIZING TUNABLE ACTIVE METAMATERIAL

173

TABLE I VARIATION OF PARAMETER VALUES WITH CAPACITANCE AT 4.7 GHz

Fig. 5. (a) Effective permittivity, (b) permeability, and (c) refractive index retrieved from the S -parameters of single-layer simulation.

can be tuned by changing the dc bias of , as shown by the equivalent circuit in the inset of Fig. 4(a). The effective permittivity of the metamaterial would have a strong resonance at the electric resonant frequency. Thus, near the resonant frequency, we can obtain a controllable permittivity (and thus controllable refractive index) by tuning the dc bias voltage. The pattern of the metamaterial built up using the unit cell is shown in Fig. 4(c). On each layer of the metamaterial radome, both sides of the laminate are periodically populated with the same unit cell along the - and -directions. Adjacent cells are connected to each other in the -direction, so that the cells along the -direction have the same control voltage. On the other hand, there is a gap between adjacent cells in the -direction in order to provide different control voltages to different zones. The operating frequency and tunability of the effective refractive index of the proposed metamaterial can be characterized by a retrieval algorithm based on full wave simulations [30]. The simulation is also implemented using CST MICROWAVE STUDIO, in which the varactor is represented by a discrete adjustable capacitor ranging from 2 to 6 pF. During simulation, the incident wave vector is along the -direction, with electric boundary condition along the -direction and magnetic boundary condition along the -direction, respectively, as indicated in Fig. 4. The space between two adjacent metamaterial boards is 12 mm along the -direction. The effective permittivity, permeability, and refractive index retrieved from the obtained single cell -parameters are shown in Fig. 5. From Fig. 5(a), the effective permittivity has a strong resonance around 4.6–5 GHz due to electric resonance in the structure, and the resonant frequency shifts as the capacitance changes. In comparison, the effective permeability in Fig. 5(b) does not

Fig. 6. Simulated transmission through six-layer samples for different capacitances of the varactor. (a) Transmitted amplitude. (b) Transmitted phase. (c) Transmitted phase at different frequencies.

have as large a tuning range. The effective refractive index in Fig. 5(c) has a “passband,” which shifts with different embedded capacitances. The positive refractive index “passband” has both positive permittivity and permeability, and there are “stopbands” on the two sides of the “passband,” corresponding to imaginary refractive index with negative permittivity and positive permeability. As we will utilize this metamaterial to tune the transmitted phase, the operating frequency should be in the “passband.” A frequency of 4.7 GHz is chosen to be the central frequency, where we can get the largest variation of refractive index in the “passband.” The tunability of permittivity, permeability, and refractive index at this frequency is investigated and shown in Table I. The effective refractive index increases from 0 to 1.5 at 4.7 GHz as the capacitance increases from 2 to 4 pF. It is worth mentioning that the periodicity of the unit cells is only one fifth of the wavelength at 4.7 GHz. As a result, we can treat the metamaterial as an effective quasi-homogeneous media. , With a radome thickness of 72 mm, as phase shift within such a capacitance variation we can get a range. This confirms that the transmitted wave can be tuned to a full period of phase shift through such a radome.

174

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 7. Photograph of the control system. (a) Dispatch board. (b) Power divider. (c) Digital-to-analog convertor and regulator. (d) Software interface. (e) USB-SPI convertor.

Six layers of the structure in Fig. 4 is then arranged along the -direction with a 12-mm period. Fig. 6 shows the -parameters for a six-layer structure. From Fig. 6(a), as the capacitance of the varactor increases from 2.5 to 5 pF, the passband shifts to a lower frequency. However, the 4.65–4.8-GHz frequency is always in the “passband” within this capacitance range, as indicated by the shaded region. It is seen from Fig. 6(b) that the transmitted phase shift within such variation in the six-layer radome can get a of capacitance. To be more specific, typical cases of transmitted phase variation around 4.65–4.8 GHz are plotted in Fig. 6(c), which shows the largest phase variation occurs at a frequency of 4.7 GHz. Consequently, in a multiple-layer case and around the frequency of 4.7 GHz, we can get an active radome to tune the transmitted phase without impacting the transmitted amplitude of the incident wave. IV. EXPERIMENTAL RESULT A. Control System A software control system is designed and implemented to provide the dc voltages that bias the radome of the prototype antenna. Since the radome will be divided into 36 zones for separate control, 36 independently tunable voltages are needed to control the effective refractive index of each segment. Fig. 7 shows the photograph of the realized hardware of the control system with the software interface of the angle-to-voltage converting program. When a steering angle is specified in the software, the program will search a lookup-table (LUT) to find a combination of 36 dc voltages corresponding to that steering angle. Through an USB-SPI convertor realized by a microcontroller unit (MCU, Atmel’s ATmega88) and a USB-SPI translator (FTDI’ FT245R), the 36 voltage values are sent to a CPLD (XC95144XL), which then dispatches the 36 voltages to the corresponding digital-to-analog converters (DACs). The DACs convert the received digital values to analog voltages, based on which the regulators finally yield the actual dc voltages applied to different zones of the radome. All of these actions are performed in milliseconds. There are 37 regulators in total. The first regulator is fixed to provide a 3.5-V reference voltage, while the other 36 regulators provide dc voltages ranging from 3.5 to 8.5 V. The relation

Fig. 8. (a) Photograph of the patch antenna array. (b) S array. (c) Radiation pattern of the patch antenna array.

of the patch antenna

between transmitted phase and dc bias voltage is measured experimentally, and the relation between the transmitted phase and steering angle is calculated from (1). Combining these two relations, the direct relation between the steering angle and the dc voltage can be obtained, which is recorded in a LUT. B. Feeding Antenna A feeding antenna is used to provide a quasi-plane incident wave to the radome. In the prototype, we utilize a 4 16 linearly polarized patch array as the feeding antenna, which is designed to work at 4.9 GHz, as shown in Fig. 8(a) and (b). This frequency is shifted 0.2 GHz from the simulated optimal frequency in Section III, because measurement shows that the tunable radome has the greatest transmitted phase at 4.9 GHz. The dimension of each patch is 23.5 18.6 mm . The feeding antenna is fabricated on a 1-mm-thick FR4 substrate (International Laminate Material Ltd.) with a relative dielectric constant of 2.55. We used two 4 8 arrays, each having eight 2 2 subarrays connected by Wilkinson power dividers on the back of

JIANG et al.: LOW-DC VOLTAGE-CONTROLLED STEERING-ANTENNA RADOME UTILIZING TUNABLE ACTIVE METAMATERIAL

175

TABLE II DC BIASES FOR 30 STEERING ANGLE

Fig. 9. (a) Photograph of the steering antenna system. The components with circle marks are A (feeding antenna), B (tunable radome), and C (control circuit). (b) Measured relative transmitted amplitude and (c) transmitted phase at 4.9 GHz.

the substrate. The two 4 8 patch arrays are connected in parallel with a power divider to form the final 4 16 patch array. The array is measured in an anechoic chamber, and the results in Fig. 8(c) show that the HPBW of the patch array is 6.5 in the E-plane with an antenna gain of about 23 dB, which means the radiation from the aperture of the array can be approximated as a quasi-plane wave. C. Metamaterial Radome The whole prototype antenna system is shown in Fig. 9(a). In the front is the metamaterial radome that consists of eight-layer, 12-mm-spaced boards, and at the back is the feeding antenna and the control circuit. The inset of Fig. 9(a) shows the physically fabricated metamaterial sample. The metallic patterns are printed on a standard 1-mm-thick F4 board. Each metamaterial board consists of 504 unit cells, with 36 cells in a row along the -direction and 14 cells in a column along the -direction. Adjacent cells in the same column are connected in parallel, so that they can be biased using the same dc voltage. Each column is controlled by an individual dc voltage. Flat cables are used to connect among different layers so that the corresponding columns in the same coordinate of every layer are biased with the same dc voltage. Different from simulation, we used eight layers instead of six to form the radome, as we found in experiment that it should phase shift, as shown in Fig. 9(b) have eight layers to get a and (c). This is mainly because of the difference between the simple capacitor that models the varactor in simulation and the

real varactor with parasitics in experiment. Consequently, there are some differences between simulation and experiment in operating frequency, transmitting amplitude, and phase, which demands more efforts of manual tuning in experiment. More accurate simulation that includes the SPICE model of the varactor may help improve the precision of the simulation result. Moreover, the tunable unit cell could be designed with stronger resonance, so that the metamaterial could have greater flexibility to tune the transmitted phase. Therefore, it is possible to use a thinner tunable radome with less loss to obtain a full period of transmitted phase. The patch array is located 5 mm behind the radome to provide a parallel polarized quasi-plane wave incident along the -direction. At the back of the antenna system is the control circuit which is linked to a computer via a standard USB cable. The whole steering antenna system has a dimension of 0.5 0.22 0.15 m , as shown in Fig. 9(a), and a weight of less than 3 kg, which is portable and convenient in various applications. The metamaterial boards are sensitive to the electric field polarized in the -direction. In the measurement, two antennas are placed facing each other, and the transmission coefficient is calibrated to be 0 dB for the case without any slab in between. Then, the metamaterial slab is placed between two antennas, and the relative amplitude and phase were measured when all of the 36 zones were biased with the same voltage. The results are shown in Fig. 9(b) and (c). This measurement can verify the tunability of the radome. In practice, since the varactor is not an ideal capacitor as it is modeled in simulation, we have experimented and found that eight layers of the structure are able to obtain phase variation. The transmission loss is also a little larger (slightly more than 1 dB for each layer) than that in simulation, as there are parasitic resistances that are inherent in real varactors and losses in the substrates. From the relation between the transmitted phase and the dc bias, as well as the relation between the radiation angle and the transmitted phase from (1), we can obtain the relation between the radiation angle and the dc bias, as shown in Table II for the 30 steering angle. Such relations are recorded in the LUT of the control program so that we can change the radiation angle by controlling the dc biases on each column of the radome. D. System Measurement The system experiment is carried out in an anechoic chamber, with the prototype antenna on a rotator turning from 90 to 90 as the transmitter. The patch antenna array in Fig. 8(a) was located 5 mm behind the eight-layer metamaterial radome to

176

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 10. (a) S for different radiation angles. (b) Radiation pattern for different steering angles.

function as the input source. Another calibrated double-ridge horn antenna (HD-10180DRHA10NK, HengDa Microwave) was placed in the far-field area as the receiver to measure the radiation pattern and gain. The input impedance of the prototype antenna during steering is measured simultaneously. We present five representative results for beam angles of 0 , 7.5 , 15 , 22.5 , and 30 , respectively. In Fig. 10(a), parameters reflecting the input impedance variation for different radiation angles are shown. The feeding antenna is originally designed to match to free space. As the effective permittivity and permeability of the metamaterial are different under different dc bias voltages, such variation will certainly influence the impedance matching of the patch array within the near-field zone (5 mm in this paper) of the radome. However, it is seen that the antenna always works at the frequency around below 10 dB. The feeding antenna can be 4.9 GHz with optimized to match to any specific impedance, and the radiation gain can be improved with careful design. The radiation pattern is measured and plotted in Fig. 10(b). It is seen that the prototype antenna is very convenient to scan a wide radiation angle by simply writing the desired direction into the user interface of the control program; the maximum gain is around 8 dB, and the HPBW is around 6 for normal radiation. Similar to all other array antennas, as the deflected angle becomes larger when steered from the normal direction, both the gain and the HPBW performance become worse because the effective radiation aperture decreases for larger deflected angle. The power leakage in the opposite directions is also an ordinary phenomenon for a traditional antenna array. The directivity of the metamaterial antenna system is 12.35, 10.91, 11.06, 9.63 and 9.75 dBi for 0 7.5 15 22.5 ,

and 30 steering angles, respectively. The efficiency of the antenna system is 0.410, 0.376, 0.346, 0.328, and 0.362 for 0 7.5 15 22.5 , and 30 , respectively. Relatively high side lobes were produced because of the limited antenna aperture, relatively high structural loss, and errors in control voltage. Because of the losses of the varactor and laminate at the operating frequency and phase inaccuracy due to the error in control voltage, the difference between the main beam level and side lobe level became smaller than theoretical value after the antenna was fabricated. This is the main reason for the nonideality in the measured patterns in Fig. 10(b). Also, the mechanical supporting structures and cables make the measured pattern different from the theoretical pattern. In order to improve the radiation pattern, the control voltage can be fine-tuned by trial and error, and substrate with smaller loss can be used. Another reason for the difference between simulation and measurement results is the nonideality of the plane-wave approximation. Because the feeding antenna array was placed close to the metamaterial radome, the radome is not strictly in the far-field region of the feeding antenna, and, therefore, the incident radiation is different from an ideal plane wave. In order to improve the performance in the future, varactors and microwave laminates with lower loss at the operating frequency can be used to build up the metamaterial radome. The control voltage can be fine-tuned. If the structural loss could be reduced, larger distance between the feeding antenna and the radome could be adopted, which can further reduce the difference between simulation and measurement results. V. CONCLUSION This is the first time that a fully functional prototype of steering antenna is realized using tunable metamaterial. By embedding -band varactors into the traditional passive resonant cells of a metamaterial, we have obtained a dielectric radome with tunable refractive index. Up to 60 steering angle can be controlled by simply changing the low dc bias voltage. In normal radiation case, the beamwidth is less than 7 ,and the maximum gain is 8 dB. The antenna has a compact dimension and light weight and can be conveniently operated using a laptop. Compared with conventional phased-arrayed antennas, this low-dc voltage-controlled antenna is easily and cost-effectively realized without a complex feeding network. With a better varactor model, the difference between simulation and experimental results can be further reduced, and the performance of the steering antenna can be improved with optimization. This new steering antenna concept has great potential in a variety of applications. REFERENCES [1] R. J. Mailloux, Phased Array Antenna Handbook, 2nd ed. Norwood, MA: Artech House, 2005. [2] C. A. Balanis, Antenna Theory: Analysis and Design, 2nd ed. New York: Weliy, 1997. [3] J. D. Kraus, Antenna, 2nd ed. New York: McGraw-Hill, 1997. [4] J. B. L. Rao, “Low cost phased arrays,” Naval Res. Lab., Washington, DC, NRL Memo. Rep. 7793, 1995. [5] J. B. L. Rao, G. V. Trunk, and D. P. Patel, “Two low-cost phased arrays,” IEEE Aerosp. Electron. Syst. Mag., vol. 12, no. 6, pp. 39–44, Jun. 1997.

JIANG et al.: LOW-DC VOLTAGE-CONTROLLED STEERING-ANTENNA RADOME UTILIZING TUNABLE ACTIVE METAMATERIAL

[6] J. B. L. Rao, D. P. Dharmesh, and V. Krichevsky, “Voltage-controlled ferroelectric lens phased arrays,” IEEE Trans. Antennas Propag., vol. 47, no. 3, pp. 458–468, Mar. 1999. [7] J. B. Pendry, A. J. Holden, W. J. Stewart, and I. Youngs, “Extremely low frequency plasmons in metallic mesostructures,” Phys. Rev. Lett., vol. 76, no. 25, pp. 4773–4776, 1996. [8] J. B. Pendry, A. J. Holden, D. J. Robbins, and W. J. Stewart, “Magnetism from conductors and enhanced nonlinear phenomena,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 11, pp. 2075–2084, Nov. 1999. [9] D. R. Smith, W. J. Padilla, D. C. Vier, S. C. Nemat-Nasser, and S. Schultz, “Composite medium with simultaneously negative permeability and permittivity,” Phys. Rev. Lett., vol. 84, no. 18, pp. 4184–4187, May 2000. [10] R. A. Shelby, D. R. Smith, and S. Schultz, “Experimental verification of a negative index of refraction,” Science, vol. 292, no. 5514, pp. 77–79, Apr. 2001. [11] S. Enoch, G. Tayeb, P. Sabouroux, N. Guérin, and P. Vincent, “A metamaterial for directive emission,” Phys. Rev. Lett., vol. 89, no. 21, Nov. 2002, 213902. [12] Y. Yuan, L. F. Shen, L. X. Ran, T. Jiang, and J. T. Huangfu, “Directive emission based on anisotropic metamaterials,” Phys. Rev. A, vol. 77, no. 5, May 2008, 053821. [13] T. Jiang, Y. Luo, Z. Y. Wang, L. Peng, J. T. Huangfu, W. Z. Cui, W. Ma, H. S. Chen, and L. X. Ran, “Rainbow-like radiation from an omnidirectional source placed in a uniaxial metamaterial slab,” Opt. Exp., vol. 19, no. 9, pp. 7068–7073, Apr. 2009. [14] J. B. Pendry, “Negative refraction makes a perfect lens,” Phys. Rev. Lett., vol. 85, no. 18, pp. 3966–3969, 2000. [15] J. B. Pendry, D. Schurig, and D. R. Smith, “Controlling electromagnetic fields,” Science, vol. 312, no. 5781, pp. 1780–1782, Jun. 2006. [16] D. Schurig, J. J. Mock, B. J. Justice, S. A. Cummer, J. B. Pendry, A. F. Starr, and D. R. Smith, “Metamaterial electromagnetic cloak at microwave frequencies,” Science, vol. 314, no. 5801, pp. 977–980, Nov. 2006. [17] H. S. Chen, B. I. Wu, B. L. Zhang, and J. A. Kong, “Electromagnetic wave interactions with a metamaterial cloak,” Phys. Rev. Lett., vol. 99, no. 6, Aug. 2007, Art. ID 063903. [18] H. T. Chen, W. J. Padilla1, J. M. O. Zide, A. C. Gossard, A. J. Taylor, and R. D. Averitt, “Active terahertz metamaterial devices,” Nature, vol. 444, no. 30, pp. 597–600, 2006. [19] O. Reynet and O. Acher, “Voltage controlled metamaterial,” Appl. Phys. Lett., vol. 84, no. 7, pp. 1198–1200, 2004. [20] K. Aydin and E. Ozbay, “Capacitor-loaded split ring resonators as tunable metamaterial components,” J. Appl. Phys., vol. 101, 2007, Art. ID 024911. [21] I. Gil, J. Garcia-Garcia, J. Bonache, F. Martin, M. Sorolla, and R. Marques, “Varactor-loaded split ring resonators for tunable notch filters at microwave frequencies,” Electron. Lett., vol. 40, no. 21, pp. 1347–1348, 2004. [22] H. S. Chen, B. I. Wu, L. X. Ran, T. M. Grzegorczyk, M. Tomasz, and J. A. Kong, “Controllable left-handed metamaterial and its application to a steerable antenna,” Appl. Phys. Lett., vol. 89, no. 5, Jul. 2006, Art. ID 053509. [23] S. Lim, C. Caloz, and T. Itoh, “Metamaterial-based electronically-controlled transmission line structure as a novel leaky-wave antenna with tunable radiation angle and beamwidth,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 161–173, Jan. 2005. [24] D. X. Wang, L. X. Ran, H. S. Chen, M. K. Mu, J. A. Kong, and B. I. Wu, “Active left-handed material collaborated with microwave varactors,” Appl. Phys. Lett., vol. 91, no. 16, Oct. 2007, Art. ID 164101. [25] D. X. Wang, H. S. Chen, L. X. Ran, J. T. Huangfu, and J. A. Kong, “Reconfigurable cloak for multiple operating frequencies,” Appl. Phys. Lett., vol. 93, no. 4, Jul. 2008, Art. ID 043515. [26] D. F. Sievenpiper, J. H. Schaffner, H. J. Song, R. Y. Loo, and G. Tangonan, “Two-dimensional beam steering using an electrically tunable impedance surface,” IEEE Trans. Antennas Propag., vol. 51, no. 10, pp. 2713–2722, Oct. 2003. [27] J. J. Macial, J. F. Slocum, J. K. Smith, and J. Turtle, “MEMS electronically steerable antennas for fire control radars,” in Proc. IEEE Radar Conf., 2007, pp. 677–682. [28] P. Padilla, A. M. Acevedo, M. S. Castañer, and M. S. Pérez, “Electronically reconfigurable transmitarray at Ku band for microwave applications,” IEEE Trans. Antennas Propag., vol. 58, no. 8, pp. 2571–2579, Aug. 2010.

177

[29] Y. Jonathan, Y. Lau, and S. V. Hum, “Design and characterization of a 6 6 planar reconfigurable transmit array,” in Proc. 4th Eur. Conf. Antennas Propag., 2010, pp. 1–5. [30] X. Chen, T. M. Grzegorczyk, B. I. Wu, Jr., J. Pacheco, and J. A. Kong, “Robust method to retrieve the constitutive effective parameters of metamaterials,” Phys. Rev. E, vol. 70, 2004, Art. ID 016608.

2

Tao Jiang received the B.S. and Ph.D. degrees from Zhejiang University, Hangzhou, China, in 2006 and 2011, respectively. Currently, he is an RF Engineer with Huawei Technologies Corporation, Shanghai, China. His research interests include metamaterial design and application, antenna design, and RF and microwave filters/multiplexers.

Zhiyu Wang received the B.S. degree from Zhejiang University, Hangzhou, China, in 2007, where he is currently working toward the Ph.D. degree in the Acoustic and Electromagnetic Waves Lab, Department of Information and Electronic Engineering. His research interests include active metamaterial design and application, antenna design, and multipactor discharge suppression.

Dong Li received the B.S. degree in electronic science and technology from South China University of Technology, Guangzhou, China, in 2008, and the M.S. degree in electrical engineering from Zhejiang University, Hangzhou, China, in 2011. He is currently with NVIDIA Corporation, Shanghai, China. His research interests include wireless sensors, RFID, and VISI physical design.

Jingnan Pan received the B.S. and M.S. degrees from Zhejiang University, Hangzhou, China, in 2011. She is currently working toward the Ph.D. degree at the Electromagnetic Compatibility Laboratory, Missouri University of Science and Technology, Rolla. Her research interests include EMI investigation, chip-level RFI analysis, RF circuit design, and analog integrated circuit design.

Bin Zhang received the B.S. degree in electrical engineering from the Vocational and Technological Education Center, Huzhou, China, in 2004. He is currently an Experimental Assistant with the Department of Information and Electronic Engineering, Zhejiang University, Hangzhou, China.

178

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Jiangtao Huangfu received the B.S. and Ph.D. degrees in electrical engineering from Zhejiang University, Hangzhou, China, in 1999 and 2004, respectively. In July 2004, he became a Lecturer with the Department of Information and Electronic Engineering, Zhejiang University, Hangzhou, China. Science 2006, he has served as an Associate Professor with Zhejiang University. In 2007, he was with the Massachusetts Institute of Technology, Cambridge, as a Visiting Scientist for seven months. His research interests focus on RF and microwave circuits, antennas, and microwave metamaterials.

Yannick Salamin received the B.S. degree in system engineering from the University of Applied Science of West Switzerland, Delemont, Switzerland, in 2010. He is currently working toward the M.S. degree in electromagnetic field and microwave theory at Zhejiang University, Hangzhua, China. He performed his B.S. thesis work at Zhejiang University, Huangzhou, China, as an exchange student. His current research interests include microwave systems, signal processing, and high-speed CML and signal conditioning.

Changzhi Li (S’06–M’09) received the B.S. degree in electrical engineering from Zhejiang University, Hangzhou, China, in 2004, and the M.S. degree and Ph.D. degree in electrical engineering from the University of Florida, Gainesville, in 2007 and 2009, respectively. He was with Alereon Inc., Austin, TX, and Coherent Logix Inc., Austin, during the summers of 2007–2009, where he was involved with ultra-wideband (UWB) and software-defined radar. In August 2009, he joined Texas Tech University, Lubbock, as an Assistant Professor. His research interests include biomedical applications of microwave/RF, wireless sensor, frequency synthesizers, and microwave/millimeter-wave Circuits. Dr. Li was the recipient of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Graduate Fellowship Award in 2008. He was the finalist in the Vodafone Wireless Innovation Project in 2011. He received two best Student Paper Awards as author/coauthor in the IEEE Radio and Wireless Symposium (RWS) in 2007 and 2010, respectively. He was the advisor of three Best Paper Awards at IEEE WAMICON 2010 and IEEE RWS 2011.

Lixin Ran received the B.S., M.S., and Ph.D. degrees from Zhejiang University, Hangzhou, China, in 1991, 1994, and 1997, respectively. In 1999, he became an Associate Professor with Zhejiang University, Huangzhou, china, and became a Professor in 2004. He was a Visiting Scientist with the Massachusetts Institute of Technology (MIT), Cambridge, in 2005 and 2009. He is the Director of the Research Laboratory of Applied Electromagnetic Waves, Zhejiang University. He has authored or coauthored over 100 technical publications in refereed journals and conferences proceedings. His current research interests include RF and microwave systems, RF/analog IC, inverse scattering problems, and microwave metamaterials.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

179

Conformal Ink-Jet Printed C -Band Phased-Array Antenna Incorporating Carbon Nanotube Field-Effect Transistor Based Reconfigurable True-Time Delay Lines Maggie Yihong Chen, Senior Member, IEEE, Daniel Pham, Member, IEEE, Harish Subbaraman, Member, IEEE, Xuejun Lu, Member, IEEE, and Ray T. Chen, Fellow, IEEE

Abstract—We present a conformal ink-jet printed 2-bit four-element phased-array antenna (PAA) without any lithography process. Passive and active components, such as microstrip transmission lines, phase shifters, and RF power distribution networks are all developed adopting a room-temperature printing process. The PAA working at 5.2 GHz is printed on flexible DuPont Kapton flexible printed circuit polyimide film to demonstrate the conformal nature. High-speed carbon-nanotube-based field-effect transistors (FETs) function as switches to route the RF signal go through different segments of the true-time delay lines. The FET switch exhibits an ON–OFF ratio of over 1000 and current of 3.6 mA is obtained at a low source–drain bias of 0.8 V. The 2-bit azimuth beamsteering angles of the PAA are measured and confirmed to agree well with simulation values. Index Terms—Carbon nanotube (CNT), conformal antennas, field-effect transistor (FET) switches, ink-jet printing, phased-array antennas (PAAs).

I. INTRODUCTION

P

HASED-ARRAY antennas (PAAs) are more and more important in present-day communications since these antennas have low visibility, quick steering either electrically or optically controlled, and better directivity than do single antennas. Having so many advantages over the single antenna, PAAs are now widely used in both civilian operations, such as air traffic control and broadcast satellite communications, and in the military arena, such as marine radar, airborne radar, Manuscript received August 08, 2011; accepted September 29, 2011. Date of publication November 10, 2011; date of current version December 30, 2011. This work was supported by the National Aeronautics and Space Administration (NASA) under Contract NNX08CB39P. M. Y. Chen is with the Ingram School of Engineering, Texas State University, San Marcos, TX 78666 USA (e-mail: [email protected]). D. Pham is with the Electrical and Computer Engineering Department, The University of Texas at Austin, Austin, TX 78758 USA (e-mail:[email protected]). H. Subbaraman is with Omega Optics Inc., Austin, TX 78758 USA (e-mail: [email protected]). X. Lu is with the Electrical and Computer Engineering Department, University of Massachusetts at Lowell, Lowell, MA 01854 USA (e-mail: [email protected]). R. T. Chen is with the Electrical and Computer Engineering Department, The University of Texas at Austin, Austin, TX 78758 USA (e-mail: [email protected]. utexas.edu). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2173209

missile guidance, trajectory determination, and satellite communications. Small size, lightweight, and low-power PAAs are attractive in accommodating the desired frequencies and data services in a restricted space. However, the PAA systems incorporate many components such as phase shifters, amplifiers, and feeding networks. Furthermore, the implementation of PAAs with monolithic microwave integrated circuits (MMICs) and RF microelectromechanical systems (MEMS) phase shifters requires high cost of packaging and integration of the system [1], [2]. One of the most recent studies in conformal phased-array antennas is the active membrane phased-array radar developing by the research group of the National Aeuronautics and Space Administration (NASA) Jet Propulsion Laboratory (JPL), Pasadena, CA [3]. However, the transmit/receive (T/R) module is assembled independently and attached to the membrane array, which greatly jeopardizes the reliability. Another recent advance in the development of lightweight flexible PAAs based on liquid crystal polymer (LCP) substrate was reported by the Georgia Institute of Technology, Atlanta [4]. However, this approach is dealing with the packaging issue, where the passive and active circuits are embedded in the multilayer 3-D system-on-a-package process. Low-profile conformal PAA designs would be ideal for simple integration into existing structures. However, such technology is still not totally mature. The major deterrent to such systems is the lack of high-speed electronic circuits fabricatable directly on a flexible surface [5], [6]. Current state-of-the-art flexible electronics are based on organic or polymer materials, such as regioregular poly (3-hexylthiophene) derivatives and pentacene. While the organic material based flexible electronic circuits can be monolithically integrated with flexible antennas, the carrier (electron or hole) mobility of these materials is less than 0.1 cm V s. Such low carrier mobility limits the operating frequency of the organic- or polymer-based flexible electronics circuit to a few kilohertz [7]. The low operating frequency makes this kind of electronics unsuitable for active PAA applications, where a multigigahertz operating frequency is required for different communication bands [8]–[12]. Individual carbon nanotube (CNT), a material with exceptional aspect ratio and great mechanical flexibility, was measured for extraordinary field-effect mobility as high as

0018-9480/$26.00 © 2011 IEEE

180

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

100 000 cm V s [13]. High field-effect mobility CNT thin-film transistors (CNT-TFTs) can be achieved by using ultrapure electronics-grade CNT solutions [14]. We printed CNT field-effect transistors (CNT-FETs) on a polyimide substrate with an ultrahigh operating frequency at 5 GHz [15] and applied it to construct a true-time delay feeding network to control a 2-bit two-element fully printed PAA [16]. We further purify the CNT material (more semiconducting) to eliminate the leakage current and increase the concentration of the CNT to increase the output current. The CNT-FET exhibits an ON–OFF ratio of over 1000 and current of 3.6 mA, compared to an ON–OFF ratio of 138 and current of 0.22 mA [15]. In this paper, we present the high-performance CNT-FET, as well as the fully ink-jet printed more complex 2-bit four-element PAA to demonstrate the scalability of the technology. Instead of traditional antenna manufacturing using copper etching techniques, flexible printed circuits (FPCs), or stamped antennas, we have developed room-temperature printable antennas using nanosilver particles solution. The feeding lines and power division are also printed using nano-silver particle solutions. The electronics, such as switch and amplifiers are printed with ultra-pure CNT solution without using any photolithography fabrication steps. A 2-bit four-element PAA working at 5.2 GHz is printed incorporating the FET switch-based true-time delay lines. Beamsteering of the PAA is demonstrated and compared with theoretical values. II. DESIGN OF THE 2-bit FOUR-ELEMENT PAA Fig. 1. (a) Designed pattern of coplanar-waveguide probing. (b) Coplanar waveguide to microstrip line transition.

A. Architecture Design of the 2-bit Four-Element PAA For easy signal probing using a three-pin high-frequency RF probe, a coplanar waveguide is designed, as shown in Fig. 1(a). The big pads of signal and grounds are for the ease of probing. In order to make the most compact circuit, microstrip transmission lines are used to provide phase shifting. Therefore, after the RF signal is carried on the coplanar waveguide, a transition section is designed to convert coplanar waveguide to microstrip line. This structure can be analyzed as a six-port network with a ground plane, or three coupled microstrip lines [17]. The designed pattern of the transition is in the middle part of Fig. 1(b). , where is the The coupling region is chosen to be guided wavelength of the three-conductor line [18]. Fig. 2 shows the architecture of the 2-bit true-time delay feeding network for a four-element PAA. First, the RF signal is split into four branches, with each branch split again by a 1 2 power splitter. Through programming, the status of each pair of FET switchs (such as pair “1” and “3”, pair “2” and “4”), the signal experiences different time delay. Consequently, different steering angles can be achieved. The time delay between adjacent elements of a PAA can be calculated as

(1) where is the distance between adjacent element, is the light velocity, and is the beam-steering angle. In our design, is less

than a half-wavelength to avoid the grating effect. The length difference between delay lines can be calculated as (2) where is the refractive index of the microwave propagation media. Four possible azimuth steering angles are designed according to the above equations, which are 24 , 0 , 24 , and 54 . B. Design of High-Speed FET Fig. 3 is a schematic diagram showing the structure of the CNT-FET. To begin with, there is a substrate that exists on the bottom layer, where the substrate that may be used in the present invention includes, but is not limited to paper, polyethylene terephtalate (PET), FR4, Kapton, indium tin oxide (ITO) glass, metal foils, fabrics, and silicon wafer. The source and drain electrodes of the transistor are printed on top of the substrate, with thickness varying from hundreds of nanometers to a few micrometers depending on the material, printer nozzle size, and resolution. The conductive electrode materials include, but are not limited to conductive silver fluids, conductive copper fluids, and conductive polymer. The CNT layer is then printed on top of the electrode layer. Multiple layers of CNT are printed to reduce the resistance and to build a strong CNT network. The

CHEN et al.: CONFORMAL INK-JET PRINTED

-BAND PAA

181

Fig. 2. Architecture of the 2-bit true-time delay feeding network for a fourelement phased-array antenna.

Fig. 3. Schematic structure of the CNT-based FET.

CNT layer serves as the channel layer. Next, the isolation dielectric layer is printed on top of the CNT layer. The isolation layer is used to isolate the gate from the channel CNT layer, source, and drain. At last, the gate electrode is printed on top of the isolation layer. The gate voltage is used to control the resistance of the CNT channel layer between the source and drain. A slight change in the gate voltage can make dramatic changes in the conductivity of the channel layer. III. FABRICATION AND MEASUREMENT OF THE PAA The entire 2-bit four-element PAA is printed using the Fujifilm Dimatix DMP-2831 materials deposition system on a DuPont Kapton FPC polyimide film [19], which is used in a wide variety of applications such as substrates for FPCs, transformer and capacitor insulation, and bar-code labels. The fabrication procedure of the 2-bit four-element PAA is illustrated in Fig. 4. First, the coplanar input coupler, power splitter, and transmission lines are printed together with the source and drain electrodes of FETs on the Kapton FPC polyimide film using the silver nanoparticle ink from Cabot, Albuquerque, NM, followed by the thermal annealing at 130 C for 30 min. Multiple printing is carried out to increase the thickness of source and drain. The separation between the source and drain electrodes, i.e., channel length, is 100 m and the width of the channel is 300 m. From recent publication [20], depending on the length of the CNT, the device channel length has to be

Fig. 4. Fabrication procedure of the 2-bit four-element PAA.

much longer than the CNT length (more than ten times) to avoid OFF stage leakage due to the metallic CNT. Therefore, m to further reduce the we deposited a channel length of possibility of the leakage from some left metallic CNTs. An active carrier transport layer is then printed using an ultrapure electronics-grade CNT solution. After printing, the active layer is left at room temperature in the open air to dry. A thin layer of dielectric is then printed on top of the CNT film as the gate dielectric. In the last step, a silver nanoparticle ink layer is printed as the top gate electrode. Fig. 5 shows a microscope image of the fabricated FET and delay lines. A. Characterization and Analysis of High-Speed FET versus Fig. 6 shows the source–drain I–V characteristics ( ) of the CNT-FET at different gate voltages . The gate

182

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 7. Photograph of the printed 2-bit four-element PAA. Fig. 5. Microscope image of the fabricated FET and delay lines.

Fig. 8. Photograph of the two-layer 2-bit four-element PAA with metal gate bias lines.

Fig. 6. I–V curve of a fabricated flexible FET.

voltages vary from 1 to 0 V. At the same source–drain voltage , lower source–drain currents are observed as the gate voltage increases from negative to zero voltages. This indicates that the CNT network in this FET is a p-type carrier of (hole) transport layer. At the source–drain voltage of 3.6 mA and a low 0.8 V, a high source–drain current of 3.4 A are obtained at the gate voltage of 1.0 and ON–OFF ratio 0 V, respectively. The source–drain current is over 1000. The large ON–OFF ratio reveals a high content of the semiconducting type of CNTs in the CNT active layer. is measured to be in the The gate–source leakage current pA range. The high-speed modulation performance of the CNT switch with 50- transmission line was tested and published in [15], with the equivalent circuit shown there. B. Characterization of 2-bit Four-Element PAA A photograph of the printed 2-bit four-element PAA subsystem using the proposed material and method with a DMP2831 materials deposition system is shown in Fig. 7. Each patch antenna element has the size of 24.1 mm 20.5 mm. The distance between adjacent elements is less than a half-wavelength to avoid grating lobes. The entire array, including the feed and phase shifters, has the size of 111.4 mm 52.1 mm. First, the RF signal from the vector network analyzer is applied on the coplanar waveguide using the microwave probe

with working frequency up to 50 GHz. The signal on the coplanar waveguide is then transitioned onto microstrip transmission line through the transition section based on a three-line coupler. The signal is then split into two branches, with each branch split again by a 1 2 splitter. The signals through the four paths experience different phase shifts due to different lengths of delay lines. FET switches select appropriate signals from the delay lines to feed the antenna elements. By appropriately programming the on/off status of the 16 FET switches, the four-element antenna array is steered accordingly. Two-layer metal interconnect is also developed to provide connection to the gate electrodes from an external power supply. A thin Kapton 25 m substrate with adhesive coating on one side is bonded on top of the first substrate containing the printed PAA subsystem shown in Fig. 7. Contact vias are formed prior to attaching in order to obtain metal contacts with the gate contact pads on the first substrate. A pressurized annealing process at 100 C is used to bond these layers together. Silver ink is then printed on the top layer to form the metal gate bias lines. Fig. 8 shows a photograph of the two-layer fully fabricated 2-bit four-element PAA system. The 8510C HP network analyzer provides RF output power of 9.9 dBm to the flexible PAA through the RF probe. A 16-dBi standard gain horn is used as the receiving antenna, with the receiving power measured by a microwave spectrum analyzer (MSA). The gain of the antenna array is measured to be 10.25 dBi and the efficiency is calculated to be 42%, including the loss of transmission line, FET switch, and coupling loss of RF probes.

CHEN et al.: CONFORMAL INK-JET PRINTED

-BAND PAA

Fig. 9. Theoretical values and measurement results of far field patterns for 0 steering.

Fig. 10. Theoretical values and measurement results of far field patterns for 24 steering.

In order to estimate the insertion loss of the CNT switch, we short circuit the S and D of the CNT switch numbering 1, 2, 5, 6, 9, 10, 13, and 14 by a microstrip line for 0 steering. The gain of the antenna array is measured to be 12.12 dBi. Compared with 10.25 dBi with CNT switches, the insertion loss of each switch is estimated to be 0.23 dB. The signal strength at various angles is measured to get the far-field patterns. We measure all four azimuth steering angles. At 0 steering, switches numbering 1, 2, 5, 6, 9, 10, 13, and 14 are closed. Switch numbering 3, 4, 7, 8, 11, 12, 15, and 16 are V and open. The switches are biased with or 1 V for the open or closed states, respectively. Each closed switch has a dc current of 3.5 mA, and the array consumes a total dc power of 22.4 mW. The four delay lines feed the deis measured to layed signal to the four antenna elements. be 18.1 dB. The far-field pattern with theoretical values and measurement results are compared in Fig. 9. The beamwidth is measured to be 28 . At 24 steering, switches numbering 1, 2, 6, 7, 9, 12, 15, and 16 are closed. Switch numbering 3, 4, 5, 8, 10, 11, 13, and 14 are open. The four delay lines feed the delayed signal to the

183

Fig. 11. Theoretical values and measurement results of far-field patterns for 24 steering.

0

Fig. 12. Theoretical values and measurement results of far-field patterns for 54 steering.

is measured to be 17.6 dB. The four antenna elements. far-field pattern with theoretical values and measurement results are compared in Fig. 10. The beamwidth is measured to be 31 . At 24 steering, switches numbering 3, 4, 5, 8, 10, 11, 13, and 14 are closed. Switches numbering 1, 2, 6, 7, 9, 12, 15, and 16 are open. The four delay lines feed the delayed signal to the is measured to be 17.7 dB. The four antenna elements. far-field pattern with theoretical values and measurement results are compared in Fig. 11. The beamwidth is measured to be 30 . At 54 steering, only the top two delay lines can be utilized to provide delay for two antenna elements. Switches numbering is 1, 2, 7, and 8 are closed, and all the others are open. measured to be 18.5 dB. The far-field pattern with theoretical values and measurement results are compared in Fig. 12. IV. CONCLUSION In summary, we have demonstrated an all-ink-jet-printed conformal 2-bit four-element active PAA operating at 5.2 GHz on a DuPont Kapton FPC polyimide film by using a DMP-2831 materials deposition system. The true-time delay lines incorporated the high-speed CNT-based FET switches are proven to work well through the beamsteering experiments of the PAA.

184

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

All the fabrication processes are performed at room temperature. Such a low-temperature processing method allows us to use virtually any kind of a flexible substrate such as a regular slide transparency for fabrication, as demonstrated in our study. By incorporating all passive and active components, including phase shifters, power splitters, amplifiers, and antennas, a fully functioned PAA can be printed at low cost and fast production. The system can be easily repaired by reprint failed devices. This technology can be readily applied to RF identification (RFID), sensor, smart skin, and electronic paper areas. The measured beam-steering angles agree well with simulation and serve as the confirmation of the printed flexible PAA.

ACKNOWLEDGMENT The authors would like to thank Dr. F. A. Miranda, Dr. A. Zaman, and J. Nessel, all with the NASA Glenn Research Center, Cleveland, OH, for helpful discussions.

REFERENCES [1] L. Whicker, “Active phased array technology using coplanar packaging technology,” Trans. Antennas Propag., vol. 43, no. 9, pp. 949–952, Sep. 1995. [2] Y. Mancuso, P. Gremillet, and P. Lacomme, “T/R-modules MTT-S technological and technical trends for phased array antennas,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2006, pp. 614–617. [3] A. Moussessian, L. D. Castillo, J. Huang, G. Sadowy, J. Hoffman, P. Smith, T. Hatake, C. Derksen, B. Lopez, and E. Caro, “An active membrane phased array radar,” in IEEE MTT-S Int. Microw. Symp. Dig., Long Beach, CA, Jun. 2005, pp. 1711–1714. [4] D. J. Chung, S. Bhattacharya, G. Ponchak, and J. Papapolymerou, “Recent advances in the development of a lightweight, flexible 16 16 antenna array with RF MEMS shifters at 14 GHz,” in 8th Annu. NASA Earth Sci. Technol. Conf., Jun. 2008. [5] J. J. Komiak et al., “Design and performance of octave S=C -band MMIC T/R modules for multi-function phased arrays,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 12, pp. 1955–1963, Dec. 1991. [6] T. Sasaki et al., “Ultra small size X band MMIC T/R module for active phased array,” in IEEE MTT-S Int. Microw. Symp. Dig., 1992, pp. 1531–1534. [7] H. Meiling and R. E. I. Schropp, “Stable amorphous-silicon thin-film transistors,” Appl. Phys. Lett., vol. 70, pp. 2681–2683, 1997. [8] S. Jeon, Y.-W. Kim, and D.-G. Oh, “A new active phased array antenna for mobile direct broadcasting satellite reception,” IEEE Trans. Broadcast., vol. 46, no. 1, pp. 34–40, Mar. 2000. [9] R. A. Flynt, F. Lu, J. A. Navarro, and C. Kai, “Low cost and compact active integrated antenna transceiver for system applications,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 10, pp. 1642–1649, Oct. 1996. [10] A. Dreher, N. Niklasch, F. Klefenz, and A. Schroth, “Antenna and receiver system with digital beamforming for satellite navigation and communications,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 7, pp. 1815–1821, Jul. 2003. [11] Huang, Lou, Feria, and Kim, “An inflatable L-band microstrip SAR array,” in IEEE AP-S/URSI Symp., Atlanta, GA, Jun. 1998, pp. 2100–2103. [12] J. Huang and A. Moussessian, “Thin-membrane aperture coupled L-band patch antenna,” in IEEE AP-S/URSI Symp., Monterey, CA, Jun. 2004, pp. 2388–2391.

2

[13] T. Durkop, S. A. Getty, E. Cobas, and M. S. Fuhrer, “Extraordinary mobility in semiconducting carbon nanotubes,” Nano Lett., vol. 4, pp. 35–39, 2004. [14] P. Nikolaev, M. J. Bronikowski, R. K. Bradley, F. Rohmund, D. T. Colbert, K. A. Smith, and R. E. Smalley, “Gas-phase catalytic growth of single-walled carbon nanotubes from carbon monoxide,” Chem. Phys. Lett., vol. 313, pp. 91–97, 1999. [15] J. Vaillancourt, H. Zhang, P. Vasinajindakaw, H. Xia, X. Lu, X. Han, D. C. Janzen, W.-S. Shih, C. S. Jones, M. Stroder, M. Y. Chen, H. Subbaraman, R. T. Chen, U. Berger, and M. Renn, “All ink-jet printed carbon nanotube (CNT) thin-film transistor on a polyimade substrate with an ultrahigh operating frequency of over 5 GHz,” Appl. Phys. Lett., vol. 93, 2008, Art. ID 243301. [16] M. Y. Chen, X. Lu, H. Subbaraman, and R. T. Chen, “Fully printed phased-array antenna for space communications,” in SPIE Defense, Security, Sens. Conf., 2009, pp. 731814-1–731814-6. [17] D. Pavlidis and H. L. Hartnagel, “The design and performance of three-line microstrip couplers,” IEEE Trans. Microw. Theory Tech., vol. MTT-24, no. 10, pp. 631–640, Oct. 1976. [18] P. G. Gauthier et al., “W -band finite ground coplanar waveguide (FGCPW) to microstrip line transition,” in IEEE MTT-S Int. Microw. Symp. Dig., 1998, pp. 107–109, Art. ID TU2E-3. [19] DuPont, Circleville, OH, “DuPont Kapton polyimide film,” DuPont Kapton Product Data Sheet, YEAR. [Online]. Available: http://www2. dupont.com/Kapton/en_US/assets/downloads/pdf/FPC_datasheet.pdf [20] N. Pimparkar, Q. Cao, J. A. Rogers, and M. A. Alam, “Theory and practice of striping for improved ON/OFF ratio in carbon nanonet thin film transistors,” Nano Res., vol. 2, pp. 167–175, 2009. Maggie Yihong Chen (M’03–SM’08) received the B.S. and M.E. degrees in electrical engineering and Ph.D. degree in electrical engineering from The University of Texas at Austin, in 1993, 1996, and 2002, respectively. She is currently an Assistant Professor with Texas State University (TSU), San Marcos. Prior to joining TSU, she was a Senior Scientist with Omega Optics Inc., Austin TX. She has authored or coauthored over 60 publications in refereed journals and conferences. Her research over the past ten years has been focused on nanoelectronics, optical true-time delay beamforming for PAAs, and nanophotonics. Dr. Chen is a Senior Member of the Optical Society of America (OSA) and the International Society for Optics and Photonics (SPIE). She was the conference chair of the International Conferences on Optoelectronic Devices and Integration, Photonics Asia, in 2004 and 2007. Daniel Pham (A’01–M’01) received the B.S degree in chemical engineering from Texas A&M University, College Station, the M.S. degree in chemical engineering from Rice University, Houston, TX, and the Ph.D. degree in electrical and computer engineering from The University of Texas at Austin, in 2010. He worked in the semiconductor industry for over ten years, during which time he was focused on semiconductor processes, device integration, and novel device research. He has authored or coauthored over 25 publications. He holds eight patents. Harish Subbaraman (M’11) received the B.E. degree in electronics and communication engineering from the Chaitanya Bharathi Institute of Technology, Hyderabad, India, in 2004, and the M.S. and Ph.D. degrees in electrical engineering from The University of Texas at Austin, in 2006 and 2009, respectively. He has authored or coauthored over 15 publications in refereed journals and conferences. Xuejun Lu (M’02) photograph and biography not available at time of publication. Ray T. Chen, (M’91–SM’98–F’04), photograph and biography not available at time of publication.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

185

Distortion Mechanisms Originating From Modal Noise in Radio Over Multimode Fiber Links Gianpiero Alcaro, Davide Visani, Student Member, IEEE, Luigi Tarlazzi, Pier Faccin, Member, IEEE, and Giovanni Tartarini, Member, IEEE

Abstract—Harmonic and intermodulation distortion contributions generated by modal noise in radio over multimode fiber (RoMMF) systems for in-building wireless coverage are reviewed. The role played by the launching technique and by the optical transmitter and receiver in an intensity-modulated direct-detection RoMMF system is described theoretically and experimentally. The presented results constitute a basis for the design of multiband RoMMF systems, where spurious emission due to distortions should be compliant with wireless standards both in downlink and uplink. Index Terms—Analog modulation, harmonic distortion, intermodulation distortion, modal noise, multimode fiber, radio over fiber (RoF).

I. INTRODUCTION

T

HE use of radio over fiber (RoF) technology in a fiber distributed antenna system (F-DAS) is a well-known technique to be employed as a cost-effective solution for radio coverage in areas with a high traffic demand, such as, for example, stadiums, airports, and conference centers [1], [2]. An RoF link is used as an analog repeater between the so-called base-station hotels [3], where the radio signals are electrically generated, and the remote antenna units. For applications in large buildings, RoF transmission is always based on a silica single-mode fiber (SMF) infrastructure. However, for small and medium buildings, where the fiber length does not exceed a few hundred meters, silica multimode fiber (MMF) is considered the better solution to provide high speed connections from a cost-performance point of view [4]–[6]. In fact, the new types of OM3 and OM4/MMFs are deployed for 10-Gb/s local-area networks and considered for higher speeds [7], while OM1 and OM2 fiber is already deployed for 1-Gb/s networks. Due to the availability of MMF in in-buildings scenario, it is important to study the impact of MMF on RoF system originally designed for SMF. Manuscript received April 15, 2011; revised September 18, 2011; accepted September 27, 2011. Date of publication November 16, 2011; date of current version December 30, 2011. This work was supported in part by the European Community’s Seventh Framework Programme (FP7) under Project 212 352 ALPHA “Architectures for fLexible Photonic Home and Access networks” and the Italian Ministry of Instruction. G. Alcaro is with the Department of Electronics, Informatics and Systems, University of Bologna, 40136 Bologna, Italy. He is now with CommScope Italy S.r.l., 48018 Faenza, Italy (e-mail: [email protected]). D. Visani and G. Tartarini are with the Department of Electronics, Informatics and Systems, University of Bologna, 40136 Bologna, Italy (e-mail: [email protected]; [email protected]). L. Tarlazzi and P. Faccin are with CommScope Italy S.r.l., 48018 Faenza, Italy (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2011.2171982

In RoF systems used for the distribution of wireless signals up to 2700 MHz, it is common to use an intensity-modulated direct-detection (IM-DD) system, where a distributed feedback (DFB) laser diode (LD) is directly modulated by the radio signals, and the received optical power is directly detected by a photo-receiver [3]. The same approach has been proved to be successful when applied to MMF systems [8]. However, when employing a coherent LD emitting in a single longitudinal mode together with MMF, the main problem limiting the system becomes modal noise, which is a phenomenon that has been intensively studied in the past [9]–[15]. Studies on radio over multimode fiber (RoMMF) systems have instead been performed only in recent years [16]–[23]. However, few of them focus on the study of harmonic and intermodulation distortion terms [13], [22], [23]. These undesired disturbances, which tend to show up when different radio frequency (RF) subcarriers are multiplexed together and modulate the LD, easily fall into the system bandwidth, causing a degradation of the received signal and/or an undesired radiation at the remote antenna [24]. For these reasons, it is mandatory to keep the spurious levels generated by the system nonlinearity under the limits of international regulations, which have to be met on a large band ranging from some hundreds of megahertz up to 2700 MHz for current wireless standards for cellular mobile services. For RoMMF systems using coherent sources, it is fundamental to consider appropriately all of the possible causes of distortion. One of them, which produces a major impact, is constituted by modal noise. All of the parameters that, in an RoMMF link, influence the strength of modal noise therefore have to be considered for their impact on the power of the undesired distortion terms. This was not done completely in [13] or [22] for different reasons. In [22], a theoretical model has been developed for the evaluation of the harmonic and intermodulation distortion effects in RoMMF links and applied for fiber links of some kilometers of length. However, this approach presents two major limitations when applied in short-range fiber links. First, modal noise is not put into account because no random variations in time between the phases of the modes are considered. Second, the frequency chirp of the RoF transmitter (RoF TX) is taken into account through the coefficient called simply in a linear approximation [22, eq. (1)]. These assumptions lose some important implications of the combined effects of random modes phase variations in time and frequency chirp of the RoF TX. For example, for systems

0018-9480/$26.00 © 2011 IEEE

186

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

working in the second optical window, where chromatic dispersion can be neglected, it results, respectively, from [22, eqs. (7) and (8)] that the amplitude of the contribution to harmonic and intermodulation distortion coming from the generic couple of mode groups of order and never grows with the length of the fiber link. This is contrary to our theoretical and experimental results, which show, in the short-range RoMMF links that we have considered, an increase of these amplitudes for increasing fiber length. In [13], Kaede et al. show by measurements and simulations, applying the theory developed by Peterman [25], that the signal-to-second-harmonic distortion ratio (S/D2 in [13], in this paper C/HD2) degrades with increasing values of the chirp amplitude of the RoF TX due to modal noise. However, there are other parameters not considered in [13] which influence the modal noise and need to be taken into account. Among them, with regard to the RoF TX, the efficiency of the LD and its continuous wave (CW) optical power must be included. In addition to modal noise, another cause of distortion in RoMMF links is the nonlinear effects of the RoF TX utilized. These effects are not considered in [13] and in [22]. Indeed, they should be taken into account, since they can be the prevailing ones in determining harmonic and intermodulation distortion, especially when the direct modulation is utilized and/or when the distances to be considered are very short, allowing to neglect the effect of modal noise. In this paper, we study theoretically and experimentally the second-order harmonic distortion (HD2) and the third order intermodulation product (IMD3) caused by modal noise in RoMMF links. To this purpose, we have developed a model that considers the nonlinearities of the RoF TX and where we have included random variations in time between the phases of the modes, and we have performed an expansion up to the third order of all the quantities involved. Moreover, we have included and in our model parameters such as the efficiency of the its CW optical power, making it possible to model correctly all of the experimental behaviors. With respect to the work [23] of the authors of this paper, where only some preliminary results were included, we perform here a complete derivation of our numerical model, making a clear identification of the impact of the different parameters on the harmonic and intermodulation distortion terms. In addition, in this paper we study for the first time the effects on C/HD2 and C/IMD3 of different photodiode detecting areas and different types of launch techniques, namely central launch and offset launch [26]. This paper is organized as follows. After the Introduction, in Section II, the developed theoretical model is described; In Section III, the experimental setup is described and experimental and simulation results are shown and compared. Finally, conclusions are drawn.

The electrical field generated by the RoF TX under direct modulation can be written as

II. THEORETICAL MODEL

Referring to the generic propagating mode of subscript : is the normalized field, is the weight coefficient, is the propagation constant, and is the group delay at , where is the group velocity. The quantity given by is the slow phase variation induced by fluctuations of

A. Spectral Components of the Received Current Our aim is to evaluate the HD and IMD of an RoFMM link. One cause of HD and IMD is the nonlinearity of the RoF TX.

(1) and are the field amplitude and the optical emission Here, frequency, respectively, without direct modulation, is the normalized field distribution at the input section of the MMF ( and are the transversal spatial coordinates), is the intensity and are the quadratic and cubic modulation index, while nonlinear coefficients of the RoF TX [27]. is the RoF TX adiabatic chirp coefficient, which multiplies the amplitude of the tones of the RF modulating signal and the integral itself [28]. In particular, we define over time of for HD evaluation for IMD evaluation. (2) In the following, we will make use of the RF frequency and of the RF angular frequency . , the corIt is useful to clarify by now that for a given responding exhibited by a certain RoF TX depends on its . is the modulation characteristic ratio efficiency of the RoF TX at the RF frequency considered while is the emitted CW optical power. For a given RoF TX, it is indeed and the value of this ratio . is independent from , i.e., for a given , Similarly, for a given and can be computed from the characteristic quantities IIP2 and IIP3 (input intercept points of order 2 and 3) [29]. The corresponding relationships are and where is the load resistance, assumed to be equal to 50 . after propagation in a MMF The output electrical field modes can be written as span of length with

(3) where

ALCARO et al.: DISTORTION MECHANISMS ORIGINATING FROM MODAL NOISE IN RADIO OVER MULTIMODE FIBER LINKS

environmental physical quantities, like the ambient temperature, and it is directly related to the presence of modal noise [11], [19]. We take the assumption, valid in all practical situations, that the non-linearities of the photodiode (PD) and in general of the RoF receiver (RX) are negligible with respect to the ones caused by the RoF TX and by modal noise. The total current detected over the in the PD is thus proportional to the integral of of the PD as detecting area

187

which will be indicated respectively by the notations , HD2 and IMD3H. Starting from (4) and after some extensive calculations, it is possible to write the corresponding currents in the same following form:

(8) where can be and

and and

. The expressions of are given by: (9) (10)

(11) (4) where (12) (5) (6) (7)

given by (7) is the scalar product between the Note that normalized electrical fields of MMF modes and computed of the PD [21]. Due to mode orthogover the detecting area onality, this quantity should ideally be equal to one for while it should be equal to zero for . Indeed, because of the finite detecting area of the PD, neither condition is met. This nonideal behavior must be taken into account, because it is one of the factors influencing the strength of modal noise and, in turn, of the HD and IMD terms. is an extension of the The calculation procedure of one presented in [21]. Since in this work we want to evaluate the , we have included the presence distortion components of of the nonlinearities of the RoF TX, the possible presence of two modulating tones, and we proceeded to the third order in the approximation of the square root in (4). In the following, we will describe the peculiarities of the present derivation and refer to [21] for the other aspects of the mathematical model. Note that the presented model would allow the determination of HD and IMD terms of any order. In the remainder of the paper, to better focalize our work, we will however concentrate and , on the powers at angular frequencies

Moreover, in (9) and (10), it is (13) and are crucial in showing The coefficients the influence of modal noise in the received power at the difhave ferent frequencies. Their expressions for equal to been reported in [21], while their expressions for equal to and are reported in the Appendix. An important parameter contained in the expression of and is (14) This quantity, which is defined also in [21], increases in absolute value with , and with the fiber length . Indeed, increases with , and in all of the presented results it is , since does not exceed a few hunverified frequencies below 2 GHz. dred meters and we consider From (8), we note that the amplitude of each one of the current components results as the combination of nonfluctuating , and fluctuating terms . terms , and , are deterThe first ones for equal to , mined respectively by and by the coefficients and of the RoF TX, and are independent from modal noise, while the and , are desecond ones, which depend on termined by modal noise.

188

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 1. Modeled behavior of hHD2i, hHD2 i, and hHD2 i versus MMF length z , evidencing the prevailing effect of the first (due to RoF TX nonlinearities) for low values of z and the prevailing effect of the second (due to modal noise) for medium-range values of z .

B. Influence of Modal Noise on HD and IMD Distortion Terms From (8), it is possible to derive the mean electrical power at the angular frequency as

(15) where the notation indicates time averaging. In (15), we used . the property In the following, we will use the following notations: (16) depends in general on nonfluctuating As displayed in (15), terms and fluctuating terms . depends only on . In [21], it was shown that We show now that this assumption cannot be taken for and . For understanding purposes, we introduce the two functions: (17)

Fig. 2. Measured behavior of HD2 over time for z  1 m (fiber pigtail) and for z = 300 m evidencing the increase in the average value hHD2i for increasing z due to modal noise fluctuations.

The behavior that we want to point out is that for low , i.e., is mainly values of it is due to the RoF TX nonlinearities, while for increasing values , i.e., the contribution of it becomes to coming from modal noise becomes prevailing. A similar behavior can be found if one defines in a similar manner and , and is not reported here for the sake of brevity. To further clarify this point, we show in Fig. 2, for the same link, typical behaviors of HD2 during time measured for 1 m (fiber pigtail) and for 300 m. 300 m is determined practically by Indeed, for the large time fluctuations of the received current due to modal noise, and is much greater with respect to the value exhibited at 1 m. For fluctuations are almost absent and the value of HD2 is almost constant in time, being determined by of the RoF TX. the coefficient Also in this case, similar correspondent behaviors can be shown with respect to IMD3H. To explain mathematically these behaviors, we start 0 m (the distance at which it is noting that, for ), we have from (A1)–(A4) of the , . From Appendix that it is (9)–(12), we have then

(18) which represent respectively the portion of due to the RoF TX and the portion due to modal noise. with these two functions for different Fig. 1 compares values of . These particular curves are obtained using the parameters of one of the real systems employed in the experimental section, i.e., the one whose transmitter and receiver are indicated in Section III as RoF TX and RoF RX1, respectively, utilizing the central launch technique. However, the behaviors that through this figure we are going to describe are exhibited by all the RoMMF systems, which constitute the scope of this work, where the RoF TX is based on a DFB LD. The frequency utilized was 1 GHz, and the number of guided modes that . we have considered here and in the following is

(19) (20) where, in the derivation of (20), it has been exploited the property, utilized also in [21] and in line with various classical models [14] and [25], that , where is the Kronecker function.

ALCARO et al.: DISTORTION MECHANISMS ORIGINATING FROM MODAL NOISE IN RADIO OVER MULTIMODE FIBER LINKS

Apart from the coefficient 1/2 , (19) and (20), for equal , coincide with (17) and (18) for 0 m, and can be to to prevail used to explain the reason that in Fig. 1 leads over for 0 m. Indeed, the first summation at the right-hand side (RHS) of (19) is a positive number, to which a second summation is added. This second summation has a large order of magnitude compared to the summation at RHS of (20). In fact, the difference between them lies in the last factors, , which is close to unity, and , respectively: which is close to zero (in practice, with the receivers that we is a few hundred times ). have utilized, For values of up to several hundred meters, typical of the short/medium range links considered here, we obtain instead

(21)

(22) , Similarly to (19) and (20), apart from the coefficient 1/2 (21) and (22) give respectively, for equal to , the expres, , as in (17) and (18). We note that, sions of while the first summations at the RHS of (21) and (19) coincide, the second summation at the RHS of (21), due to the presence of is smaller than the second summation the factors for increasing is then at the RHS of (19). The value of for 0 m, decreasing with respect to the value of justifying the behavior of reported in Fig. 1. Comparing now (22) and (20), we observe that the , that in (20) multiplies each term of the term summation at the RHS, is replaced in (22) by the term . less than In the situations analyzed in this work, it is below 0.4, , (typ200 MHz/mA, ical for RoF TX based on DFB LDs), and roughly between 100 and 500 m. With these assumptions, from (A1)–(A4), the following approximations are valid with a relative error lower 700 m when and have values than 10% for 200 m 800 m when they are around 700 MHz, and 170 m around 2700 MHz:

(23) (24) where is the th-order Bessel function of the first kind. With the parameters considered, the average values of fall roughly between 1 and 2, and determines important conseand ). Indeed, this quences in both cases ( , and at the same causes

189

time it determines an increase of for increasing . Thus, the RHS of (22) for increasing tends increases to be much greater than the one of (20), i.e., greatly after a few hundred meters of MMF length with respect to have to its initial value. This great increase makes . In particular, this justifies the a prevailing effect within in Fig. 1. fact that it tends to be All this mathematical treatment has been performed to identify some important factors influencing the values of the ratios and , which express the distortion penalties of analog RoMMF systems. , Indeed, these ratios, expressed in dB, vary with and . As for and , these values depend on the parameters that influence the RHS of (22), namely those given here. , which depend on the 1) The weight coefficients launch characteristics. , which depend on the detecting 2) The scalar products of the RoF RX. area 3) The factors that influence : , , and . the fluctuations due to modal As already mentioned, for noise are relatively small [21]. This means [see again (21)] that this power maintains in all cases its proportionality to . Consequently, , one more important parameter in for a given value of and is the determining the values of following. 4) The value assumed by the characteristic quantity of the RoF TX utilized. All of these points will be addressed in Section III, where the results coming from the experimental activity performed will be interpreted in the light of the theory discussed above. III. EXPERIMENTAL RESULTS A. Description of the Experimental Setup The RoFMM system that we utilized for our measurements is depicted in Fig. 3. We used two different RoF TX , both based on 1310-nm direct-modulated DFB LD. Their main parameters are summarized in Table I, where we include also the input in[29]. tercept points of the second and third order All of the results that we report have been determined at fre1 GHz, 1.2 GHz, with RF input power quencies 7.7 dBm, corresponding to 15.3 mA. The corre, and are reported in Table I. sponding Different launch conditions were applied (in particular central launch and offset launch with 12 m offset have been investigated), and different short-lengths of fibers (1.5 m, 75 m, 150 m, 300 m, 450 m and 525 m) of type OM2 were considered, whose physical characteristics have been given to us by the MMF supplier. The MMF was inserted in a climatic chamber and underwent a controlled temperature variation (see again Fig. 3). In this way, it was forced to experience typical environmental changes and, at the same time, a repeatable experimental situation was obtained. We utilized also two different RoF RX, both based on a commercially available InGaAs positive-intrinsic-negative (PIN) PD equipped with an MMF pigtail. The two RoF RXs

190

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 3. Experimental setup.

TABLE I RoF TXs PARAMETERS

were coming from the same manufacturer, with the only difference between them consisting in the dimension of the PD de. The second receiver utilized, RoF RX2, exhibtecting area ited a detecting area larger than the detecting area of the first one, RoF RX1. The areas and utilized in our simulations were estimated as explained in [21], exhibiting, respectively, the values of 530 and 680 m . With the aid of a vector network analyzer (VNA), the different components of the received current could then be measured. The multimeter reported in Fig. 3 was used both for monitoring the direct current (dc) component of the detected photocurrent and . for the evaluation of B. Effects of the Launch Characteristics Fig. 4 shows the comparison of theoretical values of , obtained with the numerical model that we have developed, with experimental ones in the central and in the offset launch cases, utilizing RoF TX and for different lengths of the OM2 fiber. It can be appreciated that the distortions are smaller higher) for the case of central launch than the case ( of offset launch. For example, a difference always greater than 7 dB between the offset and the central launch cases is 150 m. Similar comments can observed for all values of . be expressed with respect to the quantity We highlight that our simulations, which exploit a detailed electromagnetic analysis, based on the finite element method, confirm that these results come from inherent characteristics of the two launches. In fact, both central launch and offset launch excite all the MMF modes, i.e., for the mode of generic index it is always . The difference between the two launches lies in the relative weights of the various . All of the other

Fig. 4. Comparison of measured (markers) and modeled (lines) values of hC=HD2i for different OM2 fiber lengths using central launch or offset launch techniques. RoF TX1 and RX1 were used while the frequency of the RF input tone was f = 1 GHz.

parameters listed in points 2), 3), and 4) of the previous section are indeed the same, since we are using the same RoF TX and the same RoF RX. In the case of central launch, more than 99.9% of the optical to , power is distributed just among the eight modes each one taken in its two degeneracies. In the double summation at the RHS of (22) the prevailing terms are consequently the and refer to these modes. ones where These modes are invariant azimuthally, and therefore the electrical field is mostly concentrated in the central part of the MMF core. It is therefore in high percentage detected, regardless of the . This means that for these modes the scalar finite value of become relatively close to zero, determining the products RHS of (22) to exhibit relatively low values. In the case of offset launch, the 99.9% of the total power results distributed among a set of more than 90 modes. Many more must now be considered in the evaluation pairs of modes of (22). Since most of these pairs refer to modes that are not azimuthally invariant, the amplitudes of their electrical fields are not negligible in regions of the MMF core far from its center. This means that this time the correspondent scalar products are not close to zero, and that the RHS of (22) exhibits relatively high values. A result which is in line with this one was reported in [21], where a worse behavior of offset launch with respect to central launch was already observed in the analysis of the link gain fluctuations of RoMMF links. The results presented here confirm the convenience of utilizing central launch in RoMMF links when the performance of the system in terms of distortion is considered. C. Effect of the RoF RX Detecting Area We now investigate the influence of the characteristics of the RoF RXs on the value of the undesired distortion terms. To this purpose, we utilize the two RoF RXs described at the beginning of the section. Fig. 5 shows the successful comparison of theoretical and exwhen RoF RX1 perimental values of the quantity or RoF RX2 is utilized for different lengths of OM2 fiber.

ALCARO et al.: DISTORTION MECHANISMS ORIGINATING FROM MODAL NOISE IN RADIO OVER MULTIMODE FIBER LINKS

Fig. 5. Comparison of measured (markers) and modeled (lines) of hC=IMD3Hi versus MMF length using RX1 or RX2. RoF TX2 and central launch technique were used while the frequencies of the RF input tones were f = 1 GHz and f = 1.2 GHz.

Note that the almost constant behavior exhibited by both curves until values of between 100 m and 200 m is due to the , fact that the values of which can be computed from (A3) and (A4), remain negligible (even initially decreasing) for increasing . Consequently, the value of is, in this length interval, almost coincident 0 m. Subsequently, for higher values of , with the one in increases the value of (in particular, the condition expressed by (24) starts to hold) diminishes. and the value of Also, in Fig. 5, we observe that the difference in performance between the two RoF RXs can be explained by the fact that is larger than . Indeed, in both the detecting area links, we utilize the central launch and the same RoF TX. All of the parameters listed in points 1), 3), and 4) of Section II are therefore the same, and the difference between the two links is of (22). given by the scalar products Due to the larger integrating area, lower values of are exhibited in the case when RoF RX2 is utilized, which means lower impact of the fluctuating terms of HD2 and IMD3H given by (22). To give a quantitative idea, in our case, the average are respectively 1.8e-3 for values of the and 1.3e-3 for . The presented results confirm the importance of choosing a receiver with a sufficiently large detecting area. It can be seen, for example, that, for a 300-m link length utilizing RoF TX , a difference of about 5 dB is observed between the values of when the two different RoF RXs are utilized. Taking into account the results just shown, we will now concentrate our analysis on a RoMMF system where central launch and RoF RX2 are utilized, with the aim to show the importance of the characteristics of the RoF TX utilized in the system. D. Effect of

,

,

and

Here, we will compare RoMMF links with the same RoF RX and which utilize the same type of launch. The differences in their behaviors will therefore be determined by the parameters indicated in points 3 and 4 of the previous section. According to and are expected point 3 of Section II,

191

Fig. 6. Behavior of hC=HD2i for varying values of MMF length z expressed in 101log scale using TX1 or TX2. Lines represent asymptotic theoretical behaviors and markers measured values. It is f = 750 MHz and C = 04.3 dBm.

, and , while, according to point 4, to decrease with . they should increase with To have an idea of this dependence, we can observe that, in the practical cases that we consider, it is often possible to approximate the Bessel functions of (23) and (24) as , , and it is just as often possible in the expression of given by (14) to approximate . In these cases, we have, respectively, and . Since, as specified above, it is , we have (25)

(26) As an example of a practical situation where the approximations above can be taken with good accuracy, we consider two RoMMF systems, one is equipped with RoF TX and the other with RoF TX . In this particular case, we utilize an input RF 4.3 dBm and a frequency 750 MHz. power of Fig. 6 reports the comparison of measured values and asympas a function of extotic theoretical behavior of scale. pressed in this case with It can be appreciated that for values of greater than 200 m the effect of the modal noise prevails over the RoF TX’s nonlinearity, and the behaviors of measured values tend to become parallel with slope 4 since they are both inversely proportional is the same for both systems, and the disto . The value of tance between asymptotic lines is 5.5 dB. This distance is due to the first fraction on the RHS of (25), which depends only on RoF TX parameters. Indeed, it can be verified that

5.7 dB

(27)

192

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

The same reference to

Fig. 7. Behavior modeled (lines) and measured (markers) of hC=HD2i versus MMF length for different RoF TXs. The values of the ratio K =( =P ) were 1226 MHz/mA for RoF TX1 and for RoF TX2. 879 MHz/mA

Fig. 8. Behavior of modeled (lines) and measured (markers) values of hC=IMD3Hi versus different OM2 fiber lengths for the two RoF TXs.The = =P ) were 595 MHz/mA for values of the ratio K for RoF TX2. RoF TX1 and 465 MHz/mA

A corresponding behavior can be shown with reference to , where the parameter of the RoF TX becomes . The approximated relationships of (25) are not strictly applicable in all the possible operating conditions of our system. Anyway, it is always confirmed the dewith the increase of crease of . Figs. 7 and Fig. 8 show the comparison of the two RoF TXs and , respectively. These thein term of oretical and experimental values are obtained in the same op1 GHz, erating conditions of the previous subsections ( 1.2 GHz, 7.7 dBm). and We see once again the reduction of for increasing values of . Moreover, in Fig. 7 we 300 m the values of referred to observe that, for the system utilizing RoF TX are at least 4 dB higher than the ones referring to RoF TX . This is due to the fact that RoF TX exhibits a value of , which is higher than RoF TX , as these values are 879 and 1226 MHz/mA , respectively.

consideration can be made with Fig. 8. Since RoF TX2 exhibits 465 MHz/mA which 595 MHz/mA is higher than exhibited by RoF TX , referred to RoF TX present values which, for 300 m, are at least 3 dB higher compared with the values presented by RoF TX . Note that the results presented here generalize the ones presented in [13]. Indeed, in [13], it is analyzed the dependence of (indicated there as ), on the value of the fre). quency chirp of the laser source (indicated there as The corresponding results are shown in Fig. 2 of [13] that reversus . However, the quantity ports of [13] (which corresponds in our model to ) ] of the modis actually proportional to the amplitude [13, is then obtained by ulating current. The variation of increasing the value of , and what is actually shown in [13, on , which presents apFig. 2] is the dependence of proximately a linear negative slope of 2. The behavior shown there is in agreement with our model, since, as can be seen from is approximately (25), we expect also in our case that . proportional to At the same time, the results expressed in [13] suggest that and are RoF TXs which exhibit the same value of modulated with the same must present the same values of , while we have just shown with the help of Figs. 7 and 8 that this is not true. Note in particular, from Table I, that our RoF TX and RoF TX have almost the same adiabatic chirp coefficient and that the different values and that they exhibit in correspondence to the same values of can be justified only taking into account also for , as specified each one of them the quantity above. Finally, we underline that, although the values of and , which can be considered appropriate for a successful transmission of radio signals either depend on the level of the RF power radiated at the remote antenna side or on the radio-standard requirements, the typical minimum values for C/HD2 and C/IMD3H in an indoor scenario can be considered 35–40 and 45–50 dB, respectively. This means that only with the configuration RoF TX , RoF RX2 and central launch is it possible to perform a transmission with good quality up to 300–400 m of OM2 MMF. IV. CONCLUSION Major limitations of RoMMF systems for in-building wireless coverage due to harmonic and intermodulation distortions caused by modal noise have been discussed. A general model has been developed for the case of intensity-modulation directdetection systems and single-wavelength lasers. Its validity has been proved through an extensive measurement campaign with 50/125 OM2 multimode silica fibers. The dependence of distortion terms on launching condition, receiver, and transmitter characteristics has been addressed. In particular, it has been shown that better system performances are obtained using the central launch technique with respect to the offset launch one.

ALCARO et al.: DISTORTION MECHANISMS ORIGINATING FROM MODAL NOISE IN RADIO OVER MULTIMODE FIBER LINKS

Moreover, provided that the necessary bandwidth is guaranteed, RoF receivers with a relatively large detecting area are preferred in order to exploit the orthogonality among the fiber modes to the fullest extent. Finally, through the introduction of new key parameters, we have shown that, together with a low value of the adiabatic chirp coefficient, the RoF transmitter must exhibit at the same time a relatively low value of the CW optical power and a high value of the modulation efficiency. Thus, the feasibility of short-range RoMMF links has been related to all of the main parameters of the involved devices. This leads to a proper choice of the system components at the design stage to guarantee multiband support with good quality up to several hundred meters in length. APPENDIX Remembering the expression of tailed expressions of the terms and when cases when

given by (14), the deand for the are given by

(A1) (A2)

(A3)

(A4) REFERENCES [1] D. Wake, A. Nkansah, and N. J. Gomes, “Radio over fiber link design for next generation wireless systems,” J. Lightw. Technol., vol. 28, no. 16, pp. 2456–2464, Aug. 2010. [2] J. Yu, G. Chang, A. M. J. Koonen, and G. Ellinas, “Radio-over-optical fiber networks: Introduction to the feature issue,” J. Opt. Netw., vol. 8, no. 5, pp. 488–490, May 2009.

193

[3] M. Fabbri and P. Faccin, “Radio over technologies and systems: New opportunities,” presented at the Proc. ICTON, 2007, paper We.C3.1. [4] A. J. Seeds and T. Ismail, “Broadband access using wireless over multimode fiber systems,” J. Lightw. Technol., vol. 28, no. 16, pp. 2430–2435, Aug. 2010. [5] C. Lethien, C. Loyez, and J. P. Vilcot, “Potentials of radio over multimode systems for the in-buildings coverage of mobile and wireless LAN applications,” IEEE Photon. Technol. Lett., vol. 17, no. 12, pp. 2793–2795, Dec. 2005. [6] W. Tsai, H. Lu, S. Chen, T. Chien, W. Chen, and M. Tu, “Improvement of IEEE 802.11a systems over radio-on-multimode applications,” IEEE Photon. Technol. Lett., vol. 17, no. 10, pp. 2230–2232, Oct. 2005. [7] R. E. Freund, C.-A. Bunge, N. N. Ledentsov, D. Molin, and C. Caspar, “High-speed transmission in multimode fibers,” J. Lightw. Technol., vol. 28, no. 4, pp. 569–586, Feb. 2010. [8] P. Hartmann, X. Qian, A. Wonfor, R. V. Penty, and I. H. White, “1–20 GHz directly modulated radio over MMF link,” presented at the Proc. Int. Top. Meet. Microw. Photon., 2005, paper T3-1. [9] R. E. Epworth, “Modal noise-causes and cures,” Laser Focus, vol. 17, no. 9, pp. 109–115, Sep. 1981. [10] E. G. Rawson, J. W. Goodman, and R. E. Norton, “Frequency dependence of modal noise in multimode optical fibers,” J. Opt. Soc. Amer., vol. 70, no. 8, pp. 968–976, Aug. 1980. [11] B. Crosignani and A. Yariv, “Statistical properties of modal noise in -laser systems,” J. Opt. Soc. Amer. A, vol. 73, no. 8, pp. 1022–1027, Aug. 1983. [12] T. Kanada, “Evaluation of modal noise in multimode -optic systems,” J. Lightw. Technol., vol. 2, no. 1, pp. 11–18, Feb. 1984. [13] K. Minemura, R. Lang, T. Furuse, and A. Ueki, “Harmonic distortion due to laser modulation in multimode fiber optic analogue transmission,” Electron. Lett., vol. 20, pp. 434–435, May 1984. [14] R. Dandliker, A. Bertholds, and F. Maystre, “How modal noise in multimode s depends on source spectrum and dispersion,” J. Lightw. Technol., vol. LT-3, no. 1, pp. 7–12, Feb. 1985. [15] A. M. J. Koonen, “Bit-error-rate degradation in a multimode optic transmission link due to modal noise,” IEEE J. Sel. Areas Commun., vol. SAC-4, no. 9, pp. 1515–1522, Dec. 1986. [16] A. Nkansah and N. J. Gomes, “Characterization of radio over multimode links using coherence bandwidth,” IEEE Photon. Technol. Lett., vol. 17, no. 12, pp. 2694–2696, Dec. 2005. [17] N. J. Gomes, A. Nkansah, and D. Wake, “Radio-over-MMF techniques—Part I: RF to microwave frequency systems,” J. Lightw. Technol., vol. 26, no. 15, pp. 2388–2395, Aug. 2008. [18] I. Gasulla and J. Capmany, “Modal noise impact in radio over multimode links,” Opt. Exp., vol. 16, no. 1, pp. 121–126, Jan. 2008. [19] D. Visani, G. Tartarini, M. N. Petersen, L. Tarlazzi, and P. Faccin, “Effects of laser frequency chirp on modal noise in short range radio over multimode links,” Appl. Opt., vol. 49, no. 6, pp. 1032–1040, Feb. 2010. [20] D. Visani, G. Tartarini, L. Tarlazzi, and P. Faccin, “Reducing modal noise in short-range radio over multimode links,” presented at the Proc. OFC/NFOEC, 2010, paper JWA56. [21] D. Visani, G. Tartarini, M. N. Petersen, L. Tarlazzi, and P. Faccin, “Link design rules for cost-effective short-range radio over multimode fiber systems,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 11, pp. 3144–3153, Nov. 2010. [22] I. Gasulla and J. Capmany, “Analysis of the harmonic and intermodulation distortion in a multimode fiber optic link,” Opt. Exp., vol. 15, no. 15, pp. 9366–9371, Jul. 2007. [23] G. Alcaro, D. Visani, G. Tartarini, L. Tarlazzi, and P. Faccin, “Controlling the impact of modal noise on harmonic and intermodulation distortions in radio over multimode fiber links,” presented at the Proc. ECOC, 2010, paper We.7.B.5. [24] L. Roselli, V. Borgioni, F. Zepparelli, F. Ambrosi, M. Comez, P. Faccin, and A. Casini, “Analog laser predistortion for multiservice radio-over- systems,” J. Lightw. Technol., vol. 21, no. 5, pp. 1211–1223, May 2003. [25] K. Peterman and G. Arnold, “Noise and distortion characteristics of semiconductor lasers in optical fiber communication systems,” IEEE Trans. Microw. Theory Tech., vol. MTT-30, no. 4, pp. 389–401, Apr. 1982. [26] L. Raddatz, I. H. White, D. G. Cunningham, and M. C. Nowell, “An experimental and theoretical study of the offset launch technique for enhancement of the bandwidth of multimode fiber links,” J. Lightw. Technol., vol. 16, no. 3, pp. 324–331, Mar. 1998.

194

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

[27] T. D. Michaelis, “Laser diode evaluation for optical analog link,” IEEE Trans. Cable Telev., vol. CATV-4, no. 1, pp. 30–42, Jan. 1979. [28] M. R. Phillips, T. E. Darcie, D. Marcuse, G. E. Bodeep, and N. J. Frigo, “Nonlinear distortion generated by dispersive transmission of chirped intensity-modulated signals,” IEEE Photon. Technol. Lett., vol. 3, no. 5, pp. 481–483, May 1991. [29] J. L. Prince, E. I. Ackerman, and C. H. Cox, III, “Analog fiber-optic link technology,” in Dig. LEOS Summer Topical Meetings, Jun. 2004. Gianpiero Alcaro was born in Catanzaro, Italy, in 1985. He received the B.S. degree in electronics engineering from the University of Calabria, Cosenza, Italy, in 2006, and the M.S. degree in telecommunications engineering from the University of Bologna, Bologna, Italy, in 2009. From January to December 2010, he was with the Department of Electronics, Informatics and Systems (DEIS), University of Bologna, Bologna, Italy, as a Research Fellow within the FP7 ICT-ALPHA Project, working on Radio over Fiber (RoF) systems. Since March 2011, he has been with the Wireless Innovation Group of CommScope Italy, Faenza, Italy, an Electrical Engineer.

Davide Visani (S’09) was born in Faenza, Italy, in 1984. He received the B.Sc. and M.Sc. degrees in telecommunications from the University of Bologna, Italy, in 2006 and 2008, respectively, where he is currently working toward the Ph.D. degree in fiber-optic technologies for wireline and wireless in-building networks. In the framework of his doctoral research, he spent one year working at the COBRA Research Institute, Eindhoven, The Netherlands. He has authored and coauthored more than 20 refereed journal papers and international conference contributions.

Luigi Tarlazzi was born in Faenza, Italy, in 1979. He received the M.S. degree in telecommunications engineering from the University of Bologna, Bologna, Italy, in 2006. From May to October 2006, he was with Siemens COM S.p.A., Milan, Italy, as an External Consultant involved with UTRAN RNC software testing. In November 2006, he joined Andrew Wireless Systems (now CommScope Italy) as part of the Optical Research team for the Wireless Innovations Group, Andrew Solutions—A CommScope Company, Faenza, Italy. As an Optical Engineer, he has been involved in the European FP7 ICT-ALPHA Project. He has coauthored two patent applications regarding the wireless MIMO technology and its application to the F-DAS. In May 2011, he joined the New Network Technologies team for the Wireless Innovations Group, CommScope Italy. As a 4G Networks Engineer, his current main efforts concern the delivery of LTE MIMO training to wireless operators, the LTE MIMO radio planning, and the support to LTE DAS MIMO trials all around the world.

Pier Faccin (M’04) was born in 1959. He received the M.S. degree in electronic engineering from the University of Bologna, Bologna, Italy, in 1986. He was then a Research Fellow with Fondazione Guglielmo Marconi, Bologna, Italy, working on modal converters for corrugated circular waveguides. He then joined the Italian Navy Research Laboratory “G. Vallauri”, Livorno, Italy, where he was involved with radar cross-sectional measurements of aero-naval moving targets. He continued his career at “Telettra S.p.A.” Chieti, Italy, where he was involved in the R&D Laboratory dealing with military spread-spectrum radio-communications. In 1998, he joined “Tekmar Sistemi—An Andrew Company,” today CommScope Italy, Faenza, Italy, as a Core Technology Manager in charge of the development of new applications and technologies in the RoF field of application.in charge of Optical Research for the Wireless Innovations Group of CommScope Italy, has a consistent experience in Radio over Fiber (RoF) systems and has introduced the multi-wavelength WDM technology inside Andrew’s Fiber Distributed Antenna Systems (F-DAS). During his career, he has been continuously maintaining a relationship with the scientific world. He has been involved with his team in the European FP7 ICT-ALPHA Project and he has been invited as a speaker to several conferences at international level. He has authored and coauthored more than 30 conferences and journal papers and four patents and patent applications on optical and wireless communications. Mr. Faccin is member of the Italian Federation of Electrical, Electronic, Informatics, Systems and Telecommunications Engineering (AEIT)and the IEEE Communications Society.

Giovanni Tartarini (M’09) received the Diploma from the Classical Gymnasium and Lycée “G. Cevolani” of Cento, Ferrara, Italy, and the M.Sc. degree in electronic engineering and Ph.D. degree in information and communication technology both from the University of Bologna, Bologna, Italy. From 1987 to 1990, he was a Training Consultant in some colleges and technical schools in Manila, The Philippines, in the framework of a cooperation project of the NGO Tovini Foundation of Brescia, Italy. He is currently an Associate Professor of electromagnetic fields with the Faculty of Engineering, University of Bologna, Bologna, Italy. Since 1992, he has been with the Department of Electronics, Informatics and Systems (DEIS), University of Bologna, where he teaches various courses related to microwave photonics and optical systems and components for both the Master and Bachelor degrees in electronic and telecommunication engineering. Within the Optics Group, DEIS, he has participated in various national and European research projects. From 2008 to 2011, he was responsible for the University of Bologna of the integrated project “Architectures for Flexible Photonic Home and Access Networks” (ALPHA), sponsored by the European Community in the VII Research Framework Program. He has authored or coauthored several scientific publications appearing in journals or international conferences. His main research interests are the applications of microwave photonics to telecommunications systems and the numerical modeling of optical devices and components.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

195

The Performance of a Fiber-Optic Link Using Unbiased Balanced Photodiodes for Antenna Array Calibration John F. Diehl, Vincent J. Urick, Senior Member, IEEE, Christopher S. McDermitt, Frank Bucholtz, Senior Member, IEEE, Preetpaul S. Devgan, Member, IEEE, and Keith J. Williams, Member, IEEE

Abstract—A quadrature-biased dual-output Mach–Zehnder modulator is used to drive unbiased balanced photodiodes for use in antenna array applications. The RF gain is measured as a function of frequency and photocurrent. Balanced photodiode two-tone measurements at 6 GHz are compared with those of a single photodiode to show 26-dB suppression of the second-order distortion. This results in a second-order limited spur-free dynamic-range improvement of 12 dB. The phase error introduced in unbiased photodiodes is compared to that of the biased case to demonstrate that it would have minimal effect on the performance of the antenna array system. Index Terms—Balanced photodiodes, microwave photonics, unbiased.

I. INTRODUCTION

T

HE application of fiber-optic links has been shown to be beneficial for antenna remoting in both radio astronomy and radar systems due to a variety of reasons including: 1) low loss per unit length; 2) small bundle size; 3) high bandwidth; and 4) immunity to electromagnetic interference [1]–[5]. It is this last advantage that makes fiber-optic links promising in the calibration of RF antenna arrays [6]–[9]. Currently, in the case of ship-based arrays, calibration often takes place when the ship is docked. Real-time in situ calibration is highly desirable, but conventional (electrical cabling) methods can disrupt the fidelity of the system. An intensity modulated laser, driving an unbiased photodiode, allows for an RF calibration signal to be delivered directly to an array without disrupting the pattern. If the photodiode is left unbiased, there is no need for any metal (wiring, casing, etc.) in front of the aperture. This allows for a calibration system to be permanently installed and activated when needed. An example of an optical feed calibration system is shown in Fig. 1. A more detailed description of a prototype system is discussed in detail in [9]. A drawback to this optical technique is that a photodiode illuminated without a dc bias has a decreased efficiency, increased distortion, and a phase error caused by compression [10]–[16]. Multioctave antenna array systems generate some distortion of their own due to Manuscript received May 09, 2011; revised October 21, 2011; accepted October 24, 2011. Date of publication November 18, 2011; date of current version December 30, 2011. The authors are with the Naval Research Laboratory, Washington, DC 20375 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2175238

Fig. 1. Proposed calibration system employing a pair of balanced detectors per array element. (a) Laser feeds a dual-output MZM. Each arm is then split with a1 amplitude controlled splitter. A single channel from each arm is sent to an individual array element. (b) Representation of a single-antenna element with the balanced photodiodes connected across the gap.

2M

the use of nonlinear components, such as RF amplifiers. A perfect calibration system would add no additional distortion to the system, allowing for the complete characterization of the array prior to use. The optical feed of the proposed system generates only odd-order distortion when at quadrature bias. The nonlinear nature of the unbiased photodiodes does, however, generate a large second-order term, which is undesirable for multioctave systems. Here we demonstrate the ability to suppress the even-order distortion generated at the receive end by using a pair of balanced photodiodes. This has the added benefit of quadrupling the fundamental output power. This concept has been previously shown in an RF antenna remoting system with biased wired photodiodes [17]. In this study, we quantify the reduced optical-to-electrical conversion (in the form of the link’s insertion loss) of an unbiased pair compared to that of biased photodetectors. We go on to demonstrate a 26-dB improvement in the second-order output intercept point (OIP2) using a balanced pair of unbiased photodiodes. We review and measure the impact of having multiple photodiodes in an array. The increased gain and output intercept point are demonstrated to be one to one. This allows us to calculate a spur-free dynamic-range (SFDR) improvement of 12 dB. Finally, we discuss the phase error introduced by the unbiased compressed photodiodes as it is important for the antenna array system previously mentioned.

U.S. Government work not protected by U.S. copyright.

196

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

II. MODEL

point and the total noise power spectral density of the link normalized to some resolution bandwidth, given as

Parameters such as link gain, noise figure, and the output intercept points for an intensity modulated direct detection (IMDD) fiber-optic link are well understood. This section will review the case of an ideal biased photodetector. From here we can determine how well an unbiased photodiode pair performs. In the case of a dual-output Mach–Zehnder modulator (MZM), we can derive the photocurrent generated by each arm of the modulator (denoted as and below) [21]

(4) is the limiting output intercept point and is where the total power spectral density at some resolution bandwidth [21]. To understand the nature of the photodiode generated distortion, we will express the photodiode current transfer function as a general Taylor series expansion [17] (5)

(1) is the avHere, is the responsivity of the photodiode, is the phase shift erage optical power at the photodiode, (here, due to the dc modulator bias, is the RF peak drive voltage and is the voltage required to shift 180 on the modulators transfer curve), is the th-order Bessel function of the first kind, and is the RF modulation radian frequency. The photocurrent is divided into three terms: the first is the total dc photocurrent, the second is the even-order distortion generated at the modulator, and the third term is the fundamental and odd-order distortion terms. At quadrature bias , the even-order distortion caused by the modulation of the optical carrier is removed from the photocurrent, leaving only the dc and odd-order terms. For photonic systems in which a quadrature bias is maintained, the photodiode is the dominant source of even-order distortion. in (1), we can show that From the fundamental term, the RF gain is given by (2) where is the input impedance to the modulator, and is the impedance of the RF load [21]. The third-order distortion term in (1). caused by the modulator is derived from the For small signals at a quadrature bias, the associated third-order output intercept point (OIP3) is (3) Note that (3) is for the two-tone intermodulation distortion, not harmonic distortion [18]. It should be noted that (2) and (3) are for the case where all RF power has been delivered to the load. A 6-dB correction must be applied for impedance-matched photodiodes. An important metric for characterizing analog link fidelity is the SFDR. This is defined with respect to an arbitrary intercept

where is the current at the photodiode output, is the photocurrent for an ideal photodiode, and the coefficients are real, diode-dependent, and empirically measurable. Now if we take the small-signal quadrature biased case of (1), and insert it into (5), we have the photocurrent generated by each arm of the modulator after nonideal photodetection

(6) where and are constants in time. Furthermore, we and do not fluctuate between assume that the values the arms of the modulator . If we now take the case , given as of balanced detection, we are left with

(7) which has no even-order terms. Thus, we would expect the fundamental and odd-order distortion to add, and the photodiode generated even-order distortion to cancel. As with the biased case shown in [17], we have used the even-order distortion of one photodiode to cancel that of the other. It should be noted that although the modulator is a large source of third-order distortion, the unbiased photodiodes do contribute significantly. If a high OIP3 is desired, there are a collection of linearization techniques available to improve third-order performance. Techniques such as predistortion are most promising for this application given their entirely pre-modulator implementation [20]. In addition to the even-order suppression afforded by a balanced detection scheme, we expect a further increase in linearity for a link utilizing a large number of photodiodes. For an array photodiodes, all assumed to be identical and illuminated of by the same amount of light, the gain of both the fundamental and spurious signals is given by

(8)

DIEHL et al.: PERFORMANCE OF FIBER-OPTIC LINK USING UNBIASED BALANCED PHOTODIODES

where is the number of photodiodes in the array and is the gain given by a single diode. Since the fundamental and spurious signals experience the same gain, an increase in system gain results in a one-to-one improvement in the output intercept (single diode) to (balanced point. Going from pair), the gain of the system would increase by 6 dB, and the output intercept point would likewise increase by 6 dB. This assumes that the optical feed has enough power to illuminate would require, at least, double each photodiode. Doubling the laser power feeding the calibration system. It would appear that one could make very large, resulting in a dramatic increase in system performance, but an issue arises with the parallel capacitance of the photodiodes in a large array. The total capacitance on the receiver increases with larger , resulting in a reduced receiver bandwidth. Using high bandwidth photodiodes will allow for a larger photodiodes array, and thus a higher performance link. However, there is a significant increase in cost per antenna element. Between the cost increase, limited laser power, and the lack of physical space on the element for photodiode placement, the number of photodiodes that can be employed per array element is limited. In addition to the gain, linearity, and SFDR of an analog link feeding an antenna array, the phase performance must be quantified. The optical feed to each of the array elements consists of two optical fibers, which must be phase matched to within some acceptable tolerance. For the distortion cancellation detailed in this paper, that tolerance was about 0.5 at the fundamental frequency. Time trimming the optical feed to within this tolerance is achievable with standard techniques. The photodiode’s phase response as a function of temperature is easily neglected compared to the phase-shift experience by the fiber feed. The results seen in this paper do not vary as a function of temperature. The phase shift experienced by optical fiber transmission lines as a function of temperature has been discussed in [22]. The unbiased photodiode does add a static phase shift, which is dependant on the incident optical power. The phase error observed for unbiased, or compressed, photodiodes is a current topic of study. There are, to the authors’ knowledge, no current models existing to explain the observations seen in this paper.

III. EXPERIMENTAL RESULTS The system used to demonstrate the performance of an unbiased photodiode pair is shown in Fig. 2. Light from a 1550-nm fiber laser (Orbits Lightwave) is modulated by a dual-output V at Mach-Zhender modulator (EOSpace) with a 6 GHz. Each output passes through a variable optical delay and attenuator (VOA) in order to balance the phase and amplitude, respectively, of the modulated signal. The light from each arm is detected by one of the photodiodes in the balanced pair (Discovery Semiconductor DSC720). This diode package has decoupled bias ports and a 50- matched RF output. Two independent current meters (Keithley 2425) were placed between the bias pins to monitor the photocurrents. Four data sets were taken: the link gain as a function of dc photocurrent and RF frequency, a set of two-tone measurements, and phase data normalized to a particular RF drive power.

197

Fig. 2. Setup used for the experiments detailed in this paper. Not shown are the signal generators, ESAs, and VNAs used for the different measurements detailed later. The diagram above is common for all measurements.

Fig. 3. RF gain at 6 GHz as a function of dc photocurrent for each independent unbiased photodiode in the balanced pair. The peak RF gain is realized at a photocurrent of approximately 1.25 mA for each diode.

A. RF Gain The dc photocurrent for an unbiased photodiode that maximizes the RF gain was determined, as this is the photocurrent that would be used in the application. To do this, we fully attenuate one arm of the modulator output and measure the RF gain while changing the attenuation on the other arm. All RF gain data was taken using a vector-network analyzer (VNA) (Agilent E5061A). Fig. 3 shows a maximum RF gain at 6 GHz is obtained at a photocurrent of 1.25 mA per photodiode. The roll-off for photocurrents above 1.25 mA is due to an effective forward biasing of the photodiode. The absorption of light changes the photodiode’s internal field, effectively giving a forward bias that reduces the efficiency at which carriers are swept out of the absorption region. The dc photocurrent was set to 1.25 mA per photodiode, and gain measurements were taken as a function of frequency. The single and balanced unbiased photodiode measurements are compared to the balanced bias case in Fig. 4. For the balanced bias case, we can see that the measured gain of 30 dB agrees mA) well with (2) for 2.5 mA of dc photocurrent (

198

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

Fig. 4. RF gain measured on a VNA. Shown are the biased and unbiased cases for balanced and single photodiode detection. The degradation in RF performance for the unbiased detection is clearly demonstrated.

and a of 5.5 V at 6 GHz (theory gives 29 dB with a 6-dB correction for the photodiode’s matched load). For the unbiased case, we see that the balanced unbiased photodiodes exhibit a decreased RF gain of 35 dB at 6 GHz. The lack of a dc bias on the photodiodes decreases the efficiency at which carriers are swept out of the absorption region, resulting in less photocurrent for a given optical power. The difference between one photodiode and a balanced pair is roughly 6 dB in the biased case. Again, this is expected, since the gain has a quadratic dependence on the photocurrent. As a general note, the biased gain measurements are not limited to 1.25 mA like the unbiased case. The RF gain of a biased detection scheme will have a peak gain photocurrent much higher than 1.25 mA. For these particular diodes, that limit would be 15 mA or more. B. Two-Tone Measurements The linearity of an analog link is typically characterized by harmonic or two-tone distortion measurements. We have employed the two-tone method here. Two tones, where GHz kHz and GHz kHz, are combined to drive an MZM. The nonlinear modulation and demodulation processes result in the generation of spurious sigand third-order nals. The second-order intermodulation terms were measured on an electrical spectrum analyzer (ESA). In the case of a biased photodiode, the third-order distortion is limited by the modulator. In the case of an unbiased photodiode, the distortion is no longer limited by the MZM; rather it is limited by the clipping that occurs due to the low efficiency of the unbiased detector. The results of the two-tone measurement are shown in Fig. 5. An OIP2 of 12 dBm was measured for a single unbiased photodiode. In the case of the unbiased balanced pair, the measured OIP2 is 14 dBm, an increase of 26 dB. To compare, the OIP2 of the biased detectors were measured to be 16 dBm for a single diode and 38 dBm for the balanced pair; an improvement of 22 dB. The detector generated even-order distortion is less prevalent in the biased case, leaving less room for improvement. The large difference between the biased and unbiased case

Fig. 5. Two-tone measurements for unbiased single and balanced photodiode detection. The measured OIP2 increased from 12 dBm in the single photodiode case to 14 dBm in the balanced detection scheme; a 26-dB improvement.

0

is a clear demonstration of the unbiased photodiode’s linearity degradation. The third-order intercept point in the unbiased single diode detection scheme was measured to be 20 dBm. The unbiased balanced pair measurement yielded an OIP3 of 13 dBm. This change of 7 dB does agree with the gain difference observed in Fig. 4. The measurements done on a biased single photodiode resulted in an OIP3 of 12 dBm, which agrees well with (3) after the 6-dB correction to account for the impedance matching of the photodiode. The biased balanced pair measurement yielded a 6-dBm OIP3, which is 6 dB higher than the single diode case, as expected. The degradation in the OIP3 when running the photodiode unbiased is a direct result of the decreased efficiency. The noise performance of an unbiased system will still be limited by shot noise and the output thermal noise. The output , where is the Boltzmann thermal noise is known to be Constant and is the temperature of the RF load in Kelvin. The shot-noise limit is given by (9) where is the electron charge constant. The total noise of the system is the summation of these two limiting noise sources, which is about 169 and 171 dBm/Hz for the balanced and single diode detection schemes, respectively. It should be noted that the input thermal noise is only negligible for systems with negative net gain. No additional noise must be accounted for as the fiber laser used in this experiment is shot-noise limited at 6 GHz. numbers allow us to calculate the SFDR using These (4). This gives us a second-order-limited SFDR of 79 dB Hz for the unbiased single diode case, and a value of 91 dB Hz for the unbiased balanced detection scheme. C. Phase-Error Measurements The phase response of antenna array calibration systems must be well characterized. For this reason, we must concern ourselves with the RF phase response of the unbiased detectors.

DIEHL et al.: PERFORMANCE OF FIBER-OPTIC LINK USING UNBIASED BALANCED PHOTODIODES

199

quality feed to a nearly transparent antenna allows for a consistent means of system calibration, increasing the reliability of the antenna array. REFERENCES

Fig. 6. Phase measured on a VNA as a function of RF frequency. The error is with respect to a biased single-diode detection scheme. The phase distortion of the unbiased detector is relatively flat over a large portion of the spectrum.

Nonlinear photodiodes have been shown to demonstrate nonideal phase characteristics [19]. Poor phase performance, regardless of gain and linearity, would render the system useless for phased array applications. Here, we employ a vector network analyzer (VNA) and calibrate to a biased single diode. The unbiased single and balanced diodes are then measured for comparison. The results can be seen in Fig. 6. Upon analyzing the unbiased measurements, we can see the response of each independent photodiode differs greatly for frequencies above 10 GHz. This mismatch prevents the distortion cancellation presented above from occurring. We also see a large slope at low frequencies, limiting performance in that region due to a higher risk of instability. From 2 to 10 GHz, we see a relatively well-behaved region that has been shifted approximately 17 from the reference. A constant phase offset, as seen in Fig. 6, would not impede performance of an entirely unbiased system, as the shift is consistent across diodes. Furthermore, the phase shift was stable, allowing for an easy calibration for the system presented above. Repeated experiments show this shift to be repeatable, and characteristic of the photodiodes used. Assuming the back end processing has the capability to correct for consistent phase shifts, the system would be operational in the 2–10-GHz region. If photodiodes with a higher frequency response were used, we would expect the upper limit to increase. IV. SUMMARY AND CONCLUSION We have described a balanced detection scheme capable of reducing second-order photodiode generated distortion. We then used this method in an unbiased detection link with a 6-GHz RF gain of approximately 35 dB, an OIP2 of 14 dB, and an SFDR of 91 dB Hz . This is an OIP2 improvement of 26 dB, an SFDR improvement of 12 dB, and a 7-dB improvement in gain over the unbiased single diode system. Furthermore, we have shown the phase response of this unbiased fiber optic link to be relatively constant in the 2–10-GHz frequency range, allowing for a well-characterized system. The improved gain, linearity, and SFDR of the unbiased balanced pair, over that of the single unbiased photodiode, yields a higher performance photonic calibration system for onboard antenna arrays compared to those previously presented. A high

[1] S. Montebugnoli, M. Boschi, F. Perini, P. Faccin, G. Brunori, and E. Pirazzini, “Large antenna array remoting using radio-over-fiber techniques for radio astronomical applications,” Microw. Opt. Technol. Lett., vol. 46, no. 1, pp. 48–54, Jul. 2005. [2] R. Spencer, L. Hu, B. Smith, M. Bently, I. Morison, B. Anderson, D. Moodie, M. Robertson, and D. Nesset, “The use of optical fibers in radio astronomy,” J. Mod. Opt., vol. 47, no. 11, pp. 2015–2020, 2000. [3] P. R. Jewell and R. M. Prestage, “The green bank telescope,” Proc. SPIE, vol. 5489, pp. 312–323, 2004. [4] J. E. Roman, L. T. Nichols, K. J. Williams, R. D. Esman, G. C. Tavik, M. Livingston, and M. G. Parent, “Fiber-optic remoting for ultrahigh dynamic range radar,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 2317–2323, Dec. 1998. [5] S. A. Pappert, C. K. Sun, R. J. Orazi, and T. E. Weiner, “Microwave fiber optic links for shipboard antenna applications,” in Proc. Phased Array Syst. Technol., 2000, pp. 345–348. [6] V. J. Urick, J. F. Diehl, C. S. McDermitt, F. Bucholtz, and K. J. Williams, “The performance of unbiased balanced photodiodes for optical calibration of radio-frequency antenna arrays,” presented at the Avion. Fiber-Opt. Photon. Technol. Conf., Denver, CO, 2010, Paper tuE3. [7] M. E. Godinez, C. S. McDermitt, A. S. Hastings, M. G. Parent, and F. Bucholtz, “RF characterization of zero-biased photodiodes,” J. Lightw. Technol., vol. 26, no. 24, pp. 3829–3834, Dec. 2008. [8] C. S. McDermitt, W. M. Dorsey, M. E. Godinez, F. Bucholtz, and M. G. Parent, “Performance of 16-channel, photonic, phased-array antenna calibration system,” Electron. Lett., vol. 45, no. 24, pp. 1249–1250, Nov. 2009. [9] W. M. Dorsey et al., “Design and performance of frequency selective surface with integrated photodiodes for photonic calibration of phased array antennas,” IEEE Trans. Antenna Propag., vol. 58, no. 8, p. 2588, Aug. 2010. [10] J. Geist and H. Baltes, “High accuracy modeling of photodiode quantum efficiency,” Appl. Opt., vol. 28, no. 18, pp. 3929–3939, Sep. 1989. [11] R. R. Hayes and D. L. Persechini, “Nonlinearity of p-i-n photodetectors,” IEEE Photon. Technol. Lett., vol. 5, no. 1, pp. 70–72, Jan. 1993. [12] K. J. Williams, “Nonlinear mechanisms in microwave photodetectors operated with high intrinsic region electric fields,” Appl. Phys. Lett., vol. 65, no. 10, pp. 1219–1221, Sep. 1994. [13] K. J. Williams, R. D. Esman, and M. Dagenais, “Nonlinearities in p-i-n microwave photodetectors,” J. Lightw. Technol., vol. 14, no. 1, pp. 84–96, Jan. 1996. [14] Y. Kuhara, Y. Fujimura, N. Nishsyama, H. Terauchi, and N. Yamabayashi, “Characterization and theoretical analysis of second-order intermodulation distortion of InGaAs/InP p-i-n photodiode modules for fiber-optic CATV,” J. Lightw. Technol., vol. 15, no. 4, pp. 636–641, Apr. 1997. [15] K. J. Williams and R. D. Esman, “Photodiode dc and microwave nonlinearity at high currents due to carrier recombination nonlinearities,” IEEE Photon. Technol. Lett., vol. 10, no. 7, pp. 1015–1017, Jul. 1998. [16] H. Jiang and P. K. L. Yu, “Equivalent circuit analysis of harmonic distortion in photodiodes,” IEEE Photon. Technol, Lett., vol. 10, no. 11, pp. 1608–1610, Nov. 1998. [17] A. Hastings, V. J. Urick, C. Sunderman, J. F. Diehl, J. D. McKinney, D. Tulchinsky, P. S. Devgan, and K. J. Williams, “Suppression of even-order photodiode nonlinearities in multi-octave photonic links,” J. Lightw. Technol., vol. 26, no. 15, pp. 2557–2562, Aug. 2008. [18] D. M. Pozar, Microwave Engineering. Hoboken, NJ: Wiley, Feb. 2004. [19] P. S. Devgan, J. F. Diehl, V. J. Urick, and K. J. Williams, “Transmission of an ultra-low-jitter RF signal with high output power using all-photonic gain and saturated photodetector,” presented at the Opt. Fiber Commun. Conf., Mar. 2009, Paper OTuE4. [20] J. A. MacDonald, M. V. Kuback, and A. Katz, “Wideband dynamic range improvement of microwave photonic links,” presented at the Avion. Fiber-Optics Photon. Conf., Minneapolis, MN, Sep. 2005, Paper ThB3. [21] V. J. Urick et al., “Long-haul analog photonics,” J. Lightw. Technol., vol. 29, no. 8, pp. 1182–1205, Apr. 2011.

200

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

[22] J. E. Roman et al., “Optical fiber cables for synchronous remoting of numerious transmitters/receivers,” IEEE Photon. Technol. Lett., vol. 10, no. 4, pp. 591–593, Apr. 1998.

Christopher S. McDermitt, photograph and biography not available at time of publication.

Frank Bucholtz (M’82–SM’10), photograph and biography not available at time of publication.

John F. Diehl, photograph and biography not available at time of publication.

Preetpaul S. Devgan (S’03–M’06), photograph and biography not available at time of publication.

Vincent J. Urick (S’05–M’05–SM’11), photograph and biography not available at time of publication.

Keith J. Williams (S’86–M’89), photograph and biography not available at time of publication.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 1, JANUARY 2012

201

Letters Comments on “A Compact Dual-Band 90 Coupler With Coupled-Line Sections” Myun-Joo Park I. INTRODUCTION In the above paper [1], a dual-band branch-line coupler is proposed with coupled lines. The proposed coupler has branch length ratio of 2:1 between the horizontal and the vertical branches similar to [2]. In [2], the dual-band branch-line coupler has two modes of operation with the isolation in the horizontal direction or in the vertical direction. Similarly, it can be shown that the coupler proposed in [1] can be operated in two different configurations with the dual-band performance. However, only one of the two possible configurations is investigated in [1]. This communication presents another dual-band solution for the coupler in [1] with the alternative configuration. It will be helpful in extending the dual-band operation capability of the coupler beyond those presented and analyzed in [1].

Fig. 1. Frequency response of the dual-band coupler with the isolation S

0.

II. ALTERNATIVE DUAL-BAND SOLUTIONS In [1], the coupler is analyzed and presented for the dual-band operation with the assumption of S14 = 0 isolation (The structure and port numberings of the coupler follows those of [1] throughout these comments). However, the same coupler can also be used for the dual-band operation based on the alternative configuration with isolation of S12 = 0. This configuration can be analyzed with the even–odd decomposition method similar to that used in [1]. If the input matching S11 = 0, the isolation S12 = 0, and the equal power division jS13 j = jS14 j conditions are imposed on the proposed coupler structure, the following dual-band coupler solution can be obtained:

zo =

tan2 (1 ze2 ) + 1 (ze tan  1) tan  0

0

(1)

Manuscript received October 06, 2011; accepted October 08, 2011. Date of publication December 07, 2011; date of current version December 30, 2011. This work was supported by Kwangwoon University under a 2011 research grant. The author is with the Department of Wireless Communications Engineering, Kwangwoon University, Seoul 139-701, Korea (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2011.2175243

ze tan2  (1 0 zo tan  + ze zo tan2 ) ze zo tan (tan  0 ze ) zo = (zo tan  0 1)ze : ze =

=

(2) (3)

For example, Fig. 1 shows calculated scattering parameters of a coupler with the proposed configuration. In this case, the dual-band operation with 1:2 frequency ratio has been assumed with  = =3 in accordance with [1], and the line parameters are calculated from (1)–(3) as zo = 0:7386, ze = 1:5465, and zo = 0:5560 assuming ze = 1:0120. The dual-band operation is clearly observed with the isolation S12 = 0 instead of S14 = 0 in [1].

REFERENCES [1] L. K. Yeung, “A compact dual-band 90 coupler with coupled-line sections,” IEEE Trans. Microw. Theory Tech, vol. 50, no. 9, pp. 2227–2232, Sep. 2011. [2] M.-J. Park, “Dual-band unequal length branch-line coupler with centertapped stubs,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 10, pp. 617–619, Oct. 2009.

0018-9480/$26.00 © 2011 IEEE

Editor-in-Chief George E. Ponchak, Ph.D, FIEEE IEEE Transactions on Microwave Theory and Techniques Editorial Office c/o Mrs. Kim Tanger, Editorial Assistant Ohio Aerospace Institute 22800 Cedar Point Road Cleveland, Ohio 44142 E-mail: [email protected] or [email protected] Phone: 440-962-3023 Fax: 440-962-3057

Information for Authors The IEEE TRANSACTIONS on MICROWAVE THEORY and TECHNIQUES is published monthly with a focus on that part of engineering and theory associated with microwave/millimeter-wave technology and components, electronic devices, guided wave structures and theory, electromagnetic theory, and Radio Frequency Hybrid and Monolithic Integrated Circuits, including mixed-signal circuits, from a few 100 MHz to THz. I. Paper Submission in Electronic Form Authors need to visit the website http://www.mtt.org/transactions/34-author-information-transactions.html for the author instructions. To reduce time from submission to publication of papers, the editorial office accepts manuscripts only in electronic form as .pdf files and all communications with authors will be via email. The files must not be larger than 1MB and no *.zip files are accepted. Submissions should be submitted through the Manuscript Central site at: http://mc.manuscriptcentral.com/tmtt-ieee and use the templates provided under http://www.ieee.org/publications_standards/publications/authors/authors_journals.html (Template for all Transactions (except IEEE Transactions on Magnetics), two-column template; can also be requested from the editorial office). Figures, graphs and all other necessary information for reviewing the manuscript must be included in this file (as opposed to being attached to it as separate files) and placed at appropriate locations within the text rather than at the end: • • • • • • • • • •

Figures should be large enough to be easily readable on a computer screen and on paper when printed out. A photograph of any component or circuit presented must be included. If, at the decision of the Editor, the component or circuit can be fabricated, measured characteristics must be included. All papers with theoretical contributions must have independent verification with measurement-based validation strongly preferred. Instrument screen captures are not suitable for publication and the data should be replotted. The print version of the paper will be in black and white, but color figures may be used in the electronic version of the paper. Axes should be labeled with large lettering. Whenever possible, theory and corresponding experimental results should be printed on the same graph for easy comparison. Follow the Guidelines for Author-Supplied Electronic Text and Graphics available for download at the above website. The minimum paper length is 4 pages, excluding the authors’ photos and biographies. Short papers of three pages or less should be sent to the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. The font size is specified in the templates. TeX and LaTeX users must use scalable fonts rather than bitmapped fonts to allow easy reading of .pdf files on the computer screen. Note: Manuscripts that are related to material submitted to or published at conferences are considered only if the content is significantly updated or contains material of substantially complementary nature. Authors must reference all of their previous papers that are similar. Please attach .pdf files of previous papers and clearly state (on a separate page) the difference with respect to the current submission. Failure to disclose prior papers by the authors that are similar will be rejected. II. Final Submission Format After a manuscript has been accepted for publication, the author will be requested to provide an electronic copy of the final version of the manuscript in pdf format; Microsoft Word is the preferred format for this final submission, although TEX and LATEX formats are also acceptable. Note: Although we require a .pdf file of the manuscript for the review process, this format is not acceptable (neither is .ps) for the final submission. Some additional guidelines must, however, be followed for the submission of the final manuscript in electronic form: • Include all macros (/def) that are required to produce your manuscript (TEX and LATEX). • IEEE Transaction/Journal style dictates a 21-pica (3.5 inch) column width. If mathematical expressions are produced with this in mind, they are more aesthetically pleasing in the final version. • Figures and tables must be submitted as separate files in .ps, .eps, .doc or .tiff format III. Page Charge Papers will be reviewed for their technical merit, and decisions to publish will be made independently of an author’s ability to pay page charges. Page charges of $110 (U.S.) per printed page will be requested on papers of six printed pages or less. Overlength page charges of $200 per page are mandatory for each page in excess of six pages. If the author’s organization agrees to honor the total page charge, which includes the page charges on the first six pages plus the mandatory overlength charge, the author will receive 100 reprints. If the supporting organization honors only the mandatory charge, no free reprints will be sent.

Digital Object Identifier 10.1109/TMTT.2011.2181009

Digital Object Identifier 10.1109/TMTT.2011.2181033

EDITORIAL BOARD Editor-in-Chief: GEORGE E. PONCHAK Associate Editors: H. ZIRATH, W. VAN MOER, J.-S. RIEH, Q. XUE, L. ZHU, K. J. CHEN, M. YU, C.-W. TANG, J. PAPAPOLYMEROU, N. S. BARKER, C. D. SARRIS, C. FUMEAUX, D. HEO, B. BAKKALOGLU The following members reviewed papers during 2011

P. Aaen A. Abbaspour-Tamijani A. Abbosh D. Abbott A. Abdipour M. Abe M. Abegaonkar R. Abhari A. Abramowicz M. Acar L. Accatino R. Achar E. Ackerman J. Adam K. Agawa M. Ahmad H.-R. Ahn B. Ai M. Aikawa J. Aikio C. Aitchison M. Akaike T. Akin S. Aksoy I. Aksun A. Akyurtlu G. Ala L. Albasha A. Alexanian W. Ali-Ahmad F. Alimenti R. Allam K. Allen A. Alphones A. Alu A. Álvarez-Melcon A. Al-Zayed S. Amari H. Amasuga R. Amaya H. An D. Anagnostou M. Andersen K. Andersson M. Ando Y. Ando P. Andreani M. Andrés W. Andress K. Ang C. Angell I. Angelov Y. Antar G. Antonini H. Aoki V. Aparin F. Apollonio R. Araneo J. Archer F. Ares F. Ariaei T. Arima M. Armendariz L. Arnaut F. Arndt E. Artal H. Arthaber F. Aryanfar U. Arz M. Asai Y. Asano A. Asensio-Lopez K. Ashby H. Ashoka A. Atalar A. Atia S. Auster I. Awai A. Aydiner M. Ayza K. Azadet R. Azaro A. Babakhani P. Baccarelli M. Baginski I. Bahl S. Bajpai J. Baker-Jarvis B. Bakkaloglu M. Bakr A. Baladin C. Balanis S. Balasubramaniam J. Balbastre J. Ball P. Balsara Q. Balzano A. Banai S. Banba R. Bansal D. Barataud A. Barbosa F. Bardati I. Bardi J. Bardin A. Barel S. Barker F. Barnes J. Barr G. Bartolucci R. Bashirullan S. Bastioli A. Basu B. Bates R. Baxley Y. Bayram J.-B. Bégueret N. Behdad F. Belgacem H. Bell D. Belot J. Benedikt T. Berceli C. Berland M. Berroth G. Bertin E. Bertran A. Bessemoulin M. Beurden A. Bevilacqua A. Beyer M. Bialkowski

E. Biebl P. Bienstman S. Bila D. Blackham R. Blaikie M. Blank P. Blockley P. Blondy P. Blount D. Boccoli G. Boeck L. Boglione R. Boix G. Bonaguide F. Bonani G. Bonmassar O. Boos B. Borges V. Boria-Esbert O. Boric-Lubecke A. Borji S. Borm J. Bornemann W. Bosch R. Bosisio H. Boss G. Botta N. Boulejfen S. Boumaiza J. Bouny C. Boyd C. Bozler M. Bozzi R. Bradley D. Braess N. Braithwaite M. Brandolini G. Branner T. Brazil J. Breitbarth M. Bressan K. Breuer B. Bridges D. Bridges J. Brinkhoff E. Brown S. Brozovich E. Bryerton D. Budimir G. Burdge P. Burghignoli N. Buris C. C. Galup-Montoro B. Cabon P. Cabral L. Cabria C. Caloz C. Camacho-Peñalosa V. Camarchia E. Camargo R. Cameron M. Camiade C. Campbell M. Campovecchio F. Canavero A. Cangellaris A. Cantoni C. Cao F. Capolino F. Cappelluti G. Carchon J. Carmo K. Carr F. Carrez R. Carrillo-Ramirez P. Carro R. Carter N. Carvalho P. Casas R. Castello J. Catala M. Cavagnaro R. Caverly D. Cavigia J. Cazaux M. Celuch Z. Cendes D. Chadha M. Chae S. Chakraborty C. Chan C. Chang H. Chang K. Chang S. Chang T. Chang W. Chang E. Channabasappa H. Chapell W. Chappell C. Charles M. Chatras I. Chatterjee G. Chattopadhyay S. Chaudhuri S. Chebolu A. Cheldavi A. Chen C. Chen H. Chen J. Chen K. Chen M. Chen N. Chen S. Chen Y. Chen Z. Chen Z.-N. Chen H. Cheng K. Cheng M. Cheng Y. Cheng C. Cheon C. Chi M. Chia Y. Chiang J. Chiao A. Chin K. Chin H. Chiou Y. Chiou C. Chiu

H. Chiu A. Chizh C. Cho K. Cho T. Cho A. Choffrut C. Choi J. Choi W. Choi C. Chong M. Chongcheawchamnan C. Chou D. Choudhury E. Chow Y. Chow C. Christodoulou C. Christopoulos Q. Chu T. Chu H. Chuang M. Chuang Y. Chun S. Chung Y. Chung D. Chye A. Cidronali T. Cisco C. Cismaru O. Civi S. Clavijo M. Clénet D. Cogan P. Colantonio M. Cole J. Coleman J. Collantes R. Collin C. Collins B. Colpitts R. Compton G. Conciauro M. Condon D. Consonni A. Constanzo M. Converse K. Cools F. Cooray I. Corbella A. Costanzo S. Cotton C. Courtney G. Coutts J. Cowles J. Craninckx C. Crespo-Cadenas J. Cressler S. Cripps T. Crowe J. Cruz T. Cui E. Cullens T. Cunha W. Curtice J. Dabrowski W. Dai G. Dambrine P. Dankov F. Danneville I. Darwazeh A. Darwish N. Das M. Davidovich L. Davis D. Dawn J. Dawson H. Dayal F. De Flaviis D. De Zutter B. Deal A. Dearn J. Deen M. Dehan C. Dehollain C. Deibele G. Dejean M. DeLisio N. Deltimple S. Demir V. Demir J. Deng A. Dengi T. Denidni W. DeRaedt H. Deshpande Y. Deval R. Dey T. Dhaene L. Diaz A. Diaz-Morcillo L. Ding M. Dionigi C. Diskus A. Djordjevi T. Djordjevic J. Dobrowolski H. Dogan S. Donati X. Dong A. Dounavis P. Draxler R. Drayton A. Dreher J. Drewniak J. Duchamp A. Duffy L. Dunleavy J. Dunsmore S. Durden L. Dussopt C. Duvanaud J. East J. Ebel K. Eccleston I. Ederra R. Egri I. Ehrenberg N. Ehsan T. Eibert H. Eisele W. Eisenstadt G. Eleftheriades

F. Ellinger G. Ellis T. Ellis M. El-Nozahi M. Elsbury S. Elschner M. El-Shenawee T. Enoki K. Entesari L. Epp I. Erdin O. Ergul T. Eriksson C. Ernst D. Erricolo I. Eshrah M. Essaaidi H. Esteban C. Eswarappa W. Eyssa A. Ezzeddine C. Fager M. Fahmi Y. Fan D. Fang M. Farina A. Fathy M. Faulkner P. Fay A. Fazzi E. Fear P. Fedorenko D. Feld Y. Feng A. Feresidis A. Fernandez T. Fernandez M. Fernández-Barciela M. Ferndahl F. Fernez P. Ferrari E. Ferre-Pikal A. Ferrero M. Ferriss H. Fetterman J. Fiedziuszko S. Fiedziuszko G. Fikioris J. Fikioris I. Filanovsky F. Filicori D. Filipovic R. Fletcher B. Floyd H. Foltz N. Fong B. Fornberg F. Fortes K. Foster P. Foster P. Franzon A. Frappe J. Freire M. Freire A. Freundorfer F. Frezza I. Frigyes R. Frye J. Fu O. Fu R. Fujimoto O. Fujiwara C. Fumeaux C. Furse V. Fusco D. Gabbay E. Gad M. Gadringer N. Gagnon J. Gajadharsing A. Gala C. Galbraith B. Galwas J. Gambini A. Gameiro O. Gandhi B. Gao J. Gao S. Gao C. Gaquiere H. Garbe J. Garcia M. Garcia P. Garcia-Ducar F. Garcia-Vidal K. Gard P. Gardner P. Garland P. Gaudo J. Gautier S. Gedney B. Geelen F. Gekat B. Geller R. Genov A. Georgiadis N. Georgieva J. Gerdes W. Gerhard S. Gevorgian H. Ghali M. Ghanevati F. Ghannouchi K. Gharaibeh R. Gharpurey G. Ghione M. Ghovanloo F. Giannini A. Gibson I. Gil P. Gilabert B. Gimeno D. Ginste A. Goacher E. Godshalk A. Goel C. Goldsmith M. Golio M. Golosovsky R. Gómez-García A. Goncharenko X. Gong

R. Gonzalo S. Goodnick S. Gopalsami A. Gopinath A. Görür K. Gosalia M. Gouker K. Goverdhanam W. Grabherr J. Graffeuil L. Gragnani J. Grahn J. Grajal V. Granatstein A. Grbic A. Grebennikov I. Gresham A. Griol D. Grischowsky S. Grivet-Talocia E. Grossman S. Gruszczynski T. Grzegorczyk S. Guenneau T. Guerrero S. Gunnarsson J. Guo Y. Guo C. Gupta M. Gupta R. Gupta R. Gutmann W. Gwarek R. Habash S. Hadjiloucas D. Haemmerich M. Hagmann S. Hagness A. Halappa P. Hale D. Ham E. Hamidi O. Hammi H. Han T. Hancock A. Hanke G. Hanson Y. Hao Z. Hao R. Harjani L. Harle H. Harris P. Harrison O. Hartin J. Hasch H. Hashemi K. Hashimoto J. Haslett G. Hau S. Hauptmann L. Hayden L. He Y. He R. Heath E. Hegazi G. Hegazi S. Heinen W. Heinrich G. Heiter M. Hella R. Henderson F. Henkel B. Henning D. Heo K. Herrick F. Herzel J. Hesler J. Hesthaven K. Hettak H. Heuermann P. Heydari A. Hietala A. Higgins A. Hirata J. Hirokawa M. Ho K. Hoffmann R. Hoffmann E. Holzman V. Hombach J. Hong S. Hong W. Hong K. Honjo G. Hopkins Y. Horii J. Horng T.-S. Horng J. Horton K. Hosoya M. Hotta J. Hoversten J. Howard M. Høyerby H. Hsieh L. Hsieh C. Hsu H. Hsu J. Hsu C. Hsue R. Hu C. Huang F. Huang H. Huang P. Huang T. Huang J. Hubert W. Huei A. Hülsmann A. Hung C. Hung J. Hung I. Hunter I. Huynen H. Hwang J. Hwang K. Hwang R. Hwang G. Iannaccone K. Ikossi M. Isaksson T. Ishizaki

Digital Object Identifier 10.1109/TMTT.2011.2181010

S. Islam M. Ito K. Itoh T. Itoh Y. Itoh A. Ittipiboon F. Ivanek D. Iverson M. Iwamoto D. Jablonski D. Jachowski C. Jackson D. Jackson R. Jackson A. Jacob K. Jacobs S. Jacobsen D. Jaeger J. Jaeger S. Jagannathan N. Jain G. James M. Janezic S. Jang M. Jankovic D. Jansen L. Jansson H. Jantunen H. Jardon-Aguilar J. Jargon N. Jarosik B. Jarry P. Jarry A. Jastrzebski B. Jemison W. Jemison S. Jeng A. Jenkins S. Jeon D. Jeong J. Jeong Y. Jeong A. Jerng T. Jerse T. Jiang X. Jiang G. Jianjun D. Jiao J. Jin J. M. Jin J. Joe T. Johnson B. Jokanovic U. Jordan K. Joshin J. Joubert S. Jung T. Kaho S. Kanamaluru K. Kanaya S. Kang P. Kangaslahti B. Kapilevich I. Karanasiou M. Karim T. Kataoka A. Katz R. Kaul R. Kaunisto T. Kawai S. Kawasaki M. Kazimierczuk L. Kempel P. Kenington P. Kennedy A. Kerr D. Kettle A. Khalil W. Khalil S. Khang A. Khanifar A. Khanna R. Khazaka J. Khoja S. Kiaei J. Kiang B. Kim C. Kim D. Kim H. Kim I. Kim J. Kim S. Kim T. Kim W. Kim N. Kinayman R. King N. Kinzie S. Kirchoefer A. Kirilenko M. Kishihara T. Kitazawa J. Kitchen T. Klapwijk E. Klumperink D. Klymyshyn L. Knockaert R. Knoechel M. Koch K. Koh N. Kolias J. Komiak A. Komijani G. Kompa A. Konanur A. Konczykowska H. Kondoh B. Kopp B. Kormanyos J. Korvink P. Kosmas Y. Kotsuka S. Koziel A. Kozyrev V. Krishnamurthy H. Krishnaswamy C. Krowne J. Krupka D. Kryger H. Ku H. Kubo A. Kucar

A. Kucharski C. Kudsia A. Kudymov D. Kuester B. Kuhn W. Kuhn T. Kuki A. Kumar J. Kuno C. Kuo J.-T. Kuo H. Kurebayashi F. Kuroki L. Kushner S. Kusunoki D. Kuylenstierna Y. Kwon G. Kyriacou A. Lacaita J. Lamb P. Lampariello U. Langmann T. Larsen L. Larson J. Laskar C. Lau K. Lau A. Lauer D. Lautru P. Lavrador A. Lavrinenko A. Lazaro G. Lazzi R. Lech B. Lee C.-H. Lee C. Lee H. Lee J. Lee J.-H. Lee K. Lee R. Lee S. Lee T. Lee Y. Lee D. Leenaerts Z. Lei G. Leizerovich K. Leong Y. Leong R. Leoni C. Ler G. Leuzzi B. Levitas R. Levy C. Li L. Li M. Li X. Li L. Lianming C. Liao S. Liao D. Lie E. Lima E. Limiti F. Lin J. Lin K. Lin T. Lin Y. Lin S. Lindenmeier A. Lindner F. Ling D. Linkhart P. Linnér D. Linten D. Linton D. Lippens F. Little V. Litvinov C. Liu H. Liu J. Liu K. Liu Q. Liu S. Liu Y. Liu Z. Liu A. Llewandowski O. Llopis I. Lo L. Locht A. Loke K. Lonngren T. Lopetegi N. Lopez U. Lott G. Lovat D. Lovelace Z. Low C. Lu L. Lu S. Lu Y. Lu V. Lubecke S. Lucyszyn D. Ludwig N. Luhmann M. Lui J. Luy G. Lyons A. M. Niknejad K. Ma Z. Ma S. Maas P. Maccarini G. Macchiarella J. Machac B. Machiels M. Madihian A. Madjar G. Magerl S. Magierowski R. Mahmoudi I. Maio F. Maiwald A. Majedi H. Majedi M. Majewski M. Makimoto R. Makinen D. Malocha J. Manges

R. Mansour D. Manstretta J. Mao S. Mao F. Maradei A. Margomenos D. Markovic E. Márquez-Segura J. Martens F. Martin E. Martini K. Maruhashi J. Marzo D. Masotti A. Massa G. Massa F. Mastri J. Mateu A. Matsushima M. Mattes G. Matthaei K. Mayaram M. Mayer U. Mayer W. Mayer J. Mazeau S. Mazumder A. Mazzanti G. Mazzarella K. McCarthy G. McDonald I. McGregor M. McKinley J. McLean D. McQuiddy A. Mediano F. Medina M. Megahed I. Mehdi K. Mehrany A. Melcon R. Melville F. Mena D. Mencarelli C. Meng R. Menozzi W. Menzel P. Mercier B. Merkl F. Mesa R. Metaxas A. Metzger P. Meyer P. Mezzanotte E. Michielsen A. Mickelson D. Miller P. Millot J. Mingo F. Miranda D. Mirshekar A. Mirzaei S. Mitilineos R. Miyamoto K. Mizuno J. Modelski W. Moer M. Moghaddam A. Mohammadi S. Mohammadi A. Mohammadian P. Mohseni E. Moldovan M. Mollazadeh M. Mongiardo P. Monteiro J. Montejo-Garai G. Montoro J. Monzó-Cabrera J. Morente T. Morf D. Morgan M. Morgan A. Morini A. Morris J. Morsey A. Mortazawi M. Moussa M. Mrozowski Q. Mu J.-E. Mueller J. Muldavin K. Murata S.-S. Myoung M. Myslinski B. Nabet V. Nair K. Naishadham Y. Nakasha M. Nakatsugawa M. Nakhla J.-C. Nallatamby I. Nam S. Nam J. Nanzer T. Narhi A. Nashashibi A. Natarajan J. Nath A. Navarrini J. Navarro J. Nebus R. Negra J. Neilson B. Nelson P. Nepa A. Neri H. Newman G. Ng D. Ngo E. Ngoya C. Nguyen E. Nicol A. Nicolet S. Nicolson E. Niehenke M. Nielsen K. Nikita P. Nikitin N. Nikolova M. Nisenoff K. Nishikawa T. Nishino

G. Niu B. Noori C. Nordquist B. Notaros K. Noujeim D. Novak I. Novak G. Nusinovich K. O I. Obeid J. Obregon R. O’Dea M. O’Droma M. Odyniec J.-E. Oh T. Ohira E. Öjefors H. Okazaki V. Okhmatovski A. Oki M. Okumura G. Olbrich S. Olson F. Olyslager A. Omar K. Onodera B.-L. Ooi S. Ootaka H. Oraizi G. Orengo A. Orlandi R. Orta J. Ortega-Gonzalez S. Ortiz S. Otaka B. Otis K. Ozdemir T. Ozdemir O. Ozlem P. Paco R. Paknys S. Pal Y. Palaskas D. Palmer S. Pamarti G.-W. Pan S.-K. Pan A. Panariello K. Pance J. Papapolymerou S. Parisi C.-S. Park E. Park J.-S. Park M.-J. Park S. Park W. Park A. Parker T. Parker D. Pasquet M. Pastorino H. Pau S. Paulotto A. Pavio D. Pavlidis W. Pearson J.-C. Pedro S. Peik S. Pellerano G. Pelosi M. Pelosi D. Pelz R. Pengelly J. Pereda F. Pereira A. Perennec B. Perlman D. Peroulis L. Perregrini K. Per-Simon M. Persson M. Petelin A. Peterson A. Petosa O. Peverini U. Pfeiffer A.-V. Pham J. Phillips H. Pickett M. Pieraccini L. Pierantoni B. Pillans S. Pinel Z. Ping M. Pirola S. Pisa G. Pisano D. Pissoort D. Plant C. Plett J. Plumridge C. Pobanz A. Poddar F. Podevin R. Pogorzelski G. Ponchak A. Poon D. Popovic Z. Popovic J. Portilla M. Pospieszalski A. Pothier K. Pourvoyeur J. Powell H. Powen R. Prabhu L. Pradell S. Prasad D. Prather A. Priou S. Pruvost Y. Qian R. Qiang J. Qiu T. Quach X. Quan R. Quay C. Queck C. Quendo R. Quéré F. Quesada F. Raab V. Radisic

M. Raffetto A. Raffo T. Rahkonen R. Raich A. Raisanen O. Ramahi M. Ramdani R. Ranson P. Rantakari L. Ranzani P. Ratajczak H. Rategh C. Rauscher J. Rautio T. Rautio B. Rawat J. Rayas-Sanchez G. Rebeiz J. Rebollar M. Reddy J. Reid R. Reid J. Reina-Tosina S. Reising B. Rembold K. Remley R. Renaut S. Rengarajan D. Resca P. Reynaert S. Reynolds A. Rezazadeh E. Rezek S. Ricci A. Riddle L. Rienzo D. Ritter E. Rius J. Rizk V. Rizzoli M. Roberg I. Robertson P. Roblin A. Roden C. Rodenbeck W. Rodriguez F. Rodriguez-Morales M. Rodwell A. Rofougaran R. Rogers H. Rogier U. Rohde V. Rokhlin Y. Rolain J.-M. Rollin R. Romanofsky S. Romisch G. Romo Y. Rong D. Rönnow D. Root N. Rorsman M. Rosario L. Roselli A. Rosen U. Rosenberg M. Rosker T. Roste F. Rotella E. Rothwell R. Rotman P. Rovati J. Roy L. Roy M. Roy T. Rozzi T. Rubaek J. Rubio D. Rudolph M. Rudolph A. Ruehli C. Ruppel A. Rydberg J. Ryynänen C. Saavedra F. Sabath K. Sachse B. Sadler N. Safari A. Safarian A. Safavi-Naeini A. Safwat P. Saha K. Saito I. Sakagami S. Sakhnenko T. Samaras J. Sambles C. Samori A. Sanada J. Sanchez S. Sancho K. Sano A. Santarelli H. Santos S. Sanyal K. Sarabandi T. Sarkar C. Sarris H. Sato P. Saunier M. Sawan H. Sayadian A. Sayeed W. Scanlon E. Schamiloglu J. Schellenberg M. Schindler E. Schlecht E. Schmidhammer L.-P. Schmidt S. Schmidt D. Schmitt F.-J. Schmueckle J. Schoebel D. Schreurs D. Schrijver A. Schuchinsky P. Schuh L. Schulwitz K. Schünemann J. Schutt-Aine

J. Scott F. Sechi K. Sellal V. Semenov E. Semouchkina K.-S. Seo J. Sercu A. Serebryannikov J. Sevic O. Sevimli F. Seyfert L. Shafai A. Shameli O. Shanaa Z. Shao I. Shapir A. Sharma S. Sharma J. Sharp D. Sheen T. Shen Z. Shen Y. Shestopalov J. Shi Y.-Q. Shi H. Shigematsu Y. Shih H. Shin S. Shin S.-H. Shin N. Shino W. Shiroma S. Shitov K. Shu D. Shyroki D. Sievenpiper C. Silva D. Silveira M. Silveirinha K. Silvonen W. Simbuerger G. Simin R. Simons C. Simovsky J. Simpson V. Simulik D. Simunic H. Singh D. Sinnott Z. Sipus C. Siviero H. Sjöland M. Slazar-Palma R. Sloan P. Smith C. Snowden R. V. Snyder M. Sobhy A. Sodagar N. Sokal K. Solbach J. Sombrin Y.-K. Song R. Sorrentino A. Soury E. Sovero J. Sowers R. Sperlich B. Spielman K. Stadius P. Staecker D. Staiculescu D. Stancil A. Stancu A. Stanitzki S. Stapleton J. Staudinger P. Stauffer B. Stec D. Steenson P. Steenson M. Steer G. Stegmayer J. Stenarson B. Stengel K. Stephan C. Stevens N. Stevens M. Steyaert J. Stiens I. Stievano S. Stitzer M. Straayer B. Strassner A. Street W. Struble M. Stubbs M. Stuchly B. Stupfel A. Suárez G. Subramanyam T. Sudo N. Suematsu T. Suetsugu C. Sullivan F. Sullivan A. Sulyman N. Sun S. Sun X. Sun R. Sutton K. Suzuki J. Svacina M. Swaminathan D. Swanson B. Szendrenyi W. Tabbara A. Taflove Y. Tajima T. Takagi M. Takahashi I. Takenaka T. Takenaka V. Talanov S. Talisa K.-W. Tam B. Tan E. Tan J. Tan T. Tanaka C.-W. Tang W.-C. Tang

X.-H. Tang T. Taris R. Tascone P. Tasker J. Taub J. Tauritz V. Tavares S. Taylor D. Teeter R. Temkin M. Tentzeris V. Teppati J.-P. Teyssier N. Thakor H. Thal J. Tham M. Thumm M. Tiebout E. Tiiliharju M.-R. Tofighi P. Tognolatti T. Toifl T. Tokumitsu A. Tombak A. Topa E. Topsakal H. Torres-Silva G. Town S. Tretyakov R. Trew P. Troyk C. Trueman A. Truitt C.-M. Tsai Z.-M. Tsai J. Tsalamengas C.-H. Tseng T. Tsiboukis J. Tsui M. Tsutsumi S. H.-L. Tu W.-H. Tu N. Tufillaro V. Turin G. Twomey C.-K. Tzuang T. Ueda V. Urick K. U-Yen N. Uzunoglu T. Vähä-Heikkilä R. Vahldieck A. Valdovinos G. Vandenbosch K. Vanhille D. Vanhoenacker-Janvier G. Vannini L. Vardapetyan G. Vasilescu C. Vaucher J. Vaz L. Vegni G. Vendelin S. Verdeyme M. Vérez A. Verma J. Verspecht P. Vial H.-O. Vickes A. Victor L. Vietzorreck C. Vittoria S. Vitusevich R. Voelker S. Voinigescu J. Volakis A. Vorst M. Vossiek M. Vouvakis B. Vowinkel L. Vreede K. Vryssas C. Wagner B. Waldmann P. Waldow A. Walker P. Wambacq S. Wane B.-Z. Wang C. Wang C.-F. Wang C.-J. Wang E. Wang F. Wang H. Wang J. Wang K.-C. Wang N. Wang X. Wang Y. Wang Y.-H. Wang Z.-G. Wang C. Ward J. Ward W. Wattanapanitch J. Webb D. Webster R. Webster S. Wedge J. Weem X. Wei D. Weide R. Weigel R. Weikle C. Weil T. Weiland D. Weile S. Weinreb M. Weiss S. Weiss T. Weller C. Wen G. Wen S. Wentworth D. Wentzloff R. Wenzel J. Whelehan J. Whitaker J. White J. Wiart M. Wickert

A. Wiesbauer J. Wight D. Willems B. Willemsen D. Williams A. Williamson J. Wilson J. Wiltse T. Winkel K. Wise D. Wisell M. Wolf E. Wollack G. Wollenberg F. Wong K. Wong M. Wong S. Wong K. Woo J. Wood G. Woods D. Woolard C. Wu J.-M. Wu K.-L. Wu K. Wu L. Wu R.-B. Wu T. Wu T.-L. Wu R. Wylde T. Wysocki M. Xia S. Xiang J. Xiao Y. Xiao C. Xie J. Xu S. Xu Q. Xue M. Yagoub T. Yakabe A. Yakovlev K. Yamamoto K. Yamauchi W. Yan C.-L. Yang F. Yang N. Yang X. Yang Y. Yang Z. Yang F. Yanovsky H.-W. Yao J. Yao A. Yarovoy Y. Yashchyshyn K. Yashiro K. Yasumoto J. Yau S. Ye J. Yeh K.-S. Yeo S.-P. Yeo K.-W. Yeom L.-K. Yeung W.-Y. Yin X.-S. Yin S. Yngvesson D. Yongsheng D. Yoo H.-J. Yoo J.-G. Yook E. Yoon J.-B. Yoon R. York S. Yoshikado A. Young B. Young D. Young P. Young W. Young H.-K. Yu M. Yu P. Yu R. Yu W. Yu Y. Yu M. Yuan M. Yuce S.-W. Yun F. Zabini J. Zaeytijd K. Zaki P. Zampardi J. Zapata L. Zappelli C. Zelley P. Zhai C. Zhang F. Zhang G. Zhang H. Zhang J. Zhang N. Zhang Q.-J. Zhang R. Zhang Y. Zhang A.-P. Zhao Y.-J. Zhao Y. Zhao Y. Zheng Q. Zhiguo H. Zhou A. Zhu L. Zhu N.-H. Zhu X. Zhu J. Zhuang H. Zirath