SEPTEMBER 2012 
IEEE MTT-V060-I09 (2012-09) [60, 9 ed.]

Citation preview

SEPTEMBER 2012

VOLUME 60

NUMBER 9

IETMAB

(ISSN 0018-9480)

PAPERS

Theory and Numerical Methods Finite-Element Eigenvalue Analysis of Propagating and Evanescent Modes in 3-D Periodic Structures Using Model-Order Reduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Bostani and J. P. Webb SPICE Lumped Circuit Subcell Model for the Discontinuous Galerkin Finite-Element Time-Domain Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B. Zhao, J. C. Young, and S. D. Gedney MPIE/MoM Acceleration With a General-Purpose Graphics Processing Unit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D. De Donno, A. Esposito, G. Monti, and L. Tarricone An Instrumental Variable Vector-Fitting Approach for Noisy Frequency Responses . . . . . . . . . . . A. Beygi and A. Dounavis Analytical Adjoint Sensitivity Formula for the Scattering Parameters of Metallic Structures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M. S. Dadash, N. K. Nikolova, and J. W. Bandler Numerical Stability and Dispersion Analysis of the Precise-Integration Time-Domain Method in Lossy Media . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . G. Sun, X. Ma, and Z. Bai Full-Wave Analysis of Dielectric-Loaded Cylindrical Waveguides and Cavities Using a New Four-Port Ring Network . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . F. L. Peñaranda-Foix, M. D. Janezic, J. M. Catala-Civera, and A. J. Canos Exact and Closed-Form Cutoff Wavenumbers of Elliptical Dielectric Waveguides . . . G. P. Zouros and J. A. Roumeliotis Passive Components and Circuits Fe-Rich Ferromagnetic Wires for Mechanical-Stress Self-Sensing Materials . . . . . . I. Liberal, I. Ederra, and R. Gonzalo Broadband 90 Differential Phase Shifter Constructed Using a Pair of Multisection Radial Line Stubs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S. H. Yeung, Q. Xue, and K. F. Man A Modified Wilkinson Power Divider With Isolation Bandwidth Improvement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J.-C. Kao, Z.-M. Tsai, K.-Y. Lin, and H. Wang Design of Multiway Power Divider by Using Stepped-Impedance Transformers . . . . . . . . .. . . . . . . . . Y. Xu and R. G. Bosisio A New Balanced-to-Balanced Power Divider/Combiner . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . B. Xia, L.-S. Wu, and J. Mao

2677 2684 2693 2702 2713 2723 2730 2741 2752 2760 2768 2781 2791

(Contents Continued on Back Cover)

(Contents Continued from Front Cover) Miniature Quasi-Lumped-Element Wideband Bandpass Filter at 0.5–2-GHz Band Using Multilayer Liquid Crystal Polymer Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S. Qian and J. Hong Dual-Mode Ring Resonator Bandpass Filter With Asymmetric Inductive Coupling and Its Miniaturization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . T.-W. Lin, J.-T. Kuo, and S.-J. Chung Hybrid and Monolithic RF Integrated Circuits A Highly Reconfigurable Low-Power CMOS Directional Coupler . . . . . . . . . . . . . . . . . . . . J. Sun, C. Li, Y. Geng, and P. Wang A 1.1-V Regulator-Stabilized 21.4-GHz VCO and a 115% Frequency-Range Dynamic Divider for -Band Wireless Communication . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . T. Nakamura, T. Masuda, N. Shiramizu, A. Nakamura, and K. Washio Wideband Inductorless Balun-LNA Employing Feedback for Low-Power Low-Voltage Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. Kim and J. Silva-Martinez A Precise Decibel-Linear Programmable Gain Amplifier Using a Constant Current-Density Function . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S.-Y. Kang, S.-T. Ryu, and C.-S. Park A Highly Linear and Efficient CMOS RF Power Amplifier With a 2-D Circuit Synthesis Technique . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M. Ding, K. G. Gard, and M. B. Steer A Transformer-Less Load-Modulated (TLLM) Architecture for Efficient Wideband Power Amplifiers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M. Akbarpour, M. Helaoui, and F. M. Ghannouchi Mitigation of Bandwidth Limitation in Wireless Doherty Amplifiers With Substantial Bandwidth Enhancement Using Digital Techniques . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . R. Darraji, F. M. Ghannouchi, and M. Helaoui Instrumentation and Measurement Techniques Microwave Chemical Sensing at Room Temperature Using an Overmoded Waveguide Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Y.-T. Huang, K. M. Hotopp, B. C. Dian, and W. J. Chappell Terahertz Micromachined On-Wafer Probes: Repeatability and Reliability . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . L. Chen, C. Zhang, T. J. Reck, A. Arsenovic, M. Bauwens, C. Groppi, A. W. Lichtenberger, R. M. Weikle II, and N. S. Barker RF Applications and Systems Resonant Tunneling Diode Optoelectronic Circuits Applications in Radio-Over-Fiber Networks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . H. I. Cantú, B. Romeira, A. E. Kelly, C. N. Ironside, and J. M. L. Figueiredo Design of Compact and Auto-Compensated Single-Layer Chipless RFID Tag . . . . . . . . . . A. Vena, E. Perret, and S. Tedjini A Novel Reader Architecture Based on UWB Chirp Signal Interrogation for Multiresonator-Based Chipless RFID Tag Reading . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . R. V. Koswatta and N. C. Karmakar

2799 2808 2815 2823 2833 2843 2851 2863 2875

2886 2894

2903 2913 2925

LETTERS

Comments on “Compact Broadband Gysel Power Divider With Arbitrary Power-Dividing Ratio Using Microstrip/Slotline Phase Inverter” . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Z. Sun, L. Zhang, Y. Yan, and H. Yang Authors’ Reply . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . F. Lin, Q.-X. Chu, and S. W. Wong

2934 2935

Information for Authors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

2937

CALLS FOR PAPERS

Special Issue on Biomedical Applications of RF/Microwave Technologies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Special Issue on Phased-Array Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

2938 2939

IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY

The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society upon payment of the annual Society membership fee of $17.00, plus an annual subscription fee of $23.00 per year for electronic media only or $46.00 per year for electronic and print media. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only. ADMINISTRATIVE COMMITTEE N. KOLIAS, President A. ABUNJAILEH S. BARBIN L. BOGLIONE

M. GUPTA President Elect

T. BRAZIL W. CHAPPELL

G. LYONS, Secretary

N. KOLIAS S. KOUL

M. GOUKER K. ITOH

T. LEE M. MADIHIAN

Honorary Life Members

T. ITOH A. A. OLINER

W. CHAPPELL, Treasurer

A. MORTAZAWI V. NAIR

Distinguished Lecturers

P. STAECKER K. TOMIYASU

J-C. CHIAO M. GUPTA

S. KOUL L. PIERANTONI

D. PASQUET G. PONCHAK D. SCHREURS

R. SORRENTINO B. SZENDRENYI R. WEIGEL

D. SCHREURS J. WOOD

K. WU Q. XUE

Past Presidents

R. SNYDER (2011) S. M. EL-GHAZALY (2010) B. PERLMAN (2009)

MTT-S Chapter Chairs Albuquerque: H. J. WAGNON Atlanta: K. NAISHADHAM Austria: A. SPRINGER Baltimore: J. SCHOFIELD Bangalore/India: K. VINOY Beijing: Z. FENG Belarus: A. GUSINSKY Benelux: G. VANDENBOSCH Boston: J. MULDAVIN Bombay/India: M. KADU Brasilia: J. BEZERRA/ M. VINICIUS ALVES NUNES Buenaventura: M. QUDDUS Bulgaria: K. ASPARUHOVA Canada, Atlantic: Z. CHEN Cedar Rapids/Central Iowa: M. ROY Central Indiana: C. FULTON Central & South Italy: L. TARRICONE Central No. Carolina: M. HUGHES Central Texas: J. PRUITT Chengdu: Z. NEI Chicago: D. ERRICOLO Cleveland: M. SCARDELLETTI Columbus: D. PSYCHOUDAKIS Connecticut: C. BLAIR Croatia: D. BONEFACIC Czech/Slovakia: J. DRINOVSKY Dallas: C. SANABRIA Dayton: A. TERZUOLI

Editor-In-Chief GEORGE E. PONCHAK NASA Glenn Research Center Cleveland, OH USA Editorial Assistant KIM TANGER OAI USA

Delhi/India: S. KOUL Delhi/India, Amity Univ: J. P. GODARA Delhi/India, ABES Eng. College: S. MITTAL Delhi/India, IIT Delhi: M. S. PARIHAR Delhi/India, Jamia Millia Islamia: A. KHAN Delhi/India, Rajasthan Tech. Univ.: P. K. SHARMA Delhi/India, Swami Keshvanand Inst.: A. S. POONIA Denver: M. JANEZIC Eastern No. Carolina: T. NICHOLS Egypt: E. HASHEESH Finland: A. LUUKANEN Florida West Coast: J. WANG Foothills: F. FREYNE France: P. EUDELINE Germany: G. BOECK Greece: R. MAKRI Gujarat/India: R. J. SHARMA Harbin: Q. WU Hawaii: R. MIYAMOTO Hong Kong: Q. XUE Houston: S. A. LONG Houston, College Station: G. H. HUFF Hungary: L. NAGY Huntsville: E. GREGORIAN Hyderabad: L. MERUGU India/Calcutta: D. GUHA

India: D. BHATNAGER Indonesia: E. T. RAHARDO Israel: S. AUSTER Japan: K. HONJO Kansai: I. AWAI Kitchener-Waterloo: R. R. MANSOUR Lebanon: E. NASSAR Lithuania: V. URBANAVICIUS Long Island/New York: J. COLOTTI Los Angeles, Coastal: V. RADISIC Los Angeles, Metro/San Fernando: T. CISCO Macau: K. W. TAM Madras/India: S. SALIVAHANAN Malaysia: M. ESA Malaysia, Penang: B. L. LIM Melbourne: R. BOTSFORD Milwaukee: S. G. JOSHI Mohawk Valley: E. P. RATAZZI Monterrey/Mexico: R. M. RODRIGUEZ-DAGNINO Morocco: M. ESSAAIDI Montreal: K. WU Morocco: M. ESSAAIDI Nagoya: T. OHIRA Nanjing: W. XUN Nanjing/Southeast Univ: W. HONG New Hampshire: D. SHERWOOD New Jersey Coast: J. SINSKY New South Wales: K. ESSELLE New Zealand: A. WILLIAMSON North Italy: G. VECCHI North Jersey: K. DIXIT

Northern Australia: J. MAZIERSKA Northern Canada: M. DANESHMAND Northern Nevada: B. S. RAWAT Norway: K. M. GJERTSEN Orange County: H. J. DE LOS SANTOS Oregon: K. MAYS Orlando: S. EBADI Ottawa: Q. YE Philadelphia: Q. VASSEUR Phoenix: S. ROCKWELL Poland: J. PIOTROWSKI Portugal: N. BORGES DE CARVALHO Princeton/Central Jersey: A. KATZ Queensland: A. ROBINSON Rio de Janeiro: J. R. BERGMANN Rochester: G. PETTIS Romania: G. LOJEWSKI Russia, Moscow: V. A. KALOSHIN Russia, Nizhny-Novgorad: G. L. PAKHOMOV Russia, Novosibirsk: A. YAROSLAVTSEV Russia, Saratov/Penza: N. M. RYSKIN Russia, Saint Petersburg: S. P. ZUBKO Russia, Tomsk: R. V. MESCHERIAKOV San Diego: J. TWOMEY Santa Clara Valley/San Francisco: N. SHAMS Seattle: L. CAI Seoul: C. SEO Serbia and Montenegro: B. MILOVANOVIA Shanghai: J. MAO Singapore: M. ONG LING CHUEN South Africa: R. GESCHKE

South Australia: C. FUMEAUX South Brazil: J. R. BERGMANN Southeastern Michigan: T. OZDEMIR Southern Alberta: E. FEAR Spain: J. I. ALONSO Springfield: P. R. SIQUEIRA Sri Lanka: V. R. HERATH Sweden: A. RYDBERG Switzerland: M. MATTES Syracuse: H. P. PARTAL Taegu: Y.-H. JEONG Tainan: T. HORNG Taipei: C.-S. LU Thailand: P. AKKARAEKTHALIN Toronto: G. V. ELEFTHERIADES Tucson: H. XIN Tunisia: A. GHARSALLAH Turkey: B. SAKA Twin Cities: M. J. GAWRONSKI UK/RI: A. REZAZADEH Ukraine, Kiev: Y. PROKOPENKO Ukraine, Rep. of Georgia: G. GHVEDASHVILI Ukraine, Vinnitsya: V. M. DUBOVOY Ukraine, West: I. IVASENKO Uttar Pradesh/India: N. ALAM Utter Pradesh/India: R. C. AGARWAL Vancouver: S. MCCLAIN Venezuela: J. B. PENA Victoria: K. GHORBANI Virginia Mountain: T. A. WINSLOW Washington DC/Northern Virginia: M. NUESLEIN Winnipeg: V. OKHMATOVSKI Xian: X. SHI

Associate Editors HERBERT ZIRATH Chalmers Univ. Technol. Goteborg, Sweden WENDY VAN MOER Vrije Universiteit Brussel Brussels JAE-SUNG RIEH Korea Univ. Seoul, Korea QUAN XUE City Univ. Hong Kong Hong Kong LEI ZHU Nanyang Technol. Univ. Singapore

KEVIN J. CHEN Hong Kong Univ. Sci. Technol. Hong Kong MING YU COM DEV Cambridge, ON, Canada CHIN-WEN TANG Nat. Chung Cheng Univ. Taiwan JOHN PAPAPOLYMEROU Georgia Inst. Technol. Atlanta, GA USA

J. WOOD, Editor-in-Chief, IEEE Microwave Magazine C. TZUANG, Editor-in-Chief, IEEE Microwave and Wireless Component Letters

N. SCOTT BARKER Univ. Virginia Charlottesville, VA USA COSTAS D. SARRIS Univ. Toronto. Toronto, ON, Canada CHRISTOPHE FUMEAUX The Univ. Adelaide Adelaide, South Australia, Australia DEUKHYOUN HEO Washington State Univ. Pullman, WA USA BERTAN BAKKALOGLU Arizona State Univ. Tempe, AZ USA

P. H. SIEGEL, Editor-in-Chief, IEEE Trans. Terahertz Science and Technology T. LEE, Web Master

IEEE Officers MICHAEL R. LIGHTNER, Vice President, Educational Activities DAVID A. HODGES, Vice President, Publication Services and Products HOWARD E. MICHEL, Vice President, Member and Geographic Activities STEVE M. MILLS, President, Standards Association FREDERICK C. MINTZER, Vice President, Technical Activities JAMES M. HOWARD, President, IEEE-USA

GORDON W. DAY, President PETER W. STAECKER, President-Elect CELIA L. DESMOND, Secretary HAROLD L. FLESCHER, Treasurer MOSHE KAM, Past President

PETER N. CLOUT, Director, Division IV—Electromagnetics and Radiation

IEEE Executive Staff DR. E. JAMES PRENDERGAST, Executive Director & Chief Operating Officer THOMAS SIEGERT, Business Administration MATTHEW LOEB, Corporate Activities DOUGLAS GORHAM, Educational Activities EILEEN LACH, General Counsel & Corporate Compliance BETSY DAVIS, SPHR, Human Resources CHRIS BRANTLEY, IEEE-USA

ALEXANDER PASIK, Information Technology PATRICK MAHONEY, Marketing CECELIA JANKOWSKI, Member and Geographic Activities ANTHONY DURNIAK, Publications Activities MARY WARD-CALLAN, Technical Activities

IEEE Periodicals Transactions/Journals Department Staff Director: FRAN ZAPPULLA Editorial Director: DAWN MELLEY Production Director: PETER M. TUOHY Managing Editor: MONA MITTRA Senior Editor: CHRISTINA M. REZES

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, Piscataway, NJ 08854-4141. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $157.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee indicated in the code at the bottom of the first page is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, Piscataway, NJ 08854-4141. Copyright © 2012 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE, 445 Hoes Lane, Piscataway, NJ 08854-4141. GST Registration No. 125634188. CPC Sales Agreement #40013087. Return undeliverable Canada addresses to: Pitney Bowes IMEX, P.O. Box 4332, Stanton Rd., Toronto, ON M5W 3J4, Canada. IEEE prohibits discrimination, harassment and bullying. For more information visit http://www.ieee.org/nondiscrimination. Printed in U.S.A.

Digital Object Identifier 10.1109/TMTT.2012.2214574

Copyright of IEEE Transactions on Microwave Theory & Techniques is the property of IEEE and its content may not be copied or emailed to multiple sites or posted to a listserv without the copyright holder's express written permission. However, users may print, download, or email articles for individual use.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

2677

Finite-Element Eigenvalue Analysis of Propagating and Evanescent Modes in 3-D Periodic Structures Using Model-Order Reduction Ali Bostani, Member, IEEE, and Jon P. Webb, Member, IEEE

Abstract—Eigenvalue analysis of a periodic structure by the finite-element method gives its Floquet propagation constant at a given frequency. Using this method directly to find the dispersion curve is computationally expensive, particularly in 3-D, because a large matrix eigenproblem must be solved at each frequency. The cost can be lowered by applying model-order reduction. A full-size eigenproblem at one frequency provides the information needed to build a much smaller matrix system that is sufficient for finding the dispersion over a frequency range. By controlling the frequency step-size and estimating eigenvalue errors, it is possible to compute dispersion over an arbitrary frequency range in an automatic way at a cost that is much lower than using the direct approach. Results are presented for a number of 3-D structures with rectangular cells: a triply periodic metal cube, three doubly-periodic planar structures, and a singly-periodic iris-loaded waveguide. Comparisons with previously published results demonstrate the accuracy of the method. The computational cost for these cases is at least an order of magnitude lower than the cost of solving the full eigenvalue problem at each frequency. Index Terms—Computational electromagnetics, finite-element methods (FEMs), model-order reduction (MOR), periodic structures.

I. INTRODUCTION

P

ERIODIC structures are widely used in microwave engineering. Though real devices are finite, it is often useful to understand how they would behave if the periodicity extended indefinitely. The principal tool for this understanding is the concept of the Bloch wave [1]: a time–harmonic electromagnetic field such that the field at any point in one cell is times the field at the corresponding point in the preceding cell, where is the cell length and , the complex Floquet propagation constant, does not vary with position. Naturally is a function of the frequency, or, equivalently, the free-space wavenumber . Knowledge of the relationship is the key to understanding the main features of the periodic device, such as its passbands and stopbands, and calculating it is the subject of this paper.

Manuscript received January 12, 2012; revised May 17, 2012; accepted June 04, 2012. Date of publication August 02, 2012; date of current version August 28, 2012. This work was supported by the Natural Sciences and Engineering Research Council (NSERC) of Canada. The authors are with the Department of Electrical and Computer Engineering, McGill University, Montreal, QC, Canada H3A 2A7 (e-mail: ali.bostani@mail. mcgill.ca; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2207912

Many methods have been proposed for solving this problem for particular geometries, but comparatively few that are capable of handling arbitrary geometries. In [2] and [3], an integral equation for printed structures is solved by the method of moments. An integral equation is also solved in [4], this time to find the generalized impedance matrix of an arbitrary waveguide step. The result is used to analyze any singly periodic structure made up of lengths of uniform closed waveguide. The finite-element method (FEM) offers great geometric flexibility wherever it is applied and it has been used to analyze periodic structures for some time. In [5], a 2-D formulation is proposed for calculating at a specified (the fixed- approach). The fixedapproach leads to a straightforward linear eigenproblem for and a number of papers have adopted this approach [6]–[9]. The fixed- approach, while computationally convenient, is not ideal. In reality, we would like to know the values for a specified range of frequencies. In general, has a real part, as is in the stopband well as an imaginary part, either because of the mode of interest, or because the material is lossy. The fixed- approach can only deal with purely imaginary values of , i.e., passband behavior in the absence of loss. In addition, we may want to deal with frequency-dependent materials or boundary conditions. For these reasons, an alternative fixedapproach was later proposed, first in 2-D [10], and then in 3-D [11], [12]. These fixed- formulations lead to a quadratic eigenproblem for , which is more expensive to solve, but it was shown in [13] how a linear eigenproblem of the same size could be obtained. curve for a Even with this improvement, obtaining a 3-D structure is computationally expensive. At each frequency, an FE eigenproblem must be solved, involving matrices, which, though sparse, typically have large dimensions. Fortunately, the problem of obtaining FE eigenvalues over a frequency band has been considered before, in finding the propagation constant, , of the modes of a uniform waveguide. This can be solved by a 2-D FEM involving just the cross section of the waveguide, but at each frequency an algebraic eigenproblem for must be solved. In [14], asymptotic waveform evaluation (AWE) is applied to speed it up. The eigenvector, eigenvalue, and finite-element (FE) matrices are all expanded in Taylor series in . yields a set of equaEquating the coefficients of powers of tions for the eigenvector and its higher derivatives with respect to , only the first of which is an eigenvalue problem. Solving these equations gives a power series for the eigenvalue, which is made accurate over a larger frequency range by converting it to a rational function (the Padé approximant). In [15], it is argued

0018-9480/$31.00 © 2012 IEEE

2678

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

that this approach is not sufficiently wideband, and instead the full eigenproblem is solved for a number of modes at a set of frequencies over the range of interest and all of these eigensolutions are used to define a reduced eigenvalue problem with far fewer unknowns than the original FE problem [so-called modelorder reduction (MOR)]. The reduced eigenvalue problem can be solved at as many intermediate frequency points as necessary, very inexpensively. It was later pointed out that this approach can lead to spurious modes, but a remedy was proposed [16]. Another objection to this approach is that, unlike AWE [14], it does not make enough use of each full eigensolution, which is the expensive part of the algorithm. In [17], a Taylor series is again used, but this time instead of relying on a Padé treatment of the eigenvalue expansion, the higher derivatives of the eigenvector are used to define a reduced eigenvalue problem, in the same way as in [15]. The method has been further extended to handle model parameters other than frequency, e.g., permittivity [18]. MOR was recently applied to the FE eigenanalysis of a 2-D periodic structure [19], but taking the fixed- approach to investigate the passband only. The “swept” parameter is the imaginary part of with as the eigenvalue. It follows the “nonTaylor” approach of [15] and [16]. This paper describes a finite-element model-order reduction (FE-MOR) method for 3-D periodic structures. It adopts the fixed- formulation so it can analyze both passbands and stopbands, and follows the Taylor series approach of [17], which is better able to exploit the cost of the full eigensolutions. Additionally, it provides a means for using multiple Taylor expansion points and thereby extending the range of the frequency sweep indefinitely. There have been previous FE-MOR algorithms, for noneigenvalue problems, that combined Taylor-series expansions at multiple points in an adaptive scheme to cover a given frequency range accurately [20], but in the eigenvalue case, a further complication arises. Efficient solvers for large sparse eigenproblems have a computational cost that is roughly proportional to the number of modes sought. Therefore, an efficient algorithm should compute as few modes as possible. In the following, we assume that the dispersion curve for a single mode is required (it can be rerun to find the curve for each other mode of interest). The sparse eigensolver, then, should ideally compute just this one mode at each frequency, but if it does that, how do we know that we are getting the same mode each time? What is to prevent the mode “switching” to a nearby mode? This is the “tracking” problem. It can be avoided, to some extent, by computing a number of modes at each frequency, which is generally the approach that has been adopted for the waveguide problem, but that increases the computational cost. For the waveguide problem, which is 2-D, the increased cost is not so important, but in 3-D, it may be very significant. We propose an adaptive tracking algorithm that requires the mode of interest and just one “guard” mode to be computed at each expansion point. Some results for a single-point version of the FE-MOR method, without the tracking algorithm, were published in [21]. The next section reviews the fixed- FE formulation being used and the section after that explains how the MOR method is applied at each expansion point. Section IV describes the adap-

Fig. 1. One cell of a periodic structure.

tive tracking algorithm. Results obtained with the new method are given in Section V. II. FE ANALYSIS OF THE FIXED-

PROBLEM

Fig. 1 shows one cell of a structure that repeats infinitely in the -direction with period . The interior of the cell, volume , is the domain of FE analysis and it is filled with arbitrary nonuniform dielectric and magnetic materials. There may also be perfect electric conductor (PEC) bodies within the cell, but these are not part of ; they are separated from by surface . The surface at (marked “slave”) is assumed to match exactly the translation of the surface at (“master”) through distance along the -axis. To find a Bloch wave, we assume that the tangential electric and magnetic fields on the slave surface are times the corresponding fields on the master surface. The boundary value problem to be solved for the phasor electric field, , in at is in

(1a) (1b) (1c)

on or

(1d) on (1e)

In these equations, subscript denotes the tangential part of a vector and and are the relative permittivity and permeability, respectively. Both are complex and tensor, to represent lossy anisotropic materials. The frequency is known and is unknown; (1) is an eigenproblem for and . Initially the periodic conditions (1b) and (1c) are ignored, except that the FE mesh used must be identical on the slave and master surfaces. The FEM is applied to (1) in the standard way, using high-order vector tetrahedral elements [22]. The second, perfect magnetic conductor (PMC) boundary condition in (1e) is a natural boundary condition of the FE formulation and does not need to be enforced. The PEC boundary condition in (1d) and (1e) is enforced by eliminating the unknowns on those surfaces. The remaining unknowns are numbered so that those controlling the tangential electric field on the slave surface come last, immediately preceded by an equal number of those controlling the tangential electric field on the master surface, ordered in the same way. This leads to a block structured global FE matrix (2)

BOSTANI AND WEBB: FE EIGENVALUE ANALYSIS OF PROPAGATING AND EVANESCENT MODES IN 3-D PERIODIC STRUCTURES

where “2” and “3” denote the master and slave unknowns, respectively, and “1” denotes all other unknowns. It remains to impose (1b). As shown in [11], doing this gives a matrix equation that is quadratic in . Assuming that the square blocks and are zero, i.e., that there are no finite elements touching both master and slave surfaces, the matrix equation may be further simplified to the linear eigenproblem [13], where and are the following unsymmetric matrices:

2679

in [17]. Equation (5a) is just the eigenproblem at and , is an eigensolution of that problem. It is solved by the Arnoldi method [23]. Once this is found, (5b) is solved for and the expansion vector . To do this takes a little care. First, notice that, because of (5a), is singular: is its null vector. Equation (5b) is only solvable when the right-hand side lies in the range space of , or, equivalently, when (6) satisfies where pose.” We can find

and denotes “conjugate transby solving another eigenproblem

and

(7) (3)

With (1b) imposed, (1c) is a natural boundary condition. On the “sidewall” , the boundary conditions specified by (1e) are PEC or PMC. Another option is that there may be periodicity also in the - or -directions (or both) and that constraints similar to (1b) and (1c) are required, only with different, and known, values of , e.g., (This is best understood by imagining the cell to be a rectangular brick.) If present, these known periodicities can be handled by explicitly eliminating the slave unknowns. The set of unknowns “1” is then taken to mean the set after the sidewall slave unknowns are eliminated. Yet another option for is some form of absorbing boundary condition (ABC), which would truncate an otherwise unbounded problem. This has not been tried. III. MOR WITH ONE EXPANSION POINT Both matrices in (3) are frequency-dependent; in fact, it is easy to show that each is quadratic in . One way to obtain a smooth curve of versus is to solve repeatedly at a large number of discrete values of . We will call this the direct method. A more efficient way is to use MOR. In this section, we describe how, using MOR, the solution of at a single wavenumber, , can give the dispersion curve over a range of wavenumbers at little additional cost. Each variable in the equation is expanded in a Taylor series in about the expansion point (4) where stands for , , , or ; the Taylor coefficients depend on , but are independent of ; and is . The matrices and can be shown to be quadratic in and so all coefficients and with are zero. When the Taylor series are substituted into , each side becomes an infinite power series in . Matching coefficients of leads to the following equations: (5a) (5b) where calculated from

and and

, are vectors that can be ; explicit expressions are given

This second eigenproblem is also solved by the Arnoldi method, but it is less expensive because the eigenvalue is known and just the eigenvector, , is sought. Once is found, we make sure that the right-hand side of (5b) lies in the range space of by choosing to satisfy (6). It is then possible to solve (5b) for a nonunique vector . Since is singular, direct solution methods will not work. Instead, the conjugate gradient method is applied. Various preconditioners are possible. An excellent preconditioner is obtained from the direct sparse (multifrontal) factorization of , where ; works well in practice. Once expansion vectors have been found from (5b), these and the eigenvector are placed in the columns of an matrix . Application of MATLAB’s algorithm [24] efficiently finds how many of these are linearly independent and produces a new set of orthogonal vectors, where . These are placed in the columns of MOR matrix . At any value of not too far from , the eigenvalue can then be found by solving a much smaller eigenproblem (8) In this equation, and are calculated accurately at the specified . The full-length eigenvector, , is given by . An alternative to (8) would be to construct a Padé expansion from the Taylor series for , and then evaluate at directly from that, as in [14]. This alternative has not been investigated. IV. ADAPTIVE TRACKING OVER A FREQUENCY RANGE Using the MOR method of Section III to generate the dispersion curve of a single mode over a given frequency range requires, in general, multiple expansion points, because a single point is usually not able to provide accurate eigenvalues over the whole range. Therefore, there needs to be some way of estimating the accuracy of the eigenvalue and introducing a new expansion point when it is needed. In addition, as explained in Section I, it is necessary to be able to “track” the mode correctly, i.e., to make sure that the eigenvalues computed correspond to the same mode and that another mode has not been picked up accidentally. Part of this involves an automatic adjustment of the frequency step size. At the top level, the adaptive tracking algorithm is as follows: A. Initialization.

2680

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Repeat: B. Build an MOR system at a new expansion point; C. Find versus using MOR until the error is too big; until the desired frequency range has been covered. A. Initialization The tracking algorithm requires as its starting point an accurate , pair. This can be found by solving the fixed- problem at a passband point, e.g., , for several modes and selecting the of the mode of interest. In addition, the initial step size for is needed. The actual step size used is never greater than this, but it may be automatically reduced, as explained later. B. Build an MOR System at a New Expansion Point For the first expansion point, the method described in Section III is used at the wavenumber determined by the initialization. The Arnoldi method finds the eigenvalue of (5a) that is closest to the used for the initialization. From this, the MOR matrix is constructed. For subsequent expansion points, we use the eigenpair , obtained at the last (i.e., closest) frequency point to locate the correct mode, on the grounds that, for a small change in frequency, the change in the eigenpair is expected to be small. The obvious strategy would be to use the Arnoldi method to find the eigenvalue closest to . However, near to where the dispersion curves of two modes intersect the eigenvalues of both modes may be very close to and Arnoldi may pick up the wrong one. Instead, we use Arnoldi to find the two eigenvalues closest to . We then find the angle, , between and the 2-D space spanned by the eigenvectors found by Arnoldi, say, and (9) where is the orthogonal projection of onto the space spanned by and . If this angle is bigger than a given threshold ( is used), it is assumed that the correct mode has been “lost” somewhere between this expansion point and the previous expansion point. The remedy is to move back halfway toward the previous expansion point, and try again. This is repeated until a new expansion point with a small enough angle is found. Of the two eigenvectors found by Arnoldi, only one is the correct mode and this is selected as follows. First, since we are only interested in physical modes, we reject any eigenvalue that has a magnitude greater than 1 since it represents exponential growth. Also, since modes occur in conjugate pairs, we choose to find just those with eigenvalues in the upper half space of the complex plane (including the real axis). If both eigenvalues pass these tests, we calculate the angle each eigenvector makes with the previous eigenvector, , and select the one with the smaller angle. Starting from this eigenpair as , , the rest of the procedure in Section III is applied to build the MOR matrix . The value is used for all the results obtained in this paper. Since the first column of is just a scaled version of , the reduced eigenvector that corresponds to is just .

Fig. 2. Dispersion curve for a triply periodic array of PEC cubes. Solid line is mm. the new method; circles are from [6].

C. Find

Versus

Using MOR Until the Error Is Too Big

The wavenumber is increased by the current step size and the reduced matrices in (8) are built at that value of . Equation (8) is then solved for all eigenvalues and eigenvectors. To determine which one of these corresponds to the mode of interest, the angle is found between each of them and the reduced eigenvector, , of the last frequency point. As before, if the smallest of these angles is bigger than the threshold, it is assumed that the correct mode has been lost. In that case, the step size is halved, thereby bringing closer to the last frequency point, and (8) is solved again. This continues until the smallest angle meets the threshold. The eigenvector of (8) which makes the smallest angle with becomes the new . In this way, the dispersion curve is built outwards from the last expansion point at very little cost, without losing track of the mode of interest. However, at some point, the eigenvalue obtained from (8) will be inaccurate because is too far from the expansion point . The error in the eigenvalue, relative to the eigenvalue that would be obtained by solving the full-size eigenproblem, is estimated as follows. Suppose the eigenvalue obtained from (8) is with reduced eigenvector . The corresponding full-length eigenvector is . If and were accurate, they would solve exactly. The following two vectors would then be exactly equal:

(10) Notice that

. Our estimate of the error in

is then (11)

Matrices and depend on . The cost of building them at each frequency point and then applying them to is excessive. Fortunately, the vectors and in (10) can be obtained more cheaply from matrices and , which depend on , not , and are constructed once per expansion point (12)

BOSTANI AND WEBB: FE EIGENVALUE ANALYSIS OF PROPAGATING AND EVANESCENT MODES IN 3-D PERIODIC STRUCTURES

Fig. 3. Dispersion curve for a simple mushroom structure. Solid line is the new method; circles are from [26]. Substrate dielectric constant is 2.33.

2681

Fig. 5. Dispersion curve for AS-EBG structure. Solid line is the new method; circles are the HFSS results from [27]. Substrate dielectric constant is 4.0.

Fig. 4. Dispersion curve for LPC-EBG structure. Solid line is the new method; circles are the HFSS results from [27]. Substrate dielectric constant is 4.0.

We then find

Fig. 6. Dispersion curve for a waveguide loaded with capacitive irises. Solid line is the new method; circles are the integral-equation results from [4].

using

(13) . and similarly for When the error in the eigenvalue, as estimated by (11), is greater than a given threshold, a new expansion point is inserted. V. RESULTS The above method has been applied to a number of 3-D structures to assess its accuracy and efficiency. In all cases, elements of order were used [22]. Geometric models and unstructured tetrahedral meshes, respecting the periodic constraints, were built using commercial FE software [25]. The first example is a PEC cube of side placed centrally in a cubic air-filled cell of side [6]. This is a triply periodic structure. On the pair of boundary faces perpendicular to the -axis, the periodic constraint is imposed; similarly on

the pair of faces perpendicular to the -axis. The new method is used to find the dispersion curve for the -direction, and this is shown in Fig. 2 (solid line). In this and subsequent figures, and are the real and imaginary parts of , respectively, and a cross on the frequency axis denotes an expansion point; seven expansion points were needed to obtain the results for this problem. Also shown, as circles, are the results obtained with the fixedmethod and published in [6], though of course only is available. The next three examples are planar structures consisting of a thin metal (PEC) pattern on a dielectric substrate. They are designed for power and ground distribution with noise suppression [26], [27]. Parallel PEC sheets confine the fields in the -direction, but the structures are doubly periodic in the -plane with a unit cell that is a square of side . First is a simple mushroom structure: a metal square connected to one of the PEC sheets by a PEC via through the substrate. Details are in [26]. Fig. 3 gives the dispersion curve in

2682

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

TABLE I PROBLEM SIZES AND TIMINGS

Fig. 7. Eigenvalue error versus frequency for two different values of the eigenvalue error threshold. (a) 10 . (b) 10 .

the -direction when is imposed in the -direction, corresponding to the edge of the irreducible Brillouin zone. Also plotted are passband results obtained with commercial FE software, taken from [26]. Next is the long period coplanar electromagnetic bandgap (LPC-EBG) structure shown inset in Fig. 4. Details are in [27]. In this example, the periodic constraint is imposed in the -direction, corresponding to the edge of the irreducible Brillouin zone. Note that, in [27], only one PEC sheet is present; we add the second sheet, 2 mm away from the first, in order to truncate the otherwise infinite domain. The placement of the second sheet is such that it has negligible effect on the mode of interest. A similar case is the artificial substrate electromagnetic bandgap (AS-EBG) structure, Fig. 5. Cylinders of high or low permittivity alter the effective dielectric constant of the substrate. Again, details are in [27]. The second PEC sheet, absent in [27], is placed 2 mm away from the first. The periodic constraint is imposed in the -direction.

The final example is singly periodic. It is a rectangular waveguide periodically loaded with asymmetrical capacitive irises [4], Fig. 6. In this case, it is possible to compare the results in both passbands and stopbands with those obtained independently, by an integral-equation method [4]. These results demonstrate the ability of the new method to compute eigenvalues accurately through both passbands and stopbands. The results were obtained with a threshold for the eigenvalue error (11) set to 10 . By solving the full eigenvalue problem at each frequency point, it is possible to find the actual eigenvalue error, for which (11) is just an estimate. Fig. 7(a) plots this error for the AS-EBG problem. The sudden decreases to zero error occur at the expansion points. Fig. 7(b) shows a plot for the same problem when the threshold is reduced to 10 . The higher accuracy requires more expansion points. Notice that in both cases the error stays with a factor of 2 of the threshold value used, showing the effectiveness of (11). Table I gives the dimensions and timings for all five test cases. Two sets of times are given for the MOR method, depending on the initial step size for . The results presented above correspond to an initial step size of 0.01 rad/m (the period is between 2.2–15 mm for these test cases). With this value, the algorithm never needs to reduce the step size to meet the angle threshold (Section IV-C). The times are also given for a larger initial step size, 0.1 rad/m. For some of the problems, this just gives ten times fewer frequency points, but in others there are more frequency points than this, indicating that the step size is being adaptively reduced. For both values of the initial step size, the number of expansion points is the same and the plotted dispersion curves are indistinguishable. Notice that there is only a small difference in the computation time because most of the time is taken by the expansion points. Also given in Table I, in the third row, is the time for solving one full-sized eigenproblem, , using the Arnoldi method to find one mode. In the direct method, this has to be

BOSTANI AND WEBB: FE EIGENVALUE ANALYSIS OF PROPAGATING AND EVANESCENT MODES IN 3-D PERIODIC STRUCTURES

done at every frequency point at which the eigenvalue is required. For adequate tracking of the mode, a step size of about 1 rad/m is needed in these examples. It is evident from these numbers that the cost of a direct solution is at least one order of magnitude greater than the cost of the MOR solution. VI. CONCLUSION An FEM has been described that can analyze arbitrarily shaped 3-D structures, and via a sparse linear eigenvalue problem, can determine the complex Floquet propagation constant at a given frequency. Combined with MOR and a mode-tracking algorithm, it very efficiently provides the propagation constant over a frequency band. Although the method can handle structures that have more than one axis of periodicity, along all but one axis the propagation constant must be specified in advance. As a result, it cannot be used to find the propagation constant in an arbitrary direction; it cannot, for example, find the dispersion curve along the hypotenuse of a triangular Brillouin zone. The fixedeigenproblem in such cases appears to be irreducibly nonlinear, though it may still be possible to apply MOR to accelerate the solution. REFERENCES [1] R. E. Collin, Foundations for Microwave Engineering. New York: McGraw-Hill, 1992. [2] P. Baccarelli, S. Paulotto, and C. Di Nallo, “Full-wave analysis of bound and leaky modes propagating along 2-D periodic printed structures with arbitrary metallisation in the unit cell,” IET Microw. Antennas Propag., vol. 1, pp. 217–225, Feb. 2007. [3] S. Paulotto, P. Baccarelli, F. Frezza, and D. R. Jackson, “Full-wave modal dispersion analysis and broadside optimization for a class of microstrip CRLH leaky-wave antennas,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 12, pp. 2826–2837, Dec. 2008. [4] S. Marini, A. Coves, V. E. Boria, and B. Gimeno, “Efficient modal analysis of periodic structures loaded with arbitrarily shaped waveguides,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 3, pp. 529–536, Mar. 2010. [5] R. L. Ferrari, “Finite-element solution of time–harmonic modal fields in periodic structures,” Electron. Lett., vol. 27, pp. 33–34, Jan. 1991. [6] C. Mias, J. P. Webb, and R. L. Ferrari, “Finite element modeling of electromagnetic waves in doubly and triply periodic structures,” Proc. Inst. Elect. Eng.—Optoelectron., vol. 146, pp. 111–118, Apr. 1999. [7] A. Pletzer and G. Shvets, “Simulating photons and plasmons in a threedimensional lattice,” Phys. B, Condens. Matter, vol. 338, pp. 190–195, Oct. 2003. [8] A. Nicolet, S. Guenneau, C. Geuzaine, and F. Zolla, “Modelling of electromagnetic waves in periodic media with finite elements,” J. Comput. Appl. Math., vol. 168, pp. 321–329, Jul. 2004. [9] S. Coco, A. Laudani, G. Pollicino, R. Dionisio, and R. Martorana, “An FE tool for the electromagnetic analysis of slow-wave helicoidal structures in traveling wave tubes,” IEEE Trans. Magn., vol. 43, no. 4, pp. 1793–1796, Apr. 2007. [10] M. Davanco, Y. Urzhumov, and G. Shvets, “The complex Bloch bands of a 2-D plasmonic crystal displaying isotropic negative refraction,” Opt. Exp., vol. 15, pp. 9681–9691, Jul. 2007. [11] A. A. Tavallaee and J. P. Webb, “Finite-element modeling of evanescent modes in the stopband of periodic, structures,” IEEE Trans. Magn., vol. 44, no. ??, pp. 1358–1361, Jun. 2008.

2683

[12] I. Bardi, L. Vardapetyan, and J. Manges, “Characterization of nanophotonic structures using the finite element method,” in 14th Biennial IEEE Electromagn. Field Comput. Conf., May 2010, 1 p. [13] A. Bostani and J. P. Webb, “A sparse finite-element method for modeling evanescent modes in the stopband of periodic structures,” IEEE Trans. Magn., vol. 47, no. 5, pp. 1186–1189, May 2011. [14] S. V. Polstyanko, R. Dyczij-Edlinger, and J. F. Lee, “Fast frequency sweep technique for the efficient analysis of dielectric waveguides,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 7, pp. 1118–1126, Jul. 1997. [15] F. Bertazzi, O. A. Peverini, M. Goano, G. Ghione, R. Orta, and R. Tascone, “A fast reduced-order model for the full-wave FEM analysis of lossy inhomogeneous anisotropic waveguides,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 9, pp. 2108–2114, Sep. 2002. [16] A. Schultschik, O. Farle, and R. Dyczij-Edlinger, “A model order reduction method for the finite-element simulation of inhomogeneous waveguides,” IEEE Trans. Magn., vol. 44, no. 6, pp. 1394–1397, Jun. 2008. [17] S. H. Lee, T. Y. Huang, and R. B. Wu, “Fast waveguide eigenanalysis by wideband finite-element model-order reduction,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 8, pp. 2552–2558, Aug. 2005. [18] M. Ahmadloo and A. Dounavis, “Parameterized model-order reduction for efficient eigenanalysis of dielectric waveguide structures,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 12, pp. 2851–2858, Dec. 2008. [19] C. Scheiber, A. Schultschik, O. Biro, and R. Dyczij-Edlinger, “A model order reduction method for efficient band structure calculations of photonic crystals,” IEEE Trans. Magn., vol. 47, no. 5, pp. 1534–1537, May 2011. [20] R. D. Slone, J. F. Lee, and R. Lee, “Automating multipoint Galerkin AWE for a FEM fast frequency sweep,” IEEE Trans. Magn., vol. 38, no. 3, pp. 637–640, Mar. 2002. [21] A. Bostani and J. P. Webb, “A model-order reduction method for the passband and stopband characteristics of periodic structures,” in Proc. 41st Eur. Microw. Conf., Manchester, U.K., Oct. 10–13, 2011, pp. 167–170. [22] J. P. Webb, “Hierarchal vector basis functions of arbitrary order for triangular and tetrahedral finite elements,” IEEE Trans. Antennas Propag, vol. 47, no. 8, pp. 1244–1253, Aug. 1999. [23] R. B. Lehoucq and D. C. Sorensen, “Deflation techniques for an implicitly re-started Arnoldi iteration,” SIAM J. Matrix Anal. Appl., vol. 17, pp. 789–821, 1996. [24] MATLAB. ver. 7.8.0 (R2009a), The Mathworks Corporation, Natick, MA, 2009. [25] ElecNet. ver. 7.1.2, Infolytica Corporation, Montreal, Canada, 2010. [Online]. Available: http://www.infolytica.com/ [26] A. Tavallaee and R. Abhari, “2-D characterisation of electromagnetic bandgap structures employed in power distribution networks,” IET Microw. Antennas Propag., vol. 1, pp. 204–211, Feb. 2007. [27] T.-K. Wang, T.-W. Han, and T.-L. Wu, “A novel power/ground layer using artificial substrate EBG for simultaneously switching noise suppression,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 5, pp. 1164–1171, May 2008. Ali Bostani (M’08) is currently working toward the Ph.D. degree at McGill University, Montreal, QC, Canada. His area of research is design and analysis of passive microwave components, especially periodic structures. He is currently involved with the development of a FE solver for high-frequency simulation and design.

Jon P. Webb (M’83) received the Ph.D. degree from Cambridge University, Cambridge, U.K., in 1981. Since 1982, he has been a Professor with the Department of Electrical and Computer Engineering, McGill University, Montreal, QC, Canada. His area of research is computer methods in electromagnetics, especially the application of the FEM.

2684

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

SPICE Lumped Circuit Subcell Model for the Discontinuous Galerkin Finite-Element Time-Domain Method Bo Zhao, Member, IEEE, John C. Young, Senior Member, IEEE, and Stephen D. Gedney, Fellow, IEEE

Abstract—A SPICE lumped circuit subcell model is formulated within the discontinuous Galerkin finite-element time-domain (DGFETD) discretization of Maxwell’s equations. A fourth-order exponential time difference (ETD) algorithm is used for circuits that lead to stiff systems. The ETD method reduces to a standard fourth-order Runge–Kutta (RK4) time-integration for nonstiff regions. A number of test cases, including a microstrip transmission line terminated with general RLC networks, load arrays, and a diode detector are presented for the validation of the proposed hybrid DGFETD/SPICE solution method. Index Terms—Circuit model, discontinuous Galerkin time-domain (DGTD), finite element, SPICE.

I. INTRODUCTION

O

VER the past several decades, circuit simulation tools such as SPICE [1] have had a significant impact on circuit design methodology. Such tools have been widely applied in both industry and academic communities, and numerous efforts have been made to improve SPICE’s performance. Equivalent circuit modeling has also received great interest, and significant work has been devoted to formulate compact, yet complete, models of both passive and active devices of various kinds. When analyzing modern microwave systems, which are often multifunctional, have high operating frequencies, have large integration scales, and contain complex materials, equivalent circuit models do not provide sufficient accuracy for engineering design. Rather, it becomes necessary to simulate the device with a full-wave electromagnetic (EM) solution method. This is especially true when dispersive, anisotropic, inhomogeneous, or engineered materials are used in the circuit design. Full-wave EM solutions also inherently include EM radiation, crosstalk, coupling, edge effects, and structural resonances. Consequently, EM simulation should more closely match the performance of the physical device. A challenge arises when using full-wave modeling of high-frequency systems that contain passive or active circuit devices. It becomes necessary to either directly model the Manuscript received September 16, 2011; revised May 16, 2012; accepted June 04, 2012. Date of publication July 24, 2012; date of current version August 28, 2012. This work was supported in part by the U.S. Air Force Office of Scientific Research under Prime Contract FA9550-10-C-0121. The authors are with the Department of Electrical and Computer Engineering, University of Kentucky, Lexington, KY 40506 USA (e-mail: bzhao0@engr. uky.edu; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2203923

physical circuit within the EM simulation or accurately couple the EM simulation engine to a circuit simulator. An early application of coupling a circuit model within a spectral-domain integral equation solver was presented by Horng [2]. The finite-element method (FEM) [3] has also been coupled to microwave circuits with both linear and nonlinear devices [4]–[6]. An alternative to frequency-domain circuit modeling is to extend the widely used time-domain EM solvers to include lumped circuit elements. Transient approaches, such as finite-difference time-domain (FDTD) [7], finite-element time-domain (FETD) [3], or discontinuous Galerkin time-domain (DGTD) [8], [9] have inherent advantages, especially when the circuit under investigation contains large number of circuit components with complex and nonlinear voltage–current relations. They can also perform characterization over a broad frequency band with a single computation. Another significant advantage of transient solvers is the relative simplicity when interfacing with other circuit solvers such as SPICE, for which there are abundant model libraries for semiconductor devices, logical gates, and integrated circuits. With proper interfacing, the problem can be conveniently partitioned into full-wave and circuit parts. The modeling of lumped circuit elements in transient EM solvers has been well documented for FDTD [10]–[14] and for FETD [15]–[19]. Unfortunately, these methods have limitations. FDTD suffers from dispersion error and low-order modeling of complex geometries. FETD provides accurate and robust modeling of geometries but is quite expensive, since a global linear system needs to be solved at each time iteration. An excellent alternative are DGTD methods [8], [9]. DGTD methods are based on full, unstructured curvilinear meshes and provide both modeling robustness and high-order solutions. DGTD methods are globally explicit and do not require the solution of a global linear system. The tradeoffs compared with FETD algorithms are that DGTD methods require additional degrees of freedom since they are redundantly placed on shared flux boundaries and that they are conditionally stable. An excellent comparison of the accuracy and relative computational costs of DGTD methods and FETD-based methods was recently published by Lin and Jin [20]. A discontinuous Galerkin finite-element time-domain (DGFETD) method was proposed by Dosopoulos et al. [21] that allows the modeling of lumped circuit elements directly within the full-wave DGFETD solver. Following the logic for FDTD and FETD research, simple circuit elements are directly introduced into the DGFETD formulation. In Dosopoulos’ work [21], the lumped elements are treated as isotropic material

0018-9480/$31.00 © 2012 IEEE

ZHAO et al.: SPICE LUMPED CIRCUIT SUBCELL MODEL FOR THE DGFETD METHOD

2685

Fig. 1. Circuit port modeled by a rectangular volume. Fig. 2. Circuit port modeled by a rectangular surface.

blocks, and a leap-frog scheme is applied when performing the time stepping. In this paper, more complex circuits are modeled within the DGFETD method. This is accomplished through the introduction of a circuit port model. The circuit port allows the direct coupling of the full-wave DGFETD simulation to a circuit simulation engine such as SPICE [1]. The circuit port model is also applied to simple lumped circuit elements. In this case, it is found that the circuit model has a representation of a local anisotropic material that emulates the lumped circuit. The remainder of this paper is organized as follows. In Section II, a general circuit port is designed to model lumped circuit elements. The circuit port is incorporated within the DGFETD method in Section III. It is first applied to discrete lumped circuit elements such as resistors, capacitors and inductors and is then fully coupled to a SPICE simulator. Section IV details the high-order time-integration scheme that uses either an RK4 time-integration or an exponential time-differencing (ETD) for stiff systems. Section V provides practical details of the coupling to the SPICE engine. The proposed method is validated in Section VI. II. CIRCUIT PORT It is proposed to couple the full-wave DGFETD-EM solver to a general circuit analysis software, such as SPICE, through a circuit port. To this end, it is assumed that both the proposed circuit port as well as the circuit to which it is coupling are small relative to the smallest wavelength of interest. Each port of the lumped circuit is effectively driven by a time-dependent port voltage that is computed from the electric field of the DGFETD-EM solver. The circuit solver computes the port current, which is coupled back into the DGFETD-EM solver as an electric current density. Consider a volume circuit port as illustrated in Fig. 1. The circuit port presumably connects two conductors separated by a distance . A unit vector is used to define the axis of the circuit port and is directed from low potential to high potential. The cross-sectional area of the port is denoted as . The port voltage is defined via the quasi-static approximation as

voltage source which is connected to a circuit model. The electric current couples back to the full-wave solver as a volume that flows through the circuit port. electric current density The current density is approximated as (2) The port dimensions are assumed to be sufficiently small relacan tive to a wavelength such that the electric current density be assumed to be uniformly distributed within the port volume. A similar analogy can be applied to a surface port. Consider the surface port represented by the shaded region in Fig. 2. The surface port is discretized by a polyhedral mesh comprised of quadrilateral or triangular mesh elements. An example triangular mesh is illustrated in Fig. 2. The voltage difference across the surface port is approximated as

(3) where is the axis of the port and is the cross-sectional width. When connected to a lumped circuit, the independent source will draw a current which is approximated within the surface port as an effective surface current density

(4)

III. HYBRID FORMULATION The circuit port is coupled to the full-wave DGFETD-EM solution as follows. Consider Maxwell’s curl equations in the vicinity of a circuit port, which are

(5) (6)

(1) The port voltage is coupled to the circuit solver as a transient, independent voltage source. The circuit analysis software computes the port current , shown in Fig. 1, flowing through the

where represents the electric current density induced by the circuit portand is a function of the local electric field intensity. It is noted that can be either a volume current density (volume circuit port) or a surface current density (surface current port).

2686

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

The curl equations are cast into a weak form following the standard DGFETD-EM procedure [22] as

electric current density of the circuit port due to the resistance is expressed as

(12) This can be rewritten in tensor form as (13) (7) where is a dyadic tensor. Similarly, the current density due to the capacitor is (14)

(8) local subdomain volume, which can where represents the be fully or partially included in the circuit port region, is the surface bounding , and are volume and surface circuit port current densities, and is the portion of touching a surface circuit port. A central flux formulation is chosen here for simplicity in notation. The proposed methods can also be applied to an upwind flux formulation. Following a Galerkin scheme, the field and test vectors are expanded within the subdomain via -curl vector finite elements [23]. This leads to the discrete difference equations (9) (10) where is the “circuit coupling matrix” that couples the field and circuit systems. In the following sections, the coupled circuit port is first applied to simple lumped circuit elements. This helps to provide a physical interpretation of the lumped circuit models. The circuit port is then applied to general circuits which are modeled via SPICE.

However, for the inductor, rather than expressing the current as an integral of the port voltage, an auxiliary differential form is applied based on the relationship . To this end, the derivative of the port current density due to the inductor is expressed as (15) Finally, following (8)–(10), circuit coupling matrices are defined for the resistor and capacitor as (16) (17) For the inductor, an auxiliary coupling matrix is first introduced as (18) shares the same discrete function space as where and is the discrete column vector of degrees of freedom representing . Then, from (15), we find that is subject to . Finally, the discrete DGFETD-EM difference equations become (19)

A. Parallel Resistor, Capacitor, Inductor Consider the case when the circuit component is a parallel resistance, capacitance, and inductance. The voltage–current relationship is defined by Kirchoff’s current law

(20) (21) It is observed from (16) that a lumped resistor behaves as an anisotropic lossy material block in the DGFETD-EM formulation with the conductivity tensor (22)

(11) where is the net port current and is the port voltage. Here, it is assumed that the port is a volume port that is rectangular with constant cross-sectional area and height . The port axis is defined by the unit vector . From (1) and (2), the

Similarly, the lumped capacitance behaves as an anisotropic dielectric material block with the permittivity tensor

(23)

ZHAO et al.: SPICE LUMPED CIRCUIT SUBCELL MODEL FOR THE DGFETD METHOD

2687

The resulting set of equations is solved simultaneously using a standard Runge–Kutta time integration. It is also noted that the lumped circuit model presented here can be restricted to a single , , or element or any combination thereof. B. Lumped SPICE Circuit Port When the circuit port contains a general interconnected circuit, it is nontrivial to map the circuit behavior to a specific material property. Instead, a more general network solution is necessary. Here, we rely on the mature SPICE3 circuit simulation library. SPICE3 is based on a modified nodal analysis (MNA). The unknowns of a MNA are all nonreference node voltages in the network as well as the branch currents of voltage sources and inductors. The unknowns are then constrained by enforcing Kirchoff’s current law (KCL) at all nonreference nodes and constraining the voltages and currents on all inductor branches. This leads to the system of equations

(24) where represents the vector of unknown node voltages and inductor currents, , are the voltage source currents, represents a vector of nonlinear currents (which are a function of ), is the vector of independent sources, represents the contribution from independent current sources within the network, represents the admittance matrix that constrains the node voltages, and is the matrix block that ties the node voltage to the voltage sources. The port voltages of the DGFETD-EM simulation are posed as independent voltage sources within the MNA-solver. Note that multiple ports can be coupled within the same MNA network. The MNA solution directly computes the port currents , which are coupled back to the DGFETD-EM simulation. The circuit coupling matrix for the SPICE analysis can be written in operator form as (25) projects the -field within the circuit ports into where port voltages. is the operator that performs the MNA solution and computes the port currents. projects the port currents into current densities which are then projected onto the test vector space. For a volume circuit port, from (1), an element of for the th port is defined as (26) is the basis function of the local subdomain where for the Similarly, for a volume circuit port, an element of -th port is defined as (27)

Fig. 3. Interactions between DGFETD-EM and SPICE solvers.

where is the th test function of the local subdomain Comparing this with (26), one observes that

.

(28) This is finally coupled back into the full DGFETD-EM simulation, leading to the discrete difference operators (29) (30)

IV. TIME-DOMAIN COUPLING The time-dependent solution of the discrete DGFETD system of equations is solved via a high-order RK time-integration scheme [24] (typically, RK4 is used). At each partial step of the RK solution, the field is advanced from time to , where is a fraction of the time step. The independent source port voltage is computed from the DGFETD simulation via at time . The initial values of all reactive elements (and potentially nonlinear elements) are determined from their values at . Given the port voltage(s) and the initial values, . SPICE is thus used SPICE predicts the port current at to time advance the solution of the MNA network parameters, and uses its own local time stepping. The port currents are then returned to the DGFETD simulation, and multiplied by to form its contribution to (30). The two simulations are effectively handshaking at every fractional time step. To this end, the DGFETD-EM solver updates a net-list (cf. Fig. 3) with the proper initial conditions (e.g., capacitor voltages amd inductor currents) and source voltages at . The netlist is passed to the SPICE solver, which returns the port currents at . Node-voltages and branch

2688

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

currents required for initial conditions at returned and cached.

are also

V. TIME INTEGRATION AND STABILITY The DGFETD solution with RK time-integration is an explicit scheme with a time step that is bound by a stability limit. It is reported in [22] that the time step must satisfy hexahedron tetrahedron

(31)

where is the smallest edge of a cell in the mesh, is the local basis order, and is the speed of light in free space. As discussed in the previous section, the SPICE simulation is locally time stepped, and thus it does not directly impact the DGFETD time step. However, the stability limit of the DGFETD simulation can still be impacted by the circuit under test. It is known that RK solutions can suffer from stability issues if the system of equations becomes too “stiff” [25]. For example, the DGFETD system can become stiff if the circuit model contains small resistances parallel to the circuit port. As a consequence, the RK solution of the stiff DGFETD system can require a significant reduction in the time step to maintain stability [25]. One way to resolve this is to use local time-stepping and adaptively drop the time step near the circuit port model. However, this will not guarantee stability for all circuits. Furthermore, it is difficult to predict the size of the local time step that will ensure stability. A more robust approach to solving stiff equations is to employ an exponential time differencing (ETD) method [26]. In this method, the difference equation is separated into a stiff term and nonstiff term . For example, (29) and (30) can be written in the form (32) where represents the vector of unknowns, is the stiff term, and is the remainder of the difference operator. In general, is a matrix. However, this matrix is often diagonalizable and can reduce to a constant multiplier. In the proposed algorithm, the stiff term is associated with lossy elements represented by a parallel port resistance (see Section III-A). By multiplying the stiff equations by the integrating factor and then integrating over a time step, one obtains

(33) which is an exact equation that is amenable to solution by RK methods. Properly constructed ETD-RK methods have the desirable property that they reduce to a pure RK method of the same order when the integrating factor is zero. Furthermore, the ETD-RK method has been shown to greatly increase the region of stability for the solution of stiff equations [26]. The ETD-RK method can be formulated as a purely explicit method, thus obviating the need to solve a system of equations at each time step, such as required by hybrid implicit/explicit (IMEX) solution schemes [27], [28].

Fig. 4. Microstrip line terminated by two circuit loads. (a) Volume port. (b) Surface port.

The RK-ETD scheme is used when simulating small resistive loads parallel to the circuit port. To segregate a shunt resistance from a SPICE model, the resistance is modeled as a parallel lumped resistance via (22). is then represented as . The ETD-RK has been found to be stable within the time stability limit in (31) even as the resistivity approaches zero. Since the ETD-RK method reduces to the standard RK scheme when the stiffness term is zero, it can be employed locally within the context of a global high-order RK solver. Finally, the ETD time-integration scheme has the same level of complexity as the underlying RK solver, and adds no additional computational burden to the DGFETD solution. VI. VALIDATION Here, the hybrid DGFETD-EM/Circuit solver is validated. A 50- microstrip transmission line is used as the base model on which various types of circuit structures are mounted. The microstrip line is printed on a dielectric substrate with a thickness of 0.761 mm and a relative permittivity of 4.2. The 50- microstrip transmission line has a width of 1.5 mm, a length of 24 mm, and a thickness of 0.035 mm. The geometry is discretized with hexahedra. The mesh is terminated by a PML on five sides of the rectangular domain (excluding the ground plane), and the PML is four cells thick. The dielectric substrate extends through the PML to the terminating boundaries, emulating an infinite substrate, and the base plane is a PEC ground plane. In all cases, the microstrip line is excited by a Norton equivalent current source with a matched 50- load. The current magnitude is 0.01 A so that a 0.5-V voltage wave is launched onto the microstrip line. The matched load used in the scattering parameter computations is modeled as a lumped resistance. Various circuit loads are chosen to terminate the microstrip line. Initially, the lumped-element models of Sections III-A and III-B are validated by terminating the microstrip line with a parallel RLC circuit. More general loads modeled via SPICE, including a nonlinear device, are then studied for validation purposes. A. Parallel RLC Load Consider a parallel RLC load, with 50 , 8 nH, and 2 pF. The circuit is represented by three lumped elements placed in parallel within the same volume circuit port as illustrated in Fig. 4(a). The time-domain signature of the Norton current source is a Gaussian pulse with half-pulse width 0.2 ns and delay time . The source bandwidth is 1.59 GHz.

ZHAO et al.: SPICE LUMPED CIRCUIT SUBCELL MODEL FOR THE DGFETD METHOD

2689

Fig. 7. Circuit model of the RLC network. Fig. 5. Magnitude of

Fig. 6. degree.

(parallel RLC).

compared with exact (series RLC). (a) Magnitude. (b) Phase in

To calculate , the voltage at the terminal of the microstrip line is sampled. A reference simulation is also performed by terminating the microstrip line with a matched 50resistor from which a reference voltage is computed at the same location. is calculated as

Fig. 8. degree.

compared with exact (RLC network). (a) Magnitude. (b) Phase in

where and are the Fourier transforms of and , respectively. Fig. 5 shows the magnitude of computed via the DGFETD method with -curl bases using lumped circuit models for the parallel RLC load. These results agree extremely well with the analytical prediction assuming an ideal 50- line. B. Series RLC Load

(34)

Next, consider the 50- microstrip line terminated by a series-connected RLC circuit load with 50 , nH,

2690

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 9. Microstrip line terminated with a diode signal detector (a) Mesh. (b) Circuit model.

and . This test case is simulated via the hybrid DGFETD-EM/SPICE solver. The same excitation is applied and is again computed. Both and -curl bases are used for the DGFETD-EM simulation. For this simulation, both surface and volume circuit ports were used (see Fig. 4). The magnitude and phase of of the microstrip line terminated with the series RLC load are illustrated in Fig. 6(a) and (b), respectively. Computed solutions using surface and volume ports as well as and bases are plotted. The results are also compared to an analytical result that assumes an ideal 50- transmission line and RLC load. The results compare quite well in both magnitude and phase. The surface port data agrees better with the analytical results. The reason for this is that the volume port assumes a physical thickness of the load, whereas the surface port and analytical solution do not. bases provides the best comparison over the full frequency range. C. Complex RLC Network Next, consider the load illustrated in Fig. 7, which is a more complex network of resistors, capacitors, and inductors. The scattering parameter computed using the DGFETD/SPICE simulator is plotted in Fig. 8. The results are also compared with an analytical solution. Both surface and volume port data are included. Surface ports again compare more closely to the analytical results since the load of the analytical model also has zero thickness.

Fig. 10. Voltage signal. (a) Sampled at the beginning terminal of the transmission line; (b) Sampled across the RC load at the end of the transmission line.

comparison, the circuit was also computed using SPICE. The model for the SPICE circuit is illustrated in Fig. 9(b). The microstrip line was excited with a modulated Gaussian pulse with a 2-GHz carrier frequency. The modulated Gaussian pulse has a half pulsewidth of 10 ns. In the circuit, the diode rectifies the signal, and the parallel RC circuit performs a charge and hold of the signal. Thus, the output approximates the envelope of the modulated pulse. Fig. 10(a) illustrates the modulated waveform of the DGFETD-EM/SPICE and PSPICE line voltages at the input terminal of the microstrip line. It should be noted that PSPICE takes the exact same signal waveform as is applied in DGFETD-EM. This is done by exporting the time-domain excitation data from the DGFETD-EM solver and importing the data file directly into PSPICE as a piecewise linear voltage source. Fig. 10(b) illustrates the output voltage across the parallel RC load with the recovered envelope of the signal computed using both the DGFETD-EM/SPICE solver and PSPICE. The direct PSPICE and the DGFETD-EM/SPICE simulations compare very well.

D. Nonlinear Diode Detector Circuit Finally, consider a microstrip line terminated by a nonlinear circuit consisting of a diode detector, as shown in Fig. 9(a). A series-connected surface port models the series diode, and a shunt-connected volume port models the parallel RC load. For

VII. CONCLUSION In this paper, a circuit port model that interfaces the full-wave DGFETD-EM and circuit (SPICE) solvers was introduced. The circuit port can be modeled by either a volume or surface region

ZHAO et al.: SPICE LUMPED CIRCUIT SUBCELL MODEL FOR THE DGFETD METHOD

embedded within the field mesh. The port region does not have to be defined by a single finite element, but can be defined by a group of finite elements. A formulation to incorporate simple, passive lumped circuit elements such as resistors, capacitors and inductors has been derived. For complex configured circuits and nonlinear devices, a hybrid DGFETD-EM/SPICE solver was developed, which dramatically broadens the types of devices that can be simulated. To validate the proposed approach, various test cases including both linear and nonlinear devices were simulated by the hybrid DGFETD-EM/Circuit solver. The simulation results show very good agreement with reference results obtained either from analytical predictions or other simulation software. Both the lumped circuit models and the hybrid DGFETD-EM/SPICE solver have been validated. REFERENCES [1] L. W. Nagel and D. O. Pederson, SPICE (Simulation Program with Integrated Circuit Emphasis). Berkeley, CA: Univ. of California, 1973. [2] T.-S. Horng, “Extending the three-dimensional spectral-domain approach to hybrid microwave integrated circuits with passive and active lumped elements,” in IEEE MTT-S Int. Microw. Symp. Dig., San Diego, CA, 1994, pp. 709–712. [3] J. M. Jin, The Finite Element Method in Electromagnetics. New York: Wiley, 2002. [4] M. Feliziani and F. Maradei, “Modeling of electromagnetic fields and electrical circuits with lumped and distributed elements by the WETD method,” IEEE Trans. Magn., vol. 35, no. 3, pp. 1666–1669, May 1999. [5] K. Guillouard, M. F. Wong, V. F. Hanna, and J. Citerne, “A new global finite element analysis of microwave circuits including lumped elements,” in IEEE MTT-S Int. Microw. Symp. Dig., San Francisco, CA, 1996, pp. 355–358. [6] H. Wu and A. C. Cangellaris, “Model-order reduction of finite-element approximations of passive electromagnetic devices including lumped electrical-circuit models,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 9, pp. 2305–2313, Sep. 2004. [7] S. D. Gedney, Introduction to the Finite-Difference Time-Domain for Electromagnetics. Colorado Springs, CO: Morgan and Claypool, 2011. [8] J. S. Hesthaven and T. Warburton, “Nodal high-order methods on unstructured grids – I. Time-domain solution of Maxwell’s equations,” J. Computational Phys., vol. 181, pp. 186–221, Sep. 2002. [9] A. V. Kabakian, V. Shankar, and W. F. Hall, “Unstructured grid-based discontinuous Galerkin method for broadband electromagnetic simulations,” J. Sci. Computing, vol. 20, pp. 405–431, Jun. 2004. [10] C. H. Durney, W. Q. Sui, D. A. Christensen, and J. Y. Zhu, “A general formulation for connecting sources and passive lumped-circuit elements across multiple 3-D FDTD cells,” IEEE Microw. Guided Wave Lett., vol. 6, no. 1, pp. 85–87, Feb. 1996. [11] P. Ciampolini, P. Mezzanotte, L. Roselli, and R. Sonentino, “Accurate and efficient circuit simulation with lumped-element FDTD technique,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 12, pp. 2207–2215, Dec. 1996. [12] V. A. Thomas, M. E. Jones, M. Piketmay, A. Taflove, and E. Harrigan, “The use of Spice lumped circuits as subgrid models for FDTD analysis,” IEEE Microw. Guided Wave Lett., vol. 4, no. 2, pp. 141–143, May 1994. [13] N. Orhanovic, R. Raghuram, and N. Matsui, “Full wave analysis of planar interconnect structures using FDTD–SPICE,” in Proc. Electron. Compon. Technol. Conf., 2001, pp. 489–494. [14] C.-N. Kuo, B. Houshmand, and T. Itoh, “Full-wave analysis of packaged microwave circuits with active and nonlinear devices: An FDTD approach,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 5, pp. 819–826, May 1997. [15] K. Guillouard, M. F. Wong, V. F. Hanna, and J. Citerne, “A new global time-domain electromagnetic simulator of microwave circuits including lumped elements based on finite-element method,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 10, pp. 2045–2049, Oct. 1999. [16] S. H. Chang, R. Coccioli, Y. X. Qian, and T. Itoh, “A global finiteelement time-domain analysis of active nonlinear microwave circuits,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2410–2416, Dec. 1999.

2691

[17] H. P. Tsai, Y. X. Wang, and T. Itoh, “An unconditionally stable extended (USE) finite-element time-domain solution of active nonlinear microwave circuits using perfectly matched layers,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 10, pp. 2226–2232, Oct. 2002. [18] Q. He and D. Jiao, “Fast electromagnetics-based co-simulation of linear network and nonlinear circuits for the analysis of high-speed integrated circuits,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 12, pp. 3677–3687, Dec. 2010. [19] R. Wang and J.-M. Jin, “A symmetric electromagnetic-circuit simulator based on the extended time-domain finite element method,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 12, pp. 2875–2884, Dec. 2008. [20] X. Li and J. M. Jin, “A comparative study of three finite element-based explicit numerical schemes for solving Maxwell’s equations,” IEEE Trans. Antennas Propag., vol. 60, no. 3, pp. 1450–1457, Mar. 2012. [21] S. Dosopoulos and J. F. Lee, “Interconnect and lumped elements modeling in interior penalty discontinuous Galerkin time-domain methods,” J. Computational Phys., vol. 229, pp. 8521–8536, Nov. 2010. [22] S. D. Gedney, C. Luo, J. A. Roden, R. D. Crawford, B. Guernsey, J. A. Miller, T. Kramer, and E. W. Lucas, “The discontinuous Galerkin finite-element time-domain method solution of Maxwell’s equations,” Appl. Computat. Electromagn. Soc. J., vol. 24, pp. 129–142, Apr. 2009. [23] J. P. Webb, “Hierarchal vector basis functions of arbitrary order for triangular and tetrahedral finite elements,” IEEE Trans. Antennas Propag., vol. 47, no. 8, pp. 1244–1253, Aug. 1999. [24] S. Gottlieb, C.-W. Shu, and E. Tadmor, “Strong stability-preserving high-order time discretization methods,” SIAM Rev., vol. 43, pp. 89–112, 2001. [25] K. Dekker and J. G. Verwer, Stability of Runge–Kutta Methods for Stiff Nonlinear Differential Equations. Amsterdam, The Netherlands: North-Holland, 1984. [26] S. M. Cox and P. C. Matthews, “Exponential time-differencing for stiff systems,” J. Computational Phys., vol. 176, pp. 430–455, 2002. [27] A. K. Kassam and L. N. Trefethen, “Fourth-order time-stepping for stiff PDEs,” SIAM J. Sci. Computing, vol. 26, pp. 1214–1233, 2005. [28] U. M. Ascher, S. J. Ruuth, and R. J. Spiteri, “Implicit-explicit methods for time-dependent partial differential equations,” SIAM J. Numer. Anal., vol. 32, pp. 797–823, 1995. Bo Zhao (S’08–M’11) received the B.Eng. degree from Zhejiang University, Hangzhou, China, in 2006, and the M.S. and Ph.D. degrees in electrical engineering from the University of Kentucky, Lexington, in 2007 and 2011, respectively. He then joined the Electroscience Laboratory, Ohio State University, Columbus, as a Postdoctoral Researcher. During his undergraduate studies, he was a member of the State Key Laboratory of Millimeter Waves, Southeast University, Nanjing, China, where he performed research on metamaterial simulation and modeling. From August 2006 to July 2011, he was a Graduate Research Assistant with the Electromagnetics Laboratory, University of Kentucky, Lexington. He was also a core development member of the General Electromagnetic Framework. During his postdoctoral research, he focused on applying high-performance computing techniques on the discontinuous Galerkin finite-element time-domain method. His research interests include computational electromagnetics, microwave circuit systems designs, hybrid and multiphysics simulations, and high-performance computing in numerical algorithms.

John C. Young (S’97–M’03–SM’10) received the B.E.E. degree from Auburn University, Auburn, AL, in 1997, and the M.S. and Ph.D. degrees from Clemson University, Clemson, SC, in 2000 and 2002, respectively, all in electrical engineering. He received a National Science Foundation Graduate Fellowship in 1998 and served as a Research Assistant with Clemson University, Clemson, SC, from 1997 to 2002, and, from January 2003 to April 2003, as a Postdoctoral Researcher. From 2003 to 2005, he was with Tokyo Institute of Technology, Tokyo, Japan, as a Postdoctoral Researcher sponsored by the Japan Society for the Promotion of Science. From 2005 to 2008, he was with Japan Radio Co. as an

2692

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Antenna Research and Design Engineering, where he was responsible for designing waveguide slot array antennas. Since 2008, he has been a Research Assistant Professor with the Department of Electrical and Computer Engineering, University of Kentucky, Lexington. His research interests include integral equation methods, finite-element methods, electromagnetic theory, waveguides, and array antennas. Dr. Young is a member of Tau Beta Pi and Eta Kappa Nu.

Stephen D. Gedney (S’84–M’91–SM’98–F’04) received the B.Eng.-Honors degree from McGill University, Montreal, QC, Canada, in 1985, and the M.S. and Ph.D. degrees in electrical engineering from the University of Illinois at Urbana-Champaign, Urbana, in 1987 and 1991, respectively. He is currently a Professor with the Department of Electrical and Computer Engineering, University of Kentucky, Lexington, where he has been since 1991. From 1985 to 1987, he was with the U.S. Army Corps of Engineers, Champaign, IL. In the summers of 1992

and 1993, he was a NASA/ASEE Faculty Fellow with the Jet Propulsion Laboratory, Pasadena, CA. In 1996, he was a Visiting Professor with Hughes Research Labs (now HRL Laboratories), Malibu, CA. During 2004–2005, he was a Visiting Professor with Alpha-Omega Electromagnetics, LLC. In 2002, he was named the Reese Terry Professor of Electrical and Computer Engineering with the University of Kentucky. His research is in the area of computational electromagnetics with focus in high-order solution techniques, fast solver technology, advanced time-domain methods, and parallel algorithms. His research has focused on applications in the areas of electromagnetic scattering and microwave circuit modeling and design.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

2693

MPIE/MoM Acceleration With a General-Purpose Graphics Processing Unit Danilo De Donno, Alessandra Esposito, Giuseppina Monti, and Luciano Tarricone

Abstract—In this paper, we describe an accelerated implementation of the Method of Moments (MoM). A framework is proposed, exploiting the graphics processing unit (GPU) computing power by means of the software platform compute unified device architecture (CUDA). The mixed-potential integral-equation formulation, applied to microstrip circuit modeling, is adopted, and both the impedance matrix computation and the linear system solution of the MoM are accelerated. The latter point has been handled by considering both a direct dense (LU-based) and an iterative sparse (bi-conjugate gradient stabilized) solver. The best suited method is selected automatically during a thresholding phase, which renders the impedance matrix as sparse as possible. The effectiveness of the GPU-enabled MoM has been extensively tested in the analysis of planar circuits and components. The results achieved confirm the validity, accuracy, and high performance of the proposed approach. Index Terms—Graphics processing unit (GPU), method of moments (MoM), mixed-potential integral-equation (MPIE), NVIDIA compute unified device architecture (CUDA), planar microstrip circuits.

I. INTRODUCTION

T

HE impressive momentum coming from video game industry is continuously enhancing the computational power of graphics processing units (GPUs), without increasing their cost. Moreover, GPU exploitation has recently been facilitated by the publication of high-level libraries such as NVIDIA compute unified device architecture (CUDA) [1] and OpenCL [2], simplifying software implementation. Even though GPUs have already been adopted in various fields of computational electromagnetics (CEM) [3], parallelization of the Method of Moments (MoM) is still at a germinal phase. In order to attain a relevant acceleration of the method, two aspects must be taken into account: the computation of the impedance matrix and the solution of the linear system. In this work, we present an integrated tool that parallelizes both tasks by taking advantage of the GPU processing power and CUDA programming facilities. The framework includes both a direct-dense and an iterative-sparse solver and adopts the mixed-potential integral-equation (MPIE) formulation for microstrip geometries. Even though the appeal of the MPIE approach is definitely high because of the scalar nature of its poManuscript received December 09, 2011; revised May 17, 2012; accepted June 04, 2012. Date of publication July 11, 2012; date of current version August 28, 2012. The authors are with the Innovation Engineering Department, University of Salento, 73100 Lecce, Italy (e-mail: [email protected]; [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2203924

tentials and the lower order of the involved singularities [4], available works on GPU mainly focus on the electric-field integral-equation (EFIE) formulation applied to problems of scattering from metallic bodies. In order to reduce storage requirements and maximize performance, our tool performs a preprocessing phase that evaluates the possibility of nullifying impedance matrix elements without affecting the solution quality. Depending on the matrix features, a direct dense (based on LU-decomposition) or an iterative sparse [biconjugate gradient stabilized (BiCGstab)] solver is invoked. The framework uses the native double precision representation of complex data supported by CUDA, thus achieving excellent results in terms of performance and accuracy. The efficiency of our GPU-enabled MPIE/MoM has been experimentally demonstrated by modelling two different microstrip circuits: a T-junction power-divider and a branch-line coupler. In both cases, impressive accelerations of computational time have been obtained on a very cheap general-purpose GPU. This paper demonstrates the amenability of the MPIE/MoM formulation to a GPU-based parallelization, even when lowcost architectures are adopted. Moreover, it suggests a viable solution to the problem of enhancing the performance when large numbers of simulations are needed, as frequently happens during optimization of components. II. RELATED WORKS GPUs have already been exploited in several fields of CEM. In a previous work [3], we accelerated the finite-difference timedomain (FDTD) method, while the authors of [5] deal with a GPU-based parallelization of the finite-element method (FEM). The first applications of GPUs to the MoM can be found in [6] and [7], where the solution of electromagnetic scattering problems for radar cross section (RCS) calculation is considered. Both works adopt the EFIE formulation and the GPU is exploited to accelerate both the MoM matrix computation and the linear system solution by conjugate gradient method. Differently from our work, the OpenGL Shading Language [8] is adopted in [6], while the authors of [7] rely on Brook [9]. In [10], a CUDA-based EFIE solver for the analysis of a transverse-magnetic (TM) cylinder is presented. The closest works to ours are [11]–[13], all exploiting CUDA. In [11], both the computation of the impedance matrix and the solution of the MoM system are accelerated. The reference problem, modeled by EFIE, concerns scattering from a metallic square plate. The authors of [12] accelerate MoM-based simulations of wire-grid models and adopt the EFIE formulation as well. The same authors have recently dealt with the MPIE [13] for the solution of a conducting body-wire problem. It is worth emphasizing that the

0018-9480/$31.00 © 2012 IEEE

2694

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

MPIE formulation considered in this paper refers to layered microstrip structures whose Green’s function evaluation is significantly more complex than that performed in [13], where simple PEC bodies are analyzed. To the best of our knowledge, we are presenting in this paper the first full GPU-based MoM adopting the MPIE formulation for microstrip geometries. III. MPIE/MOM FORMULATION In this paper, we discuss the GPU-enablement of a MPIE/MoM formulation based on the work in [14] and [15] and enhanced by one of the authors of [16] and [17]. This formulation is now shortly recalled for the sake of clarity. The interested reader is addressed to [14]–[18] for details. We consider -port planar circuits with infinite transverse dimensions for both the dielectric and ground plane; the metallization thickness is assumed to be negligible. We adopt the MPIE formulation, solved by considering closed-form mixed-potential Green’s functions in the spatial domain and by using the MoM. The evaluation of the Green’s functions is performed as suggested in [14] as

Fig. 1. Reference system and the relative changes of coordinates.

(1) i.e., as the sum of direct terms and quasi-static images ( , ), surface waves ( , ), and complex images , ). ( The Galerkin’s MoM is used to discretize the relevant equations by selecting rooftop functions defined over elementary rectangular domains. This way, a linear system is derived from the MPIE as (2) where the entries in the impedance matrix are expressed by a fourfold integral in the spatial variables of the source and test coordinates. Let us concentrate on the part of the impedance matrix (similar considerations hold for the remaining submatrices). terms describe the interaction between source and test functions along the direction (see Fig. 1). They can be expressed as [14] (3) where tion as

and

are the rooftop functions along the -direc-

if elsewhere

(4)

Fig. 2. Interactions between source and test functions: domains of the bidimensional convolutions.

We indicate with

and two functions, so that and . Due to the fact that the Green’s functions only depend on the sourcetest distance , and can be evaluated nearly completely in closed form. Now, we concentrate on . In a previous work [16], it was demonstrated how it can be expressed by the following generic solution: (6) where is the relative coordinate system between the cells (see Fig. 1). The best way to derive the coefficients and is to recall that is basically a bidimensional convolution of two rooftop functions. In the interaction, basis and test functions are defined over a area, so their convolution gives rise to a domain for (see Fig. 2). Also, is defined over the same domain but, in that case, the coefficients and are obtained by calculating the bidimensional convolution of two derivative rooftop functions. The above discussion can be easily extended to the case of , , and interactions, thus deriving the following expressions for the remaining terms:

is the surface of the current cell where the funcwhere tion is defined and are the coordinates of the domain’s center (see Fig. 1). It can be demonstrated [15] that (5)

(7)

DE DONNO et al.: MPIE/MOM ACCELERATION WITH A GENERAL-PURPOSE GPU

2695

are calculated by a de-embedding procedure. The following sections provide further details on CUDA-enabled blocks and their implementation. B. Impedance Matrix Computation In the implemented MoM, the impedance matrix is computed according to the MPIE formulation explained in the previous section. More specifically, we designed a CUDA code devoted to parallelize the calculation of the integrals reported in (5) and (7). For the sake of simplicity, in the sequel, we concentrate on the terms, but the same considerations apply to the remaining integrals. The following pseudocode describes how the computation is performed by the serial code running on the CPU. 1: Fig. 3. Tool in a glance.

number of integration points

2. for each couple

of source and test cells

Fig. 2 resumes the domains where , , , and are defined, while more details about the adopted approximation of the MPIE formulation can be found in [16].

3:

compute the limits of integration

4:

compute the integration step

5:

for

IV. CUDA-BASED MPIE/MOM

6:

Until a few years ago, GPU programming was a very challenging task, since it required a deep knowledge of GPU architecture and a great effort to map scientific codes into graphical terms and models. Recently, NVIDIA has highly reduced the programmer burden by launching the CUDA [1], which is a software platform for parallel computing on the company’s GPUs which hides the graphics hardware from developers. According to the CUDA programming model, an application consists of a sequential host program (launched on the CPU) that executes parallel programs (kernels) on a parallel device (GPU). A kernel is a single-instruction multiple-thread (SIMT) computation executed by a potentially large number of parallel CUDA threads. The programmer organizes the threads of a kernel into a grid of thread blocks. The latest CUDA-capable GPUs can execute up to 16 kernels concurrently. When kernel execution is started, data transfer between CPU and GPU memory occurs. This is an onerous operation, therefore kernels must be designed with care so that data exchanging from host to device and vice versa is minimized.

7:

A. Tool in a Glance Fig. 3 shows the flowchart of the implemented MPIE/MoM. It is composed of five blocks, four of which (the gray highlighted ones) exploit the GPU processing power. The first block maps the circuit domain into a rectangular mesh. Then, MoM impedance matrix is computed for the maximum frequency in the range under consideration. A thresholding process evaluates the possibility of converting the computed matrix into a sparse one, while respecting the maximum error tolerance on the final system solution. The variable in Fig. 3 is the adopted threshold, and we address the reader to Section IV-C for details about it. During this phase, the execution times for both dense ( ) and sparse ( ) solvers are measured. For each frequency, the impedance matrix is assembled and the best-performing linear solver invoked. Finally, the scattering parameters

8:

and

end for

9: end for In order to parallelize such code and meet the architecture and programming model of GPU, we exchanged the execution order of the “for” loops. 1:

number of integration points

2: for 3:

for each couple

of source and test cells

4:

compute the limits of integration

5:

compute the integration step

and

6. 7: 8:

end for

9: end for In this way, the outer loop is kept on the host CPU while the calculations over the cells are operated in parallel on the GPU. Initially, we developed a single CUDA kernel to carry out the entire task. However, since the evaluation of requires large computational resources, mainly registers, even the code compilation failed. We tackled the problem by splitting the calculation into four CUDA kernels as described in Fig. 4. In the initialization phase, data structures required for the computation are allocated on the GPU global memory and the CUDA grids of thread blocks are defined. More specifically, a

2696

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

where

includes the direct term and the quasi-static image (10)

Fig. 4. Pseudocode for the computation of

where and is the substrate thickness. The complicated expressions representing the contribution of surface-wave poles ( ) and complex-images ( ) are reported in [14]. We define a CUDA grid with blocks of 16 8 threads and we assign each expression (9) to a thread. For this kernel, 23 registers per thread are required while shared memory is not exploited because no data sharing among threads occurs. Such a resource usage results in 640 active threads per multiprocessor, i.e., 15360 concurrent computations on the GPU. The third kernel ( ) is almost identical to the first one (see Fig. 5), with the only difference being that now is the bidimensional convolution of two derivative rooftop functions. The resource utilization of the GPU is the same as well: each thread uses 63 registers and 7184 Bytes of shared memory. This results in 256 active threads per multiprocessor, i.e., 768 concurrent computations. The last kernel ( ) computes the Green’s function of the scalar potential in the spatial domain. The following expression is evaluated, in parallel, for each entry of the matrix:

on GPU.

(11) includes the direct term and the quasi-static image Fig. 5. Mapping between CUDA grid and domains of

and

.

(12)

double-complex one-dimensional (1-D) array is used to store . Data are bound to texture memory areas and accessed by texture fetches. Texture memory buffers data in a suited cache, optimized for 2-D spatial locality, thus providing performance gains when threads read locations that are spatially close together. Once the initialization is completed, the host invokes sequentially four CUDA kernels. The first one ( ) evaluates the following integral, in parallel, for each entry of the matrix : (8) domain partitioning shown in Fig. 2, eight According to the terms contribute to the calculation of . We define a CUDA grid with blocks of 16 8 threads and assign each subdomain to a thread. In this way, each thread block can evaluate in parallel 16 terms corresponding to 16 interactions (see Fig. 5). Each thread uses 63 registers and 7184 Bytes of shared memory. Based on the resource limitations of our GPU, namely the NVIDIA GeForce GTX-260 equipped with 24 streaming multiprocessors (SMs), this results in 256 active threads per multiprocessor, i.e., 768 concurrent computations. The second kernel ( ) deals with the Green’s function of the vector potential in the spatial domain. The following expression is evaluated, in parallel, for each entry of the matrix : (9)

and . Also, where ) and in this case, the expressions of surface-wave poles ( complex-images ( ) can be found in [14]. The algorithm continues until the points of the numerical integration (5) are evaluated. In a similar way, the entire process is repeated for each of the remaining terms of the impedance matrix (7). C. Thresholding Once the impedance matrix is computed, the tool evaluates whether a reduced number of matrix elements are enough to solve the system (2) with adequate accuracy. In a previous work [17], it was demonstrated experimentally that, in many cases, the initial dense matrix can be reduced to a significantly sparse one by neglecting entries smaller than a fixed threshold value. Moreover, in [18], it was shown that direct terms, surface waves, and complex images appearing in the spatial domain closedform Green’s functions can be neglected without loss of accuracy, according to the geometrical parametrization and the distance between basis and test functions. All of these aspects have been taken into account, and the following iterative procedure has been implemented. First, the original dense system is solved by LU decomposition and the initial threshold value is set as (13) and and are, respecwhere tively, the minimum and maximum entries in the impedance

DE DONNO et al.: MPIE/MOM ACCELERATION WITH A GENERAL-PURPOSE GPU

matrix. Then, at each step , we update , neglect the matrix coefficients smaller than , and solve the system with a sparse iterative method. A reasonable choice of the incremental step is , which produces one order-of-magnitude increment of at each iteration. This process continues until the solution accuracy of the sparse system exceeds the tolerated limit. When a circuit must be modeled in a certain frequency range, the matrix sparsity can be preserved at every frequency, if the appropriate is evaluated at the maximum frequency value [17]. Therefore, thresholding can be executed only once, thus minimizing its impact on the overall performance. In addition to the optimum value, thresholding allows us to select the best-performing solver for the remainder of the frequency analysis. Indeed, during the process the execution times for both dense ( ) and sparse ( ) solvers are measured. If , the direct-dense solver is recommended, otherwise the iterative-sparse one is preferred and the matrix is converted into the compressed row storage (CRS) format. D. Linear System Solution In the implemented MoM, the dense linear system is solved by using LU-decomposition of the impedance matrix. We integrated in our framework the “zgesv” routine from the MAGMA 1.0 library [19] which solves an LU-factorized system in double-precision complex arithmetic. The routine first allocates the required memory spaces on the host and device side to address the computation. Second, it invokes the MAGMA “zgetrf” function, which performs the LU decomposition of the impedance matrix: (14) where is used for the partial pivoting with row-interchanges, and are, respectively, the lower and upper triangular matrices. Third, the “ztrsv” routines from CUDA BLAS library (cublas) are used to solve the linear system. Finally, the solution vector containing the current distribution is retrieved from the GPU global memory. As for the sparse solver, we relied on the complex BiCGstab method implemented in the CUSP v0.2.0 library [20]. Our framework is interfaced with CUSP by wrapping host and device memory with and pointers. CUSP BiCGstab is invoked by

where stores the sparse impedance matrix in CRS format and monitor determines the solver convergence criteria. For example, the following instruction defines a monitor with 2000 as the maximum number of iterations and as relative tolerance of the residual norm

We remark that CUSP, as MAGMA, supports double-precision arithmetic. Based on previous experiences with GPU-based iterative solvers [21], we also implemented from scratch a complex-sparse Jacobi-preconditioned BiCGstab method. The main loop controlling the convergence behavior is kept on the

2697

CPU, whilst the computations inside are performed on the GPU. Four CUDA kernels are in charge of the operations carried out in the main loop, i.e., sparse matrix-vector multiplication (SpMV), dot product of vectors, element-wise product of vectors, and and , where and are complex scalars and and are vectors. The SpMV kernel implements a modified version of the Bell and Garland algorithm [22]. We adapted it in order to tackle double-precision complex data and replicated the sophisticated optimization strategies therein proposed, such as loop unrolling and shared memory exploitation. Memory accesses were optimized according to the storage format, in particular one warp was assigned to each matrix row of the CRS format. The CUDA kernel implementing the dot product is an adaption and generalization of the well-known parallel reduction algorithm proposed by Harris et al. in [23]. Such code is appreciated for its efficiency due to advanced optimization strategies. Element-wise product, and routines were implemented from scratch. In this way, we could aggregate multiple calls in the same kernel and reduce the overhead due to host-device communications. Direct performance comparison between our proposed BiCGstab solver and that from CUSP is provided in Section V. V. RESULTS We tested our tool on different kinds of planar microstrip circuits. In order to demonstrate the efficiency of both the direct and iterative solver, we report results concerning a T-junction power divider and a branch-line coupler in microstrip technology. Indeed, thresholding analysis demonstrated how the former produces a dense impedance matrix while the latter gives rise to a sparse one. In both cases, we validate the tool efficacy by comparing our results with those provided by a well-known commercial full-wave simulator based on MoM, i.e., the ADS Momentum tool [24]. Then, a performance analysis is conducted by comparing the GPU-enabled code with an equivalent one running entirely on the CPU. A. Experimental Setup The GPU-enabled MPIE/MoM was tested on a very cheap GPU, i.e., the CUDA-compatible NVIDIA GeForce GTX 260 GPGPU, featuring 24 streaming multiprocessors (192 processor cores) and 896 MB of global memory. CUDA 4.0 toolkit and optimized drivers for Ubuntu 10.10 32-b O.S. were used. As for the CPU side, the code was compiled by GCC 4.4 and run on an Intel Core2 Quad CPU Q9550 at 2.83 GHz. The Intel Math Kernel Library (MKL) 10.3 [25] was considered as benchmarking, and all calculations were performed by using doubleprecision complex arithmetic. B. T-Junction The T-junction is an important component in microwave and millimeter-wave monolithic circuits where it acts as power-divider for broadband diplexer applications. We tested the GPUbased MoM on the one-step T-junction circuit also analyzed in [26] (see Fig. 6 for the layout geometry). In order to assess the impedance matrix characteristics and identify the best suited system solver, we performed the thresholding analysis described in Section IV-C. We found that numerical errors on the -parameters are negligible (maximum error

2698

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

TABLE I COMPUTATION TIMES OF THE T-JUNCTION IMPEDANCE MATRIX

TABLE II EXECUTION TIMES (IN SECONDS) OF MOM SOLVERS – T-JUNCTION

Fig. 6. Geometry of the analyzed one-step T-junction component.

Fig. 7. Modulus of the T-junction -parameters: comparison between ADS Momentum and GPU-enabled MPIE/MoM.

less than 3%) when entries smaller than are nullified. With such a threshold, the percentage of zeroed entries (matrix sparsity) was about 15%. Validity and accuracy of the proposed approach are demonstrated in Fig. 7 where a perfect agreement between the scattering parameters calculated by the GPU-enabled MoM and those by ADS Momentum is shown. Tables I and II report the execution times (in seconds) needed, respectively, for the impedance matrix computation and for the linear system solution. Table I also reports the errors on -parameters as the mesh is refined. It can be seen that impedance matrices containing up to 12 000 12 000 entries can be computed, while the maximum matrix size handled by the solvers is 7000 7000. The reason is that the developed algorithm computes separately , , , and . Therefore, only a submatrix at a time resides on the GPU global memory. On the contrary, MAGMA solver requires the entire system matrix to be stored on the device. The limited memory space available on our off-the-shelf GPU (896 MB) makes 7000 7000 the maximum problem size we are able to deal with. In order to overcome such a limitation, an out-of-core LU decomposition as in [27] should be considered.Moreover, since the T-junction geometry does not give rise to significantly sparse matrices,

Fig. 8. T-junction analysis: achieved speed-ups for the entire MoM process when varying the number of frequency points.

the BiCGstab solver is limited by memory requirements. Obviously, extremely large problems require GPU clusters to be parallelized, but such an issue is beyond the scope of this paper. Despite the memory limitations, both the impedance matrix computation and the linear system solution reach a considerable acceleration. A maximum speed-up of about 17 is achieved for the matrix assembly, while MAGMA and our proposed GPU-enabled BiCGstab outperform MKL (four threads) by a factor of 5 and 9, respectively. Furthermore, our BiCGstab solver is 1.5 times faster than CUSP for the maximum analyzed problem size. As is typical in GPU computing, the speed-up increases with the matrix dimension because of the better exploitation of GPU resources and multiprocessor occupancy. Indeed, based on the considered mapping between circuit cells and CUDA threads, some GPU multiprocessors might be idle or underutilized in small-size problems. Moreover, the impact of the overhead due to the preliminary allocation of GPU memory spaces and the CPU-GPU communications is emphasized in small-size problems. It is clear from Table II that the LU-based solver takes much less time than BiCGstab. This depends on two main reasons. First, the LU-decomposition is computed only once because, for each port, only the excitation vector (r.h.s. – right hand side) of

DE DONNO et al.: MPIE/MOM ACCELERATION WITH A GENERAL-PURPOSE GPU

2699

Fig. 9. Geometry of the analyzed branch-line coupler.

the system (2) changes. In the sparse case instead, the BiCGstab must be invoked three times. Secondly, the BiCGstab we are considering is designed to handle sparse systems whereas, as previously shown, the T-junction matrix exhibits a low sparsity level (15% of zero entries). Fig. 8 shows the performance of the GPU-enabled code for a problem size of 7000 unknowns when varying the number of frequency points in the analyzed range. At each frequency, the reference CPU code simply computes the impedance matrix and solves the linear system by MKL-LU decomposition. The GPU code instead follows the procedure in Fig. 3 and selects MAGMA as best performing solver. As shown, the impact of thresholding on the overall performance is really negligible when more than 100 frequency points are considered (recall that matrix analysis is performed once at the maximum frequency value). This situation is rather common, both during standard frequency analysis of components, and, above all, when performing the optimization of components, especially if iterative methods are adopted. In the case here reported, the speed-up is calculated as (15) and stabilizes at 7.5, where is the number of frequency points, ( ) and ( ) are the CPU (GPU) execution times of the impedance matrix assembly and the LU-based solver, respectively, and is the time required by the GPU to perform the thresholding operation. C. Branch-Line Coupler The other experiment we report in this paper deals with the design of microstrip branch-line couplers, which are four ports devices widely adopted in microwave and millimeter-wave applications like power dividers and combiners. More specifically, the analyzed layout consists of two branch-line couplers connected by means of a 360 microstrip line and operating in the 2.5-3.5-GHz frequency band (see Fig. 9 for the layout geometry). Also in this case, we first conducted a thresholding analysis for the evaluation of the impedance matrix properties. Differently from the T-junction circuit, the branch-line coupler presents a highly sparse impedance matrix. In fact, we found that numerical errors on the -parameters are negligible (less than 3%) when entries smaller than are

Fig. 10. Modulus of the branch-line coupler -parameters: comparison between ADS Momentum and GPU-enabled MPIE/MoM.

nullified. The matrix sparsity is about 95% in such case. In Table III, the effect of thresholding on the final solution accuracy is reported. Fig. 10 shows the comparison between the scattering parameters calculated by the GPU-enabled code with those provided by ADS Momentum. Also for this problem, a perfect agreement is achieved. Tables IV and V report the execution times (in seconds) required respectively for the impedance matrix computation and for the linear system solution. Table IV also reports the errors on -parameters as the mesh is refined. Since the branch-coupler’s matrix can be considerably sparsified, the conversion to CRS format makes the required GPU memory space very small. Therefore, linear systems up to 12000 unknowns can be solved by BiCGstab. Again, MAGMA dense solver remains limited to 7000 unknowns because of memory requirements. Unlike the T-junction, the sparse BiCGstab solver takes less time than the LU-based one. Indeed, even if the branch-line coupler has four ports, the solution of four significantly sparse systems is more convenient than one dense LU decomposition. Fig. 11 shows the performance of the GPU-enabled code for a problem size of 12 000 unknowns when varying the number of frequency points. For the reference CPU code we examine two cases. In the first case, at each frequency, the impedance matrix is computed and the linear system is solved by MKL-LU decomposition. In the second case, the procedure in Fig. 3 is performed entirely on the CPU and the MKL-BiCGstab solver is selected. For the GPU code, instead, we simply follows the

2700

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

TABLE III THRESHOLDING EFFECT ON THE SOLUTION ACCURACY (BRANCH-COUPLER)

the proposed GPU-enabled BiCGstab). In the second case, i.e., when the CPU entirely performs the procedure in Fig. 3, the achieved speed-up (17) and are the CPU execution times is 14 ( of thresholding and MKL-BiCGstab, respectively). VI. CONCLUSION

TABLE IV COMPUTATION TIMES OF THE BRANCH-COUPLER IMPEDANCE MATRIX

TABLE V EXECUTION TIMES (IN SECONDS) OF MOM SOLVERS – BRANCH-COUPLER

This paper proposes a fully GPU-enabled MoM for the analysis of planar microstrip circuits. Based on NVIDIA CUDA, our implementation accelerates the two most time-demanding tasks of the MoM: the impedance matrix computation and the linear system solution. The former adopts a quasi-1-D approximation of the MPIE formulation and standard rooftop basis functions. The latter considers both a direct-dense (LU-based) and a sparse-iterative (BiCGstab) solver. A thresholding operation, carried out at the maximum test frequency, can reduce the initially dense matrix to a sparse one and selects the best-suited solver. The code has been tested on two microstrip circuits, a T-junction power-divider and a branch-line coupler. The calculated scattering parameters have shown a perfect agreement with those provided by a commercial simulator. Our GPU-based implementation performs extremely well if compared to an equivalent standard MoM running on the CPU. The thresholding procedure really helps to reduce execution times and memory requirements. More specifically, the T-junction power-divider exhibits a dense impedance matrix and the limited memory available by the adopted GPU makes 7000 7000 the maximum problem size that can be handled. The overall speed-up achieved in this case is 7.5 when the Intel MKL library is considered as benchmarking. The branch-coupler instead produces a highly sparse impedance matrix, whose compressed representation makes solvable problems with size up to 12 000 12 000. In this case, the overall speed-up is approximately 14 over the MKL-based MoM. REFERENCES

Fig. 11. Branch-coupler analysis: achieved speed-ups for the entire MoM process when varying the number of frequency points.

procedure in Fig. 3: the initially dense matrix is converted into a sparse CRS-formatted one (95% is the achieved sparsity level) and the proposed BiCGstab is selected as system solver. The GPU-enabled MoM achieves a speed-up factor (16) of 24 in the first case, i.e., when the reference CPU code relies on the MKL-LU solver ( is the execution time of

[1] “CUDA Zone—The resource for CUDA developers,” NVIDIA Corp. [Online]. Available: http://www.nvidia.com/cuda/ [2] “OpenCL,” Khronos Group [Online]. Available: http://www.khronos. org/opencl/ [3] D. De Donno, A. Esposito, L. Tarricone, and L. Catarinucci, “Introduction to GPU computing and CUDA programming: A case study on FDTD,” IEEE Antennas Propag. Mag., vol. 52, no. 3, pp. 116–122, Jun. 2010. [4] D. C. Chang and J. X. Zheng, “Electromagnetic modeling of passive circuits elements in MMIC,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 9, pp. 1741–1747, Sep. 1992. [5] D. Göddeke, R. Strzodka, and S. Turek, “Accelerating double precision FEM simulations with GPUs,” in Proc. 18th Symp. Simulation Tech., 2005. [6] R. Chen, K. Xu, and J. Ding, “Acceleration of MoM Solver for scattering using graphics processing units (GPUs),” in Proc. Wireless Technol. Conf., Taipei, 2008, pp. 63–66. [7] S. Peng and Z. Nie, “Acceleration of the method of moments calculations by using graphics processing units,” IEEE Trans. Antennas Propag., vol. 56, no. 7, pp. 2130–2133, Jul. 2008. [8] “OpenGL—The industry standard for high performance graphics,” Khronos Group [Online]. Available: http://www.opengl.org/ [9] “BrookGPU,” Stanford Univ. Graphics Lab, Stanford, CA [Online]. Available: http://graphics.stanford.edu/projects/brookgpu/

DE DONNO et al.: MPIE/MOM ACCELERATION WITH A GENERAL-PURPOSE GPU

[10] T. Killian, D. L. Faircloth, and S. M. Rao, “Acceleration of TM cylinder EFIE with CUDA,” in Proc. IEEE Int. Symp. Antennas Propag., Jun. 2009, pp. 1–4. [11] E. Lezar and D. B. Davidson, “GPU-accelerated method of moments by example: Monostatic scattering,” IEEE Antennas Propag. Mag., vol. 52, no. 6, pp. 120–135, Dec. 2010. [12] T. Topa, A. Karwowski, and A. Noga, “Using GPU with CUDA to accelerate MoM-based electromagnetic simulation of wire-grid models,” IEEE Antennas Wireless Propag. Lett., vol. 10, pp. 342–345, 2011. [13] T. Topa, A. Noga, and A. Karwowski, “Using GPU with CUDA to accelerate MoM-based electromagnetic simulation of wire-grid models,” IEEE Antennas Wireless Propag. Lett., vol. 10, pp. 480–483, 2011. [14] M. I. Aksun and R. Mittra, “Derivation of closed-form Green’s functions for a general microstrip geometry,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 11, pp. 2055–2062, Nov. 1992. [15] M. I. Aksun and R. Mittra, “Choices of expansion and testing functions for the method of moments applied to a class of electromagnetic problems,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 3, pp. 503–508, May 1993. [16] L. Tarricone et al., “A quasi-one–dimensional integration technique for the analysis of planar microstrip circuits via MPIE/MoM,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 3, pp. 517–523, Mar. 2001. [17] F. Cervelli, M. Mongiardo, and L. Tarricone, “An impedance matrix transformation for planar circuit integral equation solvers,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1998, pp. 1559–1562. [18] F. Cervelli, M. Mongiardo, and L. Tarricone, “Efficient phenomenologically-based 1-D evaluation of the impedance matrix in a MPIE analysis of planar microstrip circuits,” in IEEE MTT-S Int. Microw. Symp. Dig., 1998, pp. 1559–1562. [19] S. Tomov, J. Dongarra, V. Volkov, and J. Demme, “MAGMA Library,” Univ. of Tennessee and Univ. of California, Knoxville, TN, and Berkeley, CA, 2009 [Online]. Available: http://icl.cs.utk.edu/magma/ [20] CUSP Library v0.2.0, [Online]. Available: http://code.google.com/p/ cusp-library/ [21] D. De Donno, A. Esposito, G. Monti, and L. Tarricone, “Iterative solution of linear systems in electromagnetics (and not only): Experiences with CUDA,” LNCS, vol. 6586, 2011. [22] N. Bell and M. Garland, “Efficient sparse matrix-vector multiplication on CUDA,” NVIDIA Corp., NVIDIA Tech. Rep., 2008. [23] M. Harris, S. Sengupta, and J. D. Owens, “Parallel prefix sum (scan) with CUDA,” in GPU Gems 3, H. Nguyen, Ed. Reading, MA: Addison-Wesley, 2007. [24] ADS-Momentum, [Online]. Available: http://www.home.agilent.com/ [25] Intel. Math Kernel Library (Intel MKL) 10.3, [Online]. Available: http://software.intel.com/en-us/articles/intel-mkl/ [26] B. Preetham Kumar, G. R. Branner, and G. Razmafrouz, “Optimization of microwave T-junction power-divider circuits,” in Proc. 37th Midwest Symp. Circuits Syst., Aug. 1994, pp. 1235–1237. [27] E. Lezar and D. Davidson, “GPU-based LU decomposition for large method of moments problems,” Electron. Lett., vol. 46, no. 17, pp. 1194–1196, Aug. 2010.

Danilo De Donno was born in Lecce, Italy, in 1983. He received the B.Sc. and M.Sc. degrees (cum laude) in telecommunication engineering from the Politecnico di Milano, Italy, in 2005 and 2008, respectively, and the Ph.D. degree in information engineering from The University of Salento, Lecce, Italy, from 2012. From July to December 2011, he was a Visiting Researcher with the School of Electrical and Computer Engineering, The Georgia Institute of Technology, Atlanta. He is currently holds a postdoctoral position with the Innovation Engineering Department, The University of Salento, Lecce, Italy. His research interests include the design of parallel electromagnetics algorithms on graphics processors, RFID systems, computational RFID, and software-defined radio experimentation.

2701

Alessandra Esposito received the received the Laurea degree in electronic engineering (with honors) from the University of Naples, Naples, Italy. She is currently a freelance Consultant in the area of computer science and information technologies, with a focus on enabling technologies for the Internet of Things, such as semantic-oriented applications (e.g., ontologies, pervasive computing, context-awareness, and intelligent agents) and distributed/parallel computing (e.g., computational clouds, GPU computing, and agent paradigm), for research in universities and small, medium, and large companies. She has cooperated with several research institutions, universities, and business companies, in the framework of educational, research, and industrial projects. She has authored and coauthored approximately 100 papers in national and international conferences and journals. She is a coauthor of the books Grid Computing for Electromagnetics (Artech House, 2004) and Advances in Information Technologies for Electromagnetics (Springer, 2006).

Giuseppina Monti received the Laurea degree in telecommunication engineering (with honors) from the University of Bologna, Bologna, Italy, in 2003, and the Ph.D. degree in information engineering from The University of Salento, Lecce, Italy, in 2007. She is currently with the Department of Innovation Engineering, University of Salento, Lecce, Italy, as a Temporary Researcher and Lecturer in computer-aided design of microwave circuits and antennas. Her current research interest includes the analysis and applications of artificial media (such as double-negative metamaterials and nano-carbontube), electromagnetic compatibility and interference problems in planar microwave circuits, the design and realization of microwave components, MEMS-based reconfigurable antennas and devices, rectenna systems, and systems and devices for wireless power transmission applications. She has coauthored a book chapter and approximately 70 papers in international conferences and journals.

Luciano Tarricone received the Laurea degree in electronic engineering (cum laude) and Ph.D. degree from Rome University “La Sapienza,” Rome, Italy, in 1989 and 1994, respectively. From 1990 to 1992, he was a Researcher with the IBM Rome Scientific Centers. From 1992 to 1994, he was with the IBM European Center for Scientific and Engineering Computing, Rome, Italy. Since 1994, he has been a Researcher with the University of Perugia, Perugia, Italy, and, since 1998, he has been a “Professore Incaricato” of electromagnetic (EM) fields and EM compatibility. Since November 2001, he has been a Faculty Member with the Department of Innovation Engineering, University of Salento, Lecce, Italy, where he is Full Professor of EM fields and coordinates a research group of about 15 people. He has authored and coauthored approximately 300 scientific papers. His main contributions are in the modeling of microscopic interactions of EM fields and biosystems, and in numerical methods for efficient computer-aided design (CAD) of microwave circuits and antennas. He is currently involved in bioelectromagnetics, electromagnetic energy harvesting and wireless power transmission, novel CAD tools and procedures for microwave circuits, RFID, and EM high-performance computing.

2702

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

An Instrumental Variable Vector-Fitting Approach for Noisy Frequency Responses Amir Beygi and Anestis Dounavis, Member, IEEE

Abstract—This paper presents an efficient methodology to improve the convergence properties of vector fitting (VF) when the frequency data is contaminated by noise. The proposed algorithm uses an instrumental variable approach, which minimizes the biasing effect of the least squares solution caused by the noise of the data samples. These instruments are generated using the rational approximation of the previous iteration and does not increase the computational complexity of the VF algorithm. Numerical examples are provided to illustrate the validity of the proposed method. Index Terms—Circuit extraction, curve fitting, high-speed interconnects, instrumental variables, macromodeling, noise, rational approximation, vector fitting (VF).

I. INTRODUCTION

A

DVANCES IN fabrication technologies have increased the circuit densities and operating frequencies of integrated circuits and has made electrical interconnects a critical part for determining the signal integrity and speed performance of electronic systems [1], [2]. However, developing analytical interconnect models is a challenging task for the case when there are nonuniformities, process variations, and complex geometries. Under these circumstances, the behavior of interconnects lumped with other electromagnetic modules such as vias, connectors, and packages is often characterized by tabulated data, obtained by measurements or by electromagnetic simulations [3]–[23]. As a result, system identification of distributed systems has become an important issue for the analysis of highspeed circuits. To develop macromodels of electromagnetic components characterized by frequency-domain data, rational curve fitting using least squares approximation is usually performed [3]–[16]. Among these techniques, the vector-fitting (VF) algorithms [5]–[16] have emerged as a popular system identification tool since the rational approximation is obtained using rational bases formulated as a linear least squares problem and relies on an iterative pole relocation approach to improve Manuscript received December 12, 2011; revised June 11, 2012; accepted June 14, 2012. Date of publication July 16, 2012; date of current version August 28, 2012. This work was supported in part by the Natural Sciences and Engineering Research Council of Canada (NSERC), the Canada Foundation for Innovation, and the Canadian Microelectronics Corporation. A. Beygi was with the Department of Electrical and Computer Engineering, University of Western Ontario, London, ON, Canada N6A 5B9. He is now with Evertz Microsystems, Burlington, ON, Canada L7L 5Z9. A. Dounavis is with the Department of Electrical and Computer Engineering, University of Western Ontario, London, ON, Canada N6A 5B9 (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2206399

the approximation. This leads to better numerical stability and robustness when compared to noniterative or polynomial base approaches. Although the VF algorithms work well in estimating the rational transfer functions (TFs), this is not the case when the data samples are contaminated by noise [10]–[12], [16]. This is due to the fact that the noise of the data causes the least squares solution to bias the location of the poles leading to increased number of iterations or inaccurate rational approximations. In [10], a pole adding and skimming technique is developed to remove and replace the spurious poles that are significantly biased by the noisy data. However, this method requires additional computations to identify the spurious poles and select the locations of the added poles. Another approach is to modify the standard VF algorithm by using a relaxed constraint function [referred as relaxed vector fitting (RVF)] [11]. This improves the relocation of the poles and provides better convergence. The convergence properties of RVF is further improved in [16] by using least squares weighted functions. These weighted functions provide information about the quality of the data samples to the least squares estimator and reduce the biasing effect of the noise. Nonetheless, multiple measurements are required to accurately determine the appropriate weight functions. In this paper, an instrumental variable approach [24]–[28] is described to obtain accurate rational approximations of noisy frequency domain data. The developed algorithm uses the rational approximation of the previous iteration to create the instrumental variables. This reduces the biasing effect of the least squares solution caused by the noise of the data, without increasing the computational complexity of the VF algorithm. Numerical examples illustrate that the proposed algorithm leads fewer iterations and more accurate rational approximations when compared to methodologies that use traditional least squares solution. II. PROBLEM DESCRIPTION A. Review of VF Algorithm A multiport network characterized by tabulated data can be expressed in the form of admittance, impedance, hybrid, or scattering parameters. The objective of the VF algorithm [5] is to determine a rational approximation for the TF, as (1a) (1b) correspond to real or complex conjugate poles where and and are optional quotient variand residues, respectively,

0018-9480/$31.00 © 2012 IEEE

BEYGI AND DOUNAVIS: VARIABLE VF APPROACH FOR NOISY FREQUENCY RESPONSES

2703

ables, is the Laplace variable, is the number of ports, and corresponds to the number of poles and residues. This section describes the VF algorithm when the TF is a one-port network. The TF of is identified by specifying a set of starting poles for a weight function defined as (2) In addition, the rational approximation for scribed as

is also de-

(8) different frequency points are assemThe equations of (6) at bled to obtain an overdetermined linear system of equations (9)

(3) and equating with Multiplying (2) with the data values of (3), yields the following system of equations: (4) and , and quotient The coefficients of (4) (i.e., residues variables and ) are determined by choosing frequency points over the frequency range of interest to obtain an overdetermined linear system. To ensure the least square approximation provides real or complex conjugate poles and residues, at each frequency point the system of (4) is expressed as

The least square solution of (9) provides the rational approximaand , which can be expressed in terms tions for of poles and zeros as

(10)

Note that the poles of (10) cancel each other out to obtain a rational approximation estimate for as

(11)

(5) and are described by (6), shown at the bottom of this page. and denote the real and imaginary parts of the function, respectively. For real poles and residues, the coefficients of (6) are (7) For complex conjugate pole and residue pairs (i.e., , , coefficients of (6) are

) the

where the zeros of become the poles of . This new set of poles are used as the starting poles for the next iterations to replace . The above procedure is repeated until the poles converge. Once the poles are determined, an additional least square solution is performed on (1) to directly determine residue and quotient values of . In [11], the VF algorithm is slightly modified by changing the weight function to (12) Multiplying (12) with the data values of and equating with (3), yields the following system of equations: (13)

(6)

2704

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

At each frequency point , (13) is expressed in the form of (5) and (14) shown at the bottom of this page. For , the coefficient , , , and are defined by (7) and (8) for real and complex conjugate poles, respectively, and . Since the values of are zero, to avoid the null solution, an additional equation is added to the least square problem [11]

For complex conjugate pole and residue pairs, defined as

and

are

(20) The least square solution of (9) in the presence of noise can be expressed as [24]

(15) The equations of (14) at different frequency points are assembled with (15) to obtain an overdetermined linear system of equations similar to (9). Equation (15) imposes a relaxed constraint on the weight function of (12). Both weight functions (2) and (13) approach unity for all frequencies (i.e., ) as the VF algorithm converges [11]. The above formulation is referred to as the RVF in the literature [11], [12], [16] and may enhance the reallocation of the poles to improve convergence. Section II-B discuses the accuracy of the VF algorithm in the presence of noise. B. VF for Noisy Frequency Responses Consider the tabulated data from measurement perturbed with a zero-mean complex random noise as

(21) where

(22) and of (22) are the matrices obtained Note that in the absence of noise. Since it is assumed that the biasing of the noise is zero (i.e., expected mean value ), the expected values of the second and third terms on the right-hand side of (22) are also zero

(16) where is the exact theoretical TF in the absence of noise. Even though the noise of the data is assumed to be zero mean, this section will illustrate that the least square solution of (9) will be biased, which may lead to less accurate results. To investigate the biasing effects of the least square solution in the presence of zero-mean noise, at sample frequency, (i.e., ) is substituted into (5)–(8) to obtain

(23) Thus, the matrices of (23) do not statistically bias the results of the least square approximation. The fourth terms on the righthand side of (22) are defined as

(24) (17)

where

where otherwise (18) For real poles and residues,

(25) otherwise

is defined as (19)

and and expected mean values of

and

. Note that the are

(14)

BEYGI AND DOUNAVIS: VARIABLE VF APPROACH FOR NOISY FREQUENCY RESPONSES

not equal to zero. This causes the nonzero terms of and to statistically bias the least square approximation of (21) since

2705

TABLE I POLES AND RESIDUES OF THE TF (EXAMPLE 1)

(26) terms bias the matrices, which afThe nonzero fect the solution of all unknown variables in (21). The nonzero terms bias the residues, which are used to determine the poles of . It is the biasing effect of , which is mainly responsible for the failure of VF to capture the actual poles of the system in the presence of zero-mean noise. This will be illustrated in Section IV. To investigate the biasing effects of RVF, (16) at sample frequency (i.e., ) is substituted into (5), (7), (8), (14), and (15) to obtain

Section III describes an instrumental variable approach to minimize the biasing effects of (26) and (31). Numerical examples will illustrate that combining the VF algorithms with instrumental variable approach will provide more accurate pole estimations with less iteration to converge. III. PROPOSED ALGORITHM

(27) where (28) , the coefficients are defined by (19) and (20) for For real and complex conjugate poles, respectively, and . The least square solution of the RVF algorithm can be expressed as (21), where

Section II shows that in presence of noise, the least square solution is biased. More precisely, when the measured frequencydomain data is contaminated with noise, the poles are perturbed from their original positions [10] or may require additional iterations to converge. To minimize the biasing effects of the least square solution, an instrument variable approach is proposed. A. Description of Instrument Variable Algorithm The least squares solution using the instrumental variable approach can be defined as (32)

(29) Making the assumption that the biasing of the noise is zero, the expected mean values of the second and third terms on the right-hand side of (29) are

(30) Similarly, the matrix is defined by (24) and (25), where and . Since and , the matrix will bias the least square approximation of (21) since (31)

is referred as an instrument of the least squares soluwhere tion. The objective of the instrument variable is for to match where the errors of are zero mean and uncorrelated with the noise of and . This leads to an unbiased solution for in (32) [24]–[28]. The construction of can be generated from different estimates of , defined as (33) and is aswhere is the error of the approximation of sumed to be zero-mean and uncorrelated with . Substituting the sample frequency (i.e., ) into (5) generates the instrument defined as (34)

In comparison with the traditional VF algorithm, the biasing effect of RVF is less pronounced since formulation moves the

is the error of the instrument and is similar to as where defined by (18) and (28) where is replaced by . To investigate the biasing effects of the instrumental variable approach, the matrices of (32) are expressed as

terms of (25) to . As a result, the matrix inversion of (21) distributes the biasing effects of (31) among all unknown variables of . Thus, RVF is better able to capture the poles of the system in comparison to the traditional VF algorithm.

(39)

2706

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 1. Sample response of the original TF with: (a) 30-dB SNR and (b) 20-dB SNR (Example 1).

Fig. 2. Rational approximations of the 30-dB SNR data using VF and VF-IV. (a) Magnitude and (b) phase plots (Example 1). TABLE II CALCULATED POLES USING VF AND VF-IV AFTER TWENTIETH ITERATION (EXAMPLE 1)

Fig. 3. RMS error versus iteration count for , error at twentieth iteration:

Since it is assumed that the biasing of and are zero, the expected mean values of the second and third terms on the righthand side of (39) are also zero. Furthermore, and are assumed to be uncorrelated (i.e., ), which causes the expected mean values of the fourth terms on the right-hand side of (39) to be zero

(40) Section III-B describes a technique for constructing the in. Since the errors of the instruments strument variables for and the noise of the data do not statistically bias the results of (32), it is expected that this approach will yield more accurate results when compared to the least squares solution of (21).

-

dB (Example 1). (rms ).

B. Methodology to Construct the Instrument Variables A simple way to create is to use the previous estimate as proposed in [24]–[28]. Since the previous rational approximation is less correlated with the noise of the data, the biasing effects of (32) are minimized. The proposed methodology is summarized below in the form of pseudocode. Step 1: Obtain tabulated data, select number of iterations , stopping accuracy of the TF , accuracy of rational approximation that can be used to create instrumental variables , and the initial guess of poles . Step 2: For one iteration, follow the procedure of the standard VF or RVF algorithm to calculate the rational approximation and the new poles .

BEYGI AND DOUNAVIS: VARIABLE VF APPROACH FOR NOISY FREQUENCY RESPONSES

2707

Fig. 4. Rational approximations of the 20-dB SNR data using RVF and RVF-IV. (a) Magnitude and (b) phase plots (Example 1).

TABLE VI PERCENTAGE

Fig. 5. RMS error versus iteration count for , error at 20th iteration:

OF CONVERGENCE BEFORE FIFTIETH ITERATION FOR 500 DATA POINTS (EXAMPLE 1)

dB (Example 1). (rms ).

TABLE III OF CONVERGENCE BEFORE TENTH ITERATION FOR 2000 DATA POINTS (EXAMPLE 1)

PERCENTAGE

Fig. 6. Transmission line network (Example 2).

TABLE IV OF CONVERGENCE BEFORE FIFTIETH ITERATION FOR 2000 DATA POINTS (EXAMPLE 1)

PERCENTAGE

Step 3: Check the accuracy of the VF algorithm If the number of iterations equals

, then go to Step 4.

, the errors of Else if error tolerance the rational approximation are assumed to be significant to create appropriate instrumental variables. Go to Step 2, using the new poles as the initial guess. End if TABLE V OF CONVERGENCE BEFORE TENTH ITERATION FOR 500 DATA POINTS (EXAMPLE 1)

PERCENTAGE

Step 4: Check the accuracy of the rational approximation. If error tolerance number of iterations equals algorithm.

is satisfied or the end the instrumental VF

End if and of (5) using either the Step 5: Generate the matrices standard VF or RVF formulation from the tabulated data and the new set of poles .

2708

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 7. Rational approximations of TF with 20-dB SNR. (a) Using VF and VF-IV and (b) using RVF and RVF-IV (Example 2).

Fig. 8. RMS error versus iteration count for , error at tenth iteration: , , -

,

dB (Example 2). (rms , ).

Fig. 10. Magnitude plot of the measured scattering parameters of the circuit in Fig. 9 (Example 3).

and

Step 7: Solve the least squares instrumental variable solution and the poles of (32) to obtain new estimates for and go to Step 4.

Fig. 9. Four-port network (Example 3).

Step 6: Generate the corresponding instruments values from the previous rational approximation the new set of poles .

using data and

If the initial guess of the poles are not accurate in Step 2, the rational approximation may contain significant errors. This can hinder the effectiveness of (32) since the accuracy depends on the accuracy of the previous rational approximation. As a result, Step 2 and Step 3 ensure that the rational approximation obtained by the VF algorithms satisfy or iterations are performed before creating instrumental variables. Step 2 and Step 3 are performed to ensure that is accurate enough to match , which is one of the assumptions made in (34). Step 4–7 perform the instrumental variable algorithm. Since the instruments created by the previous rational

BEYGI AND DOUNAVIS: VARIABLE VF APPROACH FOR NOISY FREQUENCY RESPONSES

Fig. 11. Rational approximations of measured

2709

using VF and VF-IV at tenth iteration. (a) Magnitude and (b) phase plots (Example 3).

approximation are less correlated with the noise of the data, the biasing effects of (32) are minimized. Numerical examples will illustrate that the above formulations lead to more accurate rational approximations with fewer iterations in the presence of noisy data when compared to the VF algorithms. IV. NUMERICAL RESULTS Three examples are provided in this section to demonstrate the accuracy and efficiency of the proposed VF-IV algorithms in the presence of noise. Example 1: The first example is a synthetic TF with 16 poles described in Table I. Two different signal-to-noise ratios (SNRs) of 20 and 30 dB are considered, where the SNR is defined as , and is a zero-mean complexvalued uncorrelated Gaussian noise. Fig. 1 shows a sample response of the original TF with 30- and 20-dB SNR using 2000 frequency points distributed evenly between 0–10 GHz. The input parameters of the pseudocode are set to so that the instrumental variables are created after the tenth iteration and to that the instrumental variables are created after the first iteration. The initial guess of the poles are distributed evenly along the imaginary axis as complex conjugate poles between 0.01–0 GHz. The rational approximations of the data using VF and VF-IV are shown in Fig. 2 for the twentieth iteration. The VF algorithm is not able to catch all the poles of the system due to the biasing of the least squares approximation, while the instrumental variable approach shows good agreement with the original TF for both settings of . Table II shows the poles calculated after the twentieth iteration and the error calculated with respect to the poles real and imaginary parts. It is observed from Table I and II that the instrumental variable algorithm matches the poles of the original system to within 0.14%, while the VF algorithm missed the poles located at . Fig. 3 shows the root mean square (rms) error versus the number of iterations, calculated as (41) corresponds to the actual TF. The rms error at where the twentieth iteration is 3.97e-2 for VF, while the rms error of VF-IV is 2.12e-3 (over 18 times lower). Fig. 3 illustrates that

Fig. 12. RMS error versus iteration count for , at tenth iteration:

(Example 3). (rms error ).

the instrumental variables created after the first iteration are accurate enough to eventually converge to the correct poles and provides similar accuracy when instruments are created after the tenth iteration. Next, rational approximations are obtained for the 30-dB SNR data using RVF and relaxed vector-fitting instrumental variable (RVF-IV). For this example, all RVF algorithms are able to match the response of the original TF similar to VF-IV. The above experiment is repeated for the 20-dB SNR data. The rational approximations using RVF and RVF-IV are shown in Fig. 4 for the twentieth iteration. For this scenario, the RVF failed to capture all the poles of the system, while the instrumental variable approach matches the poles to within 0.14% and shows good agreement with the original transfer. Fig. 5 shows the rms error with the number of iterations. At the twentieth iteration, the rms error for RVF is 3.97e-2 and for RVF-IV is 7.68e-3 (over five times lower). In this example, RVF-IV converges faster than RVF since the instruments of (32) are less correlated with the noise of the data when compared to the least squares solution of (21). To verify the robustness of the proposed algorithms, numerous simulations are performed with different SNRs and different number of data samples. The rms error of each rational approximation is compared to a threshold value. If the rms error is below the threshold value, the rational approximation is judged to be accurate. For each SNR, 100 simulations are performed with different random noise added to the TF. For these experiments, , since the instrument variables created after the first iteration are accurate enough to eventually

2710

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 13. Rational approximations of TF with added noise using: (a) VF and VF-IV and (b) RVF and RVF-IV at 20th iteration (Example 3).

converge. Table III and IV show the results of these analyses using 2000 sample points for 15-, 20-, 30-, and 40-dB SNRs after ten and 50 iterations, respectively. The above experiment is also repeated using 500 sample points (distributed evenly between 0–10 GHz) in Tables V and VI. Note that for the second scenario, the number of iterations to converge is greater for all methodologies since fewer data samples provide less information about the TF. The results of Tables III–VI illustrate that the instrumental variable algorithms are able to significantly improve the convergence properties of both VF and RVF. Example 2: A transmission-line network is shown in Fig. 6. The per-unit-length parameters of each line are m, nH/m, pF/m, and , and the length of the lines are listed in Fig. 6. The -parameters of the three-port circuit described by the box of Fig. 6 is calculated at 2000 frequency points distributed evenly between 0–10 GHz and treated as tabulated data. In this example, the added noise is a zero-mean complex-valued uncorrelated Gaussian noise with an SNR of 20 dB. To capture the response of the TF, each algorithm uses 30 poles and input parameters of the pseudocode are set to , , and . The initial guess of the poles are distributed evenly along the imaginary axis as complex conjugate poles between 0.01–10 GHz. Fig. 7 shows the rational approximations calculated for using VF, VF-IV, RVF, and RVF-IV at the tenth iteration. It is observed from Fig. 7 that the instrumental variable approaches improve the accuracy of the VF algorithms. To test the numerical accuracy of the proposed algorithm, 100 simulations are performed with different random noise added to the TF. The results are also compared with the variance weighted vector-fitting (VW-VF) and variance weighted

relaxed vector-fitting (VW-RVF) [16]. In this work, the variance weighted algorithms are implemented by using eight different data samples to determine the weighting function. Fig. 8 shows the results of these simulations by plotting the average rms errors versus iterations. It is noted from Fig. 8 that the VF-IV is able to significantly improve the convergence properties of VF and achieves a lower rms error when compared to VW-VF. This is due to the fact that the least squares solution of VW-VF remains biased due to the matrices of (26). On the other hand, the proposed methodology does not rely on multiple measurements and minimizes the biasing effect of the least squares solution by using (32), where the errors of the instruments are less correlated with the measured data. For this example, RVF is better able to capture the TF when compared to VF since the formulation of RVF moves the terms of . This causes the matrix inversion of (21) to (25) to distribute the biasing error among all unknown variables of instead of biasing only the terms. Nonetheless, RVF-IV is still able to achieve a lower rms error when compared to RVF and VW-RVF since the instrumental variables used in solving (32) are less correlated with the noise of the data samples. Example 3: Fig. 9 corresponds to a four-port network, which illustrates the far-end crosstalk between two differential pairs of Strada–Whisper connectors provided by TE Connectivity, Harrisburg, PA. The network is characterized by actual measurements of the -parameters using a vector network analyzer. Fig. 10 shows the magnitude of and using 2000 points distributed evenly between 0–20 GHz. The signal differences between and is due to the noise of both measurements. Note that the noise of the cross talk signals and are more pronounced since the signals are weak and reach values

BEYGI AND DOUNAVIS: VARIABLE VF APPROACH FOR NOISY FREQUENCY RESPONSES

2711

REFERENCES

Fig. 14. RMS error versus iteration count for ample 3). (rms error at tenth iteration: , ).

,

with added noise (Ex,

close to the noise floor of the vector network analyzer. To capture the response of the TF, 72 poles are used. The input parameters of the pseudocode are set to . Since the magnitude of the response is relatively flat between 0–5.5 GHz, the initial guess of the poles are distributed evenly along the imaginary axis as complex conjugate poles between 5.5–20 GHz. The rational approximations of the data using VF and VF-IV are shown in Fig. 11. Fig. 12 shows the rms error versus number of iterations calculated using (41), where is replaced with corresponding to the measured data of the TF. Since the noise of the data samples is relatively low, both VF and VF-IV capture the response of the TF. Nonetheless, VF-IV converges faster and achieves a lower rms error since the biasing effect of the least squares approximation is minimized using the instrumental variable approach. For this example, RVF and RVF-IV also match the response of the TF with similar rms error to VF-IV. Next, for the purpose of illustration the noise obtained by is multiplied by four and added to . The rational approximations obtained from the modified data using VF, VF-IV, RVF, and RVF-IV are shown in Fig. 13 and compared with the original data samples of . Fig. 14 shows the rms error versus number of iterations using (41) where corresponds to the original data samples of . It is noted from Figs. 13 and 14 that the rational approximations of the instrumental variable algorithms are further improved when compared to VF and RVF as the noise of the example becomes greater. V. CONCLUSION In this paper, an instrumental variable approach has been presented to increase the accuracy and convergence properties of the VF algorithms for noisy frequency-domain responses. It is illustrated that by using the rational approximation of the previous iteration to create the instruments, the biasing effect of the least squares solution caused by the noise of the data sample is minimized. This improves the accuracy of the TF, with less iteration and without increasing the computational complexity of the VF algorithms. ACKNOWLEDGMENT The authors wish to thank Dr. D. Saraswat, TE Connectivity, Harrisburg, PA, for providing the measured data of example 3.

[1] C. R. Paul, Analysis of Multiconductor Transmission Lines, 2nd ed. New York: Wiley, 2008. [2] R. Achar and M. Nakhla, “Simulation of high-speed interconnects,” Proc. IEEE, vol. 89, no. 5, pp. 693–728, May 2001. [3] W. T. Beyene and J. E. Schutt-Aine, “Efficient transient simulation of high-speed interconnects characterized by sampled data,” IEEE Trans. Compon., Packag., Manuf. Technol., vol. 21, no. 1, pp. 105–114, Feb. 1998. [4] B. Gustavsen and A. Semlyen, “Combined phase and modal domain calculation of transmission line transients based on vector fitting,” IEEE Trans. Power Del., vol. 13, no. 2, pp. 596–604, Apr. 1998. [5] B. Gustavsen and A. Semlyen, “Rational approximation of frequency domain responses by vector fitting,” IEEE Trans. Power Del., vol. 14, no. 3, pp. 1052–1061, Jul. 1999. [6] A. Semlyen and B. Gustavsen, “Vector fitting by pole relocation for the state equation approximation of nonrational transfer matrices,” Circuits Syst. Signal Process., vol. 19, no. 6, pp. 549–566, 2000. [7] B. Gustavsen, “Computer code for rational approximation of frequency dependent admittance matrices,” IEEE Trans. Power Del., vol. 17, no. 4, pp. 1093–1098, Oct. 2002. [8] S. Grivet-Talocia, “Package macromodeling via time-domain vector fitting,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 11, pp. 472–474, Nov. 2003. [9] B. Gustavsen and A. Semlyen, “A robust approach for system identification in the frequency domain,” IEEE Trans. Power Del., vol. 19, no. 3, pp. 1167–1173, Jul. 2004. [10] S. Grivet-Talocia and M. Bandinu, “Improving the convergence of vector fitting for equivalent circuit extraction from noisy frequency responses,” IEEE Trans. Electromagn. Compat., vol. 48, no. 1, pp. 104–120, Feb. 2006. [11] B. Gustavsen, “Improving the pole relocating properties of vector fitting,” IEEE Trans. Power Del., vol. 21, no. 3, pp. 1587–1592, Jul. 2006. [12] B. Gustavsen, “Relaxed vector fitting algorithm for rational approximation of frequency domain responses,” in IEEE Signal Propag. Interconnects Workshop, 2006, pp. 97–100. [13] D. Deschrijver, B. Haegeman, and T. Dhaene, “Orthonormal vector fitting: A robust macromodeling tool for rational approximation of frequency domain responses,” IEEE Trans. Adv. Packag., vol. 30, no. 2, pp. 216–225, May 2007. [14] D. Deschrijver, B. Gustavsen, and T. Dhaene, “Advancements in iterative methods for rational approximation in the frequency domain,” IEEE Trans. Power Del., vol. 22, no. 3, pp. 1633–1642, Jul. 2007. [15] B. Nouri, R. Achar, and M. S. Nakhla, “ -domain orthonormal basis functions for physical system identifications,” IEEE Trans. Adv. Packag., vol. 33, no. 1, pp. 293–307, Feb. 2010. [16] F. Ferranti, Y. Rolain, L. Knockaert, and T. Dhaene, “Variance weighted vector fitting for noisy frequency responses,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 4, pp. 187–189, Apr. 2010. [17] K. M. Coperich, J. Morsey, V. I. Okhmatovski, A. C. Cangellaris, and S. E. Ruehli, “Systematic development of transmission-line models for interconnects with frequency-dependent losses,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 10, pp. 1677–1685, Oct. 2001. [18] K. M. Coperich, J. Morsey, A. C. Cangellaris, and S. E. Ruehli, “Physically consistent transmission line models for high-speed interconnects in lossy dielectrics,” IEEE Trans. Adv. Packag., vol. 35, no. 2, pp. 129–135, May 2002. [19] S. Grivet-Talocia, “Package macromodeling via time-domain vector fitting,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 11, pp. 472–474, Nov. 2003. [20] E.-X. Liu, E.-P. Liu, and L.-W. Li, “Analysis of signal propagation on high-speed planar interconnect systems based on full-wave and macromodelling techniques,” Microw. Opt. Technol. Lett., vol. 39, no. 3, pp. 183–187, Nov. 2003. [21] E.-P. Li, E.-X. Liu, L.-W. Li, and M.-S. Leong, “A coupled efficient and systematic full-wave time-domain macromodeling and circuit simulation method for signal integrity analysis of high-speed interconnects,” IEEE Trans. Adv. Packag., vol. 27, no. 1, pp. 213–223, Feb. 2004. [22] D. Saraswat, R. Achar, and M. Nakhla, “A fast algorithm and practical considerations for passive macromodeling of measured/simulated data,” IEEE Trans. Adv. Packag., vol. 27, no. 1, pp. 57–70, Feb. 2004. [23] F. Ferranti, Y. Rolain, K. Vandermot, L. Knockaert, and T. Dhaene, “A multivariate orthonormal vector fitting based estimation technique,” in Proc. 15th IFAC Syst. Identification Symp., St. Malo, France, Jul. 2009, pp. 1632–1637.

2712

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

[24] L. Ljung, System Identification—Theory for the User, 2nd ed. Upper Saddle River, NJ: Prentice-Hall, 1999. [25] T. Soderstrom and P. Stoica, Instrumental Variable Methods for System Identification. Berlin, Germany: Springer-Verlag, 1983. [26] T. Soderstrom and P. Stoica, System Identification. London, U.K.: Prentice-Hall, 1989. [27] P. Young, Recursive Estimation and Time Series Analysis. Berlin, Germany: Springer-Verlag, 1984. [28] R. Pintelon and J. Schoukens, System Identification—A Frequency Domain Approach. Piscataway, NJ: IEEE, 2001. Amir Beygi received the B.S. degree in electrical engineering from the K. N. Toosi University of Technology, Tehran, Iran, in 2004, the M.S. degree in electrical engineering from the Iran University of Science and Technology, Tehran, Iran, in 2007, and the Ph.D. in electrical and computer engineering from University of Western Ontario, London, ON, Canada, in 2011. He is currently with Evertz Microsystems, Burlington, ON, Canada. His research interests include simulation and modeling algorithms for electromagnetic compatibility and signal integrity of high-speed interconnects.

Anestis Dounavis (S’00–M’03) received the B.Eng. degree from McGill University, Montreal, QC, Canada, in 1995, and the M.Sc. and Ph.D. degrees from Carleton University, Ottawa, ON, Canada, in 2000 and 2004, respectively, all in electrical engineering. He is currently an Associate Professor with the Department of Computer and Electrical Engineering, University of Western Ontario, London, ON, Canada. His research interests are in electronic design automation, simulation of high-speed and microwave networks, signal integrity and numerical algorithms. Dr. Dounavis was the recipient of the Ottawa Centre for Research and Innovation (OCRI) Futures Award—Student Researcher of the Year in 2004, the INTEL Best Student Paper Award of the Electrical Performance of Electronic Packaging Conference in 2003, the Carleton University Medal for outstanding graduate work at the M.Sc. and Ph.D. levels in 2000 and 2004, respectively, and the University Student Council Teaching Honour Roll Award of the University of Western Ontario in 2009 to 2010.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

2713

Analytical Adjoint Sensitivity Formula for the Scattering Parameters of Metallic Structures M. Sadegh Dadash, Member, IEEE, Natalia K. Nikolova, Fellow, IEEE, and John W. Bandler, Life Fellow, IEEE

Abstract—A novel sensitivity-analysis method is proposed to compute the -parameter Jacobian with respect to metallic shape parameters. The formulation is analytical and is derived from Maxwell’s equations directly. It is independent of the field solution method and the respective system matrix. It requires only the field solution on the object surface. The computation is a post-process and its overhead is negligible in comparison with a full-wave simulation. The method provides exact sensitivities. It is validated by the sensitivity analysis of microwave structures where the field solution is provided by commercial solvers based on the finite-element method, the method of moments, and the finite-difference time-domain method. Index Terms—Adjoint-variable method, computer-aided design (CAD), finite-difference method, finite-difference time-domain (FDTD) method, finite-element method (FEM), method of moments (MoM), response Jacobians, sensitivity analysis.

I. INTRODUCTION

T

HE importance of the design sensitivity analysis of electromagnetic (EM) structures stems from the need to improve their performance during the design stage or to know their uncertainties [1]. The sensitivities represent the response gradient in the design parameter space, the design parameters being related to the shape, and/or the materials of particular components of the structure. They provide crucial information in engineering tasks such as design optimization, modeling, tolerance, and yield analyses. Recently, significant progress has been made toward the development of sensitivity-analysis approaches for use with fullwave EM simulators, both in the time and frequency domains (see, e.g., [2]–[15]). Although the developments in [2]–[8] gain remarkable efficiency from a self-adjoint formulation for the sensitivities of the network parameters (e.g., the -parameters), their major drawback is the dependence on the derivatives of the system matrices arising from the particular discretization Manuscript received March 07, 2012; revised June 07, 2012; accepted June 11, 2012. Date of publication July 10, 2012; date of current version August 28, 2012. This work was supported in part by the Natural Sciences and Engineering Research Council of Canada (NSERC) under Grant CRDPJ-385785-09, Grant RGPIN-227660-07, and Grant STPGP-396405. M. S. Dadash and N. K. Nikolova are with the Computational Electromagnetics Research Laboratory, Department of Electrical and Computer Engineering, McMaster University, Hamilton, ON, Canada L8S 4K1 (e-mail: [email protected]; [email protected]). J. W. Bandler is with the Simulation Optimization Systems Research Laboratory, McMaster University, Hamilton, ON, Canada L8S 4K1, and also with Bandler Corporation, Dundas, ON, Canada L9H 5E7 (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2205937

scheme adopted by the EM simulation tool. In commercial software, these system matrices are usually not available to the user, which impedes the implementation of the adjoint sensitivity analysis. Even if the system matrix is accessible, the numerical methods employing finite-difference grids, as well as most of the method of moments (MoM) techniques do not have analytically differentiable system matrices with respect to shape parameters [8], [12]. Finite-difference approximations of the system matrix derivatives have been considered [13]. In design tuning applications, the classical Broyden update has also been proposed to efficiently estimate these derivatives while avoiding the generation and the export of the system matrices of the nominal and the perturbed designs at every iteration [14], [15]. Here, denotes the number of design parameters. Note that exporting and saving the large system matrices could be rather time consuming. Moreover, the accuracy of the approximations of the system-matrix derivatives is not guaranteed and is dependent on the amount of parameter perturbation. Lastly, the implementation is not trivial as it requires certain mesh control—the mesh topology must not change as a result of a parameter perturbation. Analytical shape-parameter sensitivity formulas have been proposed for some particular response functions [9]–[11]. In [9] and [10], the function of interest is the radar cross section (RCS) of targets, while in [11], the sensitivities of cost functions in optimization are considered. Both approaches use continuum mechanics to arrive at their respective derivative formulas and they are both limited to isotropic media. The expression in [11] has also been developed and applied to 2-D problems only such as mode analysis sufwaveguide structures where a single fices. The above factors are the likely reasons why commercial software based on finite-difference methods or the MoM have not yet implemented adjoint sensitivity analysis despite its significant advantages over the most common, but very time-inefficient derivative approximations at the response level via finite differences or parameter sweeps. Numerical algorithms based on the finite-element method (FEM) have the advantage of their system matrices being analytical functions of the mesh-node positions [2], [7], thereby allowing for exact derivatives with respect to shape parameters. Recently, two commercial FEM-based simulators have replaced the response-level gradient approximations of the -parameters by efficient and accurate self-adjoint sensitivities [16], [17]. Yet, even in this case, the time and memory efficiency of the sensitivity analysis would benefit from removing the need to compute the FEM system-matrix derivatives. The overhead of

0018-9480/$31.00 © 2012 IEEE

2714

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

such computation is comparable to that of generating system matrices. When is large and the computation is needed repetitiously (i.e., during design optimization), the overhead may become substantial [18]. We note that in contrast to shape parameters, the system matrices arising in numerical EM analyses are, in general, analytical functions (and are, therefore, differentiable) with respect to the material parameters such as permittivity and permeability. In [8], for example, Nikolova et al. proposed a highly efficient self-adjoint method for the sensitivity analysis of scattering parameters, which provides exact sensitivities for material parameters. The method is based on the finite-difference discretization of the frequency-domain Helmholtz equation for the -field. The coefficients in this equation are analytical functions of the local constitutive parameters, which enables the exact sensitivity computation. The technique gains its remarkable efficiency from using its own finite-difference grid independent of the discretization grid used by the simulation tool. Thus, it does not need access to the system matrix of the simulation. It needs only the exported -field solutions supplied by the simulation tool. For the shape parameters, however, the method still employs an approximation of the adjoint-field solution, which may impact its accuracy [8], [19]. Here, we present an analytical self-adjoint sensitivity method for the -parameter with respect to the shape parameters of metallic components. The method is analytical because it is derived directly from Maxwell’s equations and does not assume any discretization. The parameters of shapes (curved or not) can thus be treated with accuracy that is limited only by the accuracy of the field solution (provided by any valid field-analysis method). The self-adjoint sensitivity formula derived here needs the field solution (or the surface current and charge densities) at the surface of the metallic object of interest. The proposed method can thus provide highly accurate results with all simulation tools, including those based on the FEM, MoM, finite-difference frequency-domain (FDFD), finite-difference time-domain (FDTD), and transmission-line matrix (TLM) methods. This study is a significant extension of the preliminary results first reported in [20]. Here, a complete theoretical derivation is given based solely on Maxwell’s equations for a general (dissipative, anisotropic) medium. In contrast, the limited treatment in [20] is based on the -field Helmholtz equation while the derivations in [9]–[11] use the principles of continuum mechanics. The new formulation has the advantages of being general, mathematically rigorous, and allowing for further developments toward the analytical shape-parameter derivatives for anisotropic dielectric and magnetic components. Here we also provide extensive validation using three commercial EM analysis packages: HFSS version 13 [16], CST Microwave Studio [17], and FEKO Suite version 6.1 [21], which are using the FEM, FDTD method, and MoM, respectively. Note that the sensitivity formula developed here targets volumetric metallic shapes and is not directly applicable to infinitesimally thin structures due to the field singularity at metallic edges. The extension to such structures is not trivial and is the subject of a separate development.

II. ANALYTICAL SENSITIVITY FORMULA A.

-Parameters as Functionals of the Field Solution

The focus is on the sensitivities of the scattering parameters of microwave networks. In EM simulations, these can be obtained from the field solution at the port surfaces as [8], [22]

(1) where subscripts and denote the ports of the outgoing and incoming waves, respectively, and is the number of ports; and denote the desired modes at ports and , respectively; is the field resulting from exciting the th port, and is the incident field at the th port. The port surfaces are and , respectively. Also, and is the dual (or magnetic) port modal vector, which is usually obtained via 2-D port analysis [22]. The propagation mode of the transmission line or waveguide corresponding to the given port can also be obtained via a 3-D simulation of a sufficiently long matched portion of this line at the desired frequency. Note that the modal fields with their electric and magnetic vectors and must satisfy the biorthonormal condition [8], [22]. B. Response Derivative in Terms of the Field Solution We are interested in finding the derivative of the -parameter with respect to a design parameter , where is the number of all parameters of interest. For simpler notations, the superscript in is omitted hereafter. Thus, subscripts and will imply the desired modes and at the respective ports. From (1), it is evident that depends on implicitly through the field solution . In addition, a change in may affect the port cross sections and/or . This not only would change the respective integration surfaces, but would also impact their modal vector ( and/or ) and/or . For simplicity, here we consider the most often encountered scenario when does not affect the port cross sections. The ports in microwave circuits are usually determined by the system interconnects, which are not subject to design changes. It should also be pointed out that the principles of derivative computation developed here are directly applicable to the sensitivity analysis of the port-analysis simulations providing the modal vectors and the respective incident fields. Assuming that is the only state variable in (1) depending on , i.e., the ports are unaffected by the design changes, the derivative is (2)

2715

DADASH et al.: ANALYTICAL ADJOINT SENSITIVITY FORMULA FOR THE SCATTERING PARAMETERS OF METALLIC STRUCTURES

Integrating (10) over the volume of the structure leads to

where (3) is the modal magnitude of the incident wave at port and

(11) (4)

is the unit normal to the th port surface. From (4), Here, it follows that

Following a procedure analogous to that in [8], the surface integral

(5)

(12)

and (6)

C. Adjoint Field Consider the field solution due to a particular excitation. This could be, for example, the field due to the excitation at the th port, which was considered above. This solution is referred to as the original field solution. It satisfies the source-free Maxwell equations (7) where and are the complex permittivity and permeability tensors. Assuming that and depend on the parameter , the differentiation of (7) with respect to produces (8a) (8b) is introduced, which is comNext, an auxiliary field monly referred to as the adjoint field [8], [23]. We impose the requirement that this field satisfies the source-free Maxwell equations where the medium tensors are the transpose of those in the original problem (9a) (9b) , of (8b) with We next take the dot product of (8a) with , of (9a) with , and of (9b) with . The four equations are then added. The resultant equation is transformed through standard vector and tensor manipulations to produce

can be related to the derivative of the desired -parameter, e.g., , by requiring that the adjoint field satisfies the same boundary conditions over as those of the original field . In particular, the parts of corresponding to perfect electric and magnetic conductors, radiation/absorbing boundaries, and surface-impedance boundaries vanish. The only nonzero portions of are those taken over the surfaces of ports where the adjoint field might be excited (13)

is the excitation function used to formulate the Here, boundary condition at the th port [22], [24] (14) (15) being the incident adjoint field tangential to the th with port surface. The operator is a linear vector operator, which depends on the adopted boundary condition. In the simplest case of a first-order boundary condition, . Here, is the propagation constant of the mode of interest at the th port and is the port outward unit normal. Note that if is set equal to zero, (14) becomes an absorbing boundary condition. Consider the derivative (6) of in which case and . in (13) can be made equal to (6) if the adjoint excitation functions are set to zero, except the one at the th port so that if

(16)

if The modal vector describes a propagating mode; thus, it satisfies the boundary condition

(10) Note that the result in (10) is simplified due to the assumption that the medium tensors in the adjoint problem (9) are the transpose of those in the original problem. This assumption leads to the cancellation of four terms while deriving (10).

(17) at the th port surface. Therefore, (18)

2716

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

At the same time, in the original simulation, in order to obtain all parameters , the excitation function is applied. For a given mode, , where is the modal magnitude. Then, (19) Comparing (18) and (19), it is seen that the adjoint and original excitation functions at port relate as (20) We also recall that the adjoint field satisfies Maxwell’s equations in a medium of constitutive tensors that are the transpose to those in the original problem. It also obeys the same boundary conditions. In addition, if the medium is reciprocal1 its constitutive tensors are symmetric (21) Thus, as long as the medium is reciprocal (which is a common case in microwave engineering), there is a simple linear relationand its adjoint counship between the original field terpart , e.g., (22) When a simple relationship exists between the adjoint and original fields such as the one in (22), the sensitivity-analysis problem is referred to as self-adjoint [3], [8]. D. Self-Adjoint Sensitivity Formula for Scattering Parameters The self-adjoint relationship (22) has been obtained as a result of the requirement that . In view of (11) and (12), we obtain that

(23) which in the case of a reciprocal medium becomes

(24) The self-adjoint sensitivity formula (24) requires only the and due to the excitations at field solutions the ports and , respectively. Moreover, the field values are needed only where the local constitutive parameters depend on 1Most materials are electromagnetically reciprocal [25], i.e., they satisfy the Lorentz reciprocity equation [26]. All isotropic materials are reciprocal. Anisotropic nonmagnetic dielectrics, which exhibit loss, however minor that loss may be, are also reciprocal [27]. Nonreciprocal mediums exist: e.g., gyrotropic materials such as magnetized plasma [25].

Fig. 1. Illustration of the boundary between a metallic object and the adjaaffects the position of . The unit cent dielectric medium. The parameter points in the direction of parameter increase. The vanishingly thin normal bounded by is centered on the boundary. volume

. These field solutions are readily available from the simulations carried out to obtain the -parameters. Most commercial EM simulators are equipped with utilities that can export any field component in any portion of the analyzed volume. Thus, the implementation of (24) is straightforward—it amounts to a volume integration of known quantities over a known volume. The computational burden of such integration is negligible—it is performed in a fraction of a second even if the integration volume (where the permittivity and permeability derivatives are nonzero) approaches that of the entire computational domain. Note that the modal excitation coefficients and are either provided by the simulator or can be determined via port analysis [8]. The advantage of the formula in (24) over all previous adjoint-sensitivity formulations is that it is not specific to any method of field analysis. It does not assume any discretization and is thus exact. Particularly, it does not require the derivatives of the system matrix with respect to the parameters ( , the matrix being specific to the numerical analysis method [2], [7], [13], [14], [28], [29]. Even the solver-independent method in [8], which employs its own EM model based on the vector Helmholtz equation, in the case of shape parameters, necessitates the introduction of finite-difference discretization and the entailing approximations. III. SENSITIVITY FORMULA FOR SHAPE PARAMETERS OF METALLIC OBJECTS The sensitivity formula (24) is directly applicable when the parameter represents the permeability or the permittivity of an object. For example, may be the relative permittivity of a dielectric resonator, which is subject to design optimization. is then the resonator’s volume where and . The term is then integrated over . The utility of (24) is not clear in the case of a shape parameter of an object, e.g., its length. Here, we focus on the shape parameters of metallic objects where the metal is a perfect or very good conductor. For simplicity, we also assume that the metal and the adjacent dielectric are isotropic. With reference to Fig. 1, consider the boundary between a metallic object and the adjacent dielectric. The position of this boundary is affected by a perturbation in the shape parameter . It is also assumed that the unit normal at the boundary points in the direction of increase of . Centered around this boundary is a vanishingly thin volume . The coordinate is defined in the direction of with at the boundary. Consider next the permittivity and permeability derivatives in (24) when applied over the volume . Since neither the metal

DADASH et al.: ANALYTICAL ADJOINT SENSITIVITY FORMULA FOR THE SCATTERING PARAMETERS OF METALLIC STRUCTURES

nor the dielectric are magnetic, everywhere in Let the complex permittivity of the metal be expressed as

. (25)

2717

Since the tangential -field components vanish on the metallic surface, the vectors and have only components tangential to the surface. It follows from (32) that

The permittivity of the dielectric (assumed lossless) is (26) The permittivity derivative in

is then (27)

(33) where subscripts and are interchangeable and denotes the divergence operator with respect to the two coordinates tangential to the surface . The right-hand side of (33) reduces to a contour integral (34)

where (28) and with respect to , their To obtain the derivatives of abrupt change at the interface between the two mediums must be taken into account. A perturbation in the shape parameter leads to a respective change in the position of the interface. This, in turn, results in an abrupt change of the and values at all points affected by the change in the interface position. Such discontinuity leads to derivatives in the form of Dirac delta functions and Here,

(29)

is the contour bounding and is a contour elewhere ment orthogonal to and to the surface unit normal . Using the expressions for the field behavior near metallic edges [30], it can be shown that the integrand in the contour integral (34) depends on the distance from the edge as when . Here, ranges from in the case of a half-infinite infinitesimally thin metallic plate to for an infinite planar boundary. Therefore, as long as represents an edge on a 3-D metallic object, i.e., , (35) and (36)

is the Dirac delta function. Finally, (30)

From (36) and (25), it follows that at the metallic surface:

We next substitute these permeability and permittivity derivato extend so that it includes the whole tives in (24) and allow perturbation face . In view of the sampling property of the Dirac delta function, this leads to (37) (31) The sensitivity expression in (31) is not applicable in the case of perfect electric conductors (PECs) because of the ambiguity of the term involving the tangential -field components, where and . The term , where , is also ambiguous for both PEC and very good conductors. This is because, although describes conduction inside the metal and along its surface, it cannot be associated with conduction in the normal direction at since the adjacent dielectric is an ideal insulator. To avoid the ambiguities in (31), an important property of the fields and at metal surfaces is derived next. From the Lorentz reciprocity,

(32)

Substituting (37) into (31) yields the sensitivity formula for the shape parameters of 3-D metallic objects

(38) The above can be also written as

(39) where and , are the surface charge and current distributions, respectively. The sensitivity formulas (38) and (39) do not contain ambiguous terms and can be applied

2718

Fig. 2. Table I.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

-plane waveguide filter. The nominal parameter values are given in

TABLE I NOMINAL PARAMETER VALUES OF THE -PLANE WAVEGUIDE FILTER

Fig. 3. Sensitivity curves for the real and imaginary parts of the in the -plane filter example. The reference curves (laderivative beled “HFSS”) are obtained from the exact sensitivity tool of the software.

directly to the exported field or current distributions obtained from the EM simulations with the th and th port excitations. IV. VALIDATION Three microwave structures have been analyzed and used to validate the proposed sensitivity formula: an -plane filter [31], a two-section impedance transformer [32], and a cylindrical waveguide filter [33]. The simulations are done using the FEM solver of Ansoft HFSS version 13 [16], the MoM solver of FEKO Suite version 6.1 [21], and the transient (FDTD) solver of CST Microwave Studio [17]. We use the self-adjoint sensitivity formulas (38) or (39) to obtain the -parameter derivatives with respect to metallic shape parameters and then compare those with reference sensitivity curves. If the simulator has an exact sensitivity capability [16], the reference curves are provided directly by the software. In all other cases, central finite-difference (CFD) approximation is applied at the response level to obtain reference curves. This approach requires two simulations per design parameter and is extremely time consuming. A. Sensitivity Analysis Using FEM (HFSS) In this section, we use the FEM solver HFSS of Ansoft to perform the sensitivity analysis of the -plane filter and the cylindrical waveguide filter. Both structures operate in their dominant modes and are excited with waveguide ports. The -plane filter is shown in Fig. 2. The sensitivities of the scattering parameter are calculated in the frequency range from

Fig. 4. Sensitivity curves for the real and imaginary parts of the in the -plane filter example. The reference curves (laderivative beled “HFSS”) are obtained from the exact sensitivity tool of the software.

4 to 7 GHz with 76 frequency points. A fine mesh is imposed on the perturbation faces in order to obtain locally accurate field solutions. This is a prerequisite for good sensitivity accuracy. The local mesh is defined so that there are at least five mesh edges along the shortest dimension of the perturbation face. The locally fine mesh may increase the simulation time in comparison with the default FEM mesh; however, this increase is usually not substantial due to the limited extent of the local mesh. The field solutions resulting from exciting ports 1 and 2 are exported using the Field Calculator in the Post-processing Toolbox of HFSS. The integration in the sensitivity formula (38) is performed numerically in MATLAB [34]. Some sample sensitivity results are presented below. The derivatives and are shown in Figs. 3 and 4, respectively. The reference curves are obtained using the exact sensitivity toolbox of the software and are labeled “HFSS.” The agreement between the proposed method and the reference curves is excellent. The slight differences may be the result of numerical errors in the field sampling and in the surface

DADASH et al.: ANALYTICAL ADJOINT SENSITIVITY FORMULA FOR THE SCATTERING PARAMETERS OF METALLIC STRUCTURES

Fig. 5. Cylindrical waveguide filter. The cross-shaped aperture in the middle couples the resonators on both sides. The nominal parameter values are given in Table II.

2719

Fig. 6. Sensitivity curves for the real and imaginary parts of the in the cylindrical waveguide filter. The reference curves derivative are labeled “HFSS.”

TABLE II NOMINAL PARAMETER VALUES OF THE CYLINDRICAL WAVEGUIDE FILTER

integration. We emphasize that our sensitivity formula requires neither the FEM matrix, nor its derivatives with respect to the parameters and . The cylindrical waveguide filter shown in Fig. 5 is composed of two resonators coupled through a cross shaped aperture. Two rectangular waveguides are attached at the two ends of the cylinder. The nominal parameter values are listed in Table II. Waveguide ports excite the structure from 13 to 14 GHz (21 frequency points). To validate the new formulation with curved shapes, the radius of the cylinder is chosen for sensitivity analysis. The perturbation face is the inner surface of the cylinder. The Field Calculator in the Post-processing Toolbox of HFSS is used directly for the surface integration in (38), i.e., the field solution need not be exported for processing in MATLAB. This is possible because we consider the derivative of where only one field solution is needed, i.e., . The Field Calculator can perform various mathematical operations on a single field solution including surface integration. The curves are plotted in Fig. 6. The agreement between our method’s results and the reference curves is excellent. B. Sensitivity Analysis Using MoM (FEKO) We choose the MoM solver of FEKO to perform the -parameters sensitivity analysis of the two-section impedance transformer shown in Fig. 7. Since this solver does not have sensitivity-analysis capability, CFD approximation is used to

Fig. 7. Two-section waveguide impedance transformer. The nominal parameter values are given in Table III.

TABLE III NOMINAL PARAMETER VALUES OF THE TWO-SECTION IMPEDANCE TRANSFORMER

obtain the reference curves, which are labeled “FD” in the figures. The parameter perturbation used is 1%, i.e., 0.5% in the forward and backward directions. For an accurate field solution, a fine mesh is imposed at the perturbation faces where (as with the FEM simulations) at least five mesh edges are used along the shortest dimension. FEKO Suite 6.1 can export the field solution or the surface current and charge densities. However, the simulator uses its own grid nodes for the field sampling; therefore, the exported distributions are not sampled at regular intervals. Thus, there is a need to perform a 2-D interpolation before the numerical integration in (39). Both of these are performed in MATLAB. In order to reduce the effect of numerical errors, the interpolation

2720

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 8. Sensitivity curves for the real and imaginary parts of the in the two-section impedance transformer simulated in derivative FEKO.

Fig. 9. Sensitivity curves for the real and imaginary parts of the in the two-section impedance transformer simulated in derivative FEKO.

step should be comparable to the simulator’s mesh edge length on the respective surface. Waveguide ports are used as an excitation in the simulation of the impedance transformer. The frequency range is from 5 to 6 GHz (11 frequency points). Among the design parameters listed in Table III, the width and the height of the first section are selected for our examples. The sensitivity curves for and are given in Figs. 8 and 9, respectively. Both show excellent agreement between the proposed sensitivity formula and the CFD approximations of the derivatives. C. Sensitivity Analysis Using FDTD (CST) We use again the two-section impedance transformer shown in Fig. 7 in an example using the time-domain engine of CST Microwave Studio. The two parameters of interest are again and . As the transient solver of CST cannot provide exact sensitivity information, CFD approximation at the response level

Fig. 10. Sensitivity curves for the real and imaginary parts of the in the two-section impedance transformer simulated in derivative CST.

Fig. 11. Sensitivity curves for the real and imaginary parts of the in the two-section impedance transformer simulated in derivative CST.

is applied to produce reference curves. The perturbation used is 1%. The time-domain field solution is transformed into the frequency domain by the Field Monitor Toolbox of the software, which is also capable of exporting the field solution on a structured grid. The exported field solution is then used to perform the numerical integration of (38) in MATLAB. The frequency range of interest is as before from 5 to 6 GHz with 11 frequency points. Figs. 10 and 11 show the real and imaginary parts of the derivatives and , respectively. While the agreement between the calculated derivatives and the reference curves in Fig. 10 is very close, there is a small shift between the two sets in Fig. 11. This could be a result of errors in the local field solution, the Fourier transform, and/or the numerical integration.

DADASH et al.: ANALYTICAL ADJOINT SENSITIVITY FORMULA FOR THE SCATTERING PARAMETERS OF METALLIC STRUCTURES

V. CONCLUSION We have proposed a new analytical self-adjoint sensitivity formula for the computation of network-parameter derivatives with respect to metallic shapes. Our methodology is based on Maxwell’s equations and is independent of the simulator and the respective system matrix. It only requires the field solution on the surface of the metallic object of interest. This development opens the possibility for exact sensitivity analysis with all EM high-frequency simulators, which currently lack sensitivity-analysis capability, e.g., the FDTD method, MoM, and TLM method. The greatest advantages of the proposed method are: 1) its simplicity and easy implementation; 2) independence from the particulars of the EM simulator providing the field solution; 3) versatility with respect to shapes and geometry; and 4) superior accuracy limited only by the accuracy of the numerical field solution it operates on. The application considered in this paper addresses only metallic volumetric structures. However, this application is based on an important general result summarized by the sensitivity formula in (24). This formula allows for implementations of shape sensitivity analysis in other important cases, namely, anisotropic dielectric and magnetic objects, as well as infinitesimally thin metallic objects. Such extensions are not trivial and will be addressed in future work. REFERENCES [1] D. G. Cacuci, Sensitivity & Uncertainty Analysis, Volume 1: Theory. Boca Raton, FL: Chapman & Hall, 2003. [2] H. Akel and J. P. Webb, “Design sensitivities for scattering-matrix calculation with tetrahedral edge elements,” IEEE Trans. Magn., vol. 36, no. 4, pp. 1043–1046, Jul. 2000. [3] M. H. Bakr, N. K. Nikolova, and P. A. W. Basl, “Self-adjoint -parameter sensitivities for lossless homogeneous TLM problems,” Int. J. Numer. Modeling, vol. 18, no. 6, pp. 441–455, Nov./Dec. 2005. [4] N. K. Nikolova, J. Zhu, D. Li, M. H. Bakr, and J. W. Bandler, “Sensitivity analysis of network parameters with electromagnetic frequency domain simulators,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 2, pp. 670–681, Feb. 2006. [5] N. K. Nikolova, Y. Li, Y. Li, and M. H. Bakr, “Sensitivity analysis of scattering parameters with electromagnetic time-domain simulators,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 4, pp. 1598–1610, Apr. 2006. [6] P. A. W. Basl, M. H. Bakr, and N. K. Nikolova, “Theory of self-adjoint -parameter sensitivities for lossless nonhomogeneous transmission line modeling problems,” IET Microw. Antennas Propag., vol. 2, no. 3, pp. 211–220, Apr. 2008. [7] L. Vardapetyan, J. Manges, and Z. Cendes, “Sensitivity analysis of -parameters including port variations using the transfinite element method,” in IEEE MTT-S Int. Microw. Symp. Dig., Atlanta, GA, Jun. 2008, pp. 527–530. [8] N. K. Nikolova, X. Zhu, Y. Song, A. Hasib, and M. H. Bakr, “ -parameter sensitivities for electromagnetic optimization based on volume field solutions,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 6, pp. 1526–1538, Jun. 2009. [9] A. Bondeson, Y. Yang, and P. Weinerfelt, “Shape optimization for radar cross sections by a gradient method,” Int. J. Numer. Methods Eng., vol. 61, no. 5, pp. 687–715, Oct. 2004. [10] Y. Yang, T. Halleröd, D. Ericsson, A. Hellervik, A. Bondeson, and T. Rylander, “Gradient optimization of microwave devices using continuum design sensitivities from the adjoint problem,” IEEE Trans. Magn., vol. 41, no. 5, pp. 1780–1783, May 2005.

2721

[11] N. Choi, G. Jeung, J. Byun, H. Kim, and D. Kim, “Generalized continuum sensitivity formula for shape optimization of high-frequency devices in frequency domain,” IEEE Trans. Magn., vol. 47, no. 5, pp. 1274–1277, May 2011. [12] N. K. Nikolova, H. W. Tam, and M. H. Bakr, “Sensitivity analysis with the FDTD method on structured grids,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1207–1216, Apr. 2004. [13] N. K. Nikolova, J. Zhu, D. Li, M. H. Bakr, and J. W. Bandler, “Sensitivity analysis of network parameters with electromagnetic frequencydomain simulators,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 2, pp. 670–681, Feb. 2006. [14] N. K. Nikolova, R. Safian, E. A. Soliman, M. H. Bakr, and J. W. Bandler, “Accelerated gradient based optimization using adjoint sensitivities,” IEEE Trans. Antennas Propag., vol. 52, no. 8, pp. 2147–2157, Aug. 2004. [15] D. Li, J. Zhu, N. K. Nikolova, M. H. Bakr, and J. W. Bandler, “Electromagnetic optimization using sensitivity analysis in the frequency domain,” IET Microw. Antennas Propag., vol. 1, no. 4, pp. 852–859, Aug. 2007. [16] Ansoft HFSS. ver. 13, Ansoft Corporation, Pittsburgh, PA, 2011. [Online]. Available: www.ansoft.com [17] CST Studio Suite. ver. 2010.06, Comput. Simulation Technol., Darmstadt, Germany, 2009. [Online]. Available: www.cst.com [18] Q. S. Cheng, J. W. Bandler, N. K. Nikolova, and S. Koziel, “A space mapping schematic for fast EM-based modeling and design,” presented at the IEEE MTT-S Int. Microw. Symp., Montreal, QC, Canada, 2012.. [19] X. Zhu, A. Hasib, N. K. Nikolova, and M. H. Bakr, “Efficient electromagnetic optimization using self-adjoint Jacobian computation based on a central-node FDFD method,” in IEEE MTT-S Int. Microw. Symp. Dig., Atlanta, GA, Jun. 2008, pp. 979–982. [20] M. S. Dadash, K. Moussakhani, N. K. Nikolova, and L. Liu, “New method for exact self-adjoint sensitivity analysis of metallic shapes,” in IEEE MTT-S Int. Microw. Symp. Dig., Baltimore, MD, Jun. 2011, pp. 1–4. [21] FEKO Suite. ver. 6.1, EM Softw. Syst., Stellenbosch, South Africa, 2011. [Online]. Available: www.feko.info [22] M. Salazar-Palma, T. K. Sarkar, L.-E. García-Castillo, T. Roy, and A. Djordjević, Iterative and Self-Adaptive Finite-Elements in Electromagnetic Modeling. Norwood, MA: Artech House, 1998, pp. 462–463, ibid, pp. 465-466. [23] E. J. Haug, K. K. Choi, and V. Komkov, Design Sensitivity Analysis of Structural Systems. Orlando, FL: Academic, 1986. [24] J. Jin, The Finite Element Method in Electromagnetics, 2nd ed. New York: Wiley, 2002, pp. 309, 348–355. [25] W. S. Weiglhofer and A. Lakhtakia, Introduction to Complex Mediums for Optics and Electromagnetics. Bellingham, WA: SPIE Press, 2003, p. 50. [26] W. C. Chew, M. S. Tong, and B. Hu, Integral Equation Methods for Electromagnetic and Elastic Waves. San Rafael, CA: Morgan & Claypool, 2009, pp. 33–36. [27] P.-H. Tsao, “Derivation and implications of the symmetry property of the permittivity tensor,” Amer. J. Phys., vol. 61, no. 9, pp. 823–825, Sep. 1993. [28] Y. S. Chung, C. Cheon, I. H. Park, and S. Y. Hahn, “Optimal design method for microwave device using time domain method and design sensitivity analysis—Part I: FETD case,” IEEE Trans. Magn., vol. 37, no. 5, pp. 3289–3293, Sep. 2001. [29] M. H. Bakr and N. K. Nikolova, “An adjoint variable method for time domain TLM with fixed structured grids,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 554–559, Feb. 2004. [30] J. Van Bladel, Singular Electromagnetic Fields and Sources. Oxford, U.K.: Clarendon, 1991, pp. 118–122. [31] G. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks, and Coupling Structures. Norwood, MA: Artech House, 1980, pp. 545–547. [32] L. Young, “Inhomogeneous quarter-wave transformers of two sections,” IRE Trans. Microw. Theory Tech., vol. MTT-8, no. 6, pp. 645–649, Nov. 1960. [33] K. L. Wu and R. H. MacPhie, “A rigorous analysis of a cross waveguide to large circular waveguide junction and its application in waveguide filter design,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 1, pp. 153–157, Jan. 1997. [34] MATLAB. ver. 7.1, The MathWorks Inc., Natick, MA, 2010. [Online]. Available: www.mathworks.com

2722

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

M. Sadegh Dadash (M’11) received the B.Sc. degree from the Isfahan University of Technology, Isfahan, Iran, in 2009, and the M.A.Sc. degree from McMaster University, Hamilton, ON, Canada, in 2011, both in electrical engineering. While with McMaster University, he has been a Teaching Assistant and a Research Assistant with the Department of Electrical and Computer Engineering. He is currently a Research Associate with the Computational Electromagnetic Research Laboratory (CERL), McMaster University. His research interests include computational electromagnetics, computer-aided analysis and design of high-frequency structures and antennas, and optimization techniques.

Natalia K. Nikolova (S’93–M’97–SM’05–F’11) received the Dipl. Eng. degree from the Technical University of Varna, Varna, Bulgaria, in 1989, and the Ph.D. degree from the University of Electro-Communications, Tokyo, Japan, in 1997. From 1998 to 1999, she held a Postdoctoral Fellowship with the Natural Sciences and Engineering Research Council of Canada (NSERC), during which time she was initially with the Microwave and Electromagnetics Laboratory, DalTech, Dalhousie University, Halifax, NS, Canada, and, later, for a year, with the Simulation Optimization Systems Research Laboratory, McMaster University, Hamilton, ON, Canada. In July 1999, she joined the Department of Electrical and Computer Engineering, McMaster University, where she is currently a Professor. Her research interests include theoretical and computational electromagnetism, inverse scattering, and microwave imaging,

as well as methods for the computer-aided analysis and design of microwave structures and antennas. Prof. Nikolova has been a Canada Research Chair in High-frequency Electromagnetics since 2008. She is currently a Distinguished Microwave Lecturer. She is a member of the Applied Computational Electromagnetics Society (ACES) and a correspondent of the International Union of Radio Science (URSI). She was the recipient of a University Faculty Award of the NSERC (2000 to 2005).

John W. Bandler (S’66–M’66–SM’74–F’78– LF’06) studied at Imperial College of Science and Technology. He received the B.Sc. (Eng.), Ph.D., and D.Sc. (Eng.) degrees from the University of London, London, U.K., in 1963, 1967, and 1976, respectively. In 1969, he joined McMaster University, Hamilton, ON, Canada. He is a Professor Emeritus of McMaster University. He was President of Optimization Systems Associates Inc. (OSA), which he founded in 1983, until November 20, 1997, the date of acquisition by the Hewlett-Packard Company. OSA implemented a first-generation yield-driven microwave computer-aided design (CAD) capability for Raytheon in 1985 and subsequently engineered and marketed several state-of-the-art software products. He is President of Bandler Corporation, Dundas, ON, Canada, which he founded in 1997. He has authored or coauthored over 470 technical papers, including contributions to books. Dr. Bandler is a Fellow of several societies, including the Canadian Academy of Engineering and the Royal Society of Canada (since 1987). He was the recipient of the Automatic Radio Frequency Techniques Group (ARFTG) Automated Measurements Career Award (1994), the IEEE MTT-S Microwave Application Award (2004), and the IEEE Canada A. G. L. McNaughton Gold Medal (2012).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

2723

Numerical Stability and Dispersion Analysis of the Precise-Integration Time-Domain Method in Lossy Media Gang Sun, Xikui Ma, and Zhongming Bai

Abstract—In this paper, both the numerical stability condition and dispersion relation of the precise-integration time-domain (PITD) method in lossy media are presented. It is found that the time step size of the PITD method is limited by both the spatial step size of the PITD method and the ratio of permittivity to conductivity. In numerical dispersion investigations, it is shown that: the numerical loss error of the PITD method is always positive; the numerical phase error of the PITD method can be positive or negative; the numerical loss and phase errors can be made nearly independent of the time step size; and as the spatial step size decreases, the amplitudes of the numerical loss and phase errors decrease. In good conductors, the numerical phase velocity of the PITD method is closer to the physical value as compared with the finite-difference time-domain method. The numerical phase anisotropy of the PITD method can be positive or negative. The numerical anisotropies of the PITD method in the 3-D case are usually larger than those in the 2-D case. There is a conductivity giving zero numerical phase anisotropy. These theoretical observations are confirmed by numerical experiments. Index Terms—Computational electromagnetics, numerical dispersion, numerical loss, numerical stability, precise-integration time-domain (PITD) method.

I. INTRODUCTION

T

HE precise-integration time-domain (PITD) [1] method was proposed for solving Maxwell’s curl equations with a large time-step size. The time-step size of the PITD method can be of a value much larger than the Courant–Friedrich–Levy (CFL) limit of the finite-difference time-domain (FDTD) method [2]. The numerical dispersion error of the PITD method in lossless media can be made nearly independent of the time-step size [3]. Reference [4] extends the PITD method to orthogonal curvilinear coordinates and presents the electromagnetic closed-surface criterion of the PITD method. Very recently, [5] and [6] improve the computational efficiency of the PITD method by using general high-order spatial schemes in spatial discretization.

Lossy dielectrics and conductors are often encountered in the actual applications of time-domain methods for computational electromagnetics. The knowledge of the numerical stability and dispersion characteristics in lossy media is meaningful for acquiring a deep understanding, prediction of computational accuracy, and the further developments of a timedomain method. For example, references [7] and [8] investigate the numerical stability and dispersion characteristics of the FDTD method in lossy media. Reference [9] generalizes the numerical stability and dispersion analysis of the discrete convolution FDTD method. Reference [10] investigates the numerical stability and dispersion characteristics of the alternating-direction-implicit FDTD method [11]. References [12]–[14] improve the FDTD (two order accurate in time and two order accurate in space) and FDTD (two order accurate in time and four order accurate in space) schemes in lossy media based on the numerical dispersion analysis. However, the numerical dispersion of the PITD method for lossy materials is not investigated in theory or by numerical experiments, and it is seldom understood according to the best of our knowledge. To understand the numerical behavior of the PITD method in lossy media, this paper investigates the numerical stability condition and dispersion characteristics of the PITD method in lossy media. In Section II, the numerical stability condition is derived analytically. In Section III, the numerical dispersion relation is derived in 1-D case firstly, then extended to high dimensional cases. The numerical loss constant and numerical phase constant in the dispersion relation are separated as the propagation directions of the numerical wave are along the axes and diagonal directions of unit meshes (square meshes in the 2-D case, and cubic meshes in the 3-D case). In Section IV, several issues of the numerical loss and dispersion are discussed. Theoretical observations are confirmed by numerical experiments. The general rule of the choice of parameters of the PITD method in lossy media is given. Finally, a conclusion is given in Section V. II. NUMERICAL STABILITY CONDITION

Manuscript received November 28, 2011; revised May 21, 2012; accepted June 14, 2012. Date of publication July 25, 2012; date of current version August 28, 2012. This work was supported by the National Natural Science Foundation of China under Grant 50877055. The authors are with the State Key Laboratory of Electrical Insulation and Power Equipment, School of Electrical Engineering, Xi’an Jiaotong University, Xi’an, Shaanxi 710049, China (e-mail: [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2206823

A. Formulation of PITD Method In the PITD method, Maxwell’s curl equations

0018-9480/$31.00 © 2012 IEEE

(1)

2724

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

are first spatially discretized to a set of ordinary differential equations (ODEs) by using the Yee’s spatial scheme [1]

Then, we have

(2) (9)

where is the electromagnetic field components on the spatial grid, and

As shown in the Appendix, the real part and the imaginary part of the right-hand side of (9) have the following relation:

(3) (10)

Here

,

, and

. where Note that the matrix

are the spatial difference operators

can be diagonalized (11)

(4a) (4b)

where is the eigenvector of the matrix , and eigenvalue of the matrix . From (3), we have

(4c) , , and are the half-shift operators for the spatial coordinates , , and , respectively [15]. Using the ODEs theory, the recursive solution of (2) can be obtained

(12) Substituting (9) and (10) into (12) results in (13a)

(5) where is the time-step size of the PITD method. is the exponential matrix , which is calculated with high computational accuracy by using the precise integration technique [16]

(13b) (13c) (13d) where (14)

(6) where elected integer.

is the sub-time step size, and

is the pres-

is the th

C. Eigenvalues of Matrix Substituting (11) into (6) results in

B. Eigenvalues of Matrix

(15)

Assume that an electromagnetic field component solution of (2) can be expressed as follows:

where

is the th eigenvalue of the matrix

(7) where , , and are the , , and components of the numerical propagation constant , respectively. is the numerical loss constant, and is the numerical phase constant. Substituting (7) into (4) results in (8)

(16) In actual computation, a four-order approximation is always used in (6) [1]. In this case, we have (17a) (17b)

SUN et al.: NUMERICAL STABILITY AND DISPERSION ANALYSIS OF PITD METHOD IN LOSSY MEDIA

(17c)

where is the physical loss constant, and phase constant.

(17d)

B. Analysis for Higher Dimensional Cases

By means of the Von Neumann method, the stability condition of the PITD method requires . This means that

2725

is the physical

Similarly, the numerical dispersion relation of the PITD method in 3-D cases can be obtained and shown as follows: (25a)

(18) This condition shows that the time-step size of the PITD method is limited by both the spatial step size and the ratio of permittivity to conductivity.

(25b) (25c) As approaches to zero, (25c) is identically zero, (25a) and (25b) approach to the numerical dispersion relation in the lossless case [2]

III. NUMERICAL DISPERSION RELATION A. Analysis for 1-D Case In general, the dispersion relation is obtained by substituting a time–harmonic plane-wave expression into the recursive solution [17]. For the simplicity of the presentation, the 1-D case ( , ) is first analyzed. Assume the electromagnetic field components in (5) to be a monochromatic wave with angular frequency (19)

(26a) (26b) In (25), and are coupled by the hyperbolic and trigonometric functions of the numerical wave propagation directions. Therefore, and cannot usually be separated. Often, only the numerical wave propagation along the axes or the diagonal directions in the unit meshes are considered. In this case, we have

Substituting (19) into (5) results in (20)

(27b)

The nontrivial solution of (20) requires (21) Since the matrix as follows:

(27a)

can be diagonalized, (21) can be simplified

where , is the numerical loss tangent, and for the wave propagation along the axes, for the wave propagation along the diagonal directions in the 2-D and 3-D cases, respectively. and in (27) can be further separated as follows:

(22) Using (14), (10) and

results in (23a) (28a) (23b)

Equations (22) and (23) constitute the numerical dispersion relation of the PITD method in 1-D lossy media. In (22), as the sub-time step size approaches to zero, approaches to . In this case, as the spatial step size approaches to zero, (23) approaches to the theoretical dispersion relation (24a) (24b)

(28b) If is equal to zero, should be equal to zero, and (28b) should be equivalent to the corresponding relation in lossless media [3]. Therefore, in actual computation for (28), only the plus sign is used.

2726

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

IV. NUMERICAL EXPERIMENTS AND NUMERICAL DISPERSION CHARACTERISTICS Before the numerical experiments and numerical dispersion analysis, some notations are defined to facilitate the discussion. In this paper, numerical loss error (NLE), numerical phase error (NPE), numerical loss anisotropy , and numerical phase velocity anisotropy will be used to represent the characteristics of the numerical loss, numerical dispersion, numerical loss anisotropy, and numerical phase anisotropy, respectively. They are defined, respectively, as follows: (29a) (29b) (30a) (30b) where subscripts and tions, respectively.

represent the axis and diagonal direc-

A. Numerical Experiments To confirm that (25) correctly predicts the characteristics of the numerical wave in actual computation, the numerical experiments were designed based on the matching method [18]. In this method, a hard source is placed in the center of the computational domain, and two observable points are placed on a line through the center. Through comparing the time records at the two observant points, the time delay and the amplitude attenuation as the numerical wave travels from the near observant point to the far observant point can be calculated. The numerical loss constant and the numerical phase constant can then be obtained. Here, we set MHz, , and . When S/m, the near observant point and the far observant point are placed 15 wavelengths and 30 wavelengths away from the source point, respectively.

Fig. 1. (a) NLE versus Courant number. (b) NPE versus Courant number, , MHz, and - S/m.

B. Effects of Time-Step Size Fig. 1 represents the NLE and NPE versus the Courant number for the PITD method in the 1-D case, respectively. Here, , MHz, - S/m, and the grid points per wavelength are equal to 10, 15, and 20, respectively. It can be seen that all the curves in Fig. 1 are almost flat. This means that both the NLE and NPE of the PITD method can be made nearly independent of the time-step size. The reason is that the only time quantity in the numerical dispersion relation of the PITD method, the sub-time step size is limited to be a very small value by the preselected integer . C. Effects of Spatial Step Size for Fig. 2 represents the NLE and NPE versus the PITD method in the 1-D case, respectively. Here, , , MHz, and - S/m. It can be seen that as increases, both the NLE and NPE decrease. This means that as the spatial step size decreases, both the numerical loss and phase errors decrease.

Fig. 2. NLE and NPE versus grid points per wavelength, MHz, and - S/m.

,

,

D. Effects of Conductivity Fig. 3 represents the NLE and NPE versus conductivity S/m for the PITD method and FDTD method, respectively. Here, for each method, for the PITD method, for each method, and MHz. It can be seen from Fig. 3(a) that the NLE of the PITD method is positive and always larger than that of the FDTD method. From Fig. 3(b), as approaches to zero, the NPE of the PITD method approaches to 0.01723, which is the value in the lossless case. In good dielectrics, the NPE of the PITD method is positive and larger than that of the FDTD method. However, in good conductors, the NPE of the PITD method is negative and closer to zero as compared with the FDTD method. In this case, the numerical phase velocity of the PITD method is closer to the physical value as compared with the FDTD method.

SUN et al.: NUMERICAL STABILITY AND DISPERSION ANALYSIS OF PITD METHOD IN LOSSY MEDIA

Fig. 3. (a) NLE versus conductivity. (b) NPE versus conductivity. , , and MHz.

,

Fig. 5. (a) ,

versus conductivity. (b) , and MHz.

2727

versus conductivity,

,

respect to the -axis) in the 2-D case for the PITD method, respectively. Here, , , , MHz, and - S/m, respectively. It can be seen that the NLE is always positive, and the NPE can be positive or negative. However, the magnitudes of both the NLE and NPE along the diagonal direction are always smallest than those along other directions. This means that the diagonal direction always gives the highest accuracy as compared with other directions. Fig. 5 represents and versus conductivity S/m for the PITD method in the 2-D case and 3-D case, respectively. Here, , , , and MHz. It can be seen that is always positive. is positive in good dielectrics and negative in good conductors. As conductivity increases, both and are decrease. The magnitudes of both and in the 2-D case are always smaller than those in the 3-D case. It is interesting to observe that there is a special conductivity giving zero . In all of the previous investigations, numerical experiments are shown to be good agreement with the theoretical results. Fig. 4. (a) NLE versus the angle of propagation. (b) NPE versus the angle of , , , and MHz. propagation.

E. Numerical Anisotropy Fig. 4 represents the NLE and NPE versus the angle of propagation (the propagation direction of the numerical wave with

F. Choice of Parameters The aforementioned investigations will be greatly helpful to the choice of the simulation parameters, such as the spatial step sizes, time step size, and sub-time step size, in actual computation. As a general rule, we can provide guidance for the choice of parameters as follows.

2728

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

1) The spatial step size is determined based on the numerical dispersion. In good dielectrics, is usually required to achieve a sufficient computational accuracy. In good conductors, a much finer mesh than in good dielectrics, , is usually required. Since the physical loss constant is close to the physical phase constant (i.e., the ratio of the wavelength to the skin depth is about ). 2) The time-step size is usually determined based on the frequencies of the electromagnetic wave sources. For a band-limited source with maximum frequency , we select . 3) The sub-time-step size is determined by both the numerical stability condition and dispersion relation. Usually, ( is the CFL limit of the FDTD method) is suitable in actual computation. For the given and , we have the preselected integer . V. CONCLUSION In this paper, both the numerical stability condition and dispersion relation of the PITD method in lossy media have been derived analytically. It has been found that the time-step size of the PITD method is limited by both the spatial step size of the PITD method and the ratio of permittivity to conductivity. The numerical dispersion investigation shows that by using the precise integration technique, both the numerical loss and phase errors of the PITD method can be made nearly independent of the time-step size. Conductivity effects a change in the numerical loss and phase velocity of the PITD method. The PITD method always overestimates the absorption of electromagnetic energy in lossy media. In good conductors, the numerical phase velocity of the PITD method is closer to the physical phase velocity as compared with the FDTD method. The numerical anisotropies investigation shows that the diagonal direction always give the highest accuracy as compared with other directions. The numerical anisotropies in the 2-D case are smaller than those in the 3-D case. It is interesting to observe that there is a special conductivity giving zero numerical phase anisotropy. APPENDIX PROOF OF RELATION (10) The recursive equation of the FDTD method can be expressed as (31) where (32)

(33) (34) and

is the half time shift operator [15].

The numerical dispersion relation of (31) is [8]

(35a) (35b) approaches to zero, (31) approaches to (2). In this case, As (35) should approach to the relation between and in (2)

(36a) (36b) which is equal to (10). REFERENCES [1] X. K. Ma, X. T. Zhao, and Y. Z. Zhao, “A 3-D precise integration timedomain method without the restraints of the Courant–Friedrich–Levy stability condition for the numerical solution of Maxwell’s equations,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 7, pp. 3026–3037, Jul. 2006. [2] L. L. Jiang, Z. Z. Chen, and J. F. Mao, “On the numerical stability of the precise integration time-domain (PITD) method,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 7, pp. 471–473, Jul. 2007. [3] Z. Z. Chen, L. L. Jiang, and J. F. Mao, “Numerical dispersion characteristics of the three-dimensional precise integration time-domain method,” in IEEE MTT-S Int. Microw. Symp. Dig., 2007, vol. 1–6, pp. 1962–1965. [4] X. T. Zhao, Z. G. Wang, and X. K. Ma, “Electromagnetic closed-surface criterion for the 3-D precise integration time-domain method for solving Maxwell’s equations,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 12, pp. 2859–2874, Dec. 2008. [5] G. Sun, X. K. Ma, and Z. M. Bai, “A low dispersion precise integration time domain method based on wavelet Galerkin scheme,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 12, pp. 651–653, Dec. 2010. [6] Z. M. Bai, X. K. Ma, and G. Sun, “A low-dispersion realization of precise integration time-domain method using a fourth-order accurate finite difference scheme,” IEEE Trans. Antennas Propag., vol. 59, no. 4, pp. 1311–1320, Apr. 2011. [7] J. A. Pereda, O. Garcia, A. Vegas, and A. Prieto, “Numerical dispersion and stability analysis of the FDTD technique in lossy dielectrics,” IEEE Microw. Wireless Compon. Lett., vol. 8, no. 7, pp. 245–247, Jul. 1998. [8] G. L. Sun and C. W. Trueman, “Numerical dispersion and numerical loss in explicit finite-difference time-domain methods in lossy media,” IEEE Trans. Antennas Propag., vol. 53, no. 11, pp. 3684–3690, Nov. 2005. [9] W. A. Beck and M. S. Mirotznik, “Generalized analysis of stability and numerical dispersion in the discrete-convolution FDTD method,” IEEE Trans. Antennas Propag., vol. 48, no. 6, pp. 887–894, Jun. 2000. [10] W. M. Fu and E. L. Tan, “Stability and dispersion analysis for ADIFDTD method in lossy media,” IEEE Trans. Antennas Propag., vol. 55, no. 4, pp. 1095–1102, Apr. 2007. [11] T. Namiki, “3-D ADI-FDTD method—Unconditionally stable timedomain algorithm for solving full vector Maxwell’s equations,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 10, pp. 1743–1748, Oct. 2000. [12] T. T. Zygiridis and T. D. Tsiboukis, “Improved finite-difference timedomain algorithm based on error control for lossy materials,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 6, pp. 1440–1445, Jun. 2008. [13] T. T. Zygiridis and T. D. Tsiboukis, “Optimized (2,4) FDTD method for conducting media,” IEEE Trans. Magn., vol. 44, no. 6, pp. 1370–1373, Jun. 2008. [14] T. T. Zygiridis and T. D. Tsiboukis, “Error estimation and performance FDTD method in lossy spaces,” IEEE Trans. control for the Magn., vol. 45, no. 3, pp. 1356–1359, Mar. 2009.

SUN et al.: NUMERICAL STABILITY AND DISPERSION ANALYSIS OF PITD METHOD IN LOSSY MEDIA

2729

[15] M. Krumpholz and L. P. B. Katehi, “MRTD: New time-domain schemes based on multiresolution analysis,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 4, pp. 555–571, Apr. 1996. [16] W. X. Zhong and F. W. Williams, “A precise time-step integration method,” Proc. Inst. Mech. Eng. C, J. Mech. Eng. Sci., vol. 208, no. 6, pp. 427–430, 1994. [17] A. Taflove and S. C. Hagness, Computational Electrodynamics: the Finite-Difference Time-Domain Method, 3rd ed. Norwood, MA: Artech House, 2005. [18] G. L. Sun and C. W. Trueman, “Numerical validation of dispersion relations using a cylindrical wave for 2-D FDTD methods,” Microw. Opt. Technol. Lett., vol. 43, no. 2, pp. 138–142, Oct. 2004.

Xikui Ma was born in Shaanxi, China, in 1958. He received the B.Sc. and M.Sc. degrees in electrical engineering from Xi’an Jiaotong University, Xi’an, Shaanxi, China, in 1982 and 1985, respectively. In 1985, he joined the Faculty of Electrical Engineering, Xi’an Jiaotong University, as a Lecturer, and then became a Professor in 1992. During the 1994–1995 academic year, he was a Visiting Scientist with the Department of Electrical Engineering and Computer, University of Toronto. He has authored or coauthored over 140 scientific and technical papers. He has authored five books in electromagnetic fields. His main areas of research interests include electromagnetic field theory and its applications, analytical and numerical methods in solving electromagnetic problems, chaotic dynamics and its applications in power electronics, and the applications of digital control to power electronics.

Gang Sun was born in Heilongjiang, China, in 1981. He received the M.Sc. degree in electrical engineering from Xi’an Jiaotong University, Xi’an, Shaanxi, China, in 2007, and is currently working toward the Ph.D. degree at Xi’an Jiaotong University. His research interests are in the areas of numerical techniques in electromagnetic field computation.

Zhongming Bai was born in Shaanxi, China, in 1968. He received the B.Sc. and M.Sc. degrees in electrical engineering from Xi’an Jiaotong University, Xi’an, Shaanxi, China, in 1990 and 2002, respectively, and is currently working toward the Ph.D. degree at Xi’an Jiaotong University. His research interests are in the areas of modeling electromagnetic fields and numerical methods in solving electromagnetic problems.

2730

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Full-Wave Analysis of Dielectric-Loaded Cylindrical Waveguides and Cavities Using a New Four-Port Ring Network Felipe L. Peñaranda-Foix, Member, IEEE, Michael D. Janezic, Senior Member, IEEE, Jose M. Catala-Civera, Member, IEEE, and Antoni J. Canos

Abstract—In this paper, a full-wave method for the electromagnetic analysis of dielectric-loaded cylindrical and coaxial waveguides and cavities is developed. For this purpose, a new four-port ring network is proposed, and the mode-matching method is applied to calculate the generalized admittance matrix of this new structure. A number of analyses on dielectric-loaded waveguide structures and cavities have been conducted in order to validate and to assess the accuracy of the new approach. The results have been compared with theoretical values, numerical modeling from the literature, and data from commercial electromagnetic simulators. The method has been also applied to the accurate determination of dielectric properties, and we provide an example of these measurements as another way to validate this new method. Index Terms—Circuit analysis, dielectric measurements, dielectric resonator, dielectric-loaded waveguides, electromagnetic modeling, microwave filter, mode matching (MM).

I. INTRODUCTION

D

IELECTRIC-LOADED waveguides and cavities are increasingly being employed in passive devices, such as microwave filters or dielectric resonators, that are integrated into satellite and mobile communications systems because of their small size, low loss, and temperature stability [1]. Dielectric materials also have many important functions in the microelectronics industry. For example, new packaging technologies require substrates with low permittivity. High-permittivity materials are used to reduce the dimensions of circuits at lower frequencies. Other important new areas of applications include microwave heating [2] and sensors [3]–[5]. This broad range of microwave applications demands a detailed knowledge of the dielectric properties of materials, including solids, liquids, emulsions, and powders [6]–[10]. As Manuscript received February 27, 2012; revised June 14, 2012; accepted June 15, 2012. Date of publication July 12, 2012; date of current version August 28, 2012. This work was supported by the Ministry of Science and Innovation of Spain under Project MONIDIEL (TEC2008-04109). The work of F. L. Peñarada-Foix was supported by the Conselleria de Educación of the Generalitat Valenciana for economic support (BEST/2010/210). F. L. Peñaranda-Foix, J. M. Catala-Civera, and A. J. Canos are with the Instituto de Aplicaciones de las Tecnologias de la Informacion y de las Comunicaciones Avanzadas (ITACA), Universidad Politécnica de Valencia, 46022 Valencia, Spain (e-mail: [email protected]). M. D. Janezic is with the National Institute of Standards and Technology (NIST), Boulder, CO 80305 USA. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2206048

electrical components are miniaturized, the need for well-characterized dielectric measurements on materials increases [11], [12]. Dielectric properties measurement strategies include waveguide cells (in reflection or/and transmission), resonators, and free-space methods [13]–[16]. Dielectric-loaded cylindrical waveguides and cavities can provide new and accurate dielectric measurement procedures to be applied under these methodologies [17]–[20]. As a consequence, the study of dielectric inhomogeneities in waveguides and cavities has been a main task of microwave researchers over the last decades. The technical literature offers a large number of papers about the numerical solutions of the eigenmodes and eigenvectors of canonical metallic cavities loaded with dielectric resonators. The finite-element method (FEM) [21] and finite-difference time-domain (FDTD) [22] procedures have been primarily employed to solve this problem. The need to employ refined 3-D meshes and the frequency dependence of calculations make these methods very demanding in terms of computation time and memory resources. To overcome these limitations, the mode-matching (MM) method [23]–[26] has emerged as an efficient and accurate technique to solve waveguide discontinuities and cavities. The boundary integral-resonant-mode expansion (BI-RME) technique [27], [28] has also been efficiently applied to the analysis of dielectric-loaded cavities of rectangular shape. For complex or large size waveguides or cavities, however, the MM method may suffer from convergence problems, and it has been combined with other analytical techniques [29]–[32]. Circuit analysis and segmentation have also proven to be powerful tools for analyzing complex dielectric-filled structures [33]–[35]. The generalized circuital analysis is a method for solving electromagnetic problems that consists of the segmentation of the whole geometry of the microwave structure into simpler elements, which then can be solved in an easier way [25], [36]–[41]. Once the simpler structures have been solved separately, they can be joined or combined through the use of the generalized admittance matrix (GAM) in order to give the complete solution of the complex structure. In this paper, the calculation of the GAM matrix of a new fourport dielectric ring network is proposed. The term four-port does not refer to the terminals of the entire structure that is being analyzed. As shown in Fig. 2, this four-port ring network is only one of the elements, used in conjunction with other circuit elements, to model the larger structure. A four-port dielectric ring network is necessary because of the multiple dielectric layers that

0018-9480/$31.00 © 2012 IEEE

PEÑARANDA-FOIX et al.: FULL-WAVE ANALYSIS OF DIELECTRIC-LOADED CYLINDRICAL WAVEGUIDES AND CAVITIES

2731

Fig. 1. Four-port ring network.

can occur in both the radial (ports 1 and 2) and axial (ports 3 and 4) directions, as shown in Fig. 1. The GAM will be computed using the MM method, where the field in each port is approximated by a series expansion of basis functions. The set of basis functions has been chosen so that one can solve the resulting integrals analytically, without having to employ numerical methods. The combination of this new network with other circuit elements, such as cylindrical or coaxial waveguides, will allow an efficient and accurate tool to the full-wave solution of the scattering matrix or the resonant frequencies of dielectric-loaded cylindrical structures. Dielectric resonator filters and dielectric-filled re-entrant coaxial waveguides and cavities can be solved straightforwardly with the use of the developed full-wave analysis method. Moreover, the use of microwave cavities partially filled with two dielectrics shows a clear application of the method for measuring the dielectric properties of materials [42], [43]. The validity of the proposed four-port dielectric ring network is examined by modeling different well-known cylindrical transmission-line and cavity structures and then comparing the results with those included in the technical literature, as well as with those given by other numerical techniques. Measurements of some microwave devices are also included for validation purposes.

Fig. 2. Example of several four-port and three-port interconnection networks to model a multilayer structure.

It is important to note that each element in the GAM is a matrix that relates the modes in one port to the modes in remaining ports [26], [45], [46]. In general, the elements in (1) represent TEM, TM, or TE modes. However, the analysis described here is restricted to the TM modes with symmetry of revolution . Therefore, because of the particular geometry of the structures defined in Fig. 1, only TEM and TM modes are taken into account in the model. This restriction is strictly due to the type of the structures we are interested in, and it does not represent a loss of generality of the GAM technique. The procedure is, in fact, similar to the one followed in [47], where only modes were employed to analyze the split post dielectric resonator. With these assumptions, we define the components of the electromagnetic fields in the inner region as [48]

II. THEORY Fig. 1 shows the proposed four-port network to be analyzed. It consists of a toroid with inner radius , outer radius , and height , with permittivity and permeability . The four ports are defined as follows: port 1 at , , port 2 at , , port 3 at , and port 4 at , . The four-port network can be combined with other networks by circuit analysis to model more complex structures. As an example, Fig. 2 shows how this four-port network can be interconnected to other three-port networks [44] to model a multilayer structure composed of several dielectric materials. The proposed circuit analysis enables the calculation of either the scattering parameters, in the case of a transmission-line structure, or the resonant frequencies for cavities or resonators. The GAM of a four-port network is defined by the general expression (1)

(2) where

and

are

(3) (cutoff wavenumber) depend on the In (3), the values of boundary conditions and the value of . The mode corresponds to the TEM mode, where . However, in order to define (2) and (3) with more generality, and to include the TEM mode, the criteria of is adopted hereinafter. The propagation constant and the cutoff wavenumber are related as follows: (4)

(1)

where is the free-space wavenumber . The functions and in (3) are, respectively, the Bessel functions of the first and second kind with order (0

2732

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

or 1), and is a coefficient that depends on the boundary conditions. Section II-A describes the calculation of the set of parameters , of (1).

The magnetic field at port 1 and the inner magnetic field from , which leads to the following expres(6) must be equal at sions:

A. Parameters To calculate the parameters, electric wall conditions are imposed on ports 2–4, and therefore fields in (2) become

(5)

(11)

By substituting the above relations in (2), the electromagnetic fields in the inner region are

Since parameter is defined as the relation between the electric and magnetic fields at port 1, we have (12) (6) , the value of the cutoff Given the propagation constant wavenumbers can be calculated as (7) In this case, the TEM mode does not exist because of the resulting geometry when short-circuiting ports 2–4. However, it must be noted that when computing the and parameters, the TEM mode does exist, and thus, it must be included. The incident electric field at port 1 is (8) where we assume a Fourier series expansion, based on trigonometric basis functions, for this port. Next, we equate (8) and the field in (6) at , and calculate the amplitudes using the orthogonal properties of the trigonometric functions. We then obtain

where each submatrix from (11) as follows:

, being

, is derived

(13a) (13b) (13c) (13d) 2) Parameter : The calculation of parameter is obtained in a similar way to the parameter, noting that port 2 is now placed at . 3) Parameter : The magnetic field at port 3 is written as a series expansion of the basis functions

(14) (9) where , , and are defined in the Appendix, Section A. 1) Parameter : In (10), the magnetic field at port 1 is written as a series expansion similar to the incident electric field defined in (8) (10)

where the basis functions used at port 3 and at port 4 are orthogonal in the range . They are a complete set of Bessel functions, which makes them suitable for structures that can be described in circular-cylindrical coordinates. The series expansion used is a generalization of the Dini series expansions [49] as they were developed in [50]. This series expansion is included in Appendix, Section B (superindex in refers to port 3). The term is a normalfunction ization term for the electric field [51], [52]. This term is also calculated in the Appendix, Section C.

2733

PEÑARANDA-FOIX et al.: FULL-WAVE ANALYSIS OF DIELECTRIC-LOADED CYLINDRICAL WAVEGUIDES AND CAVITIES

By equating the magnetic field at port 3 with the inner magnetic field, defined in (6), over the region , we get

(15) has been defined in (37), has been defined in where is defined in the Appendix, Section D. (34), and Defining the parameter as the relation between the magnetic field at port 3 and electric field at port 1, we have (16) where each submatrix

, being

is from (15)

Fig. 3. Re-entrant coaxial waveguide and its circuit segmentation.

The same expression can be retrieved from the computed GAM matrix. Assuming , we obtain a one-port element where the unique GAM parameter is . From the second Maxwell equation, a good dielectric satisfies and a good conductor satisfies (see [48] and [56]). Thus, for a good conductor, we can assume that the following permittivity relationship applies:

(17a)

(19) The open-space wavenumber tivity is

associated with this permit-

(17b) : The calculation of parameter is ob4) Parameter tained in a similar manner to the parameter, but in the region . B. Parameters

,

(20) where is the penetration depth used in (18). Using (20) in parameter , we obtain the following expression:

, and

Since the remaining parameters of columns 2–4 of the -matrix are calculated in a similar way to the parameters in the first column, , we do not include here (for the sake of space) how their expressions are determined.

(21) where the cutoff wavenumber

is (7)

C. Losses in the Electric Walls

(22)

Dielectric or magnetic losses of the material in the ring circuit of Fig. 1 are included in the imaginary parts of the complex permittivity and complex permeability, respectively, as defined previously, but the conductive losses associated with the electric walls requires an additional explanation. The impedance associated with a finite conductivity electric wall can be calculated with the well-known expression [53]–[55] (18)

For most metals, the value of the conductivity is relatively high so the expression for the wavenumber can be approximated by (23) With respect to

, we then have (24a)

2734

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 4. Magnitude and phase of the -parameters with air gap when

Fig. 5. Magnitude and phase of the -parameters with air gap when

mm,

mm,

(24b) (24c) (24d) is the Dirac delta function. As expected, the one-port where network GAM for the finite conductive electric wall results in a diagonal matrix with the impedance value of (18). The sign discrepancy comes from the Poynting vector flux that in this paper is outgoing from the network and not ingoing as usual. Applying this standard criterion, the sign of the magnetic field changes, which also changes the sign of the GAM, leading to the same value for (18). III. NUMERICAL RESULTS AND DISCUSSION In order to validate the accuracy of the numerical model described in Section II, and demonstrate the usefulness of the combination of this new four-port network with cylindrical or coaxial waveguides, modeling results of typical dielectric-loaded microwave structures are compared with analytical expressions, general-purpose FEM simulators, and with results previously published in the literature. This is shown in

mm,

mm,

mm,

mm,

mm,

mm,

mm, and

mm, and

.

.

Sections III-A–III-D. Section III-E includes an example of permittivity measurements as illustrative of another application of the proposed network. A. Coaxial Waveguide Loaded With a Dielectric Disk Fig. 3(a) shows a coaxial waveguide with a cylindrical dielectric sample positioned between the two inner conductors. Fig. 3(b) shows how this structure is broken down into different networks, where the proposed four-port ring network is combined with one-port (short-circuit), two-port (coaxial line), and three-port (node) networks (see [33] and [44] for details of this networks). Figs. 4 and 5 show the magnitude and phase ofthe -parameters of the two-port structure calculated by joining the nodes and networks described in Fig. 3(b) for a dielectric disc of permittivity of air and permittivity of , respectively. Dimensions of the geometry are given in the figure caption. Only 15 modes over 50 frequency points were required to solve the dielectric-loaded coaxial in less than 6 s with a PC (Intel Core i5-2320 and 6-GB RAM). The computed results (labeled MODAL) are in very good agreement with those provided by the commercial code Ansoft HFSS (labeled HFSS) also included in the figures for comparison. Of course,

PEÑARANDA-FOIX et al.: FULL-WAVE ANALYSIS OF DIELECTRIC-LOADED CYLINDRICAL WAVEGUIDES AND CAVITIES

Fig. 6. Four-pole

2735

DR filter (see dimensions in [63]).

the accuracy of the modeling depends on the number of modes selected to perform the calculations. The number of modes has a direct impact on the required processing time. In this, and in the rest of the simulated cases, good accuracy has been reached, when compared with references in the literature, by selecting only a few modes (i.e., 15 modes in this first example), which allows one to analyze the structures in a reasonable amount of time. By short circuiting (perfect electric walls are assumed in the simulation) both ends (ports 1 and 2), and imposing resonant condition [57], this structure becomes a re-entrant cavity, which is a common device for measuring the complex permittivity of dielectric materials, as described in [29] and [58]–[62].

Fig. 7. Coaxially loaded cavity with container.

B. Cylindrical Dielectric Resonant (DR) Filters The second structure considered is a four-pole DR filter shown in Fig. 6 [63]. It consists of a set of spaced dielectric-loaded cylindrical waveguides coupled by coaxial lines. The dielectric support of the dielectric resonator has a relative permittivity of 1.031, and the rest of parameters are given in the figure caption. To solve for the -parameters of this structure, the schematic of Fig. 6 is segmented by connecting the nodes of the four-port network to cylindrical waveguides in a similar manner to the coaxial of Fig. 3. The computed -parameters for the four-pole filter are shown in Fig. 6. To obtain an accurate -parameters over 150 frequency points, we included 30 modes, which resulted in a total computation time of 60 s using a laptop (Intel Core i5 and 6-GB RAM). The computed performance of the four-pole filter is compared in Fig. 6 with simulations and measurements of the same structure carried out in [63]. Very good agreement with measurements is observed from the figure. C. Cylindrical Cavity Coaxially Loaded With Two Dielectric Materials The third structure to be studied with the proposed method is a cylindrical cavity coaxially loaded with two dielectric materials that extends along the cavity height, as shown in Fig. 7. This inhomogeneous cavity can be solved analytically, giving us the opportunity to compare the accuracy of the

Fig. 8. Circuit segmentation of a coaxially loaded cavity.

proposed model with previously published analytical results. For this configuration, ports 1 and 2 of the four-port network are joined with the materials in contact, whereas ports 3 and 4 are short circuited (see Fig. 8). The three-port network of Fig. 8 is described in [44]. Short circuits can be modeled either as perfect electric conductors (PECs) or conductors with a finite conductivity, as described previously in Section II. Resonant frequencies and quality factors have been calculated using the resonant condition [57] and the complex resonant frequency concept [56]. Table I shows the first two resonant frequencies and factors corresponding to the ( and ) modes of a cylindrical cavity coaxially loaded with dielectric materials of different permittivity, and first resonant mode when the dielectric is inside a dielectric tube. In both cases (with and without a tube), the calculated values are identical to those provided by an analytical solution of this structure [48] so that we

2736

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

TABLE I RESONANT FREQUENCY COMPARISONS mm, m,

mm, mm

Fig. 9. Cylindrical cavity partially loaded with: (a) a dielectric disk and (b) circuit segmentation.

TABLE II SIMULATIONS OF RESONANT FREQUENCIES (IN GIGAHERTZ) cm, cm, cm,

can assess the accuracy and performance of this technique. In order to compare with the analytic solutions, the conductivity of the walls is assumed infinite . In all the cases, the resonant frequencies provided by the Ansoft HFSS simulations are included, and the relative errors are about 1.3% in the resonant frequencies and 0.05% in the quality factors. D. Cylindrical Cavity Partially Loaded With a Dielectric Disk The next structure analyzed is a cylindrical cavity partially filled with a dielectric disc that can be located at different height [see Fig. 9(a)]. This cavity can be split into simple circuit elements, as shown in Fig. 9(b), where the four-port ring network is connected to the other four-port ring networks (up and down) and terminated with short circuits [44]. Fig. 10 shows the calculated resonant frequencies of the first two modes ( and ) as a function of the rate between the cavity height and the dielectric disk diameter when the material is placed at the bottom and for a given value of permittivity (comb-line resonator). For comparison, the figure also reproduces the results given by [64] of the same structure, showing again very good agreement between both approaches. The cavity loaded with the dielectric disk at the center , as represented in Fig. 9(a), has been extensively used in the

, cm

literature to compare different methods of analysis. Therefore, we compare our results with those obtained by the orthonormal basis method [1] and with the MM method of [65]. Table II presents a list with some of these results corresponding to the modes. Again, we find excellent agreement between our results and those using other approaches, which confirm the validity of the developed method (the conductivity is assumed to be infinite because no information about it is provided in the references). In order to compute each resonant frequency, the Nelder–Mead minimization method was used [66], with an average of 180 evaluations when we included 30 modes, resulting in a computation time of 180 s per frequency point. E. Dielectric Measurements With Cylindrical Cavity Partially Loaded With a Dielectric Disk Finally, we included an example of permittivity measurements of a dielectric disk in the cylindrical cavity depicted in Fig. 9(a) with . Table III shows the measurements of the resonant frequency and factor of the cylindrical cavity containing samples of ceramic materials (with highand low-permittivity values) and the calculated permittivity of the samples using the circuital representation of Fig. 9(b). Resonance measurements have been carried out following the procedures described in [67]. Dimensions of cavity and

2737

PEÑARANDA-FOIX et al.: FULL-WAVE ANALYSIS OF DIELECTRIC-LOADED CYLINDRICAL WAVEGUIDES AND CAVITIES

Fig. 10. Resonant frequency of the first two TM modes with

TABLE III PERMITTIVITY MEASUREMENTS OF TRANS-TECH MATERIALS mm, mm, S m

,

mm,

, and

.

APPENDIX

mm,

Values of

and

in (9): Integrals

and

are

(25a)

(25b) samples are also given in the table, as well as the measured conductivity, which is determined through a measurement of the empty cavity. The calculated results show very good agreement with the values provided by the material manufacturer’s data sheet and with other measurements performed in a split-cylinder resonator at the National Institute of Standards and Technology (NIST), Boulder, CO, thereby confirming the validity of the developed approach to accurately measure the dielectric properties of materials.

and

is defined as (26)

Basis Functions Used in Port 3: The basis functions used for the series expansion at port 3 [see magnetic field in port 3 in (14)] and port 4 are based on a generalization of the Dini series expansion [49] as reported in [50]. The general expression for the series expansion of a function is

IV. CONCLUSION In this paper, the GAM of a novel four-port cylindrical ring network has been successfully solved. The combination of the proposed ring circuit network with coaxial and cylindrical waveguides can be used to model a variety of complex structures. For instance, they have been used for solving dielectric-loaded structures widely employed as microwave devices and resonators, including those with finite conductivity walls. This new element has been used to calculate the -parameters, resonant frequencies, and quality factor of some dielectric loaded structures with dielectric disks. The results provided by this new element have been compared with theoretical results, as well as with data included in the technical literature, with commercial FEM software values, and with measurements, showing very good agreement in all cases.

(27) where order is an arbitrary value and where the weights of the series expansion are

(28) The basis function

is (29a) (29b)

2738

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

(29c)

Normalization Term : is a normalization term for the electric field [51], [52] and is calculated as

Following these definitions, the series expansion in (27) is then true if

(30) The first equation is always true (and can be easily proven) and the second one implies that, for a given and ,

(31) The zeros of this equation are the parameters series expansion. In our case, we are using and , and then the basis function is

(37)

Value of Integral

in (15): Integral

is

used in the and

(38)

(32) where the function has been defined, and used in (14), and where are the zeros of

(33) It is important to remark that, apart from the trivial zero , the rest of the zeros are the cutoff wavenumbers of modes in the coaxial waveguide. Finally, term , the normalization term in (28), is

and it is important to note the 0 in the origin where function is

(34) ,

(35) where the coefficient

,

, in the series expansion is (36)

Note that this case is the TEM mode.

REFERENCES [1] J. A. Monsoriu, M. V. Andrés, A. Silvestre, A. Ferrando, and B. Gimeno, “Analysis of dielectric-loaded cavities using an orthonormalbasis method,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 11, pp. 2545–2552, Nov. 2002. [2] S. Charmond, C. P. Carry, and D. Bouvard, “Densification and microstructure evolution of Y-tetragonal zirconia polycrystal powder during direct and hybrid microwave sintering in a single-mode cavity,” J. Eur. Ceram. Soc., vol. 30, no. 6, pp. 1211–1221, 2010. [3] T. Baum, L. Thompson, and K. Ghorbani, “Complex dielectric measurements of forest fire ash at -band frequencies,” IEEE Geosci. Remote Sens. Lett., vol. 8, no. 5, pp. 859–863, Sep. 2011. [4] S. Hausman, L. Januszkiewicz, M. Michalak, T. Kacprzak, and I. Krucinska, “High frequency dielectric permittivity of nonwoven,” Fibres & Textiles Eastern Eur., vol. 14, no. 5, pp. 60–63, Jan./Dec. 2006. [5] T. Oguchi, M. Udagawa, N. Nanba, M. Maki, and Y. Ishimine, “Measurements of dielectric constant of volcanic ash erupted from five volcanoes in japan,” IEEE Trans. Geosci. Remote Sens., vol. 47, no. 4, pp. 1089–1096, Apr. 2009. [6] R. Renoud, C. Borderon, and H. W. Gundel, “Measurement and modeling of dielectric properties of Pb(Zr,Ti)O3 ferroelectric thin films,” IEEE Trans. Ultrason., Ferroelectr., Freq. Control, vol. 58, no. 9, pp. 1975–1980, Sep. 2011. [7] M. Zhang, J. Zhai, and X. Yao, “Microwave dielectric properties of high dielectric tunable—Low Permittivity Ba0.5Sr0.5TiO3–Mg2(Ti0. 95Sn0.05)O4 composite ceramics,” Ceramics Int., vol. 38, pp. S173–S176, Jan. 2012, Suppl. 1. [8] C. C. Khaw, K. B. Tan, and C. K. Lee, “High temperature dielectric properties of cubic bismuth zinc tantalate,” Ceramics Int., vol. 35, no. 4, pp. 1473–1480, May 2009. [9] A. Chaouchi, S. d’Astorg, and S. Marinel, “Low sintering temperature of (Zn0.65Mg0.35)TiO3-xCaTiO3-based dielectric with controlled temperature coefficient,” Ceramics Int., vol. 35, no. 5, pp. 1985–1989, Jul. 2009. [10] D. L. Guerra, S. P. Oliveira, R. A. S. Silva, E. M. Silva, and A. C. Batista, “Dielectric properties of organofunctionalized kaolinite clay and application in adsorption mercury cation,” Ceramics Int., vol. 38, no. 2, pp. 1687–1696, Mar. 2012.

PEÑARANDA-FOIX et al.: FULL-WAVE ANALYSIS OF DIELECTRIC-LOADED CYLINDRICAL WAVEGUIDES AND CAVITIES

[11] J. Krupka and W. Gwarek, “Measurements and modeling of planar metal film patterns deposited on dielectric substrates,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 3, pp. 134–136, Mar. 2009. [12] J. Krupka, W. Gwarek, N. Kwietniewski, and J. G. Hartnett, “Measurements of planar metal-dielectric structures using split-post dielectric resonators,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 12, pp. 3511–3518, Dec. 2010. [13] J. Baker-Jarvis, M. Janezic, and D. Degroot, “High-frequency dielectric measurements,” IEEE Instrum. Meas. Mag., vol. 13, no. 2, pp. 24–31, Apr. 2010. [14] U. Kaatze and C. Hübner, “Electromagnetic techniques for moisture content determination of materials,” Meas. Sci. Technol., vol. 21, pp. 1–26, 2010. [15] U. Kaatze, “Techniques for measuring the microwave dielectric properties of materials,” Metrologia, vol. 47, pp. S91–S113, 2010. [16] J. Krupka, “Frequency domain complex permittivity measurements at microwave frequencies,” Meas. Sci. Technol., vol. 17, pp. R55–R70, 2006. [17] J. Baker-Jarvis, M. D. Janezic, B. F. Riddle, R. T. Johnk, P. Kabos, C. L. Holloway, R. G. Geyer, and C. A. Grosvenor, “Measuring the permittivity and permeability of lossy materials: Solids, liquids, metals, building materials and negative index materials,” NIST, Boulder, CO, NIST Tech. Note 1536, Feb. 2005. [18] F. L. Penarada-Foix, P. J. Plaza-González, B. García-Baños, and D. Polo-Nieves, “A non-destructive method of measuring the dielectricand magnetic properties of laminate materials in open cavities,” in IEEE MTT-S Int. Microw. Symp. Dig., Fort Worth, TX, 2004, vol. 3, pp. 1821–1823. [19] M. D. Janezic and J. Baker-Jarvis, “Full-wave analysis of a split-cylinder resonator for nondestructive permittivity measurements,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 10, pp. 2014–2020, Oct. 1999. [20] J. Baker-Jarvis, M. D. Janezic, P. D. Domich, and R. G. Geyer, “Analysis of an open-ended coaxial probe with lift-off for nondestructive testing,” IEEE Trans. Instrum. Meas., vol. 43, no. 5, pp. 711–718, Oct. 1994. [21] A. Taflove, Computational Electrodynamics: The Finite-Difference Time-Domain Method. Norwood, MA: Artech House, 1995. [22] J. Volakis, Finite Element Method for Electromagnetics. New York: IEEE Press, 1998. [23] A. Wexler, “Solution of waveguide discontinuities by modal analysis,” IEEE Trans. Microw. Theory Tech., vol. MTT-15, no. 9, pp. 508–517, Sep. 1967. [24] H. Patzelt and F. Arndt, “Double-plane steps in rectangular waveguides and their application for transformers, irises, and filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-30, no. 5, pp. 771–776, May 1982. [25] G. Conciauro, M. Guglielmi, and R. Sorrentino, Advanced Modal Analysis. New York: Wiley, 2000. [26] J. A. Ruiz-Cruz, J. Esteban, and J. M. Rebollar, “Efficient boundary contour mode-matching method of - and -plane junctions by fast Fourier transform algorithm,” Proc. Inst. Elect. Eng.–Microw. Antennas Propag., vol. 150, no. 5, pp. 332–338, Oct. 2003. [27] J. Gil, A. A. S. Blas, C. Vicente, B. Gimeno, M. Bressan, V. E. Boria, G. Conciauro, and M. Maestre, “Full-wave analysis and design of dielectric-loaded waveguide filters using a state-space integral-equation method,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 1, pp. 109–120, Jan. 2009. [28] J. Gil, A. M. Perez, B. Gimeno, M. Bressan, V. E. Boria, and G. Conciauro, “Analysis of cylindrical dielectric resonators in rectangular cavities using a state-space integral-equation method,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 12, pp. 636–638, Dec. 2006. [29] J. Baker-Jarvis and B. F. Riddle, “Dielectric measurements using a reentrant cavity: Mode-matching analysis,” NIST, Boulder, CO, NIST Tech. Note 1384, Nov. 1996. [30] R. Lech and L. Mazur, “Analysis of circular cavity with cylindrical objects,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 10, pp. 2115–2123, Oct. 2007. [31] W. Xi and W. R. Tinga, “Field analysis of new coaxial dielectrometer,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 10, pp. 1927–1934, Oct. 1992. [32] J. Zheng and M. Yu, “Rigorous mode-matching method of circular to off-center rectangular side-coupled waveguide junctions for filter applications,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 11, pp. 2365–2373, Nov. 2007.

2739

[33] F. L. Penaranda-Foix, “Application of the generalized circuital analysis theory to the resolution of electromagnetic diffraction problems,” (in Spanish) Ph.D. dissertation, Dept. Commun., Univ. Politécnica Valencia, Valencia, Spain, 2001. [34] F. L. Penaranda-Foix and M. Ferrando-Bataller, “Scattering of inhomogeneous cylinders by circuital analysis,” Microw. Opt. Technol. Lett., vol. 39, no. 2, pp. 155–159, Oct. 2003. [35] F. L. Penaranda-Foix, J. M. Catala-Civera, A. J. Canos-Marin, and P. J. Plaza-Gonzalez, “Solving cylindrically-shaped waveguides partially-filled with isotropic materials by modal techniques,” in Proc. 11th AMPERE, Oradea, Romania, 2007, vol. 1, pp. 67–70. [36] P. Arcioni, M. Bozzi, M. Bressan, G. Conciauro, and L. Perregrini, “Frequency/time-domain modelling of 3-D waveguide structures by a biRME approach. International journal of numerical modelling: Electronic networks, devices and fields,” Int. J. Numer. Modeling, vol. 15, no. 1, pp. 3–21, 2002. [37] P. Soto, V. E. Boria, J. M. Catalá-Civera, N. Chouaib, M. Guglielmi, and B. Gimeno, “Analysis, design, and experimental verification of microwave filters for safety issues in open-ended waveguide systems,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 11, pp. 2133–2140, Nov. 2000. [38] T. Sieverding and F. Arndt, “Field theoretical cad of open or aperture matched T-junction coupled rectangular waveguide structures,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 2, pp. 353–362, Feb. 1992. [39] J. M. Rebollar, J. Esteban, and J. E. Page, “Fullwave analysis of three and port–port rectangular waveguide junctions,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 2, pp. 256–263, Feb. 1994. [40] M. Ludovico, B. Piovano, G. Bertin, C. Zarba, L. Accatino, and M. Mongiardo, “CAD and optimization of compact ortho-mode transducers,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2479–2486, Dec. 1999. [41] A. Alvarez, G. Connor, and M. Guglielmi, “New simple procedure for the computation of the multimode admittance or impedance matrix of planar waveguide junctions,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 3, pp. 413–418, Mar. 1996. [42] H. Kawabata, H. Tanpo, and Y. Kobayashi, “Analysis and experiments of a TM 010 mode cylindrical cavity to measure accurate complex permittivity of liquid,” IEICE Trans. Electron., vol. E87-C, no. 5, pp. 694–699, May 2004. [43] H. Kawabata, Y. Kobayashi, and S. Kaneko, “Analysis of cylindrical cavities to measure accurate relative permittivity and permeability of rod samples,” in Proc. Asia–Pacific Microw. Conf., 2010, pp. 1459–1462. [44] F. L. Penaranda-Foix and J. M. Catala-Civera, “Circuital analysis of cylindrical structures applied to the electromagnetic resolution of resonant cavities,” in Passive Microwave Components and Antennas, 1st ed. Rijeka, Croatia: IN-TECH, Apr. 2010, ch. 7. [Online]. Available: http://sciyo.com/books/show/title/passive-microwave-components-and-antennas [45] J. M. Rebollar and J. A. Encinar, “Field theory analysis of multiport-multidiscontinuity structures: An application to short-circuited -plane septum,” Proc. Inst. Elect. Eng.—Microw. Antennas Propag., vol. 135, no. 1, pt. H, pp. 1–7, Feb. 1988. [46] J. D. Wade and R. H. MacPhie, “Conservation of complex power technique for waveguide junctions with finite wall conductivity,” IEEE Trans. Microw. Theory Tech., vol. 35, no. 4, pp. 373–378, Apr. 1990. [47] J. Krupka and J. Mazierska, “Contactless measurements of resistivity of semiconductor wafers employing single-post and split-post dielectric-resonator techniques,” IEEE Trans. Instrum. Meas., vol. 56, no. 5, pp. 1839–1844, Oct. 2007. [48] C. A. Balanis, Advanced Engineering Electromagnetics. New York: Wiley, 1989. [49] G. N. Watson, A Treatise on the Theory of Bessel Funcions. Cambridge, U.K.: Cambridge Math. Library, 1995. [50] B. G. Korenev, Bessel Functions and Their Applications. New York: Taylor & Francis, 2002. [51] G. G. Gentili, “Properties of TE–TM mode-matching techniques,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 9, pp. 1669–1673, Sep. 1991. [52] G. V. Eleftheriades, A. S. Omar, and L. P. B. Katehi, “Some important properties of waveguide junction generalized scattering matrices in the context of the mode matching technique,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 10, pp. 1896–1903, Oct. 1994. [53] R. E. Collin, Foundations for Microwave Engineering. Piscataway, NJ: IEEE Press, 2001.

2740

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

[54] M. A. Leontovich, “On the approximate boundary conditions for electromagnetic fields on the surface of well conducting bodies,” in Investigations of Propagation of Radio Waves, B. A. Vvdensky, Ed. Moscow, Russia: Acad. Sci. USSR, 1948, pp. 5–20. [55] T. B. Senior, “Impedance boundary conditions for imperfectly conducting surfaces,” Appl. Sci. Res., vol. 8, pp. 418–436, 1960. [56] R. F. Harrington, Time–Harmonic Electromagnetic Fields. New York: McGraw-Hill, 1961. [57] F. L. Penaranda-Foix, J. M. Catala-Civera, A. J. Canos-Marin, and B. Garcia-Banos, “Circuital analysis of a coaxial re-entrant cavity for performing dielectric measurement,” in IEEE MTT-S Int. Microw. Symp. Dig., Boston, MA, 2009, vol. 1, pp. 1309–1312. [58] W. Xi, W. R. Tinga, W. A. G. Voss, and B. Q. Tian, “New results for coaxial re-entrant cavity with partially filled gap,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 4, pp. 747–753, Apr. 1992. [59] M. Jaworski, “On the resonant frequency of a re-entrant cylindrical cavity,” IEEE Trans. Microw. Theory Tech., vol. MTT-26, no. 4, pp. 256–260, Apr. 1978. [60] A. G. Williamson, “The resonant frequency and tuning characteristics of a narrow-gap re-entrant cavity,” IEEE Trans. Microw. Theory Tech., vol. MTT-24, no. 4, pp. 182–187, Apr. 1976. [61] R. G. Carter, J. Feng, and U. Becker, “Calculation of the properties of reentrant cylindrical cavity resonators,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 12, pp. 2531–2538, Dec. 2007. [62] H. J. Eom, Y. C. Noh, and J. K. Park, “Scattering analysis of a coaxial line terminated by a gap,” IEEE Microw. Guided Wave Lett., vol. 8, no. 6, pp. 218–219, Jun. 1998. [63] A. R. Weily and A. S. Mohan, “Rotationally symmetric FDTD for wideband performance prediction of TM01 DR filters,” Int. J. RF Microw. Comput.-Aided Eng., vol. 12, no. 3, pp. 259–271, 2002. [64] C. Wang, K. A. Zaki, A. E. Atia, and T. G. Dolan, “Dielectric combline resonators and filters,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 2501–2506, Dec. 1998. [65] K. A. Zaki and C. Chen, “New results in dielectric-loaded resonators,” IEEE Trans. Microw. Theory Tech., vol. MTT-34, no. 7, pp. 815–824, Jul. 1986. [66] J. C. Lagarias, J. A. Reeds, M. H. Wright, and P. E. Wright, “Convergence properties of the Nelder–Mead simplex method in low dimensions,” SIAM J. Optim., vol. 9, no. 1, pp. 112–147, 1998. [67] A. J. Canos, J. M. Catala-Civera, F. L. Penaranda-Foix, and E. ReyesDavo, “A novel technique for deembedding the unloaded resonance frequency from measurements of microwave cavities,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 8, pp. 3407–3416, Aug. 2006.

Felipe L. Peñaranda-Foix (S’93–A’99–M’10) was born in Benicarló, Spain, in 1967. He received the M.S. degree in electrical engineering from the Universidad Politécnica de Madrid, Madrid, Spain, in 1992, and the Ph.D. degree in electrical engineering from the Universidad Politécnica de Valencia (UPV), Valencia, Spain, in 2001. In 1992, he joined the Departamento de Comunicaciones, UPV, where he is currently a Senior Lecturer. He has coauthored approximately 40 papers in referred journals and conference proceedings and over 40 engineering reports for companies. He is a Reviewer for several international journals. His current research interests include electromagnetic scattering, microwave circuits and cavities, sensors, and microwave heating applications. Dr. Peñaranda-Foix is an AMPERE member.

Michael D. Janezic (S’93–M’95–SM’02) received the B.S., M.S., and Ph.D degrees in electrical engineering from the University of Colorado at Boulder, in 1900, 1997, and 2003, respectively. He is currently the Leader of the Advanced Materials Metrology Project (part of the Electromagnetics Division), National Institute of Standards and Technology (NIST), Boulder, Colorado. Since joining NIST in 1988, he has authored or coauthored over 50 papers that describe new techniques for measuring the high-frequency electromagnetic properties of materials, particularly low-loss dielectrics. His current research is focused on the development of accurate nondestructive measurement methods for determining the electromagnetic properties of dielectric and magnetic materials at microwave and millimeter-wave frequencies. Dr. Janezic was the recipient of the NIST Bronze Medal in 2005 for the development of nondestructive test methods for measuring the complex permittivity of low-loss dielectric substrates at microwave frequencies.

Jose M. Catala-Civera (M’04) was born in Valencia, Spain, in February 1969. He received the Dipl. Ing. and Ph.D. degrees from the Universidad Politécnica de Valencia, Spain, in 1993 and 2000, respectively. Since 1996, he has been with the Communications Department, Universidad Politécnica de Valencia, where he received the Readership in 2000, becoming a Full Professor in 2011. He is currently Co-head of the Microwave Applications Research Division, Instituto de Aplicaciones de las Tecnologias de la Informacion y de las Comunicaciones Avanzadas (ITACA), Universidad Politécnica de Valencia. He has coauthored over 100 papers in referred journals and conference proceedings and over 50 engineering reports for companies. He is a reviewer of several international journals. He holds 18 patents. His research interests encompass the design and application of microwave theory and applications, the use of microwaves for electromagnetic heating, microwave cavities and resonators, measurement of dielectric and magnetic properties of materials, and development of microwave sensors for nondestructive testing. Dr. Catala-Civera is currently a board member of the Association of Microwave Power in Europe for Research and Education (AMPERE), a European-based organization devoted to the promotion of RF and microwave energy.

Antoni J. Canos was born in Almenara (Castelló de la Plana), Spain, in 1973. He received the Dipl. Eng. and M.S. degrees in electrical engineering from the Universitat Politècnica de València, Valencia, Spain, in 1999 and 2003, respectively, and is currently working toward the Ph.D. degree at the Universitat Politècnica de València. In 2001, he joined the Instituto de Aplicaciones de las Tecnologias de la Informacion y de las Comunicaciones Avanzadas (ITACA), Valencia, Spain, as a Research and Development Engineer. Since 2005, he has been an Assistant Professor with the Communications Departament, Universitat Politècnica de València. His current research interests include numerical analysis and design of waveguide components, microwave measurement techniques and devices for the electromagnetic characterization of materials, noninvasive monitoring of processes involving dielectric changes, and design of low-cost vector network analyzers.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

2741

Exact and Closed-Form Cutoff Wavenumbers of Elliptical Dielectric Waveguides Grigorios P. Zouros and John A. Roumeliotis

Abstract—The cutoff wavenumbers of the elliptical dielectric waveguide are calculated exactly and analytically. Two separate methods are used to solve this problem. The first method is based on the separation of variables technique using Mathieu functions and gives the exact cutoff wavenumbers. The system matrices of which the roots of their determinant should be determined are complicated because of the nonexistence of orthogonality relations for Mathieu functions, due to the different constitutive parameters between the core and the cladding of the fiber. In the second method, the cutoff wavenumbers are obtained through analytical expressions, when the eccentricity of the elliptical core is specialized to small values. In the latter case, analytical closed-form algebraic expressions, free of Mathieu functions, are obtained for the expansion coefficients in the resulting relation for the cutoff wavenumbers, where are the normalized cutoff wavenumbers of the circular dielectric waveguide. These expressions are valid for every different value of and , corresponding to every higher order hybrid mode. Numerical results are given for various higher order modes, as well as a comparison with the exact solution. Index Terms—Closed-form expressions, cutoff wavenumbers, elliptical dielectric waveguides, exact, hybrid modes.

I. INTRODUCTION

P

ROPAGATION in dielectric waveguides is of great interest in engineering since it has many applications, such as their use in signal distribution networks for phased-array antennas, for cellular phone systems, and especially the elliptical core fibers as long-distance transmission lines for optical networks. The study of the modes propagating inside a circular dielectric waveguide is well known since five decades ago. In [1], the authors first extracted the equation for obtaining the cutoff wavenumbers for higher order hybrid modes. A more detailed rigorous analysis on the aforementioned theme for the higher order modes is given in [2], where the author presents the characteristic equations for transverse magnetic (TM), transverse electric (TE), and hybrid (EH or HE) modes. Analytical asymptotic expressions are presented in [3], which are valid for all frequencies and higher order modes. A comprehensive study for Manuscript received April 02, 2012; accepted June 15, 2012. Date of publication July 16, 2012; date of current version August 28, 2012. This work was supported by the Research Project ANEMOS co-financed by the European Union (European Social Fund-ESF) and Greek national funds through the Operational Program “Education and Lifelong Learning” of the National Strategic Reference Framework (NSRF) Research Funding Program: Thales. Investing in knowledge society through the European Social Fund. The authors are with the School of Electrical and Computer Engineering, National Technical University of Athens, Athens 15773, Greece (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2012.2206401

all modes in circular optical fibers is then given in [4], along with a nice description for the modes and their designation. The study of the propagation in elliptical dielectric waveguides, apparently much more difficult, is also of great interest. However, such studies are cumbersome due to the difficulties arising by the elliptical geometry, and pure analytical formulas for hybrid modes of any order do not exist. In the rest of this section, various mode names are used. Due to the variety of the modes in the elliptical structures, and in order to clarify the difference between them, in Section II we present a comprehensive mode designation with reference to the corresponding characteristic equations. The researchers have employed different methods, during the last years, to study the characteristics of the modes for the elliptical fibers. The expansion in series of Mathieu functions and is used in [5] where only the fundamental modes are examined. In [6], again using Mathieu series expansions, the author simplifies the formulation under the weakly guiding approximation (WGA), i.e., when the refractive indices of the core and the cladding of the fiber are almost similar, and the author expands his study to some higher order modes. The same approximation is used in [7] to study the linearly polarized (LP) modes in optical waveguides having arbitrary cross section, but the formulation now is based on the scalar wave equations. The modified finite-element method is applied in [8] to study single mode circular optical waveguides having inhomogeneous cores, and they further apply it to the elliptical case. The case of arbitrary cross-sectional shape dielectric waveguides is treated in [9], where the authors use integral representations for the fields, and they study the weakly guiding case as well as the general case of completely different refractive indices between the core and the cladding. In [10], a complete analysis on the modes, based on Mathieu functions, is given, and the authors give a variety of dispersion curves for higher order hybrid modes, from the exact characteristic equations. Their study is extended in [11] where the propagation characeristics, such as the group velocity and the attenuation for various higher order modes, are studied. In [12], the cutoff param, is computed eter of the first higher order mode, i.e., from the formulation obtained by Yeh in [5] and discrepancies with previous results are resolved. The finite-element method is then again used in [13] to obtain the cutoff frequencies for the higher order LP modes in fibers of arbitrary cross section and numerical results are given for circular, as well elliptical cores, for various eccentricities. An alternative method to that of Mathieu series expansion, namely, the point-matching numerical method, is used in [14] for the calculation of some higher order hybrid modes. In [15], a comparison between the Mathieu series expansion and the point-matching method employed in

0018-9480/$31.00 © 2012 IEEE

2742

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 1. Geometry of the dielectric waveguide.

[14] is then made, and discrepancies are resolved. An experimental approach is presented in [16] for the cutoff calculation of some first higher order modes in elliptical dielectric waveguides. The weakly guiding approach is again employed in [17] to determine the LP modes, by solving the Mathieu’s equation with the use of the corresponding characteristic numbers. The same approach is also used in [18] by formulating the problem using the elliptical Fourier series expansion. Finally, the intensity distributions and the LP modes are calculated in [19], using a simplified characteristic equation based on Mathieu series expansion. It is apparent that, although most of the research is made for the weakly guiding case where the formulation is considerably simplified, the general case was also studied; however, the exact characteristic equations obtained in [5] and [10] need more development to obtain a new characteristic equation valid at cutoff. Furthermore, compared with the circular case where we have two discrete analytical equations for the direct calculation of the cutoff wavenumbers [2], the corresponding analytical closed-form characteristic equations, directly at cutoff, for the elliptical case do not exist. In this study, the cutoff wavenumbers of hybrid modes of any order in an elliptical dielectric waveguide are calculated exactly and analytically. The geometry of the waveguide is depicted in Fig. 1, where the core corresponds to an ellipse having a major axis of length , a minor axis of length , and an interfocal distance of length . The permittivity and the permeability of the core are and , respectively, while, for the cladding, they are and . For our calculations, the core and cladding materials are nonmagnetic, therefore implying that , the permeability of free space. We follow two alternative procedures to obtain the solution of the problem. The first one, based on the separation of variables in terms of Mathieu functions, leads us to homogeneous linear systems at cutoff that, compared with the metallic elliptical waveguide, are much more complicated due to the different constitutive parameters in the core and the cladding. This fact leads to nonorthogonal relations between angular Mathieu functions and their derivatives. A similar complication is obtained in the scattering by obliquely incident waves [20]; however, in the present case, the situation is more challenging since the roots of the determinant of this complex system are required, and not simply the solution of the system, which is the case when dealing with the scattering problem. Setting this determinant equal to zero, it defines the characteristic equation of the

problem the roots of which are the exact cutoff wavenumbers for the corresponding hybrid modes. Once the system is set up, the roots are obtained using numerical techniques that will be described later. When the solution is specialized to small values of the eccentricity , i.e., for , analytical closed-form expressions are obtained for the normalized cutoff wavenum. bers in the form for all higher order modes are The expansion coefficients given by analytical closed-form expressions, independent of , while corresponds to the circular dielectric waveguide having radius . Once a new cutoff wavenumber is of interest, the first procedure will require repetition, from the beginning, for each different or for each different mode order. On the other hand, once the coefficients are known, the same cutoff wavenumber is immediately obtained by a quick “back-of-the envelope” calculation, for each small value of and for every order. The independent exact solution described above is required to validate the correctness of the results of our analytical closedform formulas, and to conclude about the restriction of how much small values of should be used in order to keep low errors in our analytical formulas. II. MODE DESIGNATION The elliptical dielectric waveguide maintains only hybrid modes, due to the lack of the symmetry of the configuration. As can be also seen from [10], [12], and [14], these modes are split in two groups, the even modes and odd modes. According to the definition given by Yeh in [5], the even group of modes corresponds to the equations that describe the even part of the axial component of the magnetic field, while the odd group of modes corresponds to the equations that describe the odd part of the axial magnetic field component. Each group has two different types of hybrid modes, i.e., the EH and HE. Thus, we speak about and modes for the even group and for and modes for the odd group. The circular dielectric waveguide has four different types of modes, namely, , , , and . The characteristic equations at cutoff for the aforementioned modes, which will give the corresponding normalized cutoff wavenumbers , are [2] (1) (2) (3)

(4) When the eccentricity of the ellipse becomes zero, the core of the fiber becomes a circle with radius . In this case, the and modes degenerate to and modes, respectively, while and degenerate to

ZOUROS AND ROUMELIOTIS: EXACT AND CLOSED-FORM CUTOFF WAVENUMBERS OF ELLIPTICAL DIELECTRIC WAVEGUIDES

, and finally, .

and

degenerate to

2743

Expressing the tangential component in terms of the longitudinal one, we end up with the following two sets of linear homogeneous equations. The first set is

III. SOLUTION OF THE PROBLEM The longitudinal component is expressed as

in region I of the waveguide

(5) (7) are the transverse elliptical-cylindrical coordinates where with respect to , and are the even and odd radial Mathieu functions of the first kind, and are the even and odd angular Mathieu functions, is the propagation with being constant, and the wavenumber of region I. The time dependence is suppressed throughout. A similar expression as in (5) also holds for the axial component with the only difference that the expansion coefficients and are replaced by and , respectively. In region II, the longitudinal component is expressed as

(6) and are the even and odd modiwhere fied radial Mathieu functions of the second kind, defined in [21] by , with being the even/odd radial Mathieu functions of the fourth kind. Moreover, in (6), with being the wavenumber of region II. Again, a similar expression to that in (6) also holds for the longitudinal component with the only difference that the expansion coefficients and are replaced by and , respectively. Satisfying the boundary conditions for the longitudinal components at the elliptical interface , namely, and , and using the orthogonality properties for the angular Mathieu functions, we can express the expansion coefficients of the fields in region II in terms of the expansion coefficients of the fields in region I, i.e., in terms of and in terms of . Next, we need to satisfy the remaining two boundary conditions at for the tangential components, namely, and . The procedure is similar to the one described in [20] so the details will not be repeated here for brevity.

while the second one is resulting from (7) using the following substitutions: ,“ ” “ ”, “ ” “ ”, and ,“ ” “ ”, . Moreover, the index should be interpreted from 0 to . In (7), the primed versions of Mathieu functions denote their derivative with respect to , while the various inner products , etc., are all gathered in [20, Appendix]. It is worth noting the difference between the inner products of this study and the ones of [20], where here, some contain imaginary arguments. These two sets described above define a 2 2 homogeneous system with unknowns the expansion coefficients for the even part of and for the odd part of . Thus, according to the description given in Section II, the roots of the determinant of this system matrix will describe the and modes. Apart from the aforementioned homogeneous system, there exists its dual one, which occurs if we simply swap , , and . The roots of the determinant of this dual system matrix will describe the and modes. Further details about the indices and of the modes will be given in Appendix A, where we further develop these sets to reach the cutoff condition. The roots obtained from these determinants will give us the exact cutoff wavenumbers, and will be used to conclude about the accuracy of our analytical formulas. Now we proceed with the expansion of (7) and its pair, by keeping terms up to the order of . The expansions for small eccentricities of the Mathieu functions appearing in (7) are given in [22], the expansions for small eccentricities of the various inner products and are given in [23, Appendix], while, the expansions for small eccentricities of and , are given in Appendix B. Substituting these expansions in (7), we conclude to the following infinite linear set of homogeneous equations for the expansion coefficients and :

(8)

2744

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

The quantities , , , and appearing in (8) are defined in Appendix B. Following a similar procedure for the pair of (7), we conclude to a second infinite linear set of homogeneous equations, namely,

(16) In (15) and (16),

and , where

(17) (18) (9) The various primed quantities are again gathered in Appendix B. Although the index in (9) should start from zero, the zero value has been extracted so that both sets (8) and (9) start from . These two sets constitute a 2 2 homogeneous system from which the and , modes are described. The aforementioned special case where in (9) contributes to modes, and the corresponding linear homogeneous equation, valid only for the expansion coefficient , is given by

and . The while quantities , , , and lead to terms and do not contribute in the development of the determinant up studied here. Since , the aforemento tioned requirement for the off diagonal terms of the determinant is now fulfilled. Therefore, the expansion of the determinant is [24]

(10) The linear system defined by (8) and (9) has the same form with the one appearing in [24], although in the present case it is homogeneous. As is described in [24], in order to analytically express its determinant, these two sets must be transformed beforehand so that the off diagonal terms in the determinant be free of zeroth-order terms in their expansions. Before we proceed with the transformation, it is more convenient to rewrite (8) and (9) in a compact form by introducing the quantities

(19)

with the quantity

given in [25] (20)

(11) (12) (13) (14) which correspond to the expressions inside the brackets of the coefficients and in (8) and (9). Thus, for instance, the expression inside the brackets of in (8) is written compactly as . To achieve the aforementioned transformation, we multiply (8) with and (9) with and then we add their corresponding terms. We do the same by multiplying (8) with and (9) with and then we add their corresponding terms. In the two new resulting sets, we substitute by and the ratio by and finally we arrive at two sets having the form

(15)

(21) Stacked notation has been used to include both even and odd indices at once. Same expressions as (20) and (21) hold for as well by substituting “ ” with “ .” By inspection, all the summations in (19) are , so the expansion of the determinant by keeping terms up to the order is

(22)

As it is also explained in [25], the quantities and depend on the normalized cutoff wavenumbers of the elliptical dielectric waveguide that have values near , i.e., the cutoff wavenumbers of the circular dielectric waveguide. This means that is expanded around as . In the remaining text, we use instead of for simplicity. Therefore, these values are retrieved by setting the quantity inside the curly brackets in

ZOUROS AND ROUMELIOTIS: EXACT AND CLOSED-FORM CUTOFF WAVENUMBERS OF ELLIPTICAL DIELECTRIC WAVEGUIDES

(22) equal to zero. Expanding in terms of , we get

in Maclaurin series

2745

(30) (31)

(23) . Setting Same expansion holds for retaining only the large terms up to the order yields

and

(24) Multiplying (24) with as the corresponding expansion for

and using (23) as well , we get

(25) As can be seen, but not shown here for brevity, after laborious calculations using (23), (17), (18), and (11)–(14), as well as (B.6), (B.9), (B.12), (B.15), (B.17), (B.19), (B.21), and (B.23), these two expressions reduce to the well-known characteristic equation for the circular optical fiber, i.e., [2, eq. (8.2–49)]. Equating separately the zeroth-order term as well as the coefficient of to zero in (25), we get (26)

with being the Euler–Mascheroni conIn (28), stant, while in (29) and (31), is the Gamma function. Since must be calculated at cutoff, i.e., the expression for when , appropriate substitution should be done in (B.16) and (B.20) for the Hankel functions since they have different asymptotic behavior when and when , as can be seen from (28)–(31). It should be reminded that all the expansions in Appendix B are originating from the expansions for Mathieu functions and so all the indices appearing must be nonnegative. Thus, for instance, when we examine the case , and in (B.16) and (B.20) must be substituted from (29) while should disappear. The same applies for the derivatives. A similar procedure also holds when evaluating in (27). The wavenumbers obtained from the aforementioned procedure correspond to and modes. If we repeat the same process, but with the dual sets of (8) and (9), we will get expansions giving the cutoff wavenumbers for and modes. Precisely at cutoff , however, these expansions are the same, and just above cutoff they start to separate, given by prohibitively lengthy expressions. This means that exactly at cutoff, both even and odd modes have the same cutoff wavenumbers, which are given by the same expansions. Concluding, we have the following results for in (27) (the various ’s and ’s appearing in the following expressions, as well as in the expressions of Appendix B are given in (A.6)–(A.12) in [26, Appendix]):

(27) These two expressions are valid at cutoff. Equation (26) corresponds to the cutoff characteristic equations of the circular dielectric waveguide, i.e., (2)–(4), and thus, are the roots of these equations. Once is known for the desired mode, is calculated from (27) in terms of , and therefore the desired cutoff wavenumber for the elliptical dielectric waveguide is given by , or equivalently by . The denominator of (27) is obtained by simply differentiating the appropriate equation of Section II for the mode of interest. In order to get the expression for in (27), we use (11)–(14) and (17), as well as (B.5)–(B.24). We note that the second-order expansions of (B.16) and (B.20) contain the second-kind Hankel functions with various indices, namely, and , as well as their corresponding derivatives. The asymptotic expansions for small arguments of Hankel functions and their derivatives are [21]

(32)

(33)

(28) (29)

(34)

2746

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

TABLE I FOR THE COMPARISON OF NORMALIZED CUTOFF WAVENUMBER FIRST HIGHER ORDER MODE , OF THE CURRENT STUDY WITH OTHER METHODS FROM THE LITERATURE

(35)

(36) The dominant modes have and are always cutoff at zero. In the special case where , we no longer have two coupled sets, but only one equation given by (10). This case is similar to [25] and the cutoff wavenumbers are given again from (26) and (27), but setting . However, is not given by (17), but from the equation

(37) now corresponds to (1) for TM and TE modes of the circular waveguide and the cutoff wavenumbers obtained from this special case correspond to and modes of the elliptical waveguide, with given by

(38) IV. NUMERICAL RESULTS AND DISCUSSION This section is organized in three subsections: first, we check the validity of the exact method by comparing it with results from other studies in the literature. Then our analytical method is checked versus our exact method for various eccentricities, and finally, a variety of numerical results and a practical application relevant to microwave frequencies is presented and discussed. A. Validation of the Exact Method The exact cutoff wavenumbers are obtained by setting the determinant of (A.1), or its dual, equal to zero. The routines for Mathieu functions used to build up the system matrix are the same with the ones used by the author in [20]. The system matrix

is then truncated and the roots are found by using a combination of the bisection and the inverse quadratic interpolation method. The truncation order used for the determinant was 12, however even eight terms could be used to converge to the correct root. Therefore, the computational performance is high and the result is exact, but the algorithm must be repeated, from the beginning, each time a new root is of interest, each time the materials of the two regions of the waveguide are changed, or each time the eccentricity of the elliptical core is changed. First, we have validated the results for the special case when the eccentricity . In this case, the results should match the corresponding ones for the circular dielectric waveguide, whose cutoff wavenumbers are given by the exact formulas (1)–(4). The third column in Tables III and IV—which we will introduce in Section IV-C—depict the exact cutoff wavenumbers for the circular waveguide, obtained by (1)–(4) for two different relative permittivity values, namely, and . We have verified these results to all five significant digits shown in both tables, for all modes, by setting the eccentricity of our exact method equal to zero. A table depicting this comparison is not provided since the agreement is perfect. Next we compare our results with the results from the published studies [18] and [12], where the authors have used the refractive indices and , for the first higher order mode . In [12] and [18], the authors give results for the normalized cutoff wavenumber , while our method gives results for the normalized cutoff wavenumber . Therefore, we need to multiply our results with the ratio and then compare them. The comparison is shown in Table I for various values of the eccentricity. The agreement is evident from both studies [12], [18]. Furthermore, we compare our results with the results from the published studies [9], [13], [17], and [18]. The methods used in these studies are described in Section I, and are based on the WGA, when the difference of the refractive indices between the core and the cladding of the waveguide is small. Authors use different nomenclature for the modes; however, in our comparison, we will adopt the nomenclature given in [19], namely, the LP modes . It should be noted that our exact method is full and that the LP modes are provided here merely for illustration. In Section IV-C, numerical results are given and discussed for the complete hybrid modes. The values of the refractive indices used to match the ones in the aforementioned published studies are and . Again, as was the case for Table I, in all these studies, the authors give results for the normalized cutoff wavenumber . Table II

ZOUROS AND ROUMELIOTIS: EXACT AND CLOSED-FORM CUTOFF WAVENUMBERS OF ELLIPTICAL DIELECTRIC WAVEGUIDES

2747

TABLE II OF THE COMPARISON OF THE NORMALIZED CUTOFF WAVENUMBERS CURRENT STUDY WITH OTHER METHODS FROM THE LITERATURE

shows this comparison for three different cases—namely, three different eccentricities—for the first 12 successive modes. The agreement is evident, especially with [13] and [18], and in some cases in all four significant figures. Even for the case of high eccentricity , some of the results agree in all significant digits. B. Validation of the Analytical Formulas The approximated cutoff wavenumbers are obtained using the formula where is obtained by by (32)–(36) and (38), depending on the mode (1)–(4) and of interest. This is the result of daunting cumbersome calculations, and the desired cutoff wavenumber is obtained immediately, using these closed-form analytical expressions, for every higher order mode, for different materials, and for different eccentricities. The limitation stems from the fact that these formulas require small eccentricity. The validity of our analytical formulas is verified by comparison with the exact cutoff wavenumbers obtained by setting the determinant of (A.1) equal to zero. The cutoff wavenumbers with even indices, for example, with

Fig. 2. Percentage error for . Solid curve: . curve:

for various higher order even modes when ; dashed curve: ; dashed–dotted

Fig. 3. Percentage error for . Solid curve: . curve:

for various higher order odd modes when ; dashed curve: ; dashed–dotted

, are extracted by building up the even part of (A.1). The cutoff wavenumbers with odd indices, those with , are extracted by taking the odd part of (A.1). This property was also reported in [10]. The same holds also for the even modes, for example, , but in this case, the dual of (A.1) should be used. In Fig. 2, we give the percentage relative error exact value approximate value exact value for the cutoff wavenumbers of various higher order even modes. The details and values of the parameters used are given in the captions. The approximate values used correspond to our analytical formulas. As has been already pointed out in Section III, precisely at cutoff, our analytical formulas give the cutoff wavenumbers for both even and odd modes so the results depicted in Fig. 2 are the analytical results compared with the ones obtained from the dual of (A.1). In Fig. 3, we present the same comparison, but for the odd modes, i.e., the analytical results compared with the ones obtained from (A.1) itself. As can be concluded from Figs. 2 and 3, the errors remain low enough even for some relatively high values of , although the expansions used are valid up to the order of only. For instance, for the mode, we get an error less than 0.1%, and for the mode, an error less than 0.14%, even up

2748

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

TABLE III NORMALIZED CUTOFF WAVENUMBERS DIELECTRIC WAVEGUIDE HAVING

FOR AN

ELLIPTICAL

to . For the higher order modes depicted in Figs. 2 and 3, the errors are maintained below the level of 2%. From these figures, as well as from many other of our available numerical results not published here, the spectrum of the modes maintains errors below 0.4% for eccentricities up to 0.1. Lower errors for higher eccentricities require more terms, and higher, in the expansion. Nevertheless, this will lead to prohibitively lengthy expressions for the expansion coefficients, as it can be concluded from the present analysis where, although only terms are considered, the expressions are still lengthy. C. Discussion In Table III, we list the first 15 successive cutoff wavenumbers for the hybrid modes appearing in the elliptical dielectric waveguide. In this case, the core of the fiber is polystyrene having a relative refractive index , or equivalently, a relative permittivity of . The third column of the table, namely, , corresponds to the circular dielectric waveguide, while the fourth column gives the values of the expansion coefficients for the corresponding elliptical dielectric waveguide, having small eccentricity. When the fractional refractive index defined by is small, practically , then we talk about the WGA [27]. This means that more than a single mode can have the same propagation constant . In Table IV, we give the cutoff wavenumbers for this case where the above criterion for WGA is satisfied, by selecting (or ), which is equivalent to . If one uses the approximate equations for finding the cutoff wavenumbers, he will conclude that a group of modes have the same cutoff wavenumber. For instance, all three modes , , and (No 2 and 3 in Table IV) have the same cutoff wavenumber, leading to the mode. Rigorously speaking, however, the mode is still separated by a small fraction. This is indeed the case from our results in Table IV, which can serve for a comparison with future methods studying the LP modes. It should be noticed that the modes and have the same expansion coefficients, as can be concluded from both tables, while the modes do not.

TABLE IV NORMALIZED CUTOFF WAVENUMBERS DIELECTRIC WAVEGUIDE HAVING

FOR AN

ELLIPTICAL

This comes from the fact that the and modes are independent of the relative permittivity, as can be seen from (32)–(36) and (38), while the modes do depend on it. This also leads to the fact that the cutoff wavenumbers are safely separated from each other, at least by 0.2, in the case where , since, the larger the contrast between the core and the cladding permittivity, the larger the separation between the modes. This is why we notice a change in the order between lines No 7 and 8 in Tables III and IV: the current value of permittivity used in Table III makes the mode to overpass the and modes. The expansion coefficients for the and modes are all the same and equal to 0.25. This can be seen from both Tables III and IV only for and modes, but it was verified to other higher order modes as well. This behavior appeared also in the and modes of the elliptical metallic waveguide [28]. A practical application with relevance at microwave frequencies is presented in Table V where , , and cm, for the first ten successive hybrid modes of Table III. In the upper part of Table V, we depict the normalized cutoff wavenumbers, obtained by our analytical formulas and by our exact solution. We then compare them by taking the percentage relative errors. As it can be seen from the last two columns, the percentage error is maintained at low levels, mainly below 0.73%, but in the case of the and modes, this error slightly exceeds the level of 2% and 1%, respectively, which is still small. In the lower part of Table V, we depict the cutoff frequencies in gigahertz, obtained by the relation , using our analytical formulas, for both the circular case and the elliptical case. The range for the single-mode operation is GHz for the elliptical waveguide. Comparing the cutoff frequencies between the circular and the elliptical case, we note a slightly increased value for the elliptical case. This means that the range of the single-mode operation for an elliptical fiber is increased, a fact that was also observed by Yeh in [5]. This is also shown in Table III where the first few ’s are positive, and thus lead ’s, compared with ’s. Some ’s in to increased

ZOUROS AND ROUMELIOTIS: EXACT AND CLOSED-FORM CUTOFF WAVENUMBERS OF ELLIPTICAL DIELECTRIC WAVEGUIDES

TABLE V NORMALIZED CUTOFF WAVENUMBERS AND CUTOFF FREQUENCIES FOR AN ELLIPTICAL DIELECTRIC WAVEGUIDE HAVING , , AND cm

2749

. similarly holds. Thus, by keeping terms in (7) and in its pair up to the order , and using the aforementioned substitutions for and , there results the following system matrix at cutoff, namely: (A.1) where (A.2)

(A.3)

(A.4) (A.5) is the truncation order, then is of the order , while the submatrices . At cutoff, and the field in region II detaches and does not decay. The terms appearing in (A.1) are necessary for the validity of all types of modes for the case when , i.e., the circular dielectric waveguide. This means that (A.1) must be set up just above cutoff with . On the contrary, if we dismiss these terms by setting (A.1) precisely at cutoff with , then the roots that correspond to the HE modes when , i.e., (4), do not appear. This fact was also observed by Saad in [14] when he was missing some modes due to unfavorable numerical conditions. Thus, to conclude, by keeping and setting , the roots of the equation are identical to all the roots given by (1)–(4). If

both Tables III and IV are negative; however, the error compared with the exact value was less than 1%; that is the reason why in line No 9 of Table V the frequency is decreased. V. CONCLUSIONS In this study, the cutoff wavenumbers of an elliptical dielectric waveguide were obtained exactly and analytically. The exact solution requires the algorithm to be repeated, from the beginning, each time a new mode, different materials of the waveguide, or a different eccentricity is needed. On the contrary, our analytical closed-form formulas are valid for every small eccentricity, for every higher order hybrid mode, and for different materials. The validation of our exact solution was performed by comparing with the case of the circular dielectric waveguide and with previously published results. The validation of our analytical formulas was done by comparison with our exact solution. The errors are maintained low enough, lower than 0.4% for eccentricities up to 0.1 for a big spectrum of higher order modes, and in some cases, this small error was even extended at higher values of eccentricities up to 0.3. APPENDIX A The set appearing in (7) and its pair, define the homogeneous system with unknowns, the expansion coefficients and . We multiply both sides of (7) by and use . There then appears the ratio , which equals . At cutoff, to . Expanding in Maclaurin series, we get . Now, the normalized wavenumbers are obtained through

APPENDIX B The analytical expressions of the various quantities appearing in (8) are found after lengthy laborious calculations by using the expansions for the Mathieu functions from [22], the expansions for the various inner products and from [23, Appendix], and finally, the expansions for the various inner products and . The last are defined in [20, Appendix] and their expansions are (B.1)

(B.2) (B.3)

(B.4)

2750

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

The expansions with higher order indices like and lead to terms, thus they are neglected. Therefore, the various quantities appearing in (8) are given as follows:

(B.5)

Hankel function of the second kind with the superscript (2) omitted for simplicity, and finally, denotes the derivative of with respect to its argument. It is reminded that the arguments are and . Finally, the index is interpreted as . The primed quantities appearing in (9) have the same expansion forms as the unprimed ones, and are given as follows:

(B.6) (B.17)

(B.7)

(B.18)

(B.8) (B.9)

(B.19)

(B.10) (B.11) (B.12)

(B.20) (B.21)

(B.13) (B.14) (B.15)

(B.22) (B.23)

(B.24) In (B.17)–(B.24) , factor, and the index is now interpreted as , and as for and .

(B.16) In (B.5)–(B.16), denotes the Bessel function, derivative of with respect to its argument,

denotes the denotes the

is the Neumann for and

ACKNOWLEDGMENT Author G. P. Zouros would like to thank Ph.D. student J. Wang, Delft University of Technology, Delft, The Netherlands, for his bibliographic support.

ZOUROS AND ROUMELIOTIS: EXACT AND CLOSED-FORM CUTOFF WAVENUMBERS OF ELLIPTICAL DIELECTRIC WAVEGUIDES

REFERENCES [1] S. P. Schlesinger, P. Diament, and A. Vigants, “On higher-order hybrid modes of dielectric cylinders,” IRE Trans. Microw. Theory Tech., vol. MTT-8, no. 2, pp. 252–253, Mar. 1960. [2] D. Marcuse, Light Transmission Optics. New York: Van Nostrand, 1972. [3] A. W. Snyder, “Asymptotic expressions for eigenfunctions and eigenvalues of a dielectric or optical waveguide,” IEEE Trans. Microw. Theory Tech., vol. MTT-17, no. 12, pp. 1130–1138, Dec. 1969. [4] C. Yeh, “Guided-wave modes in cylindrical optical fibers,” IEEE Trans. Educ., vol. E-30, no. 1, pp. 43–51, Feb. 1987. [5] C. Yeh, “Elliptical dielectric waveguides,” J. Appl. Phys., vol. 33, pp. 3235–3243, 1962. [6] C. Yeh, “Modes in weakly guiding elliptical optical fibres,” Opt. Quantum Electron., vol. 8, pp. 43–47, 1976. [7] A. W. Snyder and W. R. Young, “Modes of optical waveguides,” J. Opt. Soc. Amer., vol. 68, pp. 297–309, 1978. [8] C. Yeh, K. Ha, S. B. Dong, and W. P. Brown, “Single-mode optical waveguides,” Appl. Opt., vol. 18, pp. 1490–1504, 1979. [9] L. Eyges, P. Gianino, and P. Wintersteiner, “Modes of dielectric waveguides of arbitrary cross-sectional shape,” J. Opt. Soc. Amer., vol. 69, pp. 1226–1235, 1979. [10] J. E. Lewis and G. Deshpande, “Modes on elliptical cross section dielectric-tube waveguides,” IEE J. Microw. Opt. Acous., vol. 3, pp. 147–155, 1979. [11] S. R. Rengarajan and J. E. Lewis, “Propagation characteristics of elliptical dielectric-tube waveguides,” Proc. Inst. Elect. Eng. , vol. 127, pp. 121–126, 1980. [12] S. R. Rengarajan and J. E. Lewis, “First higher-mode cutoff in twolayer elliptical fibre waveguides,” Electron. Lett., vol. 16, pp. 263–264, 1980. [13] K. S. Chiang, “Finite element method for cutoff frequencies of weakly guiding fibres of arbitrary cross-section,” Opt. Quantum Electron., vol. 16, pp. 487–493, 1984. [14] S. M. Saad, “On the higher order modes of elliptical optical fibers,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 11, pp. 1110–1113, Nov. 1985. [15] S. R. Rengarajan, “On higher order mode cutoff frequencies in elliptical step index fibers,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 8, pp. 1244–1248, Aug. 1989. [16] R. B. Dyott, “Cut-off of the first higher ordermodes in elliptical dielectric waveguide: An experimental approach,” Electron. Lett., vol. 26, pp. 1721–1723, 1990. [17] J. K. Shaw, W. M. Henry, and W. R. Winfrey, “Weakly guiding analysis of elliptical core step index waveguides based on the characteristic numbers of Mathieu’s equation,” J. Lightw. Technol., vol. 13, no. 12, pp. 2359–2371, Dec. 1995. [18] Y. H. Wang and X. Zhang, “Elliptical Fourier series expansion method together with cutoff frequencies in elliptical optical waveguides,” J. Lightw. Technol., vol. 16, no. 10, pp. 1933–1941, Oct. 1998. [19] I. Gómez-Castellanos and R. M. Rodríguez-Dagnino, “Intensity distributions and cutoff frequencies of linearly polarized modes for a stepindex elliptical optical fiber,” Opt. Eng., vol. 46, 2007, Art. ID 045003. [20] G. P. Zouros, “Electromagnetic plane wave scattering by arbitrarily oriented elliptical dielectric cylinders,” J. Opt. Soc. Amer. A, Opt. Image Sci., vol. 28, pp. 2376–2384, 2011. [21] M. Abramowitz and I. A. Stegun, Handbook of Mathematical Functions. New York: Dover, 1972. [22] G. C. Kokkorakis and J. A. Roumeliotis, “Power series expansions for Mathieu functions with small arguments,” Math. Comput., vol. 70, pp. 1221–1235, 2001.

2751

[23] G. D. Tsogkas, J. A. Roumeliotis, and S. P. Savaidis, “Electromagnetic scattering by an infinite elliptic dielectric cylinder with small eccentricity using perturbative analysis,” IEEE Trans. Antennas Propag., vol. 58, no. 1, pp. 107–121, Jan. 2010. [24] A. D. Kotsis and J. A. Roumeliotis, “Acoustic scattering by a penetrable spheroid,” Acous. Phys., vol. 54, pp. 153–167, 2008. [25] G. C. Kokkorakis and J. A. Roumeliotis, “Acoustic eigenfrequencies in concentric spheroidal-spherical cavities,” J. Sound Vibration, vol. 206, pp. 287–308, 1997. [26] G. D. Tsogkas, J. A. Roumeliotis, and S. P. Savaidis, “Scattering by an infinite elliptic metallic cylinder,” Electromagnetics, vol. 27, pp. 159–182, 2007. [27] A. H. Cherin, An Introduction to Optical Fibers. New York: McGraw-Hill, 1983. [28] G. D. Tsogkas, J. A. Roumeliotis, and S. P. Savaidis, “Cutoff wavelengths of elliptical metallic waveguides,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 10, pp. 2406–2415, Oct. 2009.

Grigorios P. Zouros was born in Lefkada, Ionian Islands, Greece, in 1982. He has received the Educational degree from the School of Educational and Technological Engineering of Athens (ASPAITE), Athens, Greece, in 2003, and the Electrical and Computer Engineering and Doctor of Engineering degrees from the National Technical University of Athens (NTUA), Athens, Greece, in 2008 and 2012, respectively. From 2008 to 2012, he was a Teaching Assistant with the School of Electrical and Computer Engineering, NTUA. From 2009 to 2012, he was a Laboratory Instructor with the Laboratory of Automatic Control Systems, ASPAITE. From 2010 to 2011, he was a Visiting Researcher with the Delft Institute of Applied Mathematics (DIAM), Delft University of Technology (TUDELFT), The Netherlands. His research interests include scattering and propagation of hybrid electromagnetic waves in elliptical configurations, localization of the electromagnetic field in random cross-sectional inhomogeneous configurations, and preconditioning techniques for the restarted GMRES algorithm. Dr. Zouros was the recipient of the Young Scientist Award of the International Conference on Mathematical Methods in Electromagnetic Theory (MMET 2010) and the IEEE Student Paper Contest Award of the IEEE Applied Electromagnetics Conference (AEMC 2011) and IEEE Indian Antenna Week (IAW 2011).

John A. Roumeliotis was born in Corinth, Greece, in 1953. He received the Electrical Engineering and Dr. Eng. degrees from the National Technical University of Athens (NTUA), Athens, Greece, in 1975 and 1979, respectively. From 1979 to 1981, he fulfilled his military service. Since 1982, he has been with the Electrical Engineering Department, NTUA, where he is currently a Professor. His research interests include scattering and wave propagation and boundary value problems in electromagnetic theory and acoustics, as well as applied mathematics.

2752

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fe-Rich Ferromagnetic Wires for Mechanical-Stress Self-Sensing Materials Iñigo Liberal, Student Member, IEEE, Iñigo Ederra, and Ramón Gonzalo, Member, IEEE

Abstract—The possibility of using Fe-rich wires in mechanical stress self-sensing materials is investigated. To this end, a retrieval technique aimed to characterize the high-frequency magneto-impedance effect in ferromagnetic wires under mechanical stresses is proposed. The technique is based on the measurement of the wires inside a metallic rectangular waveguide, and it is validated through numerical simulations and tested with already published experimental data. In addition, the studied Fe-rich wires are characterized by the occurrence of the natural ferromagnetic resonance, whose frequency position increases from 7 to 8.25 GHz for elongations ranging from 0 to 60 m. Index Terms—Composite materials, ferromagnetic wires, magnetic materials, magneto-impedance (MI), mechanical-stress sensing.

I. INTRODUCTION

T

HE magneto-impedance (MI) effect on a ferromagnetic wire can be defined as the modulation of the electric current flowing along the wire due to changes in its magnetization state [1]–[4]. This general definition includes any alteration of the magnetization, such as changes in the size and shape of the magnetization domains, as well as changes in the magnetization direction and strength on a single domain. In view of the significant impedance changes produced by weak magnetic fields in the low-frequency range, the MI effect is usually regarded as giant magneto-impedance (GMI) [5]. Technological applications of the MI effect have been traditionally related to the field of sensing devices in the lowfrequency range (up to megahertz) [6]. As a matter of fact, MI-based sensors in which the ferromagnetic wire is integrated in an electronic circuit have been popular for applications not only including very sensitive magnetic field sensors, but also mechanical stress, temperature, position, and chemical and multifunctional sensors [7]. More recently, sensing applications of ferromagnetic wires in the gigahertz frequency range have been proposed under the paradigm of self-sensing materials [8]. Instead of integrating Manuscript received March 13, 2012; revised June 05, 2012; accepted June 08, 2012. Date of publication July 03, 2012; date of current version August 28, 2012. This work was supported by the Spanish Ministry of Science and Innovation, Dirección General de Investigación y Gestión del Plan Nacional de I+D+I, Subdirección General de Proyectos de Investigación under Project TEC2009–11995 and Project CSD2008–00066. The authors are with the Electrical and Electronic Department, Universidad Publica de Navarra, Pamplona, Navarra 31006, Spain (e-mail: [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2205938

the wires in an electronic circuit, an ensemble of wires is distributed within the sample under study, and the variations in the magnitude of interest are recorded as alterations in the reflected/transmitted fields when the sample is illuminated by an antenna. Since the MI effect is dominant, the ensemble of wires can be considered as an artificial dielectric material whose effective permittivity is a function of the MI effect [9]–[13]. This paradigm offers the possibility of a noninvasive/nondestructive inspection of mechanical stress and fracture, of great interest for architectural and health monitoring. To the best knowledge of the authors, previous experiments on ferromagnetic wires for self-sensing materials have been focused on Co-rich wires [14]–[19]. Most probably this is due to the heritage of low-frequency MI sensors, in which the largest impedance variations are achieved with negative, but near-zero magnetostriction constants. Contrarily, Fe-rich wires feature positive and large magnetostriction constants, which results in a dominant axial magnetization and in the presence of the natural ferromagnetic resonance (NFMR) at gigahertz frequencies [20], [21]. Therefore, Fe-rich wires are advantageous for high-frequency sensors since no biasing field is required to produce the ferromagnetic resonance (FMR). Even in the presence of a biasing field, Fe-rich wires provide a stronger and higher frequency FMR due to their higher magnetization at saturation, thus leading to higher spatial resolutions and smaller antennas. Moreover, a high magnetostriction constant ensures a strong response to external mechanical stresses. This paper investigates the possibility of using Fe-rich wires for mechanical stress self-sensing materials, including the characterization of their high-frequency MI effect and the estimation of the effective permittivity of the resultant self-sensing material. Note that the characterization of the wire impedance at high frequencies can be a cumbersome task. As a matter of fact, while the wire impedance can be easily measured at the low-frequency limit with an oscilloscope by means of the two/four probe method [22], as frequency increases, the probe effect becomes dramatic and the wires tend to radiate. Therefore, high-frequency MI measurements are based on the integration of ferromagnetic wires in transmission lines such as microstrip lines [14]–[16], coaxial cables [23], [24], and waveguides [19]. It is worth remarking that these experiments measure the impedance of the transmission line formed by the wire, and an additional retrieval technique is required to recover the actual wire impedance . Although this might seem a subtle difference, it must be considered for the design of self-sensing materials since the wires are not integrated in any circuit/transmission

0018-9480/$31.00 © 2012 IEEE

LIBERAL et al.: Fe-RICH FERROMAGNETIC WIRES FOR MECHANICAL-STRESS SELF-SENSING MATERIALS

Fig. 1. Sketch of a ferromagnetic wire of radius guide of width , height , and length .

inside a rectangular wave-

line, and thus the actual wire impedance is required to estimate the performance of the material. The correct retrieval procedure for coaxial lines was presented in [23]. However, in that experiment, both ends of the ferromagnetic wire must be connected to the line, which makes it difficult to apply mechanical stresses. To go further in the state-of-the-art and overcome this problem, a retrieval procedure using metallic rectangular waveguides is presented here, enabling the evaluation of the high-frequency MI effect under mechanical stresses, and the consequent estimation of the selfsensing materials performance. In addition, experiments on rectangular waveguides have been recently carried out to investigate the absorption spectrum of ferromagnetic wires [25], as well as their application in double-negative materials [26]–[29]. Therefore, there is a collection of experimental data that could be analyzed with the proposed retrieval procedure, increasing the knowledge on the high-frequency MI effect. In summary, this study introduces two main contributions. Firstly, Section II presents an experimental technique aimed to characterize the high-frequency MI effect under mechanical stresses, where numerical simulations are employed to validate the technique, and it is also applied to already available experimental data. Secondly, Fe-rich wires are evaluated as mechanical stress self-sensing materials in Section III, including the retrieval of the wire impedance and the prediction of the effective permittivity of the correspondent self-sensing materials.

2753

Fig. 2. CST model of the WR-90 waveguide with connected wire. The inset in the figure represents the structure of the simulated wire.

Fig. 3. Comparison of the theoretical wire reactance and the reactance retrieved from the , coefficients simulated with CST.

Firstly, the , coefficients are formulated as a function of noting that the scattering coefficient is given by [31]. Secondly, the , coefficients are normalized to the amplitude of the electric field, and referred to the and positions, respectively, so that they correspond to the measured and scattering parameters. By doing so, the , coefficients can be written as (1)

II. RETRIEVAL PROCEDURE (2)

A. Retrieval Procedure Fig. 1 represents the setup for the characterization of ferromagnetic wires in a metallic rectangular waveguide: a wire of radius is positioned in the middle of a waveguide of width , height , and length . The wire ends are short circuited to the waveguide walls to emulate an analytically tractable infinitely long wire, and the incident electric field is parallel to the wire so that its magnetic response is excited. The goal of the retrieval procedure is to recover the wire impedance from the reflection and transmission coefficients measured at the input and output of the waveguide. This experimental setup has been analytically solved in [30], where closed forms of the and coefficients have been formulated (see [30, eqs. (30) and (31)]). Let us rewrite such equations to serve our experimental purposes.

where

is the vacuum medium impedance, and and are the free-space and the waveguide propagation constants, respectively. is the susceptibility of a perfect electric conductor (PEC) wire given by [32] (3)

is the interaction constant with the waveguide walls and given by [30] (4)

2754

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 4. Retrieved: (a)–(c) resistance and (d)–(f) reactance for Co kA/m, corresponds with a different biasing field

Fe

Si B kA/m, and

where is the Hankel function of the second kind and zeroth order. In view of (1) and (2), it is straightforward to compute from either the or coefficients as follows: (5) (6) The first term of (5) and (6) must be understood as the impedance of a wire inside the waveguide. The second and third terms subtract from this impedance the loading produced by the waveguide walls and the impedance of a PEC wire so that the final result is the actual wire impedance . In theory, so that can be indifferently computed from or . From a practical standpoint, is significantly smaller than due to the reduced cross section of the wire as compared to the waveguide width, and therefore, is much more sensitive to noise, reflections produced by mismatched junctions, and other experimental artefacts than . B. Numerical Validation In order to check the accuracy of the analytical model employed for the retrieval technique, the results have been tested with a well-established full-wave electromagnetic solver: CST Microwave Studio [33]. The model of the numerical simulation is depicted in Fig. 2 and consists of a WR-90 metallic rectangular waveguide ( mm, mm, and cm) and a wire placed in the middle of the waveguide. The inset in the figure represents the wire geometry. Note that the simulation of a rectangular waveguide (of a few wavelengths size) with a ferromag-

wires of 22.5, 5, and 2 m metallic radius, respectively. Each line kA/m, directed along the wire axis.

netic wire (of 10 wavelengths size) with finite conductivity and gyrotropic magnetic susceptibility is a cumbersome task for current numerical solvers, and therefore the numerical simulations have been performed with a simpler two-layer wire. Such a wire is composed of an inner PEC wire of radius , covered by a magnetic coating of permeability , and total radius . The distributed impedance of this type of wires can be found as [34] (7) In essence, the magnetic coating loads the PEC wire with a given reactance (for lossless ), which increases with and the ratio between the external and internal radii . Three different values of the magnetic coating and with dimensions mm and mm have been employed in the numerical simulations. Once the , coefficients have been computed with CST, has been determined by means of the proposed retrieval technique and compared to the theoretical solution [i.e., (7)], as is shown in Fig. 3. In this numerical example, and converged perfectly, and therefore a single line has been represented. It can be concluded that there is a good agreement between the retrieved and theoretical results. Small differences can be ascribed to numerical errors in the simulator (mesh and truncation), the truncation of the interaction constant, (4), and the small argument Bessel function approximations intrinsically assumed in the theoretical , (7). C. Experimental Validation In [25], three different Co Fe Si B wires of 22.5-, 5-, and 2- m metallic radius were measured by the authors in a metallic rectangular waveguide in order to investigate

LIBERAL et al.: Fe-RICH FERROMAGNETIC WIRES FOR MECHANICAL-STRESS SELF-SENSING MATERIALS

2755

Fig. 5. SEM image of the Fe-rich wire under test.

the correlation between the wire geometry and absorption spectrum. The wires were trapped between two WR-90 waveguides so that the experimental setup is similar to the one described in this paper for the retrieval technique (see Fig. 1). Thus, those experimental data can be analyzed to test the consistency of the retrieval technique. Since the wires are saturated by an external electromagnet, the retrieved impedances can be compared to the theoretical study of MI in saturated wires also presented by the authors in [31]. The retrieved are depicted in Fig. 4, where each color line (in online version) corresponds to a different biasing magnetic field as in [25]. The results present the expected response of FMR in saturated wires. On the one hand, a peak of resistance appears at the FMR, whose frequency position increases along with the biasing field. In addition, the resistance increases as the wire radius decreases, due to the higher penetration of the electromagnetic field in the wires. On the other hand, the retrieved reactance presents the expected maximum–minimum sequence. However, asymmetric reactances produced by parasitic reactive loads can be appreciated in the figure. This parasitic reactive loading is an artifact of the experimental setup, produced by the small separation between the waveguides where wires are positioned. Note that the measurements were originally carried out to evaluate the absorption spectrum, in which this effect has a minor impact, and that it can be mitigated with the correct setup/calibration procedure. III. Fe-RICH WIRES FOR SELF-SENSING MATERIALS A. Characterization of the Wires In order to assess the performance of Fe-rich wires as meB wire chanical stress self-sensing materials, an Fe Si was fabricated by means of the Taylor–Ulitovsky technique [35], [36] at the Material Science Institute of Madrid (ICMM), Madrid, Spain. An SEM image of the wire was taken at the Foundation for the Research and Development of Nanotechnology, Navarra (Fidena), Spain, and it is represented in Fig. 5. As it is shown, the wire consists of a metallic core of 5.25- m radius and total radius (metallic core and Pyrex coating) of 12.5 m. A photograph of the experimental setup employed to characterize the wires is depicted in Fig. 6. To further clarify the setup,

Fig. 6. Photograph of the experimental setup and schematic views in the -, and -planes. The black arrow shows the direction of the shift.

-,

the figure includes schematic views of the setup in the -, -, and -planes. Following the technique introduced in Section II, the distributed impedance of the wires has been retrieved from the measurement of the and coefficients in a WR-112 rectangular waveguide ( mm, mm, cm). Both waveguide ends have been connected to SMA adaptors and the scattering parameters have been measured in an Agilent PNA-X N5242A network analyzer. A small hole has been drilled in the center of the broad wall of the waveguide. By doing so, the wires can entirely cross the waveguide while being perpendicular to the incident electric field, i.e., the wires are positioned along the -axis according to Fig. 6. Due to the orientation of the waveguide, no holder or insulating platform is required. Outside the waveguide, both wire ends have been fixed to micropositioners. In this way, when one of the micropositioners is shifted, mechanical stresses are produced along the wires axis,

2756

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 8. Anisotropy field and square of the FMR frequency . tion of the wire elongation,

as a func-

be determined as follows:

(9)

Fig. 7. (a) Resistance and (b) reactance of an Fe Si B m for different elongation values. radius

wire of metallic

resulting in an elongation . Due to the magnetostrictive behavior of the wires, such mechanical stresses result in variations on their magnetic, which are recorded as variations in the and coefficients, and thus, on the retrieved distributed impedance. It is worth remarking that no external dc magnetic field has been employed to polarize the ferromagnetic wires. The retrieved distributed impedance as a function of the wire elongation is represented in Fig. 7. It can be concluded that the wires feature the typical resonant behavior produced by the NFMR. Namely, a maximum of resistance and an inductive-to-capacitive transition around the resonance. In addition, the elongation of the wires from 0 to 60 m produces a shift of the NFMR frequency, from 7 to 8.25 GHz. This behavior is ascribed to an increase in the anisotropy field . When no mechanical forces are applied to the wire, is defined by the mechanical stresses produced during the fabrication process. Due to the positive magnetostriction constant of the wires, these stresses result in a positive and the occurrence of the NFMR. Therefore, additional mechanical stresses increase with the consequent increase in . To further clarify this fact, note that is given by the well-known Kittel relationship [37] (8) where is the gyromagnetic ratio and is the magnetization at saturation. Inversely, for , the anisotropy field can

Fig. 8 depicts and as a function of the elongation in the wires. To this end, has been fixed to the frequency of maximal resistance, and typical parameters of Fe-rich wires [20] and have been assumed. It can be concluded that the retrieved values of , approximately ranging from 20 to 30 kA/m, are in accordance to those of wires with high magnetostriction constant [38]. Furthermore, both and increase linearly along with the elongation. B. Estimation of Material Response has been characterized, it is posOnce the wire impedance sible to estimate the performance of the resultant self-sensing materials through classical electromagnetic mixing formulas. Due to practical reasons, these composite materials typically consist of ensembles of short wires, which result in artificial dielectric materials whose effective permittivity is defined by the electric dipole moments produced by the short wires. A short-wire electric polarizability can be determined through an antenna analogy, i.e., noting that a short wire is equivalent to a receiving dipole antenna with a short-circuited feeding gap, and distributed impedance . Therefore, assuming a short-dipole antenna oriented along the -direction with current distribution in the receiving mode , the wire polarizability is given by [39]

(10)

where is the input impedance of the reciprocal transmitting antenna, and is the length of the wire. Knowing the polarizability of a given inclusion, it is straightforward to apply mixing rules to estimate the effective permittivity. For the sake of simplicity, let us start with a mixture of

LIBERAL et al.: Fe-RICH FERROMAGNETIC WIRES FOR MECHANICAL-STRESS SELF-SENSING MATERIALS

Fig. 9. Real and imaginary parts of the effective permittivity for: (a) and (b) aligned and (c) and (d) random mixtures of short wires/cm as a function of the wire elongation, . density

wires aligned along the -axis. Under a Maxwell–Garnett formalism, it is known that an aligned mixture of ellipsoids produces an effective uniaxial permittivity [40] (11) where each of its elements is given by (12) is the polarizability of the host medium, is the number of wires per unit of volume, and and are the depolarization factor and the polarizability of the ellipsoid along the -direction. Due to the high aspect ratio of the wires the “needle” depolarization factors , are the natural choice, and it is sufficient to consider the polarizability along the wires (i.e., , ). Therefore, the effective permittivity simplifies to (13)

2757

mm Fe-rich wires with

posite is lossy with maximal losses below the NFMR, as noted in [25]. As expected, external stresses shift the permittivity dispersion profile toward higher frequencies, which can be measured through variations in the reflection/transmission from the sample, or directly from the retrieval of its constitutive parameters. If only a part of the sample is subjected to mechanical stresses, it must be treated as an heterogeneous solid, where the shape and size of the volume affected by the mechanical stresses can be determined through inverse scattering techniques. In most practical applications, the mixture will be a random ensemble of wires. In this case, the directionality of the mixtures vanishes and the sample behaves as an isotropic mixture (15) where the electric polarization results from the averaging of each direction susceptibility [40]. For wires with high aspect ratio, only one susceptibility component is relevant, and therefore, (16)

with (14) Fig. 9(a)–9(b) represents the computed real and imaginary parts for wires of the -component of the effective permittivity of length mm and density wires/cm . It can be appreciated that the real part of the effective permittivity is positive as in mixtures of conductive short wires, although its dipersion profile is affected by the NFMR. Furthermore, the com-

Fig. 9(c) and (d) shows the effective permittivity of the same mixture of wires than in Fig. 9(a) and (b), but with random orientation of the wires. The results confirm that the response of a random mixture is a diluted version of the aligned mixture. Both random and aligned mixtures are subjected to the limitations of homogenization models. Therefore, their predictions will be accurate as long as the wires and the separation between wires is small enough, and as long as a sufficiently large number

2758

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

of wires is affected by the mechanical stresses. If the former condition is not fulfilled, the structure must be inevitability modeled with complex theory of groups of individual scatterers. If the latter conditions is not fulfilled, the structure can still be modeled as an homogeneous mixture, but multiphase mixing rules must be applied. In general, the Pyrex coating of the wires prevents the appearance of percolation processes in the mixtures. However, one must recall this effect when dealing with wires after glass-removal processes. In those cases, more generalized mixing formulas must be adopted [41]. To sum up, multiple models can be adopted to predict the performance of a self-sensing material, where the selection of the model depends on the properties of the mixture. In any case, all applicable models rely on the characterization of the wires through retrieval techniques, as discussed previously. IV. CONCLUSIONS This paper has investigated the possibility of using Fe-rich wires as mechanical stress self-sensing materials. In order to evaluate the performance of these wires, a retrieval technique aimed to evaluate the high-frequency MI effect from measurements in a rectangular waveguide has been introduced. Furthermore, the waveguide can be perforated to enable the application of mechanical stresses upon the wire under study. The retrieval technique consists of a simple analytical formulation, which has been validated through numerical simulations in a full-wave electromagnetic solver. In addition, the available literature on measurements of ferromagnetic wires inside a rectangular waveguide can be processed with the proposed retrieval technique, providing new experimental data about the MI effect at high frequencies. A further extension of this work might include the derivation of retrieval techniques for other popular experimental setups, e.g., resonant cavities. Thus, it will be possible to analyze the available experimental data based on those experimental setups, and to compare the performance of difference setups. To evaluate the performance of Fe-rich wires as self-sensing materials, an Fe Si B wire of m metallic radius has been characterized with the proposed retrieval technique. The wire main feature is the presence of the FMR at 7 GHz with no magnetic biasing. Moreover, the magnetostrictive behavior of the wires leads to shifts in the FMR frequency from 7 to 8.25 GHz for elongations ranging from 0 to 60 m. Finally, it has been pointed out how to proceed to the estimation of the corresponding self-sensing materials performance, identifying potential scenarios such as aligned and random mixtures of wires, nonhomogenizable disperse mixtures of wires, and multiphase mixtures of wires. ACKNOWLEDGMENT The authors thank Prof. M. Vázquez, Material Science Institute of Madrid (ICMM), Madrid, Spain, for providing the ferromagnetic wires, and J. Bravo, Foundation for the Research and Development of Nanotechnology, Navarra (Fidena), Spain, for taking the SEM image.

REFERENCES [1] L. V. Panina, K. Mohri, K. Bushida, and M. Noda, “Giant magneto-impedance and magneto-inductive effects in amorphous alloys,” J. Appl. Phys., vol. 76, no. 10, pp. 6198–6203, 1994. [2] J. Velázquez, M. Vázquez, D. X. CHen, and A. Hernando, “Giant magnetoimpedance in nonmagnetostrictive amorphous wires,” Phys. Rev. B, Condens. Matter, vol. 50, no. 22, pp. 737–740, 1994. [3] D. Menard, M. Britel, P. Ciureanu, and A. Yelon, “Giant magnetoimpedance in a cylindrical magnetic conductor,” J. Appl. Phys., vol. 84, no. 5, pp. 2805–2814, 1998. [4] M. Knobel, M. L. Sánchez, C. Gómez-Polo, P. Marín, M. Vázquez, and A. Hernando, “Giant magneto-impedance effect in nanostructured magnetic wires,” J. Appl. Phys., vol. 79, no. 3, pp. 1646–1654, 1996. [5] K. V. Rao, F. B. Humphrey, and J. L. Costa-Kramer, “Very large magneto-impedance in amorphous soft ferromagnetic wires,” J. Appl. Phys., vol. 76, no. 10, pp. 6204–6208, 1994. [6] M. Vázquez, M. Knobel, M. L. Sánchez, R. Valenzuela, and A. P. Zhukov, “Giant magnetoimpedance effect in soft magnetic wires for sensor applications,” Sens. Actuators A, Phys., vol. 59, no. 1–3, pp. 20–29, Apr. 1997. [7] J. Torrejón, G. B. Confalonieri, K. Pirota, and M. Vázquez, “Multifunctional magnetoelastic sensor device based in multilayer magnetic microwires,” Sensor Lett., vol. 5, no. 1, pp. 153–156, 2007. [8] D. P. Makhnovskiy and L. V. Panina, “Field and stress-tunable microwave composite materials based on ferromagnetic wires,” in Progress in Ferromagnetism Research, V. N. Murray, Ed. Hauppauge, NY: Nova Sci. Inc., 2005, pp. 257–295. [9] D. P. Makhnovskiy and L. V. Panina, “Field dependent permittivity of composite materials containing ferromagnetic wires,” J. Appl. Phys., vol. 93, no. 7, pp. 4120–4129, 2003. [10] O. Reynet, A. Adenot-Engelvin, S. Deprot, O. Acher, and M. Latrach, “Effect of the magnetic properties of the inclusions on the high-frequency dielectric response of diluted composites,” Phys. Rev. B, Condens. Matter, vol. 66, no. 9, pp. 1–9, Sep. 2002. [11] D. P. Makhnovskiy and L. V. Panina, “Experimental demonstration of tunable scattering spectra at microwave frequencies in composite media containing CoFeCrSiB glass-coated amorphous ferromagnetic wires and comparison with theory,” Phys. Rev. B, Condens. Matter, vol. 74, no. 6, pp. 1–11, Aug. 2006. [12] I. Liberal, I. S. Nefedov, I. Ederra, R. Gonzalo, and S. A. Tretyakov, “On the effective permittivity of arrays of ferromagnetic wires,” J. Appl. Phys., vol. 110, no. 10, 2011, Article ID 104902. [13] L. V. Panina, M. Ipatov, V. Zhukova, A. Zhukov, and J. Gonzalez, “Magnetic field effects in artificial dielectrics with arrays of magnetic wires at microwaves,” J. Appl. Phys., vol. 109, no. 5, 2011, Art. ID 053901. [14] L. V. Panina, S. I. Sandacci, and D. P. Makhnovskiy, “Stress effect on magnetoimpedance in amorphous wires at gigahertz frequencies and application to stress-tunable microwave composite materials,” J. Appl. Phys., vol. 97, no. 1, 2005, Art. ID 013701. [15] M. Ipatov, A. Chizhik, V. Zhukova, J. Gonzalez, and A. Zhukov, “Correlation of surface domain structure and magneto-impedance in amorphous microwires,” J. Appl. Phys., vol. 109, no. 11, 2011, Art. ID 113924. [16] M. Ipatov, V. Zhukova, A. Zhukov, J. Gonzalez, and A. Zvezdin, “High frequency magneto impedance in amorphous microwires,” in J. Phys., Conf. Series, Jan. 2010, vol. 200, no. 8, Art. ID 082009. [17] F. Qin, C. Brosseau, and H. X. Peng, “In situ microwave characterization of microwire composites under mechanical stress,” Appl. Phys. Lett., vol. 99, no. 25, 2011, Art. ID 252902. [18] F. X. Qin, N. Pankratov, H. X. Peng, M. H. Phan, L. V. Panina, M. Ipatov, V. Zhukova, A. Zhukov, and J. Gonzalez, “Novel magnetic microwires-embedded composites for structural health monitoring applications,” J. Appl. Phys., vol. 107, no. 9, 2010, Art. ID 09A314. [19] F. X. Qin, H. X. Peng, L. V. Panina, M. Ipatov, V. Zhukova, A. Zhukov, and J. Gonzalez, “Smart composites with short ferromagnetic microwires for microwave applications,” IEEE Trans. Magn., vol. 47, no. 10, pp. 4481–4484, 2011. [20] A. Zhukov, M. Vázquez, J. Velázquez, A. Hernando, and V. Larin, “Magnetic properties of fe-based glass-coated microwires,” J. Magn. Magn. Mater., vol. 170, no. 3, pp. 323–330, 1997. [21] A. Zhukov, A. Cobeo, J. Gonzalez, A. Torcunov, E. Pina, M. Prieto, J. Blanco, V. Larin, and S. Baranov, “Ferromagnetic resonance, magnetic behaviour and structure of fe-based glass-coated microwires,” J. Magn. Magn. Mater., vol. 203, no. 1–3, pp. 238–240, 1999.

LIBERAL et al.: Fe-RICH FERROMAGNETIC WIRES FOR MECHANICAL-STRESS SELF-SENSING MATERIALS

[22] K. Mandal, S. Puerta, M. Vázquez, and A. Hernando, “The frequency and stress dependence of giant magnetoimpedance in amorphous microwires,” IEEE Trans. Magn., vol. 36, no. 5, pp. 3257–3259, Sep. 2000. [23] D. Menard, M. Britel, P. Ciureanu, A. Yelon, V. P. Paramonov, A. S. Antonov, P. Rudkowski, and J. O. Strom-Olsen, “High frequency impedance spectra of soft amorphous fibers,” J. Appl. Phys., vol. 81, no. 8, pp. 4032–4034, 1997. [24] J. Torrejón, G. A. Badini-Confalonieri, and M. Vázquez, “Multipeak ferromagnetic resonance behaviour tailored by magnetoelastic coupling in FeSiB/CoNi layered microwires,” J. Phys. D, Appl. Phys., vol. 43, no. 14, Apr. 2010, Art. ID 145001. [25] I. Liberal, I. Ederra, C. Gómez-Polo, A. Labrador, J. Pérez-Landazabal, and R. Gonzalo, “A comprehensive analysis of the absorption spectrum of ferromagnetic wires,” IEEE Trans. Microw. Theory Tech., vol. 60, no. 7, pp. 2055–2065, Jul. 2012. [26] J. Carbonell, H. García-Miquel, and J. Sánchez-Dehesa, “Double negative metamaterials based on ferromagnetic microwires,” Phys. Rev. B, Condens. Matter, vol. 81, no. 2, pp. 1–6, Jan. 2010. [27] A. Labrador, C. Gómez-Polo, J. Pérez-Landazabal, V. Zablotskii, I. Ederra, R. Gonzalo, G. Badini-Confalonieri, and M. Vázquez, “Magnetotunable left-handed FeSiB ferromagnetic microwires,” Opt. Lett., vol. 35, no. 13, pp. 2161–2163, Jul. 2010. [28] H. García-Miquel, J. Carbonell, and J. Sánchez-Dehesa, “Left handed material based on amorphous ferromagnetic microwires tunable by dc current,” Appl. Phys. Lett., vol. 97, no. 9, 2010, Art. ID 094102. [29] H. García-Miquel, J. Carbonell, V. E. Boria, and J. Sánchez-Dehesa, “Experimental evidence of left handed transmission through arrays of ferromagnetic microwires,” Appl. Phys. Lett., vol. 94, no. 5, 2009, Art. ID 054103. [30] I. Liberal, I. Ederra, C. Gómez-Polo, A. Labrador, J. Pérez-Landazabal, and R. Gonzalo, “Theoretical modeling and experimental verification of the scattering from a ferromagnetic microwire,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 3, pp. 517–526, Mar. 2011. [31] I. Liberal, I. S. Nefedov, I. Ederra, R. Gonzalo, and S. A. Tretyakov, “Electromagnetic response and homogenization of grids of ferromagnetic microwires,” J. Appl. Phys., vol. 110, no. 6, 2011, Art. ID 064909. [32] P. A. Belov, C. R. Simovski, and S. A. Tretyakov, “Two-dimensional electromagnetic crystals formed by reactively loaded wires,” Phys. Rev. E, Stat. Phys. Plasmas Fluids Relat. Interdiscip. Top., vol. 66, no. 3, pp. 6610–6616, Sep. 2002. [33] CST. Comput. Simulation Technol., Framingham, MA, 2011. [Online]. Available: http://www.cst.com/ [34] H. Strifors and G. Gaunaurd, “Scattering of electromagnetic waves by a perfectly conducting cylinder with a thin lossy magnetic coating,” IEEE Trans. Antennas Propag., vol. 48, no. 10, pp. 1528–1532, Oct. 2000. [35] G. Taylor, “A method of drawing metallic filaments and a discussion of their properties and uses,” Phys. Rev., vol. 23, no. 5, pp. 655–660, 1924. [36] A. V. Ulitovski and N. M. Avernin, “Method of fabrication of metallic microwire,” USSR Patent 161325, Mar. 19, 1964, Bulletin 7, p. 14. [37] A. G. Gurevich and G. A. Melkov, Magnetization Oscillations and Waves. New York: CRC, 1996. [38] S. E. Lofland, H. García-Miquel, M. Vázquez, and S. Bhagat, “Microwave magnetoabsorption in glass-coated amorphous microwires with radii close to skin depth,” J. Appl. Phys., vol. 92, no. 4, pp. 2058–2063, 2002. [39] S. A. Tretyakov, S. Maslovski, and P. A. Belov, “An analytical model of metamaterials based on loaded wire dipoles,” IEEE Trans. Antennas Propag., vol. 51, no. 10, pp. 2652–2658, Oct. 2003. [40] A. Sihvola, Electromagnetic Mixing Formulas and Applications. London, U.K.: Inst. Eng. Technol., 1999. [41] D. S. McLachlan, A. Priou, I. Chenerie, E. Issac, and F. Henry, “Modeling the permittivity of composite materials with a general effective medium equation,” J. Electromagn. Waves Appl., vol. 6, pp. 1099–1131, 1992.

2759

Iñigo Liberal (S’12) was born on October 31, 1985, in Pamplona, Navarra, Spain. He received the M.Sc. degree in telecommunication engineering from the Public University of Navarra (UPNA), Pamplona, Spain, in 2009, and is currently working toward the Ph.D. degree at UPNA. Since August 2009, he has been with the Antenna Group, UPNA. His main research interests are in the field of high-frequency applications of magnetic materials, the design of low-profile metamaterial-inspired antennas, and ultra-wideband (UWB) antenna systems. Mr. Liberal was the recipient of a scholarship to carry out his masters thesis at the International Research Center for Telecommunications and Radar (IRCTR), Delft University of Technology, Delft, The Netherlands. Iñigo Ederra was born in Isaba, Navarra, Spain, in 1972. He received the Ingeniero de Telecomunicación and Ph.D. degrees from the Universidad Pública de Navarra, Pamplona, Spain, in 1996 and 2004, respectively. In 1997, he joined the Microwave and Millimetre Wave Group, Universidad Pública de Navarra. From 1999 to 2000, he was with the European Space Research and Technology Centre (ESTEC), ESA, Noordwijk, The Netherlands, where he was involved with electromagnetic-bandgap materials and their applications in the field of antennas. Since 2001, he has been with the Antenna Group, Universidad Pública de Navarra. From June to October 2002, he was a Visiting Scientist with the Rutherford Appleton Laboratory, Chilton, Didcot, U.K., where he participated in the Startiger project. His research interests are in the field of electromagnetic-bandgap materials and metamaterials and their applications in microwave and millimeter-wave components and antennas. Dr. Ederra was the corecipient of the LAPC 2006 and IWAT 2007 Best Paper Award. Ramón Gonzalo (S’95) was born in Logroño, La Rioja, Spain, on July 15, 1972. He received the M.Sc. and Ph.D. degrees in engineering telecommunications (both with honors) from the (UPNA), Pamplona, Spain. Since October 1995, he has been with the Antennas Group, Electrical and Electronic Engineering Department, UPNA, where he is currently an Associate Professor. From September 1997 to December 1998, he was a Research Fellow with the Antenna Section, European Space Agency (ESA), European Space Research and Technology Centre (ESTEC), where he was involved in the modeling and design of electromagnetic crystal devices at microwave and millimeterwave frequencies. From January 2006 to April 2008, he was the Sub-Director of the Engineering Faculty, UPNA, and since April 2008, he has been Head of the Electrical and Electronic Engineering Department, UPNA. He has been involved in over 25 research projects on a European and National level, acting as coordinator for several of them. In particular, he has been the coordinator of five projects funded by the ESA, two projects within the framework of the European Commission, and several of them funded by the Spanish Minister of Science. He has authored or coauthored over 50 journal publications in peer-reviewed magazines and 100 conference papers. His current area of research is in the field of terahertz technologies, subsystems and devices, electromagnetic-bandgap technology with emphasis on space antenna applications, design of waveguide transmission lines, and corrugated horn antennas.

2760

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Broadband 90 Differential Phase Shifter Constructed Using a Pair of Multisection Radial Line Stubs Sai Ho Yeung, Member, IEEE, Quan Xue, Fellow, IEEE, and Kim Fung Man, Fellow, IEEE

Abstract—The current paper proposes a broadband 90 differential phase shifter using a pair of multisection radial transmission-line (TL) stubs. The scattering parameters of the differential phase shifter are calculated based on the radial TL theory to evaluate the differential phase shifter’s performance. Global optimization is performed using the TL model followed by a local optimization based on a more accurate electromagnetic simulation. The measured operating bandwidth of the circuit is 101.5%, which is larger than many designs in the literature.

Fig. 1. Circuit schematic of a broadband differential phase shifter.

Index Terms—Broadband, differential phase shifter, radial stub.

Remarkable new differential phase-shifter designs with a wider bandwidth have come up recently. Some designs are suitable for smaller phase-shift values, whereas others are suitable for larger values.

I. INTRODUCTION

A

BROADBAND differential phase shifter can be considered as a four-port network consisting of two circuit parts: the main and the reference lines. The circuit schematic is shown in Fig. 1. The main line produces a phase shift equal to , whereas the reference line produces a phase shift equal to . The phase requirement of a differential phase shifter is to ensure that the differential phase shift between the two lines is equal to a designated constant over a wide operating bandwidth. Differential phase shifter with different phase-shift value would be useful in different circuit components. For example, 90 differential phase shifters [1]–[4] or 90 hybrid couplers [5] would be useful in the design of circular polarized antennas [6], [7], and 45 differential phase shifters would be useful in the design of 4 4 Butler matrices [8], [9]. A classical design of the broadband differential phase shifter is the Schiffman phase shifter [1]–[4], where phase shift is achieved by a coupled line section. The original design [1] may require a tight coupling in the coupled line section, which is not always implementable; therefore, an improved Schiffman phase shifter [4] was proposed. The operating bandwidth of the improved 90 Schiffman phase shifter is 70% with a phase deviation of . Other than the Schiffman phase shifter, which works well in the microstrip line structure, a phase shifter in the substrate integrated waveguide structure has also been developed, which has the bandwidth of around 49% [10]. Manuscript received May 05, 2012; accepted June 06, 2012. Date of publication June 29, 2012; date of current version August 28, 2012. S. H. Yeung was with the Department of Electronic Engineering, City University of Hong Kong, Kowloon 852, Hong Kong. He is now with the Department of Electrical Engineering and Computer Science, Syracuse University, Syracuse, NY 13244 USA (e-mail: [email protected]). Q. Xue and K. F. Man are with the Department of Electronic Engineering, City University of Hong Kong, Kowloon 852, Hong Kong Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2204899

A. Configurations for Lower Phase-Shift Values An ultra-broadband differential phase shifter with broadside coupling [11] that can produce a phase-shift range from 25 to 48 was proposed. The circuit has three metallic layers, and therefore, it can be fabricated by sticking together two doublesided printed circuit boards (PCBs). The operating frequency of the circuit was from 3.1 to 10.6 GHz (109.5%). Another design suitable for lower phase-shift values consisted of a pair of parallel short- and open-circuit stubs [12]. This configuration can give 100% bandwidth using a multisection stub for a 45 phase shift. Moreover, the open stub can be further changed to a circular stub formed by multiple circular sectors, which can further improve the bandwidth to 117% [13]. However, as mentioned in [14], when the pair of parallel short- and open-circuit stubs is used to design a differential phase shifter of higher phase-shift value, the bandwidth would decrease significantly. For example, the bandwidth of a 90 phase shift consisting of the pair of parallel short- and open-circuit stubs theoretically gives only 50% bandwidth. Therefore, another configuration is proposed in [14] to design a 90 differential phase shifter. B. Configurations for Higher Phase-Shift Values For the design of a broadband differential phase shifter with a higher phase-shift value, a single parallel open-circuit stub can be placed on the main line [14]. An example of the design parameters for phase-shift values ranging from 60 to 120 is given in [14]. When the open-circuit stub is chosen as a T-shaped stepped impedance open stub, it gives an 82% operating bandwidth for the 90 differential phase shifter. The main objective of this paper is to further extend the bandwidth of the 90 differential phase shifter so that it can achieve around 100% bandwidth. Therefore, we replace the open-circuit stub with a pair of multisection radial transmission-line (TL) stubs. This can further improve the bandwidth to 101.5%.

0018-9480/$31.00 © 2012 IEEE

YEUNG et al.: BROADBAND 90 DIFFERENTIAL PHASE SHIFTER

2761

Fig. 2. Radial TL section.

The scattering parameters of the proposed differential phase shifter can be calculated based on the radial TL theory [15]–[18] and by combining all the matrices [19] from different TL sections. This TL model provides a fast simulation model that can be adopted in a global optimization engine. Although the TL model is less accurate in microwave circuit design, the optimal solution based on the TL model can be further optimized with an electromagnetic (EM) simulation model using a local optimization process. This paper is organized as follows. Section II introduces the multisection radial line stub. Section III concentrates on the design and the performance of the differential phase shifter, and makes comparisons with other literature. Section IV provides the detailed design procedure of the proposed differential phase shifter. Finally, Section V provides a conclusion.

Fig. 3. Multisection radial stub.

In the calculation, and are the zeroth- and first-order Bessel functions of the first kind, respectively. and are the zeroth- and first-order Bessel functions of the second kind, respectively, and and are the wavenumber and the intrinsic impedance, respectively, given by (2)

II. MULTISECTION RADIAL LINE STUB A single section of the radial TL [15] is shown in Fig. 2. It is a sector printed on a substrate of height and dielectric constant . The sector has a spanning angle , inner radius , and outer radius . The -parameters of the TL section is given in [15]. To improve the accuracy of calculation, the inner radius and outer radius of the sector should be enlarged to become an effective inner radius and an effective outer radius . The calculation of the effective parameters and are discussed in [17] and [18], which consider different cases of circuit connections. Moreover, the underlying substrate should be replaced with a material of relative permittivity . The -parameters are given as follows:

(3) represent the permittivity and permeability in where and free space, respectively. Radial stubs are widely used in many microstrip circuits, such as matching networks, bias lines, and low-pass filters, as mentioned in [17]. In this paper, we consider a multisection radial line stub consisting of several radial TL sections connected to each other. This idea is shown in Fig. 3. All the sections share the same center; the outer radius of a section should be the same as the inner radius of the next connecting section, i.e., their radii should be continuous. However, the spanning angle of each section can be different. The input impedance of the multisection radial stub can be obtained with the help of matrices by cascading the radial line sections. III. DIFFERENTIAL PHASE-SHIFTER DESIGN

(1) where

This paper proposes a broadband 90 differential phase shifter constructed using a pair of multisection radial open stubs. This section will focus on the circuit design and circuit performance. Comparisons with other differential phase-shifter designs will also be given. A. Circuit Design The circuit schematic is shown in Fig. 4. There are eight microstrip line sections connected in series between ports 1 and 2. They include the feeding line and impedance-matching sections. A pair of three-section radial open stubs is connected in parallel

2762

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

TABLE I OPTIMAL CIRCUIT PARAMETERS FOR THE TLM AND THE EM MODEL FOR THE 90 DIFFERENTIAL PHASE SHIFTER WITH A PAIR OF MULTISECTION RADIAL STUBS

Fig. 4. Circuit schematic of the proposed differential phase shifter.

at the middle of the main line, which provides the phase-shifting function. The reference line is a microstrip line section for phase delay. The circuit parameters include , , , , , , , , , , , , , , , , , and . The substrate used in the following design process is 0.38-mm thick with a dielectric constant of 2.94. The use of a pair of multisection radial stubs provides a wider bandwidth for a 90 differential phase shifter than the case of using only a single radial stub. In previous research in the literature, a pair of parallel radial stubs is called a “butterfly stub” and it has been analyzed in [17] and [18], and applied in the design of the ultra-wideband power divider [20] and microwave filter [21]. The circuit is optimized using a multiobjective genetic algorithm [22]. A genetic algorithm is used widely for microwave circuit design [23]. Global optimization is performed based on the radial TL model presented above. The optimization objectives are to minimize , maximize , and optimize the phase such that the differential phase shift between the two lines is equal to 90 . Since the optimization applies the TL model in evaluating the optimization objectives, the time needed for an optimization trial would be much faster compared with the case where an EM model is applied in the evaluation. After the optimization adopting a radial TL model, the optimal solution is further optimized using a local optimization process adopting a more accurate EM model using IE3D.1 This local optimization process is also based on a genetic algorithm, but dealing with a much smaller search range so that the optimization time could be greatly reduced. Since all the calculation errors made from the approximation of the TL model will be finally fixed by the result of the local optimization using an EM model, the accuracy of the TL model is not critical; hence, we simplify the calculation of the TL model for ease of implementation and shortening the calculation time. In particular, we 1IE3D

is a trademark of Zeland Software Inc., Fremont, CA.

calculate only the effective inner radius of the first radial line section and the effective radius of the last radial line section, and use only the original radii parameters for the other effective radii parameters. Moreover, we approximate the calculation of the equivalent permittivity using the effective permittivity of a uniform microstrip line. The optimal circuit parameters based on the two models are listed in Table I. These optimum parameters are quite similar. B. Circuit Performance The circuit configuration of the optimized solution is shown in Fig. 5. The reference line is bent into a U shape to provide the same horizontal length as the main line. The circuit is further fabricated based on the optimal EM solution. The main and reference lines on the PCB are fixed on a PCB test fixture, as shown in Fig. 6, and are measured using a network analyzer. The fabricated reference line has been shortened by 0.5 mm after circuit tuning because the simulated and measured phases are slightly different. For the performance of the differential phase shifter, the simulation results based on the TL model optimum and EM optimum, as well as the measurement results, are shown in Figs. 7–9. The differential phase shift between the main line and the reference line is shown in Fig. 7. The phase shift in the simulation and measurement results is around 90 over the wideband. In the simulation result of the EM optimum, the operating bandwidth is 1.97–6.04 GHz (101.6%) with a phase deviation of 90 5 . In the measurement result, the operating bandwidth is 2.02–6.18 GHz (101.5%) with a phase deviation of 90 5 . The return loss is shown in Fig. 8. In the measurement result, is better than 10 dB from 1.78 to 6.28 GHz, covering the operating bandwidth (2.02–6.18 GHz) for a phase deviation of 90 5 . The insertion loss is shown in Fig. 9. The measured insertion loss within the defined operating bandwidth (2.02–6.18 GHz) is smaller than 1.2 dB. The insertion loss of

YEUNG et al.: BROADBAND 90 DIFFERENTIAL PHASE SHIFTER

2763

Fig. 8. Return loss of the 90 differential phase shifter with a pair of multisection radial stubs.

Fig. 5. Optimized differential phase shifter.

Fig. 9. Insertion loss of the 90 differential phase shifter with a pair of multisection radial stubs. Fig. 6. Fabricated 90 differential phase shifter with a pair of multisection radial stubs. (a) Main line. (b) Reference line.

The discrepancy between the EM simulation result and the measurement result could be caused by the modeling error in the EM simulation, the error in the PCB fabrication, and the measurement error in using the network analyzer. C. Comparisons With Other Designs in the Literature

Fig. 7. Differential phase shift between the main and the reference lines of the 90 differential phase shifter with a pair of multisection radial stubs.

the circuit is larger at higher frequencies. At lower frequencies, for example, at 5.5 GHz, the insertion loss is only 0.53 dB.

The proposed design is also compared to a design with a T-shaped open stub used as a phase-shifting element [14]. The comparison result between the proposed and the T-shaped open stub differential phase shifters [14] is given in Table II. The proposed differential phase shifter has a larger bandwidth (101.5% over 82.1%) with a smaller phase deviation (90 5 over 90 6.4 ). However, the maximum insertion loss of the proposed differential phase shifter is higher (1.2 dB over 0.6 dB). Although the insertion loss of the T-shaped open stub design [14] is better, it cannot achieve a bandwidth of 100%. Moreover, the insertion loss of the proposed differential phase shifter is lower at lower frequencies, for example, only around 0.53 dB at 5.5 GHz. Next, the proposed design is also compared with the double Schiffman [3] and the improved Schiffman phase shifters [4]. A 90 double Schiffman phase shifter is optimized in [24], and

2764

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

TABLE II COMPARISON AMONG THE PROPOSED 90 DIFFERENTIAL PHASE SHIFTER AND OTHER DESIGNS

the optimized circuit performance is given in Table II. The circuit performance of the improved Schiffman phase shifter is also given in Table II. The proposed differential phase shifter is better than the optimized double Schiffman phase shifter [24] in terms of operating bandwidth (101.5% over 64.8%) and maximum insertion loss (1.2 over 1.5 dB). It is also better than the improved Schiffman phase shifter [4] in terms of operating bandwidth (101.5% over 69.6%). However, the proposed differential phase shifter has a larger insertion loss. Moreover, the size of the proposed phase shifter is larger than that of the compared circuits in terms of electrical length. IV. DESIGN PROCEDURES The design procedure is described in detail in this section, which includes four major parts, which are: 1) building the TL model simulation code; 2) building the multiobjective optimization program; 3) determining the search range; and 4) implementing the whole design process following a flowchart. A. Building the TL Model Simulation Code The steps in building the TL model simulation code, which outputs the scattering parameters of the proposed phase-shifter design, are described as follows: 1) evaluation of the -parameters of each radial TL section using (1)–(3); 2) conversion of the -parameters of each section into -parameters [19]; 3) evaluation of the product of the matrices of all connecting sections to evaluate the overall -parameters of the whole multisection; 4) conversion of the -parameters of the whole multisection to -parameters; 5) evaluation of the -parameters of the multisection radial open stub (the of the whole multisection is the input impedance of the multisection radial open stub); 6) evaluation of the matrices of each microstrip line section connected in series; 7) evaluation of the product of the matrices of all connecting elements to evaluate the overall -parameters of the whole circuit; 8) conversion of the -parameters of the whole circuit to scattering parameters.

B. Building the Multiobjective Optimization Program In building the optimization program using a multiobjective genetic algorithm, the optimization program should use either the TL or the EM model simulation program. For the details of the multiobjective optimization theory for differential phaseshifter designs, the readers are referred to [24]. The following five optimization objectives are used, which are the same as those used in [24], for the broadband differential phase-shifter optimization: Minimize

(4)

Maximize

(5)

Maximize

(6)

Minimize

(7)

Minimize

(8)

where is the set of sampled frequencies for evaluating the performance of the differential phase shifter. In this paper, GHz , which contains 41 frequency points. The first optimization objective minimizes the maximum at different frequency points within . The optimization target is to make be at least smaller than 10 dB. The second and third optimization objectives ( and ) maximize the minimum and average at different frequency points within . The optimization target is to make and be at least larger than 2 dB. The last two optimization objectives ( and ) minimize the maximum and average absolute differences between the differential phase shift and the design value at different frequency points within , respectively. The optimization target is to make and be at least smaller than 5 . C. Determining the Search Range The variables for optimization in determining the search range of the optimization process are , , , , , , , , , , , , and .

YEUNG et al.: BROADBAND 90 DIFFERENTIAL PHASE SHIFTER

2765

i) Selecting the search range of the matching microstrip line sections , , , , , and : The series-connected microstrip lines are used as a matching network to compensate the impedance of the multisection radial stubs connected in parallel. The microstrip line sections serve as a quarter-wave transformer or act as other structures such as a lumped capacitor. When the matching microstrip line section serves as a quarter-wave transformer, its length can be calculated as 0.25

(15)

(9)

where is the guided wavelength at the center frequency. From the TL analysis in [14], the characteristic impedance of the required quarter-wave line is smaller than that of the feeding microstrip line (10) When the microstrip line section acts as a lumped capacitor, its length is normally very short in comparison with the wavelength (11) The characteristic impedance of the line is very small for the line to function as a lumped capacitor. The maximum bandwidth is obtained using the combination of the quarter-wave transformer and the other structures. The optimization program will select the combination of the matching network. Considering (9) and (11), the search range of the TL length is selected as for

(12)

where is the minimum allowable length of the line for fabrication technology. An value 0.2 mm was considered in this paper. In addition, considering (10) and some exceptional cases, the of the matching search range of characteristic impedance microstrip line section is selected as for

(13)

The lower bound of the search range must not be too small because implementing it with regular microstrip line is difficult. The search range of the characteristic impedance determines the search range of the width of the microstrip line , for . In the programming, is calculated based on the system impedance (50 is considered in this paper) of a regular microstrip line for the evaluation of the search range.

Based on the TL analysis in [14], the length of the open stub is a half-wavelength at the center frequency. In this paper, the length of the open stub is equal to minus . Therefore, the radius parameters of the radial line sections have the following relationships to form a half-wavelength open stub: 0.5 (16) To separate the optimization variables in (16), the length of a radial line section , instead of its radius, is optimized, where (17) Considering (16), the search range is selected as for

(18)

and can then be calculated as for . The advantage of using a radial line is the possibility of generating very small characteristic impedance with a large spanning angle , which is difficult to realize using a regular microstrip line. However, the spanning angle must be made smaller than radians so that it would not overlap or couple with the other line sections. The search range is selected as for

(19)

where is the minimum angle of the line, which should not be made too small for ease of fabrication. The value of considered in this paper is . From (14), when the value of is large, a smaller value of is required to maintain a suitable value of the characteristic impedance. iii) Selecting the search range of the length of the reference line :

of the radial line is given as

According to the TL analysis in [14], the electrical length of the reference line is proposed to be 180 longer than the required phase shift . However, in this case, the reference line is longer because more microstrip line matching sections are used. Therefore, the following search range for the electrical length of the reference line is selected:

(14)

(20)

ii) Selecting the search range of the multisection radial stub , , , , , and : The characteristic impedance

Parameter does not affect the characteristic impedance of the radial line section, and therefore, it is not critical in the design. At the same time, ensuring that length connecting the radial stub and the main line (as shown in Fig. 2) is not too small for ease of fabrication, but not too large for an accurate definition of the stub location is desirable. A suitable value of 1 mm for is chosen. The inner radius of the first radial line section is then determined as

2766

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

minimum of . In addition, the values are based on the average of ten optimization trials. The same analysis method has been used in [24]. The parameter settings of the multiobjective genetic algorithm are as follows: population size is 150; crossover rate is 0.9; and mutation rate is 0.08. From the optimization result, 200 generations (algorithm iterations) are sufficient for the population to converge. V. CONCLUSION

Fig. 10. Design flowchart of the proposed phase shifter.

A broadband differential phase shifter using a pair of parallel multisection radial stubs has been proposed. The proposed differential phase shifter has the advantage of a wider operating bandwidth over the current designs in the literature. The procedure for calculating the scattering parameters using radial TL model analysis is formulated. The 90 differential phase shifter is then optimized and measured, giving a wide operating bandwidth of 101.5%. The operating bandwidth is wider than the differential phase shifter with a T-shaped stub [14], the optimized double Schiffman phase shifter [24], and the improved Schiffman phase shifter [4]. The detailed design procedures are provided and the searching range of the circuit dimensions can be calculated so that the circuit can be designed through the provided flowchart in a planned manner. REFERENCES

Fig. 11. Convergence of the various objective functions.

where and are the electrical length of the reference line and the feeding microstrip line (with length ) at the center frequency, respectively. The search range of its physical length can thus be determined accordingly. D. Implementing the Design Process Following a Flowchart As mentioned in Section III, the optimization procedure included global optimization using the TL model followed by local optimization using the EM model. The complete design flowchart of the proposed phase shifter is shown in Fig. 10. First, the simulation and optimization programs for the phase shifter have to be built. Next, the search range must be determined. Global optimization is then performed using the TL model simulation. Local optimization (using a smaller search range) should also be performed using the TL model simulation to improve further the solution quality. As the EM model is more accurate than the TL model, the solution is further optimized with a smaller search range using the EM model. Finally, the optimized phase shifter is fabricated and measured for verification, completing the design process. The convergence of the multiobjective genetic algorithm for each objective function (i.e., , , , , and ) is shown in Fig. 11. The objective values are based on the best objective values within the population, i.e., the minimum of , the maximum of , the maximum of , the minimum of , and the

[1] B. Schiffman, “A new class of broadband microwave 90-degree phase shifters,” IRE Trans. Microw. Theory Tech., vol. MTT-6, no. 4, pp. 232–237, Apr. 1958. [2] J. L. R. Quirarte and J. P. Starski, “Synthesis of Schiffman phase shifters,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 11, pp. 1885–1889, Nov. 1991. [3] J. L. R. Quirarte and J. P. Starski, “Novel Schiffman phase shifters,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 1, pp. 9–14, Jan. 1993. [4] Y.-X. Guo, Z.-Y. Zhang, and L. C. Ong, “Improved wideband Schiffman phase shifter,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 3, pp. 1196–1200, Mar. 2006. [5] L. Chiu and Q. Xue, “Investigation of a wideband 90 hybrid coupler with an arbitrary coupling level,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 4, pp. 1022–1029, Apr. 2010. [6] Y.-X. Guo, K.-W. Khoo, and L. C. Ong, “Wideband circularly polarized patch antenna using broadband baluns,” IEEE Trans. Antennas Propag., vol. 56, no. 2, pp. 319–326, Feb. 2008. [7] K.-W. Khoo, Y.-X. Guo, and L. C. Ong, “Wideband circularly polarized dielectric resonator antenna,” IEEE Trans. Antennas Propag., vol. 55, no. 7, pp. 1929–1932, Jul. 2007. [8] M. R. C. Rose, S. R. M. Shah, M. F. A. Kadir, D. Misman, M. Z. A. A. Aziz, and M. K. Suaidi, “The mitered and circular bend method of Butler matrix design for WLAN application,” in Proc. Asia–Pacific Appl. Electromagn. Conf., Dec. 2007, 6 pp. [9] T. N. Kaifas and J. N. Sahalos, “On the design of a single-layer wideband Butler matrix for switched-beam UMTS system applications,” IEEE Antennas Propag. Mag., vol. 48, no. 6, pp. 193–204, Dec. 2006. [10] Y. J. Cheng, W. Hong, and K. Wu, “Broadband self-compensating phase shifter combining delay line and equal-length unequal-width phaser,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 1, pp. 203–210, Jan. 2010. [11] A. M. Abbosh, “Ultra-wideband phase shifters,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 9, pp. 1935–1941, Sep. 2007. [12] S. Y. Zheng, S. H. Yeung, W. S. Chan, K. F. Man, and S. H. Leung, “Improved broadband dumb-bell-shaped phase shifter using multi-section stubs,” Electron. Lett., vol. 44, no. 7, pp. 478–480, Mar. 2008. [13] S. H. Yeung, K. F. Man, and W. S. Chan, “The multiple circular sectors structures for phase shifter designs,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 2, pp. 278–285, Feb. 2011. [14] S. Y. Zheng, W. S. Chan, and K. F. Man, “Broadband phase shifter using loaded transmission line,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 9, pp. 498–500, Sep. 2010.

YEUNG et al.: BROADBAND 90 DIFFERENTIAL PHASE SHIFTER

[15] Y.-J. Chen and R.-B. Wu, “A wideband multiport planar power-divider design using matched sectorial components in radial arrangement,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 8, pp. 1072–1078, Aug. 1998. [16] N. Marcuvitz, Waveguide Handbook MIT Rad. Lab. Series. New York: McGraw-Hill, 1951, vol. 10, ch. 1. [17] F. Giannini, M. Ruggieri, and J. Vrba, “Shunt-connected microstrip radial stubs,” IEEE Trans. Microw. Theory Tech., vol. MTT-34, no. 3, pp. 363–366, Mar. 1986. [18] F. Giannini, R. Sorrentino, and J. Vrba, “Planar circuit analysis of microstrip radial stub,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 12, pp. 1652–1655, Dec. 1984. [19] D. M. Pozar, Microwave Engineering. Hoboken, NJ: Wiley, 2005. [20] B. Zhou, H. Wang, and W. Sheng, “A novel UWB wilkinson power divider,” in Proc. 2nd Int. Inform. Sci. Eng. Conf., Dec. 2010, pp. 1763–1765. [21] B. T. Tan, J. J. Yu, S. T. Chew, M.-S. Leong, and B.-L. Ooi, “A miniaturized dual-mode ring bandpass filter with a new perturbation,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 343–348, Jan. 2005. [22] K. Deb, A. Pratap, S. Agrawal, and T. Meyarivan, “A fast and elitist multiobjective genetic algorithm: NSGA-II,” IEEE Trans. Evol. Comput., vol. 6, pp. 182–197, Apr. 2002. [23] S. H. Yeung, W. S. Chan, K. T. Ng, and K. F. Man, “Computational optimization algorithms for antennas and RF/microwave circuit designs: An overview,” IEEE Trans. Ind. Inform., vol. 8, no. 2, pp. 216–227, May 2012. [24] S. H. Yeung and K. F. Man, “Multiobjective optimization,” IEEE Microw. Mag., vol. 12, no. 6, pp. 120–133, Oct. 2011. Sai Ho Yeung (S’05–M’10) received the B.Eng., M.Phil., and Ph.D. degrees from the City University of Hong Kong, Kowloon, Hong Kong, in 2005, 2007 and 2010, respectively. In 2010 and 2011, he was a Research Fellow with the City University of Hong Kong. He is currently a Visiting Research Fellow with Syracuse University, Syracuse, NY. His research interests include optimization and microwave engineering.

2767

Quan Xue (M’02–SM’04–F’11) received the B.S., M.S., and Ph.D. degrees in electronic engineering from the University of Electronic Science and Technology of China (UESTC), Chengdu, China, in 1988, 1990, and 1993, respectively. In 1993, he joined UESTC, as a Lecturer and became a Professor in 1997. From October 1997 to October 1998, he was a Research Associate and then a Research Fellow with the Chinese University of Hong Kong. In 1999, he joined the City University of Hong Kong, where he is currently a Professor with the Department of Electronic Engineering. He also serves the City University of Hong Kong as the Associate Vice President (Innovation Advancement and China Office), the Deputy Director of the Shenzhen Research Institute, and the Deputy Director of the State Key Lab of Millimeter Waves (Hong Kong). He has authored or coauthored over 200 internationally referred journal papers and over 80 international conference papers. He is the Editor of the International Journal of Antennas and Propagation. His research interests include microwave passive components, active components, antenna, microwave monolithic integrated circuits (MMICs), RF integrated circuits (RFICs), etc. Prof. Xue is a member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Administrative Committee (AdCom). He is an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and an associate editor for the IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS.

Kim Fung Man (M’91–SM’98–F’08) received the Ph.D. degree from the Cranfield Institute of Technology, Cranfield, Bedford, U.K., in 1983. He is a Chair Professor and Head of the Department of Electronic Engineering, City University of Hong Kong. He has coauthored three books and has authored or coauthored numerous papers. His research focuses on evolutionary computation, antenna and RF devices optimization, and control engineering. Dr. Man is the editor-in-chief of the IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS for “Low Power Systems.”

2768

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

A Modified Wilkinson Power Divider With Isolation Bandwidth Improvement Jui-Chih Kao, Student Member, IEEE, Zuo-Min Tsai, Member, IEEE, Kun-You Lin, Member, IEEE, and Huei Wang, Fellow, IEEE Abstract—This paper proposes a novel modified Wilkinson power divider with wide isolation bandwidth. The isolation bandwidth can be extended by an additional isolation network (INW) in the circuits. An equal and an unequal 4-GHz modified Wilkinson power divider on FR4 with compact circuit sizes are designed and measured to verify the new design concept. The measurement results show the operation bandwidth from 1.8 to 6.4 GHz with 20-dB isolation in the equal case. In the unequal case, the operation frequency starts from 2.4 to 5.3 GHz with 20-dB isolation. Moreover, a 3-GHz 1-to-3 modified Wilkinson power divider is realized with the operation frequency from 2.05 to 3.83 GHz with 20-dB isolation. These circuits demonstrate that the isolation bandwidth can be extended by an INW, and this INW can be used in many power dividers with different applications. To the authors’ knowledge, these circuits provide the widest fractional bandwidth of isolation in a Wilkinson power divider ever reported, except those using multisection structures. Index Terms—Isolation, power combiner, power divider, Wilkinson power divider.

I. INTRODUCTION

T

HE Wilkinson power divider plays an important role in communication systems, such as transceivers, phase arrays, and power amplifiers, due to its ease of design and good performance. The traditional Wilkinson power divider provides perfect input and output port matching, high isolation, and low loss in very narrow band. Due to the narrow bandwidth, it is not applicable in many wideband communication systems. Much research has been reported to increase the bandwidth of a Wilkinson power divider [1]–[12]. Multisection is a common technique to improve bandwidth in the Wilkinson power divider [1]–[7] with more than 100% bandwidth achievable. However, multisection structure requires a large circuit area. Extra matching networks can make the Wilkinson power Manuscript received May 12, 2012; revised June 05, 2012; accepted June 11, 2012. Date of publication July 24, 2012; date of current version August 28, 2012. This work was supported in part by the National Science Council of Taiwan under Contract NSC 98-2221-E-002-059-MY3, Contract NSC 1002219-E-002-006, Contract NSC 100-2219-E-002-001, and Contract NSC 1002219-E-002-005, and by National Taiwan University under Excellent Research Projects (10R80919-3). J.-C. Kao, K.-Y. Lin, and H. Wang are with the Department of Electrical Engineering and the Graduate Institute of Communication Engineering, National Taiwan University, Taipei 106, Taiwan (e-mail: [email protected]; [email protected]). Z.-M. Tsai was with the Department of Electrical Engineering and the Graduate Institute of Communication Engineering, National Taiwan University, Taipei 106, Taiwan. He is now with the Department of Electrical Engineering, National Chung Cheng University, Chiayi 621, Taiwan (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2206402

divider operate at dual frequencies with wider insertion loss bandwidth [8]. However, this approach does not provide a broadband isolation. There are also many ways to enhance the bandwidth of Wilkinson power dividers, such as step impedance matching network, complementary conducting-strip transmission line (CCSTL), and port extension [9]–[12]. Even though these studies improve insertion- and return-loss bandwidth of the Wilkinson power divider, the isolation bandwidth is not considered. The operation bandwidth of a Wilkinson power divider is usually determined by insertion loss and/or return losses, but actually the isolation cannot be ignored in a broadband communication system. Poor isolation may affect the performance of a high-speed transfer system because the signal may be interfered from one port to another. In this paper, wide isolation bandwidth modified Wilkinson power dividers are proposed. The power divider is separated into two networks [T-junction network (TNW) and isolation network (INW)] to analyze the isolation performance. The novel structure focuses on expanding the isolation bandwidth with a frequency-dependent INW. Some pervious studies used additional passive components in the INW to improve isolation performance, such as the series RLC INW [13], [14] and the parallel RLC INW [15]. The series INWs can extend the isolation bandwidth efficiently, but it sacrifices the isolation performance at the center frequency a lot. The parallel RLC INW also can be used to design a frequency-dependent INW, but it is more suitable for a dual-band power divider with a multistage structure. In this paper, a novel INW topology is proposed to maintain the performance at the center frequency and a wider isolation bandwidth. This INW can be directly used in every power divider (equal, unequal, or multiple way) that is based on the Wilkinson power-divider topology. Three power dividers with very wide isolation bandwidth are implemented with the proposed INWs. Although this method limits the bandwidth of insertion loss and return loss, by using the additional INWs, the isolation bandwidth can be improved by a factor of 3 with a small extra circuit area. II. ANALYSIS AND DESIGN EQUATIONS A. Analysis of Wide Isolation Bandwidth Modified Wilkinson Power Divider The structure of the Wilkinson power divider is shown in Fig. 1 [19]. There are two quarter-wavelength transmission lines and , (admittances with characteristic impedances ). , and are the load impedances. When and , , and are perfect match loads, and can control the power ratio at port 2 and port 3. When the power

0018-9480/$31.00 © 2012 IEEE

KAO et al.: MODIFIED WILKINSON POWER DIVIDER

2769

Fig. 1. Topology of Wilkinson power divider.

Fig. 3. Comparisons of Wilkinson power divider.

between TNW and INW of the traditional

The INW is called a resistor isolation network (RINW) if it consists of only a simple resistor , which is the typical structure of the traditional Wilkinson power divider. The frequency responses of of such an RINW can be expressed as

Fig. 2. Detailed block diagram to define the sub-networks of the Wilkinson power dividers.

(2)

ratio is unequal between port 2 and port 3, it becomes an unequal power divider. The INW in Fig. 1 provides the isolation between port 2 and port 3. We will focus on the improvement of the isolation in this paper. The INW is assumed to be passive, symmetrical, and reciprocal. In order to analyze the isolation performance of the Wilkinson divider, a more detailed block diagram to define the sub-networks used in our discussion is shown in Fig. 2. The Wilkinson power divider in Fig. 1 is separated into the TNW and INW. Two quarter-wavelength transmission lines and a match load are included in the TNW, and the INW can be an arbitrary passive, symmetrical, and reciprocal circuit to provide the isolation between port 2 and port 3. Port 2 and port 3 are connected by these two networks. When a signal is injected from port 2, the signal will flow into the TNW and INW and then combine at port 3. If the circuit has perfect isolation, this signal should be completely cancelled at port 3. The two ports networks (TNW shunt to INW) are analyzed by -parameters. It is observed that the summation of is zero when the circuit has perfect isolation. It turns out to be easier to use the inverses of -parameters to investigate the isolation. Starting from the conventional Wilkinson power dividers, the frequency responses of of the TNW in the circuit can be derived as

Fig. 3 presents the frequency responses of and in the traditional power dividers. In order to achieve perfect isolation at the center frequency, the summation of and must be equal to zero at . This condition is satisfied at when

(1)

where is the center angular frequency of operation frequency, is the normalized angular frequency , is the match load in port 1, and and are the characteristic admittance of quarter-wavelength transmission lines.

(3) In Fig. 3, is frequency independent, but is not. It can be easily observed that the isolation condition is only satisfied at the center frequency, and thus the isolation bandwidth is very narrow. There are two simple methods to extend the isolation bandwidth, that is, changing a frequency-independent TNW with a conventional RINW, or replacing a frequency-dependent INW with the traditional TNW. However, a frequency-dependent network is usually easy to realize by using inductive or capacitive components. Based on this consideration, additional passive components are used to realize the frequency-dependent INW, and how to determine the suitable INW to improve the isolation bandwidth is the main focus of this paper. A simple frequency-dependent INW with good isolation at the center frequency can be a series resonator in addition to the conventional isolation resistor, as shown in Fig. 4, which is a special case of the block diagram in Fig. 3. The resistor–inductor–capacitor isolation network (RLCINW) consists of series resistors, capacitors, and inductors. In order to maintain the symmetry, the resistor is separated and two identical resonators are included in this structure. It can be found if the perfect isolation at is maintained, the and have to satisfy the following relation: (4)

2770

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 4. Circuit schematic of the modified Wilkinson power divider with RLCINW.

Fig. 5. Comparisons of between the TNW and RLCINW with the same values and slope at the center frequency.

This condition of (4) means that the series is resonant at , and represents a short circuit at the center frequency. In this circuit, the TNW is the same as the traditional Wilkinson divider so of the TNW is simply expressed as (1), while the frequency responses of of the RLCINW can be calculated as

(5)

where

is the value of the resistor in RLCINW and

Fig. 6. Comparison of isolation performance between the Wilkinson power divider with traditional RINW and RLCINW.

Fig. 5 that should be equal to zero at the center frequency when (4) is satisfied. On the other hand, the EVC for the real part of the admittance can be used to find . The perfect isolation at is obtained when is equal to . Now we have the perfect isolation performance at center frequency. In the modified Wilkinson power divider with the RLCINW, the frequency response of the imaginary part admittance of the INW becomes frequency dependent, but the real part is still frequency independent. When the slopes of and verse frequency are equal at center frequency along with a certain bandwidth, the isolation bandwidth can be extended effectively. The slopes of imaginary parts at the center frequency in Fig. 5 are used to find the widest isolation bandwidth. When the slopes of and are equal at the center frequency, we call this situation the equal slope condition (ESC). It can be observed in Figs. 5 and 6 that the divider can obtain the widest isolation bandwidth with perfect isolation at center frequency when EVC and ESC are satisfied at the same time. ESC can be used to find the suitable in the RLCINW. The derivatives of and to the normalized angular frequency at center frequency are derived to analyze the ESC. When the derivatives are equal, and have the similar slope around the center frequency region. The derivatives of of the TNW and RLCINW to normalized angular frequency at can be expressed as

(6) and are the value of the inductors and capacitors in Fig. 4. The unknown design parameters of (1) and (5) are now and when , , and are determined. These design parameters for the widest isolation bandwidth can be obtained from . The plots of of the TNW and RLCINW versus frequency are illustrated in Fig. 5. In order to read the figure more easily, and negative are plotted. The perfect isolation at center frequency can be obtained when and are equal; we call this situation the equal value condition (EVC). It can be found in

(7)

KAO et al.: MODIFIED WILKINSON POWER DIVIDER

2771

If the summation of the derivatives of of the TNW and RLCINW in (7) is zero, can be derived as (8) Since can be obtained when the TNW and RLCINW have the same slope at the center frequency with the characteristic admittance of the TNW, and are determined by the power ratio between port 2 and port 3, while and can be calculated using (4), (6), and (8). The values of the passive components to achieve the RLCINW with the minimum variation at center frequency can be expressed as (9a) (9b) (9c) When these conditions are all satisfied, the widest isolation bandwidth at the center frequency will be achieved without sacrificing isolation. Using the additional components in the INW, the real part of the frequency responses of has the same property as the traditional INW, but the imaginary part is close to the TNW near the center frequency. The isolation bandwidth improvement is shown in Fig. 6. It can be found the isolation bandwidth is improved by a factor of 2 for the isolation better than 20 dB. Moreover, the isolation bandwidth is extended without sacrificing the isolation at the center frequency. Even though the isolation bandwidth of the RLCINW has been improved, the values and function variations of the frequency responses of are not close enough to in the frequency band far from the center frequency. Since the TNW is composed of two quarter-wavelength transmission lines and a resistive termination, the frequency response of the is a periodic function, while the RINW and RLto obtain the similar CINW are not. In order for period of , additional passive components should be included in the INW. Since the perfect isolation performance is desired at the center frequency, these additional components should be designed for the minimum influences of the frequency response of the admittance at the center frequency. A proposed new INW is shown in Fig. 7, where an additional shunt stub is used, and this INW is called the resistor–inductor–capacitor–transmission-line isolation network (RLCTINW). , , and are the resistors, inductors, and capacitors in the RLCTINW, and and are the characteristic impedance and electrical length of the additional stub, respectively. When the stub is a short stub at , it is an open circuit and can be neglected at the center frequency due to its high input impedance. Moreover, the input admittance frequency responses of the RLCTINW have a similar period as that of the TNW, and thus it can further improve the isolation bandwidth. The inverse of of this INW, , can be written

Fig. 7. Schematic diagram of modified Wilkinson power divider with the proposed new INW. (The characteristic impedance and the electrical length of are and .)

as (10), where still stands for the normalized angular frequency, and and follow the relation in (4) and (6) to maintain the isolation performance at the center frequency

(10) In this Wilkinson power divider, the TNW is still fixed as the conventional topology. Following a similar discussion of the RLCINW, the EVC and ESC of between TNW and RLCTINW are investigated. The unknown design parameters in (1) and (10) are , , and . While is determined by system input load, and and are determined by the power ratio of the divider with . The analysis starts from the EVC, which can be used to find . In the RLCTINW, the additional short stub is an open circuit at the center frequency, and it means the EVC of the RLCTINW has the same characteristics as that of the RLCINW. When and satisfy (4), is , which can be derived by the EVC from (1)–(10). The normalized angular frequency derivatives of of the TNW and RLCTINW can be (11), shown at the bottom of next page. The following equation is then used to find when (11) satisfies ESC: (12)

2772

Fig. 8. Comparison of the real part in

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

between the TNW and RLCTINW.

The unknown parameters of (12) are and must be positive, have to satisfy the relation

Fig. 9. Comparison of the imaginary part in RLCTINW.

between the TNW and

. Since

(13) Equation (13) gives a lower bound, and an upper bound for will be determined by the design rule of the fabrication process. Between these bounds, is designed for the most similar curve for real part of the admittance verse frequency between the TNW and RLCTINW, as shown in Fig. 8. After is determined, can be found using (12). Figs. 8 and 9 show the frequency responses of the real parts and imaginary parts of the admittances with two different values of , and the design parameters can be derived as (14a) (14b) (14c) In Figs. 8 and 9, the real and imaginary parts for the functions of the admittances verse frequency between the TNW and RLCINW are closer than that between the TNW and RLCINW. The

Fig. 10. Comparison of isolation performance between the equal Wilkinson power divider with the RINW and RLCTINW.

isolation of this Wilkinson power divider is shown in Fig. 10. It can be found the isolation bandwidth is wider without sacrificing the isolation at the center frequency. If the system does not require such a high isolation, the isolation bandwidth can be extended with sacrificing the isolation near the center frequency. This goal can be achieved by changing when is fixed. It can be found in Figs. 11 and 12 that changing can vary the curve of verses frequency. When is changed by varying the values of

(11)

KAO et al.: MODIFIED WILKINSON POWER DIVIDER

Fig. 11. Comparison of real part in different .

2773

between the TNW and RLCTINW with

Fig. 12. Comparison of imaginary part in RLCTINW with different .

between the TNW and

Fig. 14. Relation between isolation and isolation bandwidth in different when: (a) , (b) , . and (c)

Fig. 13. Comparison of isolation performance between the equal Wilkinson power divider with the conventional RINW and the RLCTINW with different .

inductors and capacitors, the relation of and in (4) remains the same to keep the perfect isolation at the center frequency. In Figs. 11 and 12, the functions between and versus frequency are closer in the region far from the center frequency when is smaller and is fixed to ; however, the performances near the center frequency region are sacrificed. The isolation versus frequency is plotted in Fig. 13. The relations of fractional bandwidth (FBW) versus isolation under different , namely, , , and are plotted in Fig. 14(a)–(c), respectively. These figures also show the maximum isolation bandwidth in different isolation performance. In the design, the

curve that is plotted with similar should be selected first, and then the suitable for a specified isolation bandwidth can be decided. For example, if and 30-dB isolation with 80% FBW is required, has to reduce to to achieve the design target from Fig. 14(a). Using the RLCTINW in the Wilkinson power divider, the 20-dB isolation bandwidth is extended by a factor of 3 from the traditional Wilkinson power divider. B. Insertion Loss and Return Loss of Wide Isolation Bandwidth Wilkinson Power Dividers Beside the isolation improvement, the insertion loss and return loss in a Wilkinson power divider are also important. The relations of the design parameters in the Wilkinson power divider can be expressed as [16] (15a) (15b)

2774

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 16. and with an RLCTINW.

of the equal modified Wilkinson power divider

Fig. 15. Equivalent circuit of modified Wilkinson power divider with an RLCTINW when the RF short is created by resonation.

(15c)

The insertion loss can be derived directly from of the twoport network with different load impedance, which can be calculated as

(15d) (15e) where , , and are the load impedances, the power at port 2 and port 3 are defined as and , and the power ratio is . All three ports are terminated by perfect match loads. If and the power ratio are decided, the characteristic impedances of the transmission lines ( and ) will be determined. There is no additional insertion loss of the Wilkinson power dividers with the RINW and RLCINW from the INW because it is always an open circuit in even-mode operation. However, since there is a resonator in the modified Wilkinson power dividers with RLCTINW composed of the , , and , the divider will have additional insertion loss. In order to investigate the additional insertion loss, the modified Wilkinson power divider can be separated to two equivalent networks, which are shown in Fig. 15. Networks P2 and P3 express the connection between port 1 and port 2 and the connection between port 1 and port 3, respectively. In these equivalent circuits, to maintain the same power ratio between P2 and P3, the load impedance of port 1 should be separated by the power ratio relation. of P2 and P3 can be expressed as

Using (17) and (18), pressed as

(18) of networks P2 and P3 can be ex-

(19)

where

and

are expressed as (20a) and (20b)

(20a)

(20b) The insertion loss can be expressed as (21)

(16) The -parameters are load dependent, and the loads of this circuit are defined as [20]

(17)

and the return losses can also be calculated by (17) and (18). Figs. 16 and 17 show the , , and of a simple equal modified Wilkinson power divider with an RLCTINW. varies with the , which is defined as the input admittance of the RLCTINW in the equivalent circuit of Fig. 15. When becomes nonzero, the load admittance and will be transferred to and . The parallel admittances will cause the circuit mismatch and the most serious situation will occur when this circuit has the largest . From Figs. 16 and 17, it is concluded that the worst insertion loss and return loss happens when the

KAO et al.: MODIFIED WILKINSON POWER DIVIDER

Fig. 17. , , and divider with an RLCTINW.

2775

of the equal modified Wilkinson power

Fig. 18. (a) Layout of the equal 4-GHz modified Wilkinson power divider. (b) Circuit photograph of the equal modified 4-GHz Wilkinson power divider.

TABLE I DESIGN PARAMETERS OF 4-GHz EQUAL MODIFIED WILKINSON POWER DIVIDER

tank (composed of , frequency will satisfy

, and

Fig. 19. vider.

and

of the 4-GHz equal modified Wilkinson power di-

Fig. 20. vider.

and

of the 4-GHz equal modified Wilkinson power di-

TABLE II DESIGN PARAMETERS OF 4-GHz UNEQUAL MODIFIED WILKINSON POWER DIVIDER

) is resonant. The resonant

(22) The dominating term in (22) is ; it shows that when and are adjusted for better performance, the bandwidth of insertion loss and return loss will not change a lot. Moreover, due to the dividers being operated in the even mode, the lossy lumped or distributed elements in the INW will not affect the loss of dividers significantly. Even though the bandwidth of the modified Wilkinson power divider with the RLCTINW is limited due to the resonance of

the INW, the operation bandwidth, which is defined as the intersection of 10-dB return loss, 1-dB insertion loss, and 20-dB isolation, is still close to 100%. If the isolation performance is considered, the bandwidth of this topology is still wider than those of other power dividers without multisection topology. C. Design Procedure The design procedure of a broadband modified Wilkinson power divider with an RLCTINW is summarized as follows. 1) Determine based on the system load. 2) Determine the power ratio of port 2 and port 3 in the modified Wilkinson power dividers, and , , , , and can be determined via (15).

2776

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 23. divider.

and

of the 4-GHz unequal modified Wilkinson power

Fig. 24. divider.

and

of the 4-GHz unequal modified Wilkinson power

Fig. 21. (a) Layout of the unequal 4-GHz modified Wilkinson power divider. (b) Circuit photograph of the unequal modified 4-GHz Wilkinson power divider.

Fig. 22. Amplitude difference and Wilkinson power divider.

of the 4-GHz unequal modified

3) Determine . The limitations of the design rules and (13) should be considered. From (22), will affect the limitation of the bandwidth in return loss and insertion loss. Larger will increase the bandwidth of return loss and insertion loss. 4) After , , , and are known, calculate by the ESC. The ESC of and can be calculated from (12). 5) Determine and using (4), (6), and (12). However, since there are tradeoffs between isolation bandwidth and the isolation at the center frequency, can be decided based on the circuit requirement. The relation between isolation and isolation bandwidth with different and can be found in Fig. 14, and a suitable can be chosen accordingly. 6) Although should be a quarter-wavelength of the center frequency, it can be shifted a little to slightly adjust the performance. 7) Estimate the upper and lower frequency bounds, and , using (18). If a wider bandwidth of insertion loss or return loss is desired, the band edges can be changed by adjusting , , or .

Fig. 25. Schematic of 3-GHz 1-to-3 modified Wilkinson power divider.

III. CIRCUIT EXPERIMENTS AND DISCUSSIONS To verify the design concept, an equal and an unequal modified Wilkinson power divider with the RLCTINW at 4 GHz, and a 1-to-3 modified Wilkinson power divider with the RLCTINW at 3 GHz are designed using 1-mm-thick FR4 [printed circuit board (PCB)]. A. 4-GHz Modified Wilkinson Power Divider The equal modified Wilkinson power divider with wide isolation bandwidth has 4.6-GHz bandwidth (from 1.8 to 6.4 GHz). The center frequency is 4.1 GHz. This circuit can be designed following the design procedure described in Section II-C. The impedance of port 1 is decided by system impedance 50 . From (15), and are chosen as . and are both 70.7- , realized by 1-mm-wide transmission lines. is also calculated from (15). For wider insertion- and return-loss

KAO et al.: MODIFIED WILKINSON POWER DIVIDER

2777

TABLE III DESIGN PARAMETERS OF 3-GHz 1-TO-3 MODIFIED WILKINSON POWER DIVIDER

Fig. 28. , , Wilkinson power divider.

Fig. 29. , power divider.

, and

, and

of the 3-GHz 1-to-3 modified

of the 3-GHz 1-to-3 modified Wilkinson

Fig. 26. (a) Circuit layout and (b) circuit photograph of 3-GHz 1-to-3 modified Wilkinson power divider.

Fig. 27. , power divider.

, and

of the 3-GHz 1-to-3 modified Wilkinson

bandwidth, the width of is selected as 0.3 mm (characteristic impedance is about 115 ), which is the highest impedance that can be realized based on the design rule. When , , and are decided, can be calculated from (12). In this case, ideally is 48. If 100% FBW with 20-dB isolation is

required, has to adjusted to , which can be estimated from Fig. 14(c). In this design, there is a 1.3-nH parasitic inductance from the SMD device (0.4 nH from a 0402 SMD capacitor and 0.9 nH from a 0402 SMD resistor) so is 1.3 nH. In this case, a 1.5-pF can achieve with 3.6-GHz resonant frequency (the combination of and resonates at 3.6 GHz). When we determine , the parasitic inductance of the via-hole should be included. In this circuit, is 7 mm with 1-nH parasitic inductance, and is a quarter-wavelength at 1.5 , which makes the isolation dip shift to higher frequency. The designed circuit parameters are shown in Table I. The lower and upper frequency bounds can be estimated by (22). The lower angular frequency bound is about 0.275 , and the upper bound is 2.25 . The layout of the circuit is shown in Fig. 18(a) with a circuit size of 28 mm 27 mm, and the circuit photograph is shown in Fig. 18(b). This circuit is measured using an Agilent E5071B network analyzer. Figs. 19 and 20 show the measured results of this circuit. The 10-dB return-loss bandwidth at port 1 and the 1-dB insertion-loss bandwidth are from 1.8 to 6.4 GHz. The 10-dB return-loss bandwidth at Port 2 and Port 3 are from 1.8 to 6.7 GHz. The minimum insertion loss is 0.5 dB. The isolation is greater than 20 dB with a very wide band, from 1.8 to 7 GHz. Overall,

2778

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

TABLE IV COMPARISON OF WILKINSON POWER DIVIDER

this operation band, which is defined as the intersection of 10-dB return loss, 1-dB insertion loss, and 20-dB isolation, starts from 1.8 to 6.4 GHz (112% FBW). B. 4-GHz 1:2 Unequal Modified Wilkinson Power Divider The 1:2 unequal modified Wilkinson power divider is designed at 4 GHz. At first, port 1 is still fixed to system impedance of 50 . In order to achieve the unequal power ratio, is 50 , is 100 , and is 75 , which can be calculated from (15). The impedance of is 50 , which is realized with a 2-mm-wide transmission line, and the impedance of is 97 (0.5-mm-wide line). To achieve good matching between the signal path and output port, an additional impedance transformer is used. is still 115 (0.3-mm-wide transmission line), and of this circuit is 51.4, which can be calculated from (12). The same and are selected as the values in the 4-GHz equal modified Wilkinson power divider, and the final is about 29. This combination of and can achieve with 3.6-GHz resonant frequency. The designed circuit

parameters are listed in Table II. The circuit layout is shown in Fig. 21(a) with a circuit size of 37 mm 28 mm, and the circuit photograph is shown in Fig. 21(b). Figs. 22–24 depict the measured results of this unequal modified Wilkinson power divider with an RLCTINW. Fig. 22 shows that the amplitude difference error is the main limitation of bandwidth. The bandwidth of 0.5-dB amplitude difference starts from 2.2 to 5.3 GHz. The insertion loss of this frequency range is about 1 dB. The 20-dB wideband isolation performance starts from 1.8 to 7.2 GHz, and the 10-dB return loss region starts from 2.4 to 6.1 GHz. The operation band, which is defined as the intersection of the 10-dB return loss, 1-dB insertion loss, and 20-dB isolation, starts from 2.4 to 5.3 GHz (83% FBW). C. 3-GHz 1-to-3 Modified Wilkinson Power Divider A 3-GHz 1-to-3 modified Wilkinson power divider is realized with the RLCTINW. The schematic of the 1-to-3 Wilkinson power divider is shown in Fig. 25. In this design, , ,

KAO et al.: MODIFIED WILKINSON POWER DIVIDER

and are equal to , which can be realized by the 0.7-mm-wide line on the 1-mm-thick FR4 board, where is the system load. From the schematic, we can find that there are two INWs between each pair of two ports so the impendences of the INWs should be half. Therefore, should be 25 , which is realized by a 27- SMD resistor with 0.9-nH parasitic inductance. To maintain wider insertion-loss bandwidth and return-loss bandwidth, is again 115 (0.3-mm-wide transmission line, the highest impedance that can be realized based on the design rule). calculates from (12) is 48. Since an INW will provide 2.6-nH parasitic inductance (0.4 nH from an SMD capacitor and 0.9 nH from an SMD resistor), 3.3-pF is suitable for this design. From Fig. 14(c), if the isolation is targeted for 20 dB with 100% FBW, must reduce to . In this design, the combination of 2.6-nH and 3.3-pF can achieve with 2.4-GHz resonant frequency. The performance of the modified Wilkinson power divider is degraded due to the nonideal components, such as 27- SMD resistors, which is supposed to 25 . Moreover, it is observed that there is an offset resonant frequency caused by and , which is supposed to be 3 GHz. is adjusted to to maintain performance. All design parameters are listed in Table III, is and the circuit layout and photograph is shown in Fig. 26. The circuit size is 23 mm 18.5 mm. Figs. 27–29 show the simulated and measured results of this circuit. The insertion loss is 1 dB from 2.05 to 3.83 GHz. Due to the asymmetric layout, has a little difference from and . The 10-dB return-loss bandwidth is from 1.69 to 3.88 GHz, and the 20-dB isolation bandwidth is from 1.3 to 4.15 GHz. The operation band, which is defined as the intersection of 10-dB return loss, 1-dB insertion loss, and 20-dB isolation, is from 2.05 to 3.83 GHz (FBW 61%). IV. CONCLUSION In this paper, a modified Wilkinson power divider design method with an additional isolation improvement network has been presented. The traditional wideband Wilkinson power-divider bandwidth is only defined by return-loss bandwidth and insertion-loss bandwidth; however, the isolation bandwidth is often ignored. By using the modified Wilkinson power divider with an isolation improvement technique, the operation bandwidth (return loss dB, insertion loss dB and isolation dB) is increased. Compared with the traditional Wilkinson power dividers, the modified Wilkinson power dividers can extend the isolation bandwidth by sacrificing the insertion- and return-loss bandwidth. Even though the bandwidth of return loss and insertion loss is decreased, it still has wide operation bandwidth. An equal, an unequal modified, and a multiway Wilkinson power divider are realized in this paper. The 4-GHz equal divider demonstrates 112% operation bandwidth (intersection of 10-dB return loss, 1-dB insertion loss, and 20-dB isolation) and 118% isolation bandwidth isolation dB with 28 mm 27 mm circuit size. The 4-GHz unequal divider achieves 83% operation bandwidth and 118% isolation bandwidth with 37 mm 28 mm circuit size. Finally, a 3-GHz 1-to-3 modified Wilkinson power divider is realized. This circuit has a 61% operation bandwidth and

2779

105% isolation bandwidth with 23 mm 18.5 mm circuit size. Table IV illustrates the comparison between this work and other publications in the recent years. It shows that we can successfully extend isolation bandwidth by using a suitable INW, rather than using the bulky multistage structure. Moreover, this INW can be used in many other Wilkinson-based power dividers. REFERENCES [1] N. Ehsan, K. Vanhille, S. Rondineau, E. D. Cullens, and Z. B. Popović, “Broadband micro-coaxial Wilkinson dividers,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 11, pp. 2783–2789, Nov. 2009. [2] J.-S. Lim, U.-H. Park, S. Oh, J.-J. Koo, Y.-C. Jeong, and D. Ahn, “A 800- to 3200-MHz wideband CPW balun using multistage Wilkinson structure,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2006, pp. 1141–1144. [3] A. Wentzel, V. Subramanian, A. Sayed, and G. Boeck, “Novel broadband Wilkinson power combiner,” in 36th Eur. Microw. Conf., Sep. 2006, pp. 212–215. [4] L. Chiu, T. Y. Yum, X. Xue, and C. H. Chan, “A wideband compact parallel-strip 180 Wilkinson power divider for push–pull circuitries,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 1, pp. 49–51, Jan. 2006. [5] S. W. Wong and L. Zhu, “Ultra-wideband power dividers with good isolation and sharp roll-off skirt,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 8, pp. 518–520, Aug. 2008. [6] X. Lan, P. Chang-Chien, F. Fong, D. Eaves, X. Zeng, and M. Kintis, “Ultra-wideband power divider using multi-wafer packaging technology,” IEEE Microw. Wireless Compon. Lett., vol. 21, no. 1, pp. 46–48, Jan. 2011. [7] Y. Sun and A. P. Freundorfer, “Broadband folded Wilkinson power splitter,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 6, pp. 295–297, Jun. 2004. [8] Y. Wu, Y. Liu, Y. Zhang, J. Gao, and H. Zhou, “A dual band unequal Wilkinson power divider without reactive components,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 1, pp. 216–222, Jan. 2009. [9] C.-C. Chen, J.-J. Cin, S.-H. Wang, C.-C. Lin, and C.-K. C. Tzuang, “A novel miniaturized wideband Wilkinson power divider employing two-dimensional transmission line,” in IEEE Int. VLSI Design, Auto., Test Symp., Apr. 2008, pp. 212–215. -band CMOS [10] M.-J. Chiang, H.-S. Wu, and C.-K. C. Tzuang, “A Wilkinson power divider using synthetic quasi-TEM transmission lines,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 12, pp. 837–839, Dec. 2007. [11] M.-J. Park, “Dual-band Wilkinson divider with coupled output port extensions,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 9, pp. 2232–2237, Sep. 2009. [12] Y. Wu, Y. Liu, and Q. Xue, “An analytical approach for a novel coupled-line dual-band Wilkinson power divider,” IEEE Trans. Microw. Theory Tech., accepted for publication. [13] L. Wu, Z. Sun, H. Yilmaz, and M. Berroth, “A dual-frequency Wilkinson power divider,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 278–284, Jan. 2006. [14] Y. Wu, Y. Liu, and S. Li, “Unequal dual-frequency Wilkinson power divider including series resistor–inductor–capacitor isolation structure,” IET Microw. Antenna Propag., vol. 3, no. 7, pp. 1079–1085, 2009. [15] T. Kawai, J. Yamasaki, Y. Kokubo, and I. Ohta, “A design method of dual-frequency Wilkinson power divider,” in Proc. Asia–Pacific Microw. Conf., Dec. 2006, pp. 913–916. [16] S.-H. Kim, J.-H. Yoon, Y. Kim, and Y.-C. Yoon, “A modified Wilkinson divider using zero-degree phase shifting composite right/left-handed transmission line,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2010, pp. 1556–1559. [17] C. J. Trantanella, “A novel power divider with enhanced physical and electrical port isolation,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2010, pp. 129–132. [18] Sonnet User’s Manual, Release 9.0. North Syracuse, NY: Sonnet Softw. Inc., May 2003. [19] D. M. Pozar, Microwave Engineering. New York: Wiley, 2003. [20] J.-S. G. Hong et al., Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001. [21] R. E. Collin, Foundations for Microwave Engineering. New York: McGraw-Hill, 1992.

2780

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

[22] I. Sakagami, X. Wang, K. Takahashi, and S. Okamura, “Generalized two-way two-section dual-band Wilkinson power divider with two absorption resistors and its miniaturization,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 11, pp. 2833–2847, Nov. 2011. [23] X. Wang, I. Sakagami, K. Takahashi, and S. Okamura, “A generalized dual-band Wilkinson power divider with parallel , , and components,” IEEE Trans. Microw. Theory Tech., vol. 60, no. 4, pp. 952–964, Apr. 2012. [24] Z. Sun, L. Zhang, Y. Yan, and H. Yang, “Design of unequal dualband Gysel power divider with arbitrary termination resistance,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 8, pp. 1955–1962, Aug. 2011. [25] X. Wang, K. Takahashi, S. Okamura, M. Tahara, and I. Sakagami, “Generalized port separation dual-band Wilkinson power divider with series RLC components,” in 41th Eur. Microw. Conf., Oct. 2011, pp. 289–292.

Jui-Chih Kao (S’08) was born in Taipei, Taiwan, in 1985. He received the B.S. degree in communication engineering from National Central University, Taoyuan, Taiwan, in 2008, and is currently working toward the Ph.D. degree at National Taiwan University. He is currently with the Graduate Institute of Communication Engineering, National Taiwan University. His research interests include monolithic microwave/millimeter-wave circuit design.

Zuo-Min Tsai (S’03–M’07) was born in Maioli, Taiwan, in 1979. He received the B.S. degree in electronic engineering and Ph.D. degree in communication engineering from National Taiwan University, Taipei, Taiwan, in 2001 and 2006, respectively. From 2006 to 2011, he was a Post Doctorate Research Fellow with the Graduate Institute of Communication Engineering, National Taiwan University. Since July 2011, he has been with the faculty of the Department of Electrical Engineering, National Chung Cheng University, Chiayi, Taiwan, where he is currently an Assistant Professor. His research interests include the design of microwave integrated circuits and microwave systems.

Kun-You Lin (S’00–M’04) was born in Taipei, Taiwan, in 1975. He received the B.S. degree in communication engineering from National Chiao Tung University, Hsinchu, Taiwan, in 1998, and the Ph.D. degree in communication engineering from National Taiwan University, Taipei, Taiwan, in 2003. From August 2003 to March 2005, he was a Postdoctoral Research Fellow with the Graduate Institute of Communication Engineering, National Taiwan University. From May 2005 to July 2006, he was an Advanced Engineer with the Sunplus Technology Company Ltd., Hsin-Chu, Taiwan. Since July 2006, he has been with the faculty of the Department of Electrical Engineering and the Graduate Institute of Communication Engineering, National Taiwan University, where he is currently an Associate Professor. His research interests include the design and analysis of microwave/RF circuits. Dr. Lin is a member of Phi Tau Phi.

Huei Wang (S’83–M’87–SM’95–F’06) was born in Tainan, Taiwan, in 1958. He received the B.S. degree in electrical engineering from National Taiwan University, Taipei, Taiwan, in 1980, and the M.S. and Ph.D. degrees in electrical engineering from Michigan State University, East Lansing, in 1984 and 1987, respectively. During his graduate study, he was engaged in research on theoretical and numerical analysis of electromagnetic radiation and scattering problems. He was also involved in the development of microwave remote detecting/sensing systems. In 1987, he joined the Electronic Systems and Technology Division, TRW Inc. He has been an MTS and Staff Engineer responsible for monolithic microwave integrated circuit (MMIC) modeling of computer-aided design (CAD) tools, MMIC testing evaluation, and design and became the Senior Section Manager of the MMW Sensor Product Section, RF Product Center. In 1993, he visited the Institute of Electronics, National Chiao-Tung University, Hsin-Chu, Taiwan, where he taught MMIC-related topics. In 1994, he returned to TRW Inc. In February 1998, he joined the faculty of the Department of Electrical Engineering, National Taiwan University, Taipei, Taiwan, as a Professor. From August 2006 to July 2009, he was the Director of the Graduate Institute of Communication Engineering, National Taiwan University. He is currently a National Chair Professor of the Ministry of Education, Taiwan, (February 2011–January 2014 term). He was the Richard M. Hong Endowed Chair Professor of National Taiwan University (2005–2007). Dr. Wang is a member of Phi Kappa Phi and Tau Beta Pi. He was an IEEE Distinguished Microwave Lecturer (2007–2009). He was the recipient of the Distinguished Research Award of the National Science Council, Taiwan (2003), the Academic Achievement Award of the Ministry of Education, Taiwan (2007), and the Distinguished Research Award of the Pan Wen-Yuans Foundation (2008).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

2781

Design of Multiway Power Divider by Using Stepped-Impedance Transformers Yansheng Xu and Renato G. Bosisio, Fellow, IEEE

Abstract—In this paper, the design of multiway power dividers by interconnecting power dividers with fewer output ports is studied by transforming them into multisection stepped-impedance transformers. By using this approach, it is easy to design multiway power dividers with required equal ripples of input reflection ( ) within a wide passband. The interconnecting lines can be used as additional matching sections to improve the input matching. General properties of this type of multiway power dividers can be easily obtained. Design of multiway power divider with required input reflection level can be readily performed by using the published data and tables of stepped-impedance transformer in the literature. Both even- and odd-mode analyses are performed to obtain the optimal isolation resistor values. A prototype of a wideband four-way power divider with a rhombic architecture is designed, fabricated, and measured. Simulation and measurement results are in good agreement and validate the proposed approach. Index Terms—Broadband, Chebyshev polynomials, passive components, power combiner, power divider, power splitter, transmission lines, Wilkinson power divider.

this limitation, in most cases the optimal design cannot be obtained and the designed ripple values of the input reflection cannot be assigned in advance. In this paper, we transfer this problem into the design of stepped-impedance transformers and follow an optimization method based on the well-known Chebyshev polynomials presented in [2]–[6]. By using this approach, the general solutions for design of the multiway power divider can be obtained. The performances of the new power dividers are improved in comparison to the previous results in [9] and [10]. For simplicity, we first give a detailed study and calculation of the design of a four-way power divider by using evenand odd-mode analyses in Sections II– IV. An extension to the power dividers with more outputs (eight-way, 16-way, 32-way, and 64-way) is then made in Sections V and IV. Finally, a prototype of the wideband four-way power divider with a rhombic architecture is designed, fabricated, and measured. Simulation and measurement results are in good agreement and validate the proposed approach.

I. INTRODUCTION

P

OWER dividers are important components in microwave technology. A multiway power divider is a key component in phase-array antennas, power amplifiers, and six-port circuits. Wilkinson-type power dividers [1] are generally adopted, but it is planar only for two-way power division. Therefore, for an -way power divider, where is equal or larger than 3, it is generally realized by interconnecting two-way power dividers. In some cases, a multiway power divider is composed of interconnection of three-way or more-way power dividers to reduce the design complexity and difficulty. Although power dividers have been studied by many authors [2]–[13], the interconnection of power dividers with fewer ways of division into a multiway power divider has not been investigated in detail until recently. In [9] and [10], many calculations were made for the interconnection of two-way power dividers to achieve multiway power divider. However, the two-way power dividers used in the interconnections are restricted to the traditional design (see [10, Figs. 1 and 4]); they are all the same in different stages. Due to Manuscript received January 05, 2012; revised May 31, 2012; accepted June 06, 2012. Date of publication August 02, 2012; date of current version August 28, 2012. This work was supported by the Natural Science and Engineering Research Council of Canada (NSERC). The authors are with the École Polytechnique de Montréal, Poly-Grames Research Centre, and the Centre de Recherche en Électronique Radiofréquence (CREER), Montreal, QC, Canada H3T 1J4 (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2207911

II. DESIGN OF A FOUR-WAY POWER DIVIDER BY USING EVEN-MODE ANALYSIS A four-way power divider can be realized by interconnecting three two-way power dividers using the two-stage structure shown in Fig. 1. In Fig. 1, port 1 is the input port and ports 2–5 are the four output ports. The two-way one-section power divider of the first stage is composed of transmission lines , length , and also resistor with characteristic impedance . In turn, the two Wilkinson power dividers of stage 2 are composed of transmission lines with characteristic impedances . Two transmission lines , length , and also resistors and length are introduced with characteristic impedance to connect the power dividers of stages 1 and 2 [9], [10]. Following the generally accepted even-mode analysis [2]–[6], the even-mode equivalent circuit of the power divider in Fig. 1(a) can be expressed as in Fig. 1(b). Resistors and are removed in the even-mode equivalent circuit since they have no effect on the even-mode input and output signals. Following [2, Fig. 3] and due to the two outputs of the junction being connected in parallel, the impedances and resistors at the left-hand side of the junction are multiplied by a factor of 2 for each junction, as shown in Fig. 1(b). From Fig. 1(b), it is clear that we can use the design approach and design data of the stepped-impedance transformer as provided in the literature [2]–[6]. By this way, the design of this four-way power divider ) can be with needed reflection level of the input port ( readily obtained from the solution of the even-mode circuit shown in Fig. 1(b). The design procedure is as follows.

0018-9480/$31.00 © 2012 IEEE

2782

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 2. Reflection at the input port in Fig. 1.

Fig. 1. (a) Schematic diagram of the studied four-way power dividers by interconnecting three two-way power dividers. (b) Even-mode circuit of the power divider of Fig. 1(a).

1) Fix the number of total sections. Attention should be paid to the interconnecting lines counted as individual sections. From the literature [2]–[6], [9], [10], the length of the interconnecting lines and also the lines and should be of a quarter-wavelength at the center frequency of the power divider for optimum performances and compact design. If longer interconnecting lines are needed, multiple quarter-wavelength lines with different characteristic impedances should be used. In Fig. 1(b), only one single quarter-wavelength interconnecting line is shown. However, more quarter-wavelength sections of interconnecting lines can be included as necessary and they will be denoted as and . 2) From the number of sections , the impedance ratio of input and output ports (in the case of the four-way power divider ) and the ripple value (maximum allowed value) of input port reflection ( ), the even-mode circuit shown in Fig. 1(b) can be fixed. We can then obtain the impedance values of different sections and in Fig. 1(b) according to the data and tables in the literature [2]–[6]. Attention should be paid to that, across each junction of the two-way power divider, a ratio of 2 is multiplied to the impedances and resistors, as shown in Fig. 1(b). In the case of a three-way power divider, this ratio should be 3 and so forth. 3) Transfer the impedance values obtained in 2) back to the case of power dividers, namely, across each junction of the two-way power divider the impedance values should be divided by 2 [please compare the values of impedances in Fig. 1(a) and (b)].

of the four-way power divider shown

4) Make simulation of the circuits shown in Fig. 1(a) and (b) to validate the design. The simulated data of the circuits in Fig. 1(a) and (b) should be the same. Simulations of the above four-way power dividers are performed by using commercial software (ADS).1 The simulated results of the four-way power dividers obtained by the proposed approach and in [9] and [10] are provided in the following. A comparison of the performances of the input reflection of four-way power dividers obtained by using the traditional approach [9], [10] and the proposed approach is shown in Fig. 2. It is noted that, in the traditional approach, the impedances and are all equal to 1.4142 and the input reflection is higher or the bandwidth is narrower than that obtained using the approach proposed in this paper. It should be pointed out that the possible ripple values of in the results of [9] and [10] are quite limited, e.g., in the case of no interconnecting lines [ in Fig. 1(a)] no ripple is present, in the case of , the ripple of is fixed to 15 dB. To the contrary, by putting different sets of impedance values according to the design procedure of [2]–[6], different ripple values can be obtained for all these architectures (namely, , , or with more sections of connecting lines). In Fig. 2, the ripples of in the designs using our approaches are set to be 20 dB and the values of the impedances are as follows. In the case of one quarter-wavelength interconnecting line, , and . In the case of no interconnecting line, , . Of course, other levels of ripple value of can easily be obtained by using our approaches and the design data in [2]–[6]. The lengths of and are one-quarter of a wavelength at the center frequency. 1Trade

name of Agilent Technologies Inc., Santa Clara, CA.

XU AND BOSISIO: DESIGN OF MULTIWAY POWER DIVIDER

2783

TABLE I EXCITATIONS OF THE EVEN- AND ODD-MODE ANALYSIS

Fig. 3. Equivalent circuits of the four-way power divider of Fig. 1(a). (a) Equivalent circuit of the odd-even mode. (b) Equivalent circuit of the odd mode.

It is interesting to note that the design in [9] and [10] of a four-way power divider by interconnecting a three one-section two-way power divider with 90 interconnecting lines ( and ) appears with equal ripples and , which is in agreement with the optimum design criteria of [2]–[6]. Therefore, the bandwidth of the input reflection of this case is wide and for the other cases in [9] and [10] (e.g., or ), the bandwidth of are very narrow. The reflection of the output ports and and the isolation between the output ports and can only be obtained from the even- and odd-mode analysis, and they will be shown in Section III. III. DESIGN OF A FOUR-WAY POWER DIVIDER USING EVEN- AND ODD-MODE ANALYSIS In this section, the even- and odd-mode analysis is studied for the calculation of the matching of the output ports and the isolation between them. Due to the complexity of this problem, an analysis of a four-way power divider will be performed first. Some important conclusions and valuable design rules can be obtained from the analysis of this simple case and a multiway power divider can be analyzed following the same approach. From the even- and odd-mode analysis, the equivalent circuits of the different cases are shown in Fig. 3 and the excitations of these cases are given in Table I. It is noted that the equivalent circuit of the even excitation is shown in Fig. 1(b) and the reflection of port 2 of this case is set to be equal to even. The -parameters of the output ports are calculated by the following equations: even even and

even

(1)

It is important to note that due to the symmetrical property of the two-way power divider in the second stage of Fig. 1(a), in the odd-mode analysis, point “A” shown in this figure [and also in Fig. 3(b)] is connected to ground. Consequently, the equivalent circuit of even–odd and odd–odd modes take the form as shown in Fig. 3(b), and it is separated from the part of the circuit on the left-hand side of point A. In our design procedure, the value of even is fixed by the calculation of the even-mode equivalent circuit shown in the last section and it is equal to even in the lossless case and is very near to ( ) even for the low-loss case. The optimal value of the reflection and isolation values are then obtained by minimizing and . From Fig. 3, it is noted that there is only one resistor in each equivalent circuit and we should select the values of and to obtain and , respectively, at the center frequency . At the center frequency , the electrical length of and are all equal to 90 and the value of can readily be found: . The values of for the cases in the last section using our proposed approach are: in the case of one quarter-wavelength interconnecting line, , and in the case of no interconnecting line, . The simulated curves of reflection and isolation are shown in Fig. 4. In this figure, the values of resistors and used in the cases of the traditional approach [10] are all fixed to . It is determined that the values of and calculated by our approach are also near to , and we can simply put them equal to in our design to obtain similar performances of reflection and isolation of the output ports. From the property of symmetry, the other reflection and isolation values of the output ports: and can also easily be found by the above approach. It is interesting to note that since for most cases the optimal value of even is equal to zero at the center frequency and we select and to make the values of and also equal to zero at this frequency, the values of and will also vanish at the center frequency . When the value of even is not equal to zero at the center frequency, the absolute values of should be equal to a quarter of the absolute value of even (or 12 dB lower) at the center frequency since, at this frequency, and are all equal to zero. For the design of multiway power dividers, the selection of the isolation resistors can be made by using the same approach described above. When the multiway power divider is designed by interconnecting two-way power dividers with more sections (larger than one), the design of the isolation resistors can be performed with reference to the approach in [15]. In these cases, more zero points of the reflection and

2784

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

in our even-mode design since even also has contributions to the values of . 4) The values of are determined by the superposition of the reflection coefficients of even-, even–odd-, odd-, modes shown in Figs. 1(b) and 3. Therefore, it is important to reduce the amplitude of these reflections to diminish the residual values of and obtain better output reflection and isolation. IV. DESIGN OF A FOUR-WAY POWER DIVIDER WITH MORE SECTIONS OF INTERCONNECTING LINES

Fig. 4. Performances of the four-way power divider of Fig. 1(a). (a) for different cases studied. different cases studied. (b)

for

It is interesting to point out that the interconnecting lines can also be used as matching sections. To this end, the length of these interconnecting line sections should be all equal to one quarter-wavelength at the center frequency. Simulation results on the cases with two and three sections of interconnecting lines of the four-way power divider are shown in Fig. 5(a) and (b). In Fig. 5(a), two sections of interconnecting lines are used, and in Fig. 5(b), three sections are adopted. The impedance values of the case of Fig. 5(a) are as follows. For the case of two interconnecting lines, , , , and . For the case of three sections of interconnecting lines, , , , , and . The isolation resistors are calculated following the approach presented in Section III. The value of the isolation resistor of the second stage is equal to for both cases shown in Fig. 5(a) and (b). The value of the isolation resistor of the first stage is for the case with two sections of interconnecting lines and for the case with three sections of interconnecting lines. It is clear from Figs. 2–5(b) that there are more ripples of the input reflection , and consequently, the bandwidth of becomes much wider. At the same time, the behavior of and are not changed much in comparison to the previous cases shown in Fig. 4. V. DESIGN OF AN EIGHT-WAY POWER DIVIDER

isolation will be obtained within the bandwidth of operation since more isolation resistors exist in each stage. From the above analyses, the following is concluded. 1) The isolation resistor of the last stage has much more effects on the values of the reflection and isolation than other isolation resistors in the previous stages. The effects of the isolation resistor of the first stage are the least. 2) When there is only one section and one isolation resistor in the last stage of the power divider, the optimal value of this resistor is always . The isolation resistors of other stages can be calculated separately stage by stage. 3) If it is preferred to have the best performances of at the center frequency, we should make even equal to zero at this frequency

When the four output ports of the four-way power divider in Fig. 1(a) are connected to two-way dividers, respectively, the total number of the output ports is equal to eight and an eight-way divider is obtained. The simulation results of design of such eight-way power dividers are shown in Fig. 6. Four different cases are studied, they are: no interconnecting line and with one section of a quarter-wavelength interconnecting line between different stages (namely, line with impedance between stages 1 and 2 and line with impedance between stages 2 and 3) for the proposed approach and the traditional approach described in [9] and [10] respectively. Fig. 6(a) shows the performances of input reflection of these four cases. Their behavior is basically the same as before. Among them, the case with one section of interconnecting line of the proposed approach has the widest bandwidth and its bandwidth is even wider than the case of four-way power divider shown in

XU AND BOSISIO: DESIGN OF MULTIWAY POWER DIVIDER

2785

Fig. 5. Performances of the four-way power divider of Fig. 1(a) with two and three sections of interconnecting lines by using the proposed approach. (a) Case with two sections of interconnecting lines. (b) Case with three sections of interconnecting lines.

Fig. 2. However, the no interconnecting line case of the traditional approach [9], [10] is also an optimized case (in the sense of ), and hence, its performance is also quite good. In Fig. 6(b), and (c), the performance of and are shown. As before, they are almost the same for the four cases considered here. The characteristic impedances of different sections of the designs by the proposed approach are as follows. For the case of no interconnecting lines, , , and , For the case of one section of interconnecting line, , , , , and . It should be noted that, in this case, we have the impedance ratio (three stages of interconnection of two-way power dividers). All matching transmission-line impedances of the different stages ( and ) for the approach of [9], [10] are equal to 1.4142 and the values of and and the

Fig. 6. Performances of the eight-way power divider by interconnecting of two-way power dividers using different approaches. (a) Reflection at the input of different cases studied. (b) for different cases studied. (c) port for different cases studied.

impedances of the interconnecting lines and obtained by using our approach are all different from each other, as shown above. The impedances of the interconnecting sections for the traditional approach of [9] and [10] are all equal to .

2786

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

For the traditional approach [9], [10], the values of the isolation resistors are all set to be equal to . For our proposed approach, the isolation resistors are calculated according to the procedure presented in Section III. The calculated values of the isolation resistor of the last stage (stage 3), , for both cases (no interconnecting line and one interconnecting line) are all equal to . The calculated values of the isolation resistor of the first stage are equal to 1.8739 for the no interconnecting line case and for the one interconnecting line case. As the isolation resistor in the middle stage , the calculated values are: 2.1345 for the no interconnecting line case and 2.0159 for the one interconnecting line case. VI. DESIGN OF MULTIWAY POWER DIVIDERS A multiway divider can be obtained by interconnecting twoway, three-way, or multiway dividers. The number of sections of the power divider and connecting line in different stages can also be different. However, the principle of design remains the same as before. Generally speaking, the number of sections of the composed multiway power divider can be calculated as

and

Fig. 7. Equivalent Chebyshev transformer for the 16-way power divider with one section of interconnecting line.

from the values of the Chebyshev transformer . In this procedure, attention should be paid to the values of in [14, Table X]. The values of are selected according to the required bandwidth of the input reflection of the design and are represented by in [14, Table X]. Calculated design data of 16-, 32-, and 64-way power dividers by using the proposed method and their comparison with the results obtained from the traditional method are given in the following. The frequency responses of input reflection of different design approaches are plotted in the same drawing for comparison. A. Design of 16-Way Power Divider

for all stages

(2)

where is the total number of sections of the resultant evenmode circuits, is the number of sections of the power divider of the th stage, is the number of sections of the interconnecting lines of the th stage, is the overall impedance ratio of the even-mode circuit, and is the number of outputs of the power dividers of the th stage. The design procedures of 16-, 32-, and 64-way power dividers are provided in this section as examples of multiway power design. When more-ways power dividers are designed, there will be a problem to get the section impedance values since, in the tables available of the literature, the impedance data are listed only when the number of sections is equal to or less than to , depending on the value of impedance ratio. To overcome this problem, the approach of [14] can be used. According to this approach, the values of section impedances for Chebyshev transformers are obtained from the known solutions of maximally flat transformers. At first, the values of ’s (the step voltage standing-wave ratios (VSWRs) of the maximally flat transformer) are obtained from [14, Table VII] and the values of are calculated. After that, the values of the Chebyshev transformer are calculated by multiplying them with the values of in [14, Table X]. Namely, (3) where stands for the th values of the Chebyshev transformer and stands for the th values of the maximally flat transformer with the same numbers of sections and impedance ratio. At last, the impedances of different sections of the Chebyshev transformer can be obtained

For 16-way power dividers obtained by interconnecting two-way power dividers, four stages are needed. The impedance ratio is equal to 16. For the one interconnecting line version, the calculated impedances of different sections of a Chebyshev transformer with impedance ratio and section number shown in Fig. 7 are , , , , , , and . The impedances of different stages of the designed 16-way power divider calculated from data of Fig. 7 [please refer to the schematic diagram of Fig. 1(a)] are , , , , , , and . The performance of the input reflection of the designed 16-way power divider is plotted in Fig. 8. For no interconnecting line version, there will be four sections only and the calculated impedances of different sections of a Chebyshev transformer with impedance ratio and section number are , , , and . The impedances of different stages of the designed 16-way power divider calculated from these data are , , , and . The performance of the input reflection of the designed 16-way power divider is plotted in Fig. 8. The frequency responses of the 16-way power dividers obtained by using the traditional approach [10] for both no interconnecting line and one interconnecting line cases are plotted on the same figure for comparison. From Fig. 8, it is clear that by using our proposed approach, the obtained bandwidth of the case of one interconnecting line is much wider ( to for 19.5 dB of ) than the traditional approach

XU AND BOSISIO: DESIGN OF MULTIWAY POWER DIVIDER

2787

Fig. 9. Performances of the input reflection

Fig. 8. Performances of the input reflection

of the 16-way power dividers.

( to for 15.2 dB of ). As for the case of no interconnecting line, the two approaches obtain similar bandwidth ( – ) for the level of 19.1 dB of our approach in comparison to 14.6 dB of the traditional approach [10]. By carefully checking the impedance data, it is found out that, in this case, the design by the traditional approach is near to optimum, and hence, the improvement of our approach is not so significant as in the case of one interconnecting line. However, the obtained level of the traditional approach is fixed to 4.6 dB in contrast to, that by using our approach, any level can be achieved as required.

B. Design of 32-Way Power Divider For 32-way power dividers obtained by interconnecting two-way power dividers, five stages are needed for the no interconnecting line version. The impedance ratio is equal to 32. For this case, the calculated impedances of different sections of a Chebyshev transformer with impedance ratio and section number are , , , , and . The impedances of different stages of the designed 32-way power divider calculated from these data are , , , , and . The performance of the input reflection of the above designed 32-way power divider is plotted in Fig. 9. The curves of the same type of power divider obtained by using the traditional approach of [10] are also plotted in the same figure for comparison. From Fig. 9, it is clear that the design of our approach has much wider bandwidth ( to for 17-dB level) than the results from the traditional approach ( – for 15.2-dB level).

of the 32-way power dividers.

C. Design of 64-Way Power Divider For 64-way power dividers obtained by interconnecting two-way power dividers, six stages are needed for the no interconnecting line version. The impedance ratio is equal to 64. For this case, the calculated impedances of different sections of a Chebyshev transformer with impedance ratio and section number are , , , , , and . The impedances of different stages of the designed 64-way power divider calculated from these data are , , , , , and . The performance of the input reflection of the designed 64-way power divider is plotted in Fig. 10. The curves of the same type of power divider obtained by using the traditional approach of [10] are also plotted in the same figure for comparison. From Fig. 10, it is clear that the design of our approach has much wider bandwidth (from to for 20-dB level) than the results from the traditional approach ( – for 14.9-dB level). If lower level of input reflection is required, interconnecting lines can be added to obtain more sections of impedance transformation. The calculated impedances of a Chebyshev transformer with impedance ratio 64 and section number and for the 64-way power divider are as follows. For (from impedance to ), , , , , , , and , For , , , , , , , , and . By using the approach included in the previous sections, the various impedances values of the different line sections of the designed 64-way power divider can readily be obtained. The data obtained are as follows. For , , , , , , , and ,

2788

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 10. Performances of the input reflection viders.

of the 64-way power di-

Fig. 12. Layout of the designed prototype four-way power divider.

power dividers contain many sections to obtain ultra-wide bandwidth of isolation between the neighbor output ports. On the contrary, very low impedance value will occur only where many sections of matching lines appear at the input port before (or near) the input junction. However, such special cases are very rare to occur and can easily be overcome and avoided. VII. PROTOTYPE DESIGN, FABRICATION, AND MEASURED RESULTS

Fig. 11. Simulated results of eight sections.

For

,

of the 64-way power divider with seven and

, ,

,

, ,

, ,

and . The simulated values of the designed 64-way power divider (section number ) are shown in Fig. 11. By comparing Fig. 11 with the data of the 64-way power divider in [10], it is clear that the proposed approach can provide much better performances. It should be pointed out that the minimum number of sections of a 64-way power is . Here we have and , and it means that there are one or two additional sections of the interconnecting lines. In the above calculation, it is assumed that the interconnecting line is set at the last (the sixth) stage for and both the first and sixth stages for . From the above data, it is clear that the line impedances required in the design of practical multiway power dividers are mostly between 0.8 to and can be realized without difficulty. It can be shown that very high impedance values are needed only in the cases where many sections of interconnecting lines are used near the output ports or the output stage

To validate the proposed approach, a prototype of a four-way power divider is designed and fabricated. The working frequency range of this prototype is 0.5–1.5 GHz. The substrate is made from RT Doroid 5880 with a dielectric constant of 2.2 and thickness 0.787 mm (31 mil). The width of the input and output microstrip lines is equal to 2.38 mm and the widths of the microstrip lines of the first and second sections are 1.58 and 1.18 mm, respectively. The length of the arms of the first and second sections is 55.8 mm. To reduce the size of the power divider, no interconnecting line is included and the total section number is . To facilitate the connection of the first and the second sections, a rhombic configuration is adopted. The drawing of the layout is shown in Fig. 12. Altogether three rhombi are used: one for the first section and the other two for the second. The angle between the two arms of the rhombi is selected to be 60 , namely, it is a 30 angle between the arm of the first (input) power divider and the -axis of Fig. 12. The two rhombi of the second section of the four-way power divider are rotated by 45 relative to the -axis (see Fig. 12). These angle values (30 and 45 ) can be varied a little as necessary. The isolation resistors and have a square shape and are made by a material of 100 per square. A photograph of the fabricated prototype is shown in Fig. 13. The fabricated prototype was measured on an Anritsu automatic network analyzer. The measured performances of the prototype and their comparisons with the simulated data using ADS are shown in Fig. 14. The prototype four-way power divider is with 15-dB ripple. Good agreements between the simulation and measured data are achieved, only some discrepancies are observed

XU AND BOSISIO: DESIGN OF MULTIWAY POWER DIVIDER

2789

Fig. 15. Measured -parameters of the designed four-way power divider with ripple 15 dB.

Fig. 13. Photograph of the designed four-way power divider with 15 dB.

ripple

when the -parameters are below 25 dB. It is clear that a simple, compact, and wideband (it covers from 0.5 to 1.5 GHz, namely, 3:1 frequency ratio) is obtained. The dissipation loss of the prototype measured is around 0.1–0.2 dB. To test the asymmetry of fabrication, measured and are plotted in Fig. 15. From Fig. 15, it is clear that excellent fabrication symmetry is achieved. The discrepancies of the power division and are less than 0.35 dB from 0.5 to 1.5 GHz. The minimum value of and is 6.37 dB from 0.5 to 1.4 GHz. The total area of this prototype is 100 100 mm. The dimensions of this designed prototype can be scaled for designs to cover other frequency bands. VIII. DISCUSSION In contrary to the results of [9] and [10], the bandwidth of of our approach becomes wider as the number of division increases. This is easy to understand. The parameters of the individual power dividers in the proposed approach are different for power dividers in other stages, and hence, the optimal performances can be obtained. For the approach in [9] and [10], all two-way power dividers are the same in different stages, and hence, the nonoptimal factors in different stages accumulate and the resultant performances become worse and worse as the number of stages increase. At the same time, the problem of significant performance deterioration by interconnecting even-number-section two-way dividers as the number of output ports increases [10] is also removed by using the proposed approach since the results obtained are all optimal. IX. CONCLUSION

Fig. 14. Simulated and measured results of the designed four-way power diripple 15 dB. (a) Results of parameter and . (b) Revider with and . sults of

A study of improved multiway power dividers by interconnecting power dividers with fewer way of division has been presented. The proposed approach of the design has many advantages in comparison to the previous approach in [9] and [10]: flexible improved performances of the designed power dividers (lower or wider bandwidth), the design performance of can be predicated in advance, the interconnecting lines are used as matching sections, the bandwidth of increases with the number of connecting stages, and so on. Even-

2790

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

and odd-mode analyses have been performed and the optimal values of isolation resistors have been obtained. Design principles and examples are provided and simulated. Good performances are obtained. A prototype wideband four-way power divider with a rhombic architecture is designed, simulated, fabricated, and measured. Simulation results are in good agreement with the measurement. Wideband performances covering a 3:1 frequency range have been obtained by connecting three single-section two-way power dividers into a four-way power divider. ACKNOWLEDGMENT The authors are grateful to the staff of the Poly-Grames Research Centre, Montreal, QC, Canada, and the Centre de Recherche en Électronique Radiofréquence (CREER), Montreal, QC, Canada, for technical support. REFERENCES [1] E. J. Wilkinson, “An -way hybrid power divider,” IEEE Trans. Microw. Theory Tech., vol. MTT-8, no. 1, pp. 116–118, Jan. 1960. [2] S. B. Cohn, “A class of broadband three-port TEM mode hybrids,” IEEE Trans. Microw. Theory Tech., vol. MTT-16, no. 2, pp. 110–115, Feb. 1968. [3] H. Y. Yee, F.-C. Chang, and N. F. Audeh, “ -way TEM-mode broadband power dividers,” IEEE Trans. Microw. Theory Tech., vol. MTT-18, no. 10, pp. 682–688, Oct. 1970. [4] L. Young, “Tables for cascaded homogeneous quarter-wave transformers,” IRE Trans. Microw. Theory Tech., vol. MTT-7, no. 3, pp. 233–237, Apr. 1959. [5] L. Young, “Tables for cascaded homogeneous quarter-wave transformers (correction),” IRE Trans. Microw. Theory Tech., vol. MTT-8, no. 3, pp. 243–244, Mar. 1960. [6] G. L. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance Matching Networks and Coupling Structures. New York: McGraw-Hill, 1980. [7] S. W. Lee, C. S. Kim, K. S. Choi, J. S. Park, and D. Ahn, “A general design formula of multi-section power divider based on singly terminated filter design theory,” in IEEE MTT-S Int. Microw. Symp. Dig., 2001, vol. 2, pp. 1297–1300. [8] A. M. Abbosh, “A compact UWB three-way power divider,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 8, pp. 598–600, Aug. 2007. [9] J. Zhou and K. Morris, “Effects of interconnecting transmission lines on four-way Wilkinson power divider,” Microw. Opt. Technol. Lett., vol. 51, no. 12, pp. 2850–2852, 2009.

[10] J. Zhou, K. A. Morris, and M. J. Lancaster, “General design of multi-way multi-section power dividers by interconnecting two-way dividers,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 10, pp. 2208–2215, Oct. 2007. [11] I. Sakagami and T. Wuren, “Compact multi-way power dividers for dual-band, wideband and easy fabrication,” in IEEE MTT-S Int. Microw. Symp. Dig., 2009, pp. 489–492. [12] A. R. Barnes, M. T. Moore, M. B. Allenson, and R. G. Davis, “A compact 6 to 18 GHz power amplifier module with 10 W output power,” in IEEE MTT-S Int. Microw. Symp. Dig., 1999, pp. 959–962. [13] M. D. Abouzahra and K. C. Gupta, “Multi-way unequal power divider circuits using sector-shaped planar components,” in IEEE MTT-S Int. Microw. Symp. Dig., 1989, pp. 321–324. [14] L. Young, “Stepped-impedance transformers and filter protypes,” IRE Trans. Microw. Theory Tech., vol. MTT-10, no. 5, pp. 339–359, Sep. 1962. [15] S. B. Cohn, “A class of broadband three-port TEM-mode hybrids,” IEEE Trans. Microw. Theory Tech., vol. MTT-16, no. 2, pp. 110–116, Feb. 1968. Yansheng Xu graduated from Tsing Hua University, Beijing, China, in 1952. He received the Candidate of Technical Science degree from the Institute of Radio Physics and Electronics, Academy of Science, Moscow, Russia, in 1961. He then joined the Beijing Institute of Radio Measurements, where he was involved with radio communications and radar techniques. He then joined the Poly-Grames Research Centre, Department of Electrical Engineering, École Polytechnique de Montréal, Montréal, QC, Canada. His main research interests include microwave/millimeter-wave circuits and systems, microwave communications, microwave measurements, and microwave ferrite devices.

Renato G. Bosisio (M’79–F’95–LF’00) received the B.Sc. degree in mathematics and physics from McGill University, Montréal, QC, Canada, in 1951, and the M.Sc.A. degree in electrical engineering from the University of Florida, Gainesville, in 1963. In 1965, he became an Associate Professor with the École Polytechnique de Montréal, Montréal, QC, Canada, Head of the Electromagnetic and Microwave Group in 1971, Head and founder of the Advanced Microwave Research Group in 1990, and Emeritus Professor in 1995. He has authored or coauthored over 400 refereed papers. He holds 12 patents. His research interests involve microwave/millimeter-wave circuits and systems related to wireless localarea networks, automotive guidance systems, and point-to-point and satellite communication links.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

2791

A New Balanced-to-Balanced Power Divider/Combiner Bin Xia, Lin-Sheng Wu, Member, IEEE, and Junfa Mao, Fellow, IEEE

Abstract—In this paper, a new balanced-to-balanced power divider/combiner is proposed. By using matrix transformation, two three-port networks for the odd- and even-mode circuit models are deduced, based on the constraint rules of the mixed-mode -parameters. In order to satisfy the two required scattering matrices simultaneously, the resistances of lumped elements, the characteristic impedances and electrical length of transmission lines are selected appropriately. Then, a planar microstrip structure is designed to realize the proposed balanced-to-balanced power divider/ combiner with equal power division. The theoretical, simulated and measured results all show a good mixed-mode performance. In the measurement, the maximum differential-mode transmission coefficient is 3.2 dB, the best differential-mode isolation is 47.2 dB, and the fractional bandwidth of its operating band is approximately 20.8%. Index Terms—Balanced-to-balanced circuit, common mode, differential mode, matrix transformation, power divider/combiner.

I. INTRODUCTION

I

T is well known that balanced RF circuits have more merits for modern communication systems than their single-ended counterparts. For example, balanced filters and diplexers show good common-mode suppression and high immunity to noise [1]–[4]; balanced and differentially driven antennas are not sensitive to the perturbations of ground plane and have wide impedance bandwidth and weak cross polarization [5]–[7]; balanced amplifiers have low noise, good input and output return losses, good linearity, and stability [8]–[10]; balanced mixers provide good port isolation and conversion efficiency [11], [12]; balanced oscillators can directly provide exact antiphase signals from an oscillator without the need for external baluns or resonators [13], [14]. Based on the balanced passive components and active devices, a fully balanced transceiver architecture can be constructed with higher immunity to the environmental noise compared with the single-ended signaling [15]. Fig. 1(a) shows a fully balanced RF front-end, where the output power of the Manuscript received January 07, 2012; revised April 22, 2012; accepted May 02, 2012. Date of publication July 11, 2012; date of current version August 28, 2012. This work was supported by the National Basic Research Program of China under Grant 2009CB320202. B. Xia is with the Key Lab of Ministry of Education for Design and EMC of High-Speed Electronic Systems, Shanghai Jiao Tong University, Shanghai 200240, China, and also with the Zhenjiang Watercraft College, Zhenjiang City, 212003 Jiangsu Province, China. L.-S. Wu and J. Mao are with the Key Lab of Ministry of Education for Design and EMC of High-Speed Electronic Systems, Shanghai Jiao Tong University, Shanghai 200240, China (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2203926

Fig. 1. (a) Fully balanced RF front-end. (b) Composite power divider/combiner composed of three baluns and a single-ended power divider/combiner. (c) Diagram of a balanced-to-balanced power divider/combiner.

balanced power amplifier (PA) is delivered to the antenna array with two balanced antenna elements and the received signal from the balanced antenna elements are transmitted to the input port of the balanced low-noise amplifier (LNA). It is easy to understand that a power divider/combiner is required in this front-end whose input and output ports are both balanced. The configuration shown in Fig. 1(b) can be used for this purpose, which is directly built up by three baluns and a single-ended power divider/combiner. However, the circuit size and in-band insertion loss may be relatively large. Therefore, it is valuable to develop a balanced-to-balanced or differential-mode power divider/combiner, with its diagram shown in Fig. 1(c). The balanced ports A, B, and C are composed of the single-ended ports 1 and 4, ports 2 and 3, and ports 6 and 5, respectively. To the best of our knowledge, previous research on power divider/combiner has been mainly focused on single-ended components [16]–[18], and only a few balanced-to-balanced power dividers have been reported until now. In [19], a differential power divider is proposed by using shielded broadside-coupled striplines. However, the isolation between its differential output ports has not been considered in the design. Thus, the component cannot be used as a balanced-to-balanced power combiner. In this paper, a new microstrip circuit is proposed to implement the balanced-to-balanced power divider/combiner. First, the requirements of the performance of the divider/combiner are described by the constraint rules of the mixed-mode -parameters. Second, the two scattering matrices of the odd- and even-mode three-port networks are derived to fulfill the constraint rules. Then, a six-port circuit, built up of ideal transmission lines and lumped resistances, is proposed to realize the odd- and even-mode three-port networks. At last, the proposed

0018-9480/$31.00 © 2012 IEEE

2792

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

balanced-to-balanced power divider/combiner is fabricated and measured to validate our design. The good performances of mixed-mode power division, reflection and isolation are given and discussed. Our proposed balanced-to-balanced power divider/combiner can provide equal differential-mode power dividing/combining, good port isolation, common-mode rejection and no convention between the differential- and common modes simultaneously within a single component for the first time.

and indicate the difwhere the 3 3 submatrices ferential-mode and common-mode scattering matrices, respectively, indicates the conversion from common mode to differential mode, and indicates the conversion from differential mode to common mode. It is found that (4a) (4b)

II. CONSTRAINT RULES OF A BALANCED-TO-BALANCED POWER DIVIDER/COMBINER

(4c) where

A. Mixed-Mode Scattering Matrix of a Balanced-to-Balanced Power Divider/Combiner As shown in Fig. 1(c), the proposed balanced-to-balanced power divider/combiner is a six-port component. Let and represent the normalized reflected and incident wave vectors, respectively, and represents the 6 6 scattering matrix of the proposed balanced-to-balanced power divider/combiner. The relationship between them can be written by (1) Assume that the proposed balanced-to-balanced power divider/combiner is a reciprocal six-port network. Then we have , where and are the elements of , and , , . In our design, the power divider/combiner has an equal power division, which means ports 1–3 are symmetric to ports 4–6, i.e., can be written by

(2)

where and are both 3 3 submatrices. When the balanced ports are defined as shown in Fig. 1(c), the mixed-mode scattering matrix can be obtained from by using the matrix transformation [20]. We have (3a) (3b)

means the transpose of a matrix.

B. Constraint Rules of the Proposed Balanced-to-Balanced Power Divider/Combiner In order to provide ideal performance of balanced power dividing and combining, the proposed component should satisfy the following constraint rules. 1) When a differential-mode signal is fed into the balanced port A, no differential-mode power should be reflected, i.e., , no power should be converted to the commonmode output at the balanced ports B and C, i.e., , and the differential-mode output at the balanced ports B and C should satisfy . From (3d), we can also find that no power is converted to the common-mode reflection of the balanced port A in this case. 2) When a common-mode noise goes into the balanced port A, no noise in the form of differential mode or common mode should be outputted at the balanced ports B and C, i.e., and . From (3c), it can be found that no power is converted to the differentialmode reflection of the balanced port A in this case. 3) When the differential-mode signal is fed into the balanced port B (C), no power should be converted into the differential-mode and common-mode reflection, i.e., and , and no power should be transmitted to the balanced port C (B) in the form of differential mode or common mode, i.e., and . 4) When a common-mode noise goes into the balanced port B (C), no power is reflected in the form of differential mode, i.e., , and no noise in the form of differential mode or common mode should be outputted at the balanced port A and C (B), i.e., , , and . Based on the above constraint rules, the following equations are derived:

(3c) (5a) (3d)

(5b) (5c)

(3e)

(5d) (5e)

XIA et al.: NEW BALANCED-TO-BALANCED POWER DIVIDER/COMBINER

2793

Fig. 3. Even-mode circuit model of the proposed balanced-to-balanced power divider/combiner.

Fig. 2. Proposed balanced-to-balanced power divider/combiner circuit model.

The two-port ABCD-matrix between the ports 2 and 3 for the even-mode circuit model can be calculated by

C. Odd- and Even-Mode Scattering Matrices of the Proposed Balanced-to-Balanced Power Divider/Combiner Since the proposed six-port balanced-to-balanced power divider/combiner is a symmetric circuit, the odd-/even-mode method is applied for analysis. For even-mode excitation, we have , , , where is the normalized incident wave of the th single-ended port. For odd-mode excitation, we have , , and . Then, the even-mode and odd-mode scattering matrices are calculated by

(8) Then, we have (9a) (9b)

(6a) (6b) Substituting the constraint rules of (5) into (6), can be obtained by

and (9c) (9d) (7a)

(7b)

The -parameters between the ports 2 and 3 for the even-mode model can be calculated from the corresponding ABCD matrix [21], and the derived even-mode -parameters must meet the requirement of (7a). Therefore, the following equations should be satisfied:

If we can find a symmetric six-port circuit whose even-mode and odd-mode scattering matrices have the forms of (7a) and (7b), respectively, a balanced-to-balanced power divider/combiner will be achieved.

(10a)

III. REALIZATION OF THE BALANCED-TO-BALANCED POWER DIVIDER/COMBINER

(10b) Substituting (9) into (10), we will have (11a)

In order to realize a balanced-to-balanced power divider/combiner based on the above theory, the circuit shown in Fig. 2 is utilized. It consists of seven transmission lines and four resistances, where at the central frequency .

(11b) (11c) (11d)

A. Even-Mode Circuit Model As shown in Fig. 3, it is easy to obtain the even-mode circuit model from Fig. 2, which is a three-port network with each port matched to . Obviously, we have and at .

B. Odd-Mode Circuit Model From Fig. 2, we can also obtain the odd-mode circuit model of the balanced-to-balanced power divider/combiner, as shown

2794

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Obviously, (16a) and (16b) are special cases of (7a) and (7b), and . Note respectively, where that the value of also satisfies (5c). C. Scattering Matrix of the Balanced-to-Balanced Power Divider/Combiner Fig. 4. Odd-mode circuit model of the proposed balanced-to-balanced power divider/combiner.

According to (6) and (16), the scattering matrix of the proposed balanced-to-balanced power divider/combiner can be deduced at the central frequency by

in Fig. 4. When the port 1 is terminated by , we can calculate the two-port ABCD-matrix between the ports 2 and 3 at by (17a)

(17b)

(12) Then, the corresponding elements are given by

The mixed-mode -parameters are then calculated by (13a) (13b) (13c)

(18)

(13d) The scattering matrix between the ports 2 and 3 for the oddmode circuit model is then calculated, which should meet the requirement of (7b). Then, the following equations are derived: (14a) (14b)

At , it is seen from (18) that the proposed component provides equal power division without loss, perfect matching for each balanced port, and perfect isolation between the balanced ports B and C when differential-mode excitation is applied; it also provides total reflection and perfect isolation between balanced ports when common-mode excitation is applied; and it also guarantees that no conversion between differential and common modes will take place.

Combining (11) and (14), we can derive (15a)

IV. RESULTS AND DISCUSSION

(15b)

A. Theoretical Results

(15c)

From (15), the following can be found. 1) The characteristic impedances , , , and of the half-wavelength transmission lines can be selected arbitrarily, which will not affect the performance of the proposed power divider at the central frequency; 2) The loaded resistances and only need to satisfy (15b), whose detailed values will not affect the performance at the central frequency. For miniaturization and simple design, the following design values are selected:

(15d) It should be indicated that the above equations is valid only at the central frequency. According to (15), the three-port scattering matrices of the even- and odd-mode circuit models at are derived as

(16a)

at

(19a) (19b)

(16b)

(19c) (19d)

XIA et al.: NEW BALANCED-TO-BALANCED POWER DIVIDER/COMBINER

2795

Fig. 6. Critical -parameters of the balanced-to-balanced power divider/com, where is in . biner to determine its bandwidth for different

Fig. 5. Theoretical mixed-mode -parameters of the balanced-to-balanced and ; (b) and . power divider/combiner prototype: (a)

Based on (19), a prototype of the balanced-to-balanced power divider/combiner is designed with the central frequency of 2.0 GHz . We set 50 . The theoretical results are shown in Fig. 5, where the lossless transmission lines and ideal lumped resistors are used. Since the balanced ports B and C are symmetric, some mixed-mode -parameters are omitted. Note that the -parameters are identified as , which refers to the differential to differential mode between ports B and A in Fig. 1, and the other S-parameters use a similar naming system. Note that the value of is always equal to zero due to the symmetry between ports 1 and 4, and the corresponding theoretical curves are not plotted in Fig. 5(b). As shown in Fig. 5, the differential-mode transmission coefficient and the common-mode reflection coefficient reach their maximum of 3.01 dB and 0 dB at , respectively. All the other mixed-mode -parameters approach zero at . Comparing the magnitudes of and in Fig. 5(b), we can find that the two groups of curves have almost the same values around the central frequency, which conforms to (4). It can be concluded that all the requirements of the proposed balanced-to-balanced power divider/combiner have been satisfied at the central frequency. If the value of better than 4 dB and the values of , , , , , , , , , , all better than dB should be satisfied simultaneously, an operating band can be achieved within 1.72 to 2.28 GHz, i.e., the fractional bandwidth of about 28%. It is also seen from Fig. 5 that the curves of , and are critical to determine the bandwidth.

Fig. 7. Fractional bandwidth of the balanced-to-balanced power divider/com. biner with variable

The influence of characteristic impedance on the fractional bandwidth is further studied numerically. Three group of curves are plotted in Fig. 6, with , 50 and 100 . The bandwidth limited by and under dB decreases with the increasing of monotonically, while the bandwidth limited by under 15 dB first increases and then decreases with the increasing of . From Fig. 7, we can find that the proposed power divider has its maximum fractional bandwidth of 28% with 50 , when the curves of , and intersect at 15 dB, as shown in Fig. 6. It should be pointed out that, if the operating bandwidth is measured by , , and better than 20 dB, the case of 44 will provide the best fractional bandwidth of 19%. At the central frequency, the half-wavelength transmission lines in the proposed circuit are a kind of phase inverter, and they can be replaced by any structure which can achieve 180 phase shift. Especially, if ideal phase inverters are utilized to replace the half-wavelength transmission lines, the proposed balanced-to-balanced power divider will have the same fractional bandwidth as a conventional single-ended Wilkinson power divider. When the phase inverters are realized by some physical structures, the bandwidth will also be limited by their performance. By utilizing other phase inverters with better broadband performance into the balanced-to-balanced power divider, wider operating band may be achieved than this work. B. Simulated and Measured Results As shown in Fig. 8, the prototype is realized with microstrip lines and surface-mounted lumped resistors and fabricated on an F4B substrate with the relative permittivity of ,

2796

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 8. Photograph of the balanced-to-balanced power divider/combiner prototype.

the loss tangent of , and the thicknesses of 0.73 mm. Its critical dimensions are 50.76 mm, 1.95 mm, 3.28 mm, 24.88 mm, 23.91 mm, and 0.5 mm. The total size is approximately 0.5 0.75 . The six-port -parameters are simulated by the commercial software, Ansoft HFSS, and measured with the four-port vector network analyzer, Agilent E5071. Then, the mixed-mode -parameters are extracted by using (3). Fig. 9(a) and (b) shows a comparison between the simulated and measured transmission coefficients, reflection coefficients, and isolation between the balanced ports B and C for differential- and common-mode operation, respectively. Fig. 9(c) and (d) provides a comparison between the simulated and measured differential-to-common and common-to-differential mode conversions. Fig. 9(e) shows the magnified curves of , , and . Good agreement is obtained. The measured and simulated zeros of , , , , , , , and all deviate from the designed central frequency of 2 GHz, which is mainly caused by the discontinuities of microstrip lines and parasitic effect of the surface-mounted resistors. There is a little discrepancy between the curves in Fig. 9(c) and (d) due to the fabrication tolerance. The simulated and are always better than 50 dB, while the measured ones are better than 35 dB. The difference between the simulated and measured results is mainly caused by the nonideal symmetry of the fabricated prototype, which is also due to the tolerance of fabrication and the surface-mounted resistors. In the measurements, the maximum differential-mode transmission coefficient of the prototype is 3.2 dB at

Fig. 9. Simulated and measured mixed-mode -parameters of the prototype , (b) , (c) , and (d) and (e) the magnified curves of (a) , and . Mea.: measured results. Sim.: simulated results.

2.04 GHz, the best differential-mode isolation is 47.2 dB at 2.13 GHz, and the common-mode reflection coefficients of

XIA et al.: NEW BALANCED-TO-BALANCED POWER DIVIDER/COMBINER

2797

REFERENCES

Fig. 10. Simulated and measured the phase differences between of the prototype.

and

and reach their maximum of 0.16 and 0.2 dB at 2.11 and 2.06 GHz, respectively. For the simulated results, the operating frequency band is from 1.80 to 2.30 GHz, with a fractional bandwidth of about 25%. The measured operating band is from 1.85 to 2.27 GHz, with a fractional bandwidth of about 20.8%, which is smaller than the theoretical bandwidth. This is mainly due to the frequency deviation, which is caused by fabrication tolerance. Note that the referenced terminal of a differential pair can be defined freely in this circuit. As shown in Fig. 2, when we define the ports 2 (6) and (5) as the positive and negative terminals of balanced port B (C), respectively, the phase difference between the balanced ports B and C is close to zero. The simulated and measured phase differences of the two balanced ports are plotted in Fig. 10, which are better than 0.1 and 0.6 within the operating band, respectively. Obviously, if the ports 6 and 5 are defined as the negative and positive terminals of port C, respectively, while the referenced terminal definition of port B is kept unchanged, the proposed power divider will have an out-of-phase power division. V. CONCLUSION In this paper, a new concept of balanced-to-balanced power divider/combiner is proposed, which can replace three baluns and a single-ended power divider/combiner in a balanced RF front-end. First, the odd- and even-mode three-port networks of the new six-port component are analyzed by matrix transformation and derived according to the constraint rules of its mixedmode -parameters. Then, our proposed balanced-to-balanced power divider/combiner is built up with a combination of ideal transmission lines and resistances. Further, a prototype is realized by microstrip lines and surface-mounted lumped resistors to demonstrate our design. Good agreement has been obtained between the simulated and measured mixed-mode -parameters. It is found that an equal power division and combining between two balanced ports is achieved with only the proposed component, which leads to simplified system architecture and low insertion loss. It can be expected that the new balanced-to-balanced power divider/combiner will be valuable in fully-balanced RF front-ends. ACKNOWLEDGMENT The authors would like to thank X. Yu and F. Niu, Agilent Technologies, Shanghai, China, for their assistance of measurement in this work.

[1] C.-H. Wu, C.-H. Wang, and C.-H. Chen, “Novel balanced coupled-line bandpass filters with common mode noise suppression,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 2, pp. 287–295, Feb. 2007. [2] T.-B. Lim and L. Zhu, “A differential mode wideband bandpass filter on microstrip line for UWB application,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 10, pp. 632–634, Oct. 2009. [3] J. Shi and Q. Xue, “Novel balanced dual-band bandpass filter using coupled stepped-impedance resonators,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 1, pp. 19–21, Jan. 2010. [4] Q. Xue, J. Shi, and J.-X. Chen, “Unbalanced-to-balanced and balanced-to-unbalanced diplexer with high selectivity and common-mode suppression,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 11, pp. 2848–2855, Jan. 2011. [5] R. Meys and F. Janssens, “Measuring the impedance of balanced antennas by an -parameter method,” IEEE Antennas Propag. Mag., vol. 40, no. 6, pp. 65–68, Dec. 1998. [6] Y.-P. Zhang, “Design and experiment on differentially driven microstrip antennas,” IEEE Trans. Antennas Propag, vol. 55, no. 10, pp. 2701–2708, Oct. 2007. [7] E. B. Kaldjob, B. Geck, and H. Eul, “Impedance measurement of properly excited small balanced antennas,” IEEE Antennas Wireless Propag. Lett., vol. 8, no. 6, pp. 65–68, Dec. 2009. [8] R. S. Engelbrecht and K. Kurokawa, “A wideband low noise L-band balanced transistor amplifier,” Proc. IEEE, vol. 53, no. 3, pp. 237–247, Mar. 1965. [9] K. Kurokawa, “Design theory of balanced transistor amplifiers,” Bell Syst. Tech. J., vol. 44, pp. 1675–1698, Oct. 1965. [10] J.-D. Jin and S. S. H. Hsu, “A 0.18- m CMOS balanced amplifier for 24-GHz applications,” IEEE J. Solid-State Circuits, vol. 43, no. 2, pp. 440–445, Feb. 2008. [11] S. A. Maas, “Novel single device balanced resistive HEMT mixers,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 12, pp. 2863–2867, Dec. 1995. [12] P.-Y. Chiang, C.-W. Su, S.-Y. Luo, R. Hu, and C.-F. Jou, “Wide-IFband CMOS mixer design,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 4, pp. 831–840, Apr. 2010. [13] K. W. Kobayashi, A. K. Oki, L. T. Tran, J. C. Cowles, A. Gutierrez-Aitken, F. Yamada, T. R. Block, and D. C. Streit, “A 108-GHZ InP-HBT monolithic push-push VCO with low phase noise and wide tuning bandwidth,” IEEE J. Solid-State Circuits, vol. 34, no. 9, pp. 1225–1232, Sep. 1999. -band InGaP[14] D. Baek, S. Ko, J.-G. Kim, D.-W. Kim, and S. Hong, “ GaAs HBT MMIC VCOs with balanced and differential topologies,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1353–1359, Apr. 2004. [15] C.-H. Wang, Y.-H. Cho, C.-S. Lin, H. Wang, C.-H. Chen, D.-C. Niu, J. Yeh, C.-Y. Lee, and J. Chern, “A 60 GHZ transmitter with integrated m SiGe BiCMOS technology,” in IEEE Int. Solidantenna in State Circuit Conf. Tech. Dig., Feb. 2006, pp. 186–187. [16] Y. Wu, Y. Liu, Q. Xue, S. Li, and C. Yu, “Analytical design method of multiway dual-band planar power dividers with arbitrary power division,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 12, pp. 3832–3841, Dec. 2010. [17] Y. Wu, Y. Liu, and Q. Xue, “An analytical approach for a novel coupled-line dual-band Wilkinson power divider,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 2, pp. 286–294, Feb. 2011. [18] A. Genc and R. Baktur, “Dual- and triple-band Wilkinson power dividers based on composite right- and left-handed transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 1, no. 3, pp. 327–334, Mar. 2011. [19] J. W. May and G. M. Rebeiz, “A 40–50-GHz SiGe 1:8 differential power divider using shielded broadside-coupled striplines,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 7, pp. 1575–1581, Jul. 2008. [20] D. E. Bockelman and W. R. Eisenstadt, “Combined differential and common mode scattering parameters: Theory and simulation,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 7, pp. 1530–1539, Jul. 1995. [21] J.-S. Hong and M. J. Lancaster, Microstrip Bandpass Filters for RF/Microwave Applications. New York: Wiley, 2001, ch. 1.

2798

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Bin Xia was born in 1976. He received the B.S. and M.S. degrees in electromagnetic fields and microwave technologies from PLA University of Science and Technology, Nanjing, China, in 2000, and 2003, respectively. He is currently working toward the Ph.D. degree in electromagnetic fields and microwave technologies at Shanghai Jiao Tong University, Shanghai, China. From May 2003 to November 2005, he was an Engineer with the Institute of the General Staff Communication Design, Shenyang, China. Since November 2005, he has been a Lecturer with Zhenjiang Watercraft College, Zhenjiang, China. He serves as a reviewer for Progress in Electromagnetics Research.

Lin-Sheng Wu (S’09–M’10) was born in 1981. He received the B.S. degree in electronic and information engineering and M.S. and Ph.D. degrees in electromagnetic fields and microwave technologies from Shanghai Jiao Tong University, Shanghai, China, in 2003, 2006, and 2010, respectively. From August to November 2010, he was a Research Fellow with the Department of Electrical and Computer Engineering, National University of Singapore. From February 2010 to January 2012, he held a post-doctoral position with Shanghai Jiao Tong University (SJTU), Shanghai, China. He is currently a Lecturer with the Key Laboratory of Ministry of Education of Design and Electromagnetic Compatibility of High Speed Electronic Systems, SJTU, where his present research interests are mainly focused on novel techniques for microwave integration and passive components. He is the author and coauthor of more than 50 technical papers. Dr. Wu was a session co-chair of the Asia–Pacific Microwave Conference (APMC) and the IEEE Electrical Design of Advanced Packaging and Systems Symposium (EDAPS) in 2011. He is a reviewer of several international journals, including three IEEE transactions and letters.

Junfa Mao (M’92–SM’98–F’11) was born in 1965. He received the B.S. degree in radiation physics from the University of Science and Technology of National Defense, Changsha, China, in 1985, the M.S. degree in experimental nuclear physics from the Shanghai Institute of Nuclear Research, Shanghai, China, in 1988, and the Ph.D. degree in electronic engineering from Shanghai Jiao Tong University, Shanghai, in 1992. Since 1992, he has been a Faculty Member with Shanghai Jiao Tong University, Shanghai, China, where he is currently a Chair Professor and the Executive Dean of the School of Electronic, Information and Electrical Engineering. He was a Visiting Scholar with the Chinese University of Hong Kong, Hong Kong, from 1994 to 1995, and a Postdoctoral Researcher with the University of California, Berkeley, from 1995 to 1996. He has authored or coauthored more than 190 journal papers (including 70 IEEE journal papers) and 120 international conference papers. He is a Chief Scientist with The National Basic Research Program (973 Program) of China, a project leader of the National Science Foundation for Creative Research Groups of China, and a Cheung Kong Scholar of the Ministry of Education, China. His research interests include the interconnect and package problem of integrated circuits and systems and analysis and design of microwave circuits. Dr. Mao was the recipient of the National Natural Science Award of China in 2004, the National Technology Invention Award of China in 2008, and the Best Paper Award of the 2008 Symposium of APEMC in conjunction with the 19th International Symposium of Zurich EMC. He is an Associate Director of the Microwave Society of China Institute of Electronics, the 2007–2009 Chair of the IEEE Shanghai Section, and the 2009–2011 Chair of IEEE MTT Shanghai Chapter.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

2799

Miniature Quasi-Lumped-Element Wideband Bandpass Filter at 0.5–2-GHz Band Using Multilayer Liquid Crystal Polymer Technology Shilong Qian, Student Member, IEEE, and Jiasheng Hong, Fellow, IEEE Abstract—Miniature wideband bandpass filters are proposed using multilayer liquid crystal polymer (LCP) technology to cover the very low-frequency band of 0.5–2 GHz. To reduce the filter size at such low frequencies, lumped-element theory is used for the filter design and a value extraction process is developed to accurately get the capacitive or inductive values of different multilayer microstrip quasi-lumped elements. These elements are used to produce the required filter response, and thus the overall design process relies less on the time-consuming EM optimization. A filter with the size is demonstrated as an initial design. To further improve the stopband performance, an improved design is then developed while still maintaining the . Both compact sizes within filters are fabricated on a five-metal layer LCP construction, which has not been done before, with robust via connections using the newly developed laser-aided fabrication technique. Good agreements between simulation and fabrication are observed, which has proven both the success of the design methodology, as well as the fabrication technique. Index Terms—Bandpass filter, liquid crystal polymer (LCP), miniature filter, ultra-wideband (UWB) filter.

I. INTRODUCTION

B

ANDPASS filters are essential and critical parts of communication and radar systems. Apart from the usual requirements for low loss and high selectivity, for a highly integrated system, it is more desirable that the filters are very small and compatible with the normal printed circuit board (PCB) processing, so they can be easily integrated into a system. Recently, there is an increasing demand for such miniature and high-performance wideband filters to operate at low frequencies band, e.g., 0.5–2 GHz, for some emerging applications, such as wideband radar. However, considering the large wavelengths at these frequencies, conventional planar filters usually occupy large circuit board area and are high cost. During the past ten years, with the development of novel multilayer packaging material, multilayer compact bandpass filters have been developed in [1]–[13]. As a very mature and popular technology, low-temperature co-fired ceramic (LTCC) has been Manuscript received April 20, 2012; revised May 31, 2012; accepted June 06, 2012. Date of publication July 11, 2012; date of current version August 28, 2012. The authors are with the Department of Electrical, Electronic and Computer Engineering, Heriot-Watt University, Edinburgh EH14 4AS, U.K. (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2205939

attracting many people’s interest in microwave circuit design. LTCC has high dielectric constant (up to 10.2) and low dielectric loss (between 0.002–0.004), which provide a very promising solution for compact passive filter design within a small format [1]–[3]. In these publications, filters are designed in a novel 3-D format on multilayer LTCC substrates, thus the sizes of filters are reduced dramatically. However, these ultra compact filters are for narrowband applications. In [4] and [5], wideband filters have been investigated using LTCC. However, the fractional bandwidth (FBW) of 45% in [4] is still not wide enough, while [5] requires extremely high fabrication accuracy due to the use of 70- m quarter-wavelength coupled lines, which would be too narrow for multilayer laminated circuit fabrication. Besides LTCC, an organic substrate is an option for small size filter design, such as the very recent RXP organic substrate [6], [7]. RXP substrate has a low dielectric constant between 3–3.5 at around 1 GHz and very low processing temperature around 220 C, which is very suitable for RF modules integration [6]. However, as a new technology, the filter design in [7] was not able to produce a sharp passband edge. Furthermore, the stopband is quite narrow and the rejection is not good enough. Another organic candidate, liquid crystal polymer (LCP), has also been popular due to its superior electrical properties up to millimeter-wave frequencies [8]–[14]. It has a stable low dielectric constant around 3 and low dielectric loss tangent 0.0025 over a wide frequency range. These properties make LCP a very suitable solution for compact wideband filter designs [10]–[13]. Compared to LTCC, LCP has much lower processing temperature around 280 C. Although LCP has lower dielectric constant than LTCC, which makes it more challenging for RF/microwave circuit miniaturization, it makes LCP circuit design less sensitive to fabrication tolerances than LTCC, which is very important for multilayer laminated circuit. Although some cheaper PCB laminates can be used for miniature filter designs, as in [15], LCP offers much higher flexibility on circuit thickness and a very strong coupling can be achieved with a separation as small as 25 m. This is very important for the design of low-frequency wideband filters that requires large capacitances. Furthermore, in the LCP adhesive system, circuit layers (core films) and prepreg layers (bonding films) have almost the same characteristics, such as thermal expansion coefficient, dielectric constant, and water absorption, which can be a great benefit for both filter designs and practical applications. Table I lists some typical miniature filters in terms of the technology, size, and performances. Although ultra-wideband (UWB) LCP filters operating at frequencies between 3.1–10.6 GHz have been reported in

0018-9480/$31.00 © 2012 IEEE

2800

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

TABLE I COMPARISON OF VARIOUS MINIATURE WIDEBAND BANDPASS FILTERS

[10]–[13], to the authors’ knowledge, miniature wideband bandpass LCP filters for low RF/microwave frequencies have not been investigated and the large wavelengths at these frequencies make it very challenging for size reduction while maintaining a good performance. For an UWB filter, compact design with a size smaller than 10 mm 5 mm has been reported in [11], thus this work is aimed to develop a wideband bandpass filter covering a much lower frequency band from 0.5 to 2 GHz while still keeping the same size as in [11] to achieve about 80% size reduction. To achieve this, implementing the filter on more layers is a feasible solution. However, in the open literature, most LCP microwave filters have only been implemented with two circuit layers and a ground plane [10]–[14], and very little has been reported for the LCP microwave filter with more than two circuit layers [16]. An obvious reason for this is due to the less mature LCP fabrication process when more circuit layers are used. Thus, developing a reliable fabrication process for LCP multilayer circuits is another objective of this work, and a design implemented with four circuit layers and a ground plane will be discussed in this paper with the complete fabrication process. The detailed design methodology and multilayer elements analysis are presented in Section II; based on these elements, a high-pass (HP) filter with 0.5-GHz cutoff and a low-pass (LP) filter with 2-GHz cutoff are presented and then cascaded in Section III to achieve the required bandpass response. For the multilayer structure, a newly developed fabrication technique is discussed in Section IV. Measured results are discussed in Section V and are followed by a conclusion in Section VI. II. QUASI-LUMPED-ELEMENT DESIGN For compact filter design at low frequencies, distributed element resonators are not suitable due to their large sizes, which are comparable to the wavelengths, thus microstrip quasi-lumped-elements are usually used to design small size filters.

Fig. 1. (a) Conventional broadside coupling structure. (b) Multilayer broadside coupling structure on multilayer LCP.

A. Quasi-Lumped-Elements on Multilayer LCP Substrate The capacitors are usually the most size consuming parts in a conventional single-layer filter design, where only weak edge coupling such as that in an interdigital capacitor is adopted. This becomes a more serious problem for a low-frequency filter design, where large capacitances are needed. With the development of multilayer substrate, strong broadside coupling structures can be easily implemented, which reduces the size of the capacitive elements dramatically. As shown in Fig. 1(b), the four-layer structure [18], [19] works as three capacitors paralleled between two ports, which theoretically can reduce the size by 67% compared to the structure in Fig. 1(a). Further size reduction can be achieved by using more layers with the cost of fabrication complexity. Fig. 2 shows the microstrip inductors that are used in this work. The meander line can be used to implement small series inductance between any two ports while the spiral line [20], with a connection via, are very suitable for realizing large shunt inductance between any two layers in a multilayer circuit design or to the ground. B. Element Value Extraction Before building these elements together for the proposed filter, it would be ideal if these elements have accurate values

QIAN AND HONG: MINIATURE QUASI-LUMPED-ELEMENT WIDEBAND BANDPASS FILTER

2801

Fig. 2. Microstrip inductors. (a) Meander and (b) rectangular spiral high-impedance lines. (c) Circuit model.

as required by the circuit prototype so that even the initially designed filter can produce similar response as the circuit prototype, and the design cycle can rely less on the time consuming electromagnetic (EM) optimization. Although many closed-form formulas are available in [17] and [18] to calculate element values, in a practical design, some small parts of these elements, such as the vias and via patches, shown as dashed circles in Figs. 1(b) and 2(b), may be customized for the consideration of easy fabrication and clear layout, thus it would be difficult for formulas to include the effect of these parts. To solve this and get more accurate control of the element values during the design cycle, a microstrip element value extraction process, first shortly introduced in [16], is used in this work to obtain a connection between the microstrip elements and their capacitances or inductances. It should be mentioned that there will always be coupling between these elements and the ground, but due to the low dielectric constant of the substrate, by using a relatively large separation, these coupling capacitances are much smaller than the main series inductances/capacitances. III. BANDPASS FILTER DESIGN To start the wideband bandpass filter design with bandwidth from 0.5 to 2 GHz, which gives an FBW 120% at center frequency of 1.25 GHz, a lumped-element filter prototype, which consists of an HP and LP section, has been chosen to produce the required response, as shown in Fig. 3(a). Since the cutoff frequencies of these two sections are chosen separately, the design method of this work can be readily applied to other frequency design. In addition, with a properly designed LP section, a wide upper stopband can be easily achieved. Compared to the resonators-based bandpass filter, this cascaded type of bandpass filter can provide small and flat group delay [11]. Regarding the choices of the HP and LP sections, the consideration will be discussed in the following sections. The listed HP and LP elements values are optimized with the initial values obtained from LP prototypes, respectively, through frequency and elements transformation. The filter will be implemented on multilayer LCP substrate with a total thickness of 0.6 mm, and the relative dielectric constant and loss tangent for the LCP are 3 and 0.0025, respectively. A. HP Section Design Since size reduction has been the main challenge of this work, the first consideration on choosing an appropriate prototype is

Fig. 3. (a) Proposed circuit prototype and (b) its simulation response ( pF, nH, pF, nH, pF).

the total number of elements in the prototype. Potentially, the less number of elements, the smaller the footprint of the filter implementation, though there may be some tradeoff for the selectivity and out-of-band rejection. Based on this, for the HP section, the network, as shown in Fig. 3(a), is chosen to produce a Chebyshev HP response with 0.5-GHz cutoff. For the Chebyshev HP filter, since there is always a transmission zero located at dc, this simple HP prototype can produce a sharp passband edge for the 0.5-GHz cutoff, as shown in Fig. 3(b). For the relatively large capacitance in Fig. 3(a), a fourlayer broadside-coupled capacitor, as shown in Fig. 1(b) with planar dimensions in Fig. 4(a), is chosen. The separation between every two layers is chosen to be 0.05 mm for a strong coupling, while the substrate has a relative dielectric constant and total thickness mm (i.e., from the top circuit layer to the ground). The sizes of the via and via patches are mainly for the consideration of easy fabrication. The initial values of and are chosen according to the metal–insulator–metal (MIM) capacitance formula of (1), where is the number of layers. Since this only gives a rough design, then by varying and , more accurate capacitor values can be extracted using the method as mentioned in Section II-B, and the mm and mm combination can be chosen to realize in the circuit prototype. Fig. 4(b) shows the capacitances and factors of the selected combination, extracted from simulation, at different frequencies near the cutoff frequency 0.5 GHz, for the substrate loss tangent and the conductor conductivity S/m (1) , a high-impedance spiral line For the large inductance should be used for a compact design. Since the inductor is

2802

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 4. (a) Planar dimensions for multilayer capacitor. (b) Capacitances and factors extracted from simulation at different frequencies with , mm, , and S/m.

Fig. 5. One-port model for grounding inductor.

shunt to ground, the value extraction process is slightly changed to a one-port model, as shown in Fig. 5. is then the parameter to be used for value extraction due to the short-circuit condition, as shown in (2) and (3). For this work, a spiral line with dimensions as shown in Fig. 6(a) has been chosen. The grounding via has a length of 0.6 mm, which is also the total thickness of the substrate. The central via and via patch sizes are firstly fixed to ensure easy fabrication, then by increasing the number of turns, different inductances can be achieved. Fig. 6(b) shows the inductances, extracted from simulation, for different number of turns and dimensions at the cutoff frequency 0.5 GHz. It can be seen that an inductor with three turns of 0.15-mm-wide line should be used for this work. Fig. 6(c) shows the inductances and factors, extracted from simulation, of the selected spiral line at different frequencies

(2) (3) By using these elements, an HP filter with cutoff frequency of 0.5 GHz can be built with a 3-D structure, as shown in Fig. 7(a),

Fig. 6. (a) Planar dimensions for a spiral inductor. (b) Inductances extracted from simulation for the spiral inductor with different number of turns at the cutoff frequency 0.5 GHz. (c) Inductances and factors extracted from simu, mm, , and lation at different frequencies with S/m.

which has a topology for a small footprint while minimizing parasitic coupling between adjacent elements. Fig. 7(b) shows the full-wave EM simulated result of the proposed structure, compared with the theoretical response of the ideal circuit prototype. The EM simulation was done using commercially available software [21]. It can be seen that the simulated and theoretical transmission or responses are almost identical over a very wide frequency range from dc up to 6 GHz, which is

QIAN AND HONG: MINIATURE QUASI-LUMPED-ELEMENT WIDEBAND BANDPASS FILTER

2803

Fig. 8. Capacitances and , quencies with

factors extracted from simulation for different fremm, , and S/m.

Fig. 7. (a) 3-D structure of the HP section (not on scale). (b) Its full-wave simulation result compared to the circuit model response.

12 times the cutoff of 0.5 GHz. Similarly, the simulated or return-loss response is nearly below 15 dB over the wide passband, as predicted by the theory; despite more ripples appearing in the simulated response. It can be shown that these additional ripples mainly result from the parasitic parameters of the microstrip quasi-lumped elements and the spurious resonances around 7 GHz in the simulation are attributed to the self-resonance of the capacitor and inductor. Nevertheless, the designed quasi-lumped elements and their modeling equivalent circuit work well for this wideband filter design. B. LP Section Design For the LP section design, a simple LP network can also be used to produce the LP response with minimum number of elements. However, the passband edge of a conventional Chebyshev LP filter would be very poor compared to the HP section because its transmission zero is at the infinite frequency, which is far away from the desired cutoff frequency of 2 GHz. Thus, for the consideration of getting roughly symmetrical passband edges at both sides, an elliptic-function LP prototype, as shown in Fig. 3(a), is chosen for the LP section to produce a finite-frequency transmission zero near the upper side edge of the passband, as shown in Fig. 3(b). For the implementation of this LP section, the desired inductor with a very small value of 2.48 nH, can be easily implemented with a meander high-impedance line, as shown in Fig. 2(a), with a width of 0.2 mm and a separation of 0.4 mm between the two parallel meandered arms having a length of 2.1 mm. These dimensions are determined from the parameter extraction based on EM simulation. The extracted factor for this small inductor is about 78 at the cutoff frequency of 2 GHz. To realize , a three-layer small size capacitor can be used because of its small value. The values extracted from simula-

Fig. 9. (a) Proposed 3-D structure for the LP section (not on scale). (b) Its full-wave simulated result compared with the circuit model response.

tion are shown in Fig. 8, where and are the dimensions as denoted in Fig. 4(a). can be implemented with a conventional two-layer broadside coupled structure, with the second layer connected to ground. Based on these elements, the 3-D structure for the LP section can be obtained as shown in Fig. 9(a). Care should be taken to achieve a small size while minimizing unwanted cross couplings by adjusting the separation of the quasi-lumped elements implemented. Fig. 9(b) shows the full-wave simulation result compared to the circuit response. It can be seen that the proposed structure matches well with the circuit response from very low frequency up to 6 GHz. IV. MULTILAYER LCP FABRICATION A. LCP Lamination Process Commercially available LCP substrates can be generally divided into two types: core films and bonding films. Both of them

2804

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 11. (a) Conventional and (b) modified inter-connection via structure.

Fig. 10. Five-metal-layer LCP stack up. Fig. 12. 3-D structure of the proposed design (not on scale).

have the same dielectric constant and loss tangent. However, the bonding film has a melting temperature of 280 C, which is lower than that of 315 C for the core film. Thus, for a multilayer circuit construction, the core films are used as circuit boards, which can be double-side etched by normal inexpensive PCB etching process, while every bonding film is used as an adhesive layer between two core films. Fig. 10 shows a five-metal-layer construction for this work. Metal layers 1–4 are all double-sided etched on 50- m core films to implement the multilayer circuit structure , while metal layer 5 works as the ground plane. In addition to the normal lamination guidelines in [22], the registration error (or shift) among stacked LCP layers could be reduced by using the following methods. 1) In general, the less number of layers, the better the registration. For the structure in Fig. 10, since it is only important to get a good registration among the first three layers A–C, which consist of all the circuit metallization, they can be laminated together first. A second lamination can then be done to achieve the required thickness with the ground on the bottom. 2) During the heating process, the high pressure of 300 psi should only be applied after the material has reached 260 C for half an hour. Otherwise, the circuit metallization can be easily pushed away during the heating process if high pressure is applied at the beginning. 3) The LCP bonding films are thermoplastic adhesive materials so pressure drop during the cooling process can cause large registration error. Thus, the lamination pressure should be kept until the cooling is finished.

this work, two kinds of inter-layer via fabrication process for LCP have been investigated. 1) After laminating the first three layers A–C, via-holes in Fig. 11(a) can be drilled. By using through-hole plating technique, the inner wall of the vias can be wholly plated by high-conductivity paste. Before doing the second lamination, to prevent the processed vias on the first three layers refilled by the bonding material from layer D under high lamination pressure, via-holes with slightly bigger diameter should be drilled on the layer D at the same positions. 2) Via-holes can also be processed after the whole lamination is done. In this way, via-holes in Fig. 11(a) will be drilled as blind holes. High-conductivity paste can then be applied to the via-holes for metallization. For the first method, the through holes are easy to be drilled and plated, but the final interconnection depends on the robustness of the plated metallization. The second method provides good connection, but as a tradeoff, it requires more accurate fabrication control to get the blind holes. In this work, the second method is used and all the machining work are done using picosecond laser for precise fabrication. To further improve the connection, all the multilayer interconnection vias are modified to the structure in Fig. 11(b), where the step via has been used to replace the straight via in Fig. 11(a) so that the middle layer can get sufficient contact after applying the paste. V. RESULT AND FURTHER IMPROVEMENT A. Structure and Dimensions

B. Inter-Layer via Fabrication Technique When the multilayer structure is involved, the fabrication complexity is increased. Especially in the case of the multilayer broadside-coupled capacitor, as shown in Fig. 11(a), the fabrication of inter-layer connection via becomes very important for achieving good agreement between fabrication and simulation. Due to the thermoplastic nature, the LCP bonding films will be melted during the lamination, thus it is not a good solution to drill and fill the vias on separate layers before lamination. For

Based on the value extraction process for the microstrip inductors and multilayer broadside-coupled capacitors with the newly developed stepped via connections, the dimensions for the proposed design and 3-D structure have been finalized, as illustrated in Fig. 12. The size of the filter is only 9 mm 4 mm 0.6 mm, which is , and is the guided wavelength on a 0.6-mm thickness substrate with dielectric constant 3, at the center frequency of 1.25 GHz.

QIAN AND HONG: MINIATURE QUASI-LUMPED-ELEMENT WIDEBAND BANDPASS FILTER

2805

Fig. 14. (a) Modified circuit prototype and (b) its 3-D structure (not on scale) pF, nH, pF, nH, pF, ( nH).

Fig. 13. (a) Photograph of the fabricated wideband bandpass filter using multilayer LCP technology. (b) Measured result compared with circuit simulation.

The filter is fabricated and measured on a Hewlett-Packard 8510B network analyzer. Fig. 13(a) is a photograph of the fabricated filter. The stepped vias show very good alignment among different layers, which means the registration error has been well controlled during the fabrication. Fig. 13(b) shows the measured result compared to the circuit simulation. Apart from some mismatching problem in the passband, there is only a small frequency shift at the higher cutoff, which is due to fabrication tolerance. Nevertheless, the measurement is in good agreement with the circuit simulation up to 6.5 GHz, which is about five times the center frequency. The insertion loss is smaller than 0.7 dB between 0.5–2 GHz and the group-delay variation is within 0.3 ns between 0.6–1.9 GHz, which is 87% of the passband. B. Further Improvement Although the design in Fig. 12 realizes the required passband performance with transmission zeros at both sides of the passband, the upper stopband attenuation is only slightly better than 10 dB. To improve the rejection in the stopband, one could increase the order of the LP filter. This method enhances both the passband and stopband responses; hence, both the passband edge selectivity and stopband rejection can be improved. However, this will introduce more passive elements into the design, which would not only increase the size, but also the insertion loss. As a compromise, the circuit prototype in Fig. 14(a) is adopted as an improved design. Compared to the original

Fig. 15. Measured and circuit simulated response of the improved design with improved stopband performance.

circuit in Fig. 3(a), this design adds only one more inductor to each of the shunt branches and this works together with the shunt capacitor as a series resonator to the ground, which can produce another transmission zero in the stopband while keeping the passband response almost unchanged. Another advantage of this improved prototype is it is easy to implement the extra inductors on the original microstrip layout. It only changes the fourth layer with a pair of high-impedance lines, as shown in Fig. 14(b). The total size is 10 mm 4 mm 0.6 mm, which is , and is the guided wavelength on a 0.6-mm thickness substrate with dielectric constant 3, at the center frequency 1.25 GHz. This improved design has also been fabricated and the layout is almost the same as that shown in Fig. 13(a). The filter is measured on a Hewlett-Packard 8510B network analyzer and the result is

2806

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

shown in Fig. 15. It can be seen that the passband has better than 13-dB return loss and 0.7-dB insertion loss. The upper stopband has been improved with better than 17-dB attenuation up to 6 GHz and 10-dB attenuation is achieved until 7 GHz. The measured group delay also matches the simulation with a variation within 0.3 ns. Compared to the circuit simulation result, there is a little frequency shift in the higher cutoff and the stopband attenuation has been decreased slightly due to fabrication tolerance. From the measured result of the two filters in this work, it can be seen that due to the smaller size of the elements, the LP filter that controls the high side of the passband and the upper stopband is more sensitive to the fabrication tolerances. This explains why both measured results show slight frequency shift at the higher cutoff. VI. CONCLUSION In this paper, two compact high-performance wideband filters have been designed and fabricated using the promising multilayer LCP technology. With the presented design methodology, the values of microstrip lumped elements can be accurately extracted and the whole filter can be then designed efficiently with less EM optimization time. Besides, a five-metal-layer LCP structure has been presented in this paper, which has not been done before for the design of multilayer LCP filters and the newly developed fabrication technique has also been detailed. However, further size reduction can still be achieved in future. In this design, via-hole sizes are relatively large as it is needed for the stepped via connection. This also results in very large via patches. In the future, with better plating technique, smaller via-hole sizes can be used, and thus the overall size of the filter can be reduced further. ACKNOWLEDGMENT The authors are grateful to Z.-C. Hao, F. Albri, J. Parry, D. Hand, M. I. Mohammed, and S. Wilhelm, all with the School of Engineering and Physical Sciences, Heriot-Watt University, Edinburgh, U.K., for their help and support in this work. REFERENCES [1] Y.-X. Guo, L. C. Ong, M. Y. W. Chia, and B. Luo, “Dual-band bandpass filter in LTCC,” in IEEE MTT-S Int. Microw. Symp. Dig., 2005, pp. 2219–2222. [2] H. Joshi and W. J. Chappell, “Dual-band lumped-element bandpass filter,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 12, pp. 4169–4177, Dec. 2006. [3] M. Hoft and T. Shimamura, “Design of symmetric trisection filters for compact low-temperature co-fired ceramic realization,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 1, pp. 165–175, Jan. 2010. [4] C.-W. Tang and D.-L. Yang, “Realization of multilayered wide-passband bandpass filter with low-temperature co-fired ceramic technology,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 7, pp. 1668–1674, Jul. 2008. [5] T. H. Duong and I. S. Kim, “New elliptic funtion type UWB BPF based open T resonator,” IEEE Trans. Microw. on capacitively coupled Theory Tech., vol. 57, no. 12, pp. 3089–3098, Dec. 2009.

[6] S. Hwang, S. Min, M. Swaminathan, V. Venkatakrishnan, H. Chan, F. Liu, V. Sundaram, S. Kennedy, D. Baars, B. Lacroix, Y. Li, and J. Papapolymerou, “Characterization of next generation thin low- and low-loss organic dielectrics from 1 to 110 GHz,” IEEE Trans. Adv. Packag., vol. 33, no. 1, pp. 180–188, Feb. 2010. [7] S. Hwang, S. Min, M. Swaminathan, V. Sundaram, and R. Tummala, “Thin-film high-rejection filter integration in low-loss organic substrate,” IEEE Trans. Compon. Packag. Manuf. Technol., vol. 1, no. 8, pp. 1160–1170, Aug. 2011. [8] K. Brownlee, S. Bhattacharya, K. Shinotani, C. P. Wong, and R. Tummala, “Liquid crystal polymer for high performance SOP applications,” in 8th IEEE Int. Adv. Packag. Mater. Symp., Mar. 3–6, 2002, pp. 249–253. [9] D. C. Thompson, O. Tantot, H. Jallageas, G. E. Ponchak, M. Tentzeris, and J. Papapolymerou, “Characterization of liquid crystal polymer (LCP) material and transmission lines on LCP substrate from 30–100 GHz,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1343–1352, Apr. 2004. [10] Z.-C. Hao and J.-S. Hong, “Ultra-wideband bandpass filter using multilayer liquid-crystal-polymer technology,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 9, pp. 2095–2100, Sep. 2008. [11] Z.-C. Hao and J.-S. Hong, “UWB bandpass filter using cascaded miniature high-pass and low-pass filters with multilayer liquid crystal polymer technology,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 4, pp. 941–948, Apr. 2010. [12] Z.-C. Hao and J.-S. Hong, “Ultra wideband bandpass filter using embedded stepped impedance resonators on multilayer liquid crystal polymer substrate,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 9, pp. 581–583, Sep. 2008. [13] Z.-C. Hao and J.-S. Hong, “Compact wide stopband ultra wideband bandpass filter using multilayer liquid crystal polymer technology,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 5, pp. 290–292, May 2009. [14] S. Mukherjee, B. Mutnury, S. Dalmia, and M. Swaminathan, “Layoutlevel synthesis of RF inductors and filters in LCP substrates for Wi-Fi applications,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 4, pp. 2196–2210, Apr. 2005. [15] G.-S. Huang, Y.-S. Lin, C.-H. Wang, and C. H. Chen, “A novel transition-included multilayer filter,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 4, pp. 807–814, Apr. 2009. [16] S. Qian, Z.-C. Hao, J.-S. Hong, J. P. Parry, and D. P. Hand, “Design and fabrication of a miniature highpass filter using multilayer LCP technology,” in Proc. 41th Eur. Microw. Conf., Oct. 2011, pp. 187–190. [17] J.-S. Hong, Microstrip Filters for RF/Microwave Applications, 2nd ed. Hoboken, NJ: Wiley, 2011. [18] I. Bahl, Lumped Element for RF and Microwave Circuits. Boston, MA: Artech House, 2003. [19] G. Brzezina, L. Roy, and L. MacEachern, “A miniature LTCC bandpass filter using novel resonators for GPS applications,” in Proc. 37th Eur. Microw. Conf., Oct. 2007, pp. 536–539. [20] S. S. Mohan, M. del Mar Hershenson, S. P. Boyd, and T. H. Lee, “Simple accurate expressions for planar spiral inductances,” IEEE J. Solid-State Circuits, vol. 34, no. 10, pp. 1419–1424, Oct. 1999. [21] “EM User’s Manual,” ver. 12, Sonnet Softw. Inc., 2010, Syracuse, NY. [22] “Fabrication guidelines ULTRALAM-3000-LCP-materials,” Rogers Corporation, Rogers, CT, 2012.

Shilong Qian (S’10) was born in Wuhan, China, in 1987. He received the B.Eng. degree in communications engineering from the Huazhong University of Science and Technology, Wuhan, China, in 2009, and is currently working towards the Ph.D. degree at the School of Engineering and Physical Sciences, Heriot-Watt University, Edinburgh, U.K. He is currently with the Department of Electrical, Electronic and Computer Engineering, Heriot-Watt University. His research interests include miniature RF/microwave filters, reconfigurable filters and multilayer circuit packaging, and integration for wireless communication systems.

QIAN AND HONG: MINIATURE QUASI-LUMPED-ELEMENT WIDEBAND BANDPASS FILTER

Jiasheng Hong (M’94–SM’05–F’12) received the D.Phil. degree in engineering science from the University of Oxford, Oxford, U.K., in 1994. His doctoral dissertation concerned EM theory and applications. In 1994, he joined the University of Birmingham, Birmingham, Edgbaston, U.K., where he was involved with microwave applications of high-temperature superconductors, EM modeling, and circuit optimization. In 2001, he joined the Department of Electrical, Electronic and Computer Engineering,

2807

Heriot-Watt University, Edinburgh, U.K., where he is currently a Professor leading a team for research into advanced RF/microwave device technologies. He has authored or coauthored over 200 journal and conference papers and Microstrip Filters for RF/Microwave Applications Wiley, 2001, 1st ed, 2011, 2nd ed) and RF and Microwave Coupled-Line Circuits, Second Edition (Artech House, 2007). His current interests involve RF/microwave devices such as antennas and filters for wireless communications and radar systems, as well as novel material and device technologies including multilayer circuit technologies using package materials such as LCP, RF microelectromechanical systems (MEMS), and ferroelectric and high-temperature superconducting devices.

2808

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Dual-Mode Ring Resonator Bandpass Filter With Asymmetric Inductive Coupling and Its Miniaturization Tsu-Wei Lin, Jen-Tsai Kuo, Senior Member, IEEE, and Shyh-Jong Chung, Senior Member, IEEE Abstract—Dual-mode ring resonator filters are implemented with asymmetric inductive perturbation for creating transmission zeros on both sides of the passband. In analysis, dependence of the resonance modes and the zeros on positions and sizes of both the inductive and capacitive perturbations is investigated. Under certain conditions, the even- and odd-mode frequencies for a capacitively perturbed ring are the same as the odd and even ones, respectively, for a ring with inductive perturbation. Theoretical background is clearly explained how the two transmission zeros are split up from the center frequency. Two dual-mode ring resonator filters are fabricated and measured for demonstration. To obtain a miniaturized circuit area, the - ring trace is folded into a double-ring or spiral configuration. The inductive perturbation is chosen as the crossover and implemented by a short high-impedance coplanar waveguide interconnection in the ground plane of the microstrip. Measurement results show good agreement with the simulation responses. Index Terms—Bandpass filter, capacitive coupling, dual-mode, inductive coupling, microstrip, miniaturization, ring resonator.

I. INTRODUCTION

D

UAL-MODE ring resonator filters are one of the most widely used bandpass filters in microwave frequencies. It is popular because of its compact size, good frequency selectivity, and ease of design. The earliest work of the dual-mode ring resonator can be traced to 1970s [1]. In the traditional design, the input and output (I/O) ports are separated spatially at 90 and a capacitive patch is placed at the end of the symmetric plane. The purpose of the perturbation patch is to split up the two degenerate modes so that a nonzero bandwidth can be obtained. In addition, transmission zeros can be created on both sides of the passband, leading to a quasi-elliptic function response. The transmission zeros can be predicted by the transmission-line theory [2]. In [3], given that the bandwidth is constant, the attenuation pole frequencies can be controlled by the perturbation size and the angle between the I/O ports. In [4], the Manuscript received December 21, 2011; revised May 14, 2012; accepted June 04, 2012. Date of publication July 05, 2012; date of current version August 28, 2012. This work was supported in part by the National Science Council, Taiwan, under Grant NSC 100-2221-E-182-059-MY2, and in part by Chang Gung University under Grant UERPD2A0021. T.-W. Lin and S.-J. Chung are with the Institute of Communications Engineering, National Chiao Tung University, Hsinchu 300, Taiwan (e-mail: [email protected]; [email protected]). J.-T. Kuo is with the Department of Electronic Engineering, Chang Gung University, Taoyaun 333, Taiwan (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2205936

self-coupled ring resonator is used to devise a dual-mode filter with multiple transmission zeros. Reducing circuit size is always important in implementation of microwave integrated circuits. The area of a conventional square ring resonator is . Several techniques have been developed for shrinking the ring area [5]–[13]. In [5], the conductor trace is folded to form a meander ring. In [6], the periodic stepped-impedance ring is a reduced-size filter. The slow-wave effect is also a good approach for miniaturizing the ring, e.g., [7]. The shunt capacitors in [8] and open radial stubs in [9] attaching to the peripheral of the ring can significantly reduce the circuit area. In [10], the dual-mode ring is periodically loaded with butterfly radial slot cells on the ground plane to achieve size reduction and wide upper rejection band. In [11], three quarters of a - ring is replaced by a microstrip to coplanar waveguide (CPW) broadside coupled section so that the area is only around 25% of that of a full-length ring. Each of the four sides of the dual-mode ring in [12] is loaded by a stub with cascaded alternative high- and low-impedance sections for circuit miniaturization and suppression of its second harmonic. In [13], the size reduction is accomplished by synthesized microstrip lines in an asymmetric form. Good rejection levels are obtained at the second, third, and fourth harmonics of the passband. It is shown that if the patch in the symmetric plane is replaced by a cut, i.e., capacitive coupling is changed to inductive [14], the passband will be changed from quasi-elliptic function to a response with two transmission zeros on the real axis of the complex -plane [15]. In [16], the attenuation pole frequencies for both capacitive and inductive coupling are derived. The perturbations can be placed in the symmetric axis or in an axis orthogonal to the symmetric axis, forming totally four possible configurations. It is worth mentioning that most of the above dual-mode rings have their perturbations symmetric about the I/O ports. There have been few dual-mode ring resonator filters with asymmetric perturbation thus far [17]. It is shown that if the patch or cut perturbation is moved away from the symmetric plane, the two zeros will change frequencies, showing a flexible technique for realizing various passbands, although the passband becomes asymmetric. The perturbation positions in [17], however, are limited to the corners of the hexagonal ring. In this paper, a dual-mode ring resonator is studied with either capacitive or inductive perturbation at an arbitrary position. The input and output ports can be spatially separated by a non-90 angle. The non-90 I/O separation is useful for simultaneous excitation of multiorder resonance modes in a ring resonator so that dual-mode dual-band [18] and dual-mode triple-band [19]

0018-9480/$31.00 © 2012 IEEE

LIN et al.: DUAL-MODE RING RESONATOR BANDPASS FILTER

2809

Fig. 1. Dual-mode square ring resonators with a perturbation in symmetric plane. (a) Capacitive. (b) Inductive. Perturbation in an axis perpendicular to the symmetric axis. (c) Capacitive. (d) Inductive. Dashed lines in (a) and (b) show the symmetric planes for analysis of resonance modes.

filters can be realized. In Section II, analysis of transmission poles and zeros of a perturbed ring resonator is conducted and their control is investigated. Based on asymmetric cut perturbation, a dual-mode ring resonator filter with quasi-elliptic passband is demonstrated. In Section III, a reduced-size circuit is implemented by routing half of the ring trace inside the other part. A CPW high-impedance section, incorporating with two conducting vias, is implemented to play the role of the crossover as well as the inductive perturbation, achieving circuit miniaturization. Section IV draws a conclusion. II. ASYMMETRIC DUAL-MODE RING RESONATOR AND FILTER A. Asymmetric Perturbation Fig. 1(a) shows the traditional dual-mode ring resonator incorporated with a capacitive perturbation in the symmetric plane, capable of generating a quasi-elliptic function response [2]. The structure in Fig. 1(b) shows no sharp selectivity in the transition bands [14]. In Figs. 1(c) and 1(d), the perturbations are placed in the axis perpendicular to the symmetric axis. These two circuits are simply those in Figs. 1(a) and 1(b) rotated by 90 , while keeping the I/O ports fixed. Let the spatial separation between ports 1 and 2 be , and the traces between the center perturbation and port 1 and port 2 be and , respectively. It is known that at the center frequency, . Obviously, each resonator in Fig. 1 possesses two degenerate modes, and their resonance frequencies and are split up by the perturbation, denoted by characteristic impedance and electrical length . With weak coupling excitations, the transmission responses of Fig. 1(c) and (d) are shown in Fig. 2(a) and (b), respectively. The software package IE3D [20] is employed for the simulation. At center frequency GHz, , and the perturbation is allocated at , , , and . For the ca-

Fig. 2. Transmission responses of Fig. 1(c) and (d) with , , when , , , . (a) Capacitive perturbation, . (b) Inductive perturbation, .

pacitive perturbation in Fig. 2(a), the response for is the result of the traditional ring configuration in Fig. 1(a). When the perturbation is placed at , there is no sharp skirt response near the center frequency [14]. Note that when and , the two peaks have very different magnitudes, and the bandpass response will be difficult to synthesize, due to the destruction of the zero. For the inductive perturbation in Fig. 2(b), on the other hand, the response suitable for synthesis of a quasi-elliptic passband is . When the inductive segment is allocated at , there is no transmission dip. B. Spacing Between Input and Output Ports Figs. 3 and 4 investigate the behavior of the zeros when the I/O separation and , respectively. In Fig. 3, the perturbation is placed at , , , and . Compared with the results in Fig. 2, both and shift to lower frequencies. In Fig. 3(a), when , both of them are in the lower stopband, showing a flexible way of zero control. When , is between the two transmission poles so it is not suitable for passband synthesis. When , the two zeros are on the different sides of the passband, and this circuit is more suitable for passband synthesis than that with since of the latter is very close to . For the in-

2810

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 3. Transmission responses with , and when , , , and . (a) Capacitive perturbation in Fig. 1(c), . (b) Inductive perturbation in Fig. 1(d), .

Fig. 4. Transmission responses with , , and when , , , . (a) Capacitive perturbation in Fig. 1(c), . (b) Inductive perturbation in Fig. 1(d), .

ductive perturbations in Fig. 3(b), the responses for , , , and are similar to those with , , , and , respectively, in Fig. 3(a). In Fig. 4, the I/O separation is , and the perturbation is placed at , , , and . It is interesting that only one transmission zero can be observed. For the capacitive perturbation in Fig. 4(a), the zero is on the upper and lower sides of the passband when and , respectively, while in Fig. 4(b) with inductive perturbation, the zeros on the upper and lower sides are resulted from and , respectively. For both perturbation types, the responses show that a flat passband supported by and will be difficult to build up by using and .

holds, then the even- and odd-mode frequencies of the capacitive perturbation are the same as the odd- and even-mode frequencies of the inductive cut. The zero frequencies of the ring resonator filter will depend on the positions of the input and output. For both Fig. 1(c) and (d), the transmission zeros can be obtained by enforcing the total forward transmission admittance of the two signal paths shown in Fig. 5 to zero [2]

(4) It can be rewritten as

C. Transmission Poles and Zeros Theoretically, the transmission poles of the perturbed rings in Fig. 1 are independent of the excitations. Thus, the resonance frequencies of the rings in Fig. 1(c) and (d) will be the same as those in Fig. 1(a) and (b), respectively. It can be shown that the resonance conditions are (odd mode)

(1)

(even mode)

(2)

and . The where perturbation is of capacitive and inductive type when and , respectively. In comparison of (2) and (1), if (3)

(5a) (5b) (5c) (5d) The term is resulted from the leading two terms in (4) and represents the sum of two admittances when no perturbation exists. When and , the term indicates a second-order zero at . The effectiveness of the perturbation on split-up or shift of the zeros relies on the second term of (5a). A larger will lead to a larger split-up. It is known that is relatively small, and . For example, , than and . For the traditional capacitively perturbed ring in Fig. 1(a), : 1) the

LIN et al.: DUAL-MODE RING RESONATOR BANDPASS FILTER

2811

Fig. 5. Two-signal path model for analysis of transmission zeros.

term in (5) can be neglected 2) when frequencies moves not so far away from , and its magnitude decreases. Thus, must be negative to assure the existence of zeros. This reflects the fact that if a cut is placed here, there will be no zero since . When frequency is decreased or increased to the frequencies where is satisfied, transmission zeros occur. On the other hand, for the proposed ring with inductive cut in Fig. 1(d), and , and . Thus, a patch at this corner will not cause any zero since the term in (5d) reverses the sign of . Instead, an inductive cut with is required for the split-up of the two zeros from . Fig. 6(a) plots variations of the transmission zeros and versus from 90 to 270 , based on , , , and at GHz. It is noted that when (4) is used for solving the zeros, all electrical lengths are linear functions of frequency. When , two transmission zeros can be found when and , but there is no zero otherwise. The distance between the two zeros varies when is changed, and the maximal distance occurs around and . When is increased to , i.e., more perturbation is employed, the zeros move farther away from the center frequency. When a capacitive patch is applied, e.g., , the two transmission zeros can be found only when and . Again, when and , i.e., the amount of perturbation is increased; the distance between the two zeros is increased. Fig. 6(b) and (c) investigates the changes of and versus for and , respectively. In Fig. 6(b), and variations have smaller ranges than those in Fig. 6(a), and some are close to the center passband. In Fig. 6(c), only one zero can be observed, for both capacitive and inductive perturbations. A better understanding for existence of zero, one, or two zeros can be referred to the illustrative description following (5d). Fig. 7 shows the variations and with respect to the continuous changes of from 10 to 150 by solving (2) for the inductive perturbation with . It is interesting that both and have a turning point at around . When is decreased from the turning point, decreases while keeps almost flat. Alternatively, when is above the turning point, shows only small changes, but increases. The transmission poles can also be plotted along with these zeros [21]. D. Effectiveness of Perturbation Size As shown in Fig. 6, the frequencies of the two zeros also depend on the size of the perturbation. Let and

Fig. 6. Transmission zero versus . (c) . (b)

.

,

Fig. 7. Transmission zero versus continuous changes of , , . turbation.

at

. (a)

.

for inductive per-

, i.e., the structure shown in Fig. 1(d). The peak frequencies and determine the bandwidth and can be calculated by using the formula in [2] by changing the type of perturbation. Fig. 8 compares , , , and obtained by the IE3D with those obtained by the transmission-line theory for various notch sizes. In Fig. 8(a), when is increased, meaning the notch has less inductive, the resonances and zeros get closer to the center frequency . In Fig. 8(b), when the length of the high-impedance section is increased, and move to lower

2812

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 8. Variations of transmission zeros and resonant modes versus sizes of the mm mm, , mm, cut. (a) . (b) mm mm, , mm . , . Dashed lines: full-wave simulation, solid lines: transmission-line theory.

frequencies, while and shift to higher frequencies, indicating the ring resonator filter will have an increasing bandwidth and a wider space between the two zeros. The results based on the theoretical formulation have good match with those obtained by the full wave simulation. The maximal relative deviation is 0.72%, occurring at the curve.

Fig. 9. (a) Layout of the dual-mode ring resonator filter. (b) Photograph of the measured circuit. (c) Simulation and measurement results of the dual-mode mm, mm, mm, ring resonator filter. mm, mm, mm, mm, mm, mm, mm. Substrate: , mm. thickness

E. Dual-Mode Ring Resonator Bandpass Filter The design procedure for the dual-mode ring resonator filter is: 1) determine circuit bandwidth and number and positions of transmission zeros from the plots in Figs. 2–4; 2) choose type of perturbation type, i.e., patch or cut, and its position along the ring peripheral; and 3) choose the excitation angle and the line-to-ring coupling [22] for I/O matching. The dual-mode ring resonator with asymmetric inductive perturbation can be devised to synthesize a quasi-elliptic function bandpass filter. The circuit layout is shown in Fig. 9(a), and the photograph of the measured circuit in Fig. 9(b). The design parameters include , , , , , and . Fig. 9(c) shows the simulation and measurement results of Fig. 9(a). It is designed at center frequency GHz with a fractional bandwidth . The substrate has a dielectric constant and thickness mm. At , the measured insertion loss is 2.37 dB, and the return loss is better than 20 dB. The two transmission zeros are at 2.23 and 2.72 GHz. Simulation and measurement results show good agreement.

Fig. 10. Double-ring configuration.

III. CIRCUIT MINIATURIZATION To obtain a compact circuit area, the ring can be folded to a double-ring or spiral configuration, as shown in Fig. 10, where a 3-D structure will be required for connecting points A and B of the inner and outer rings, of which the electrical lengths are and , respectively. The 3-D implementation can be a short bonding wire or a short high-impedance section incorporating with two via-holes. Both of them are equivalent to an inductor. Here, for ease of fabrication, the later is chosen. The high-impedance section is made on the ground plane of the microstrip, forming a CPW segment. Such a CPW section plays a different role from that in [11] where a quarter-wave

LIN et al.: DUAL-MODE RING RESONATOR BANDPASS FILTER

2813

the ground plane, and the CPW high-impedance segment. In design, the coupling between the microstrip and the CPW section is neglected since not only the overlapped area is small, but also both signal traces are nearly perpendicular to each other. The coupling between parallel microstrip ring traces may change the total coupling coefficient, and hence, the filter bandwidth. To eliminate the unwanted coupling, our simulation data suggest that the distance between the parallel traces should keep at least three times the line width. The radius of the two via-holes is . As a result, the circuit has a size of , and its area is about 39.8% of that of a conventional square ring resonator. Fig. 11(b) compares measurement results with the simulation. The circuit parameters include , , , , , , and GHz. The equivalent inductance is 1.83 nH. The measured insertion loss at is 2.52 dB, the fractional bandwidth and the return loss is better than 15 dB. Two transmission zeros are at 2.235 and 2.74 GHz, respectively. Good agreement between simulation and measurement results can be observed. Fig. 11(c) and (d) shows the photographs of the top and bottom sizes of the measured circuit. IV. CONCLUSION

Fig. 11. (a) Layout of miniaturized dual-mode ring resonator filter. (b) Simulated and measured results. Photograph of the measured circuit. (c) Top view. mm, mm, mm, (d) Bottom view. mm, mm, mm, mm, mm, mm, mm, mm, mm. CPW section: , , . , thickness mm. Substrate:

microstrip-to-CPW broadside coupled line is used to replace a transmission-line section of 270 . For saving the implementation effort, the line-to-ring structure is kept as close as possible to that in Fig. 9(a). Thus, a certain space between the two loops is used for preventing coupling between the adjacent traces. Fig. 11(a) shows the top view of the circuit layout. The top metal in black is used for the microstrip, the bottom metal in gray is for

Characteristics of the transmission zeros of dual-mode ring resonator filters with asymmetric and symmetric inductive and capacitive perturbations are investigated for bandpass filter design. Quasi-elliptic function passband can be obtained by placing the inductive perturbation at a position of 90 away from the end of the symmetric axis, where is the capacitive patch location of a traditional dual-mode ring resonator filter. When the product of the characteristic impedances of the patch and cut sections equals square of the characteristic impedance of the uniform trace, the even- and odd-mode frequencies are switched when the perturbation type is exchanged. Variations of the transmission zeros versus I/O port separation and perturbation position are investigated, and design curves plotted. When , the two zeros shift down to lower frequencies for both capacitive and inductive perturbations. The dual-mode ring with inductive cut is folded to a double-ring configuration and the inductive perturbation is implemented by a CPW high-impedance section on the ground plane, leading to the normalized circuit area reduced to 39.8%. Measurement data show good agreement with simulation results. REFERENCES [1] I. Wolff, “Microstrip bandpass filter using degenerate modes of a microstrip ring resonator,” Electron. Lett., vol. 8, no. 12, pp. 302–303, Jun. 1972. [2] M. Matsuo, H. Yabuki, and M. Makimoto, “Dual-mode steppedimpedance ring resonator for bandpass filter applications,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 7, pp. 1235–1240, Jul. 2001. [3] A. C. Kundu and I. Awai, “Control of attenuation pole frequency of a dual-mode microstrip ring resonator bandpass filter,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 6, pp. 1113–1117, Jun. 2001. [4] Y.-H. Jeng, S.-F. Chang, Y.-M. Chen, and Y.-J. Huang, “A novel self-coupled dual-mode ring resonator and its applications to bandpass filter,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 5, pp. 2146–2151, May 2006. [5] J. S. Hong and M. J. Lancaster, “Microstrip bandpass filter using degenerate modes of a novel meander loop resonator,” IEEE Microw. Guided Wave Lett., vol. 5, no. 11, pp. 371–372, Nov. 1995.

2814

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

[6] J.-T. Kuo and C.-Y. Tsai, “Periodic stepped-impedance ring resonator (PSIRR) filter with a miniaturized area and desirable upper stopband characteristics,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 3, pp. 1107–1112, Mar. 2006. [7] A. Görür and C. Karpuz, “Miniature dual-mode microstrip filters,” IEEE Microw. Wireless Comp. Lett., vol. 17, no. 1, pp. 37–39, Jun. 2007. [8] M.-F. Lei and H. Wang, “An analysis of miniaturized dual-mode bandpass filter structure using shunt-capacitance perturbation,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 861–867, Mar. 2005. [9] B. T. Tan, J. J. Yu, S. T. Chew, M.-S. Leong, and B.-L. Ooi, “A miniaturized dual-mode ring bandpass filter with a new perturbation,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 343–348, Jan. 2005. [10] R.-J. Mao, X.-H. Tang, and F. Xiao, “Miniaturized dual-mode ring bandpass filters with patterned ground plane,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 7, pp. 1539–1547, Jul. 2007. [11] Y.-C. Chiou, J.-T. Kuo, and J.-S. Wu, “Miniaturized dual-mode ring resonator bandpass filter with microstrip-to-CPW broadside-coupled structure,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 2, pp. 97–99, Feb. 2008. [12] J. Wang, J.-L Li , J. Ni, S. Zhao, W. Wu, and D. Fang, “Design of miniaturized microstrip dual-mode filter with source-load coupling,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 6, pp. 319–321, Jun. 2010. [13] H.-W. Hsu, C.-H. Lai, and T.-G. Ma, “A miniaturized dual-mode ring bandpass filter,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 10, pp. 542–544, Oct. 2010. [14] A. Görür, “Description of coupling between degenerate modes of a dual-mode microstrip loop resonator using a novel perturbation arrangement and its dual-mode bandpass filter application,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 671–677, Feb. 2004. [15] S. Amari, “Comments on “Description of coupling between degenerate modes of a dual-mode microstrip loop resonator using a novel perturbation arrangement and its dual-mode bandpass filter application,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 9, pp. 2190–2192, Sep. 2004. [16] I. Awai, “General theory of a circular dual-mode resonator and filter,” IEICE Trans. Electron., vol. E81-C, no. 11, pp. 1757–1763, Nov. 1998. [17] R.-J. Mao and X.-H. Tang, “Novel dual-mode bandpass filters using hexagonal loop resonators,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 9, pp. 3526–3533, Sep. 2006. [18] S. Luo, L. Zhu, and S. Sun, “A dual-band ring-resonator bandpass filter based on two pairs of degenerate modes,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 12, pp. 3427–3432, Dec. 2010. [19] S. Luo, L. Zhu, and S. Sun, “Compact dual-mode triple-band bandpass filters using three pairs of degenerate modes in a ring resonator,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 5, pp. 1222–1229, May 2011. [20] IE3D Simulator. Zeland Softw. Inc., Fremont, CA, Jan. 1997. [21] Y.-C. Chiou, P.-S. Yang, J.-T. Kuo, and C.-Y. Wu, “Transmission zero design graph for dual-mode dual-band filter with periodic stepped-impedance ring resonator,” Progr. Electromagn. Res., vol. 108, pp. 23–36, 2010. [22] L. Zhu and K. Wu, “A joint field/circuit model of line-to-ring coupling structures and its application to the design of microstrip dual-mode filters and ring resonator circuits,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 10, pp. 1938–1948, Oct. 1999. Tsu-Wei Lin was born in Taichung, Taiwan. He received the B.S. degree from National Chung Cheng University, Taiwan, in 2009, the M.S. degree from National Chiao Tung University, Taiwan, in 2010, and is currently working toward the Ph.D. degree from the Institute of Communications Engineering, National Chiao Tung University, Hsinchu, Taiwan. His research interests include design of planar filters and associated RF modules for microwave applications.

Jen-Tsai Kuo (S’88–M’92–SM’04) received the Ph.D. degree from the Institute of Electronics, National Chiao Tung University (NCTU), Hsinchu, Taiwan, in 1992. From 1984 to 2010, he was with the Department of Communication Engineering, NCTU. From 1995 to 1996, he was a Visiting Scholar with the Electrical Engineering Department, University of California at Los Angeles (UCLA). He is currently a Professor with the Department of Electronic Engineering, Chang Gung University, Taoyuan, Taiwan. His research interests include analysis and design of microwave integrated circuits and numerical techniques in electromagnetics. Dr. Kuo is a member of the IEEE MTT-8 Subcommittee (Filters and Passive Components). He is an Editorial Board member for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. He was an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (2008–2010). He was a recipient of the Best Paper Award presented at the 2002 National Telecommunication Conference, Taiwan, the 2007 Asia–Pacific Microwave Conference (APMC) Prize, Bangkok, Thailand, and the 2008 APMC Prize, Hong Kong. He was the recipient of the 2006 Taiwan Citation Laureate presented by Thomson Scientific and the 2007 Distinguished Research Award presented by the National Science Council, Taiwan.

Shyh-Jong Chung (M’92–SM’06) was born in Taipei, Taiwan. He received the B.S.E.E. and Ph.D. degrees from National Taiwan University, Taipei, Taiwan, in 1984 and 1988, respectively. Since 1988, he has been with the Department of Communication Engineering and the Department of Electrical Engineering, National Chiao Tung University (NCTU), Hsinchu, Taiwan, where he is currently a Professor. From 2009 to 2011, he was the Director of the Institute of Communication Engineering, NCTU. From September 1995 to August 1996, he was a Visiting Scholar with the Department of Electrical Engineering, Texas A&M University, College Station. His areas of interest include the design and applications of active and passive planar antennas, low-temperature co-fired ceramic (LTCC)-based RF components and modules, packaging effects of microwave circuits, vehicle collision warning radars, and communications in intelligent transportation systems (ITSs). Dr. Chung was the treasurer of IEEE Taipei Section (2001–2003) and the chairman of IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Taipei Chapter (2005–2007). He was the recipient of the Outstanding Engineering Professor Award of the Chinese Institute of Engineers (2012), the Outstanding Electrical Engineering Professor Award of the Chinese Institute of Electrical Engineering (2006), and the Teaching Excellence Award of National Chiao Tung University (2005).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

2815

A Highly Reconfigurable Low-Power CMOS Directional Coupler Jiwei Sun, Chaojiang Li, Member, IEEE, Yongtao Geng, and Pingshan Wang, Member, IEEE

Abstract—This paper presents a highly reconfigurable, low-power, and compact directional coupler. The coupler uses varactors and novel active inductors to achieve wide tuning ranges of operating frequencies and coupling coefficients. The use of a low-pass circuit architecture with only two inductors minimizes chip area, power consumption, and noise. The coupler is implemented in a 0.13- m CMOS process. It occupies an area of 350 m 340 m and consumes 40 mW or less power. The obtained 1-dB compression point is 3.2 dBm, and the measured noise figure is 23 dB. These parameters compare favorably with previously published reconfigurable couplers. The measured coupling coefficient can be tuned from 1.3 to 9.0 dB at 4 GHz with 32 dB or better isolation and 15 dB or better return loss. The operating center frequency can be tuned from 2.0 to 6.0 GHz for a nominal 3-dB operation. These results agree with theoretical predictions and simulations reasonably well. Index Terms—CMOS active inductor, coupling coefficient, directional coupler, lumped element, microwave integrated circuit, tunability.

I. INTRODUCTION

D

IRECTIONAL couplers are widely used in various microwave systems, including balanced mixers, balanced amplifiers, phase shifters, filters, and phase-array antennas [1]–[5]. Conventional directional couplers, such as branch-line couplers, Lange couplers, and coupled-line couplers, are realized with distributed transmission lines. At lower gigahertz frequencies, these lines lead to large areas, which are a challenge in integrated microwave circuit development. Hence, various lumped-element directional couplers are proposed to address the problem [6]–[8]. Additionally, reconfigurable directional couplers, which have tunable coupling coefficients [9]–[12] and operating frequencies [13]–[17], are under development for applications in sequential amplifiers, reconfigurable antenna arrays and multistandard systems.

Manuscript received December 06, 2011; revised May 27, 2012; accepted June 04, 2012. Date of publication July 16, 2012; date of current version August 28, 2012. This work was supported in part by the National Science Foundation under Grant NSF 0925424. J. Sun and P. Wang are with the Department of Electrical and Computer Engineering, Clemson University, Clemson, SC 29634 USA (e-mail: jiweis@g. clemson.edu; [email protected]). C. Li is with the Microelectronics Division, IBM, Burlington, VT 05452 USA. Y. Geng is with the Department of Electrical Engineering, University of Texas at Dallas, Richardson, TX 75080 USA. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2204275

Tuning elements, such as varactors and inductors, are needed to build reconfigurable couplers. Different circuit architectures, which are mostly derived from distributed transmission-line (TL) counterparts, determine the number of tuning components and the performance of the couplers. For instance, varactors were used to tune coupling coefficients in [9]–[12] with large bias voltages (up to 30 V in [9] and 25 V in [10]). The couplers occupy large areas when compared with lumped-element ones. Varactors were also used to tune the center frequency of couplers in [13]–[16]. The circuits therein also occupy large areas and need large bias voltages. RF MEMS switches were used to build directional couplers in [17]. However, the switches are not standard CMOS devices and have many challenges of their own. Therefore, fully integrated CMOS hybrids have been investigated. These CMOS circuits use active inductors and varactors to tune operating frequencies [18] and coupling coefficients [19]. Nevertheless, current CMOS hybrids have limited frequency tuning range and significant power consumption. In this paper, we present a highly reconfigurable, low-power, and compact CMOS directional coupler, which only uses two active inductors. Compared with the couplers in [18] and [19], our coupler achieves much larger frequency and coupling coefficient tuning ranges, mainly due to the use of minimum number of inductors, a novel active inductors design, and paired coupling varactors. The analysis of the proposed coupler is presented in Section II. Section III gives the circuit implementation and measurement results. Section IV concludes the paper. II. CIRCUIT ANALYSIS The proposed lumped-element directional coupler exploits the low-pass architecture [6] in Fig. 1, which uses two inductors, half the amount of inductors used by the high-pass topology in [19]. Minimizing the number of inductors is critical since inductors are expensive in CMOS technology in terms of area and energy consumption. Ignoring the isolation capacitors and , the even-odd mode analysis technique [20] gives the scattering parameter matrix of the hybrid as

0018-9480/$31.00 © 2012 IEEE

(1) (2) (3) (4)

2816

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 1. Proposed directional-coupler circuit. and are isolation capacitors; and

and are varactors; are control voltages.

Fig. 2. Coupling coefficients of the proposed directional coupler. Calculation and . Simulation reresults are obtained from (14) with ideal capacitors of Fig. 1 are obtained with varactors from our chosen CMOS process. sults is tuned from 0.3 to 1.35 pF and from 210 to 549 fF.

where and are the transmission and reflection coefficients of the even- and odd-mode partial circuits, respectively. They are

The transmission coefficient

(5)

and

are

(12) (13)

(6) A. Coupling Coefficients at

The coupling coefficient of the proposed directional coupler is

(7)

(8)

and are susceptances of capacitors and , rewhere spectively, is the reactance of the inductors , and is the system impedance. To achieve low reflection coefficient and high isolation, we have (9) which yields (10) (11) where

is the center frequency of the hybrid.

(14) which is independent of inductor . Therefore, metal–oxide–semiconductor (MOS) varactors and can be used to tune the coupling coefficient independently as the calculation results shown in Fig. 2. Fig. 2 also shows the coupling coefficients obtained from Cadence Spectre simulation with the extracted coupler circuit of Fig. 1. It shows that theoretical predictions agree with simulation results reasonably well; an 8.0-dB coupling-coefficient tuning range 4 GHz can be achieved. To keep unchanged, matching conditions satisfied, and isolation performance unaffected, Fig. 3(a) shows the choice of inductor for different and . Fig. 3(b) shows explicit curves versus for a few values. B. Operating Frequencies The operating frequency of the directional coupler can be tuned by changing the inductors , as indicated in Fig. 4. To keep the coupling coefficient a constant, and should satisfy (14), which yields (15) for 3-dB operations. The capacitors ( and ) also need to be tuned accordingly to maintain low reflection coefficient, con-

SUN et al.: HIGHLY RECONFIGURABLE LOW-POWER CMOS DIRECTIONAL COUPLER

2817

Fig. 4. Operating center frequency for a 3-dB nominal coupling coefficient. is calculated from (16). The simulation results are obtained by tuning varactors and active inductors in Fig. 1.

Fig. 3. (a) Relationship of , , and for 4 GHz and high isolation while coupling coefficient is tuned independently in Fig. 2. (b) Inductor for difand given values. ferent

stant coupling coefficient, and high isolation. Substituting (10) into (11), we have

Fig. 5. Tuning of tions in Fig. 4.

and

with

for the 3-dB coupling coefficient opera-

Fig. 5 shows and when is tuned. Fig. 4 shows reasonable agreement between the calculated results from (16) and simulated results with the real coupler circuit, which will be further discussed later. Inductance is varied to tune the operating frequency of the coupler. The discrepancy is mainly caused by parasitic components of circuit elements, such as poly-Si gate resistance of MOS varactors, parasitic resistance, and capacitance of active inductors. Nevertheless, it shows that a 5-GHz tuning range can be obtained. The above analysis shows that our chosen coupler in Fig. 1 has independent and coupling-coefficient tuning capabilities. In our chosen CMOS process, the coupling coefficient can be tuned from 0.5 to 8 dB, and the operating frequency can be tuned from 2 to 6 GHz.

improved the reciprocity (i.e., symmetry) of the circuit and, therefore, the coupler performance. and are for dc isolation. MOS varactors can be approximated by a resistor in series with a nonlinear capacitor. is dominated by the poly-Si gate resistance. This series resistance has a strong impact on the coupler’s insertion loss. The inductors in Fig. 1 are critical for the coupler operation. We employ the novel active inductor proposed in [21] and [22], as shown in Fig. 6(a), while the design parameters are listed in Table I. Based on a gyrator- architecture, two transconductance amplifiers are used to convert the susceptance of the gate–source capacitance of and to inductive impedance. , , , and are the feedback pairs which can improve the self-resonant frequency, inductance value, and -factor of the active inductor. The equivalent circuit model in Fig. 6(b) gives the input impedance as [22]

III. CIRCUIT IMPLEMENTATION AND MEASUREMENT RESULTS

(17)

The directional coupler in Fig. 1 is implemented in a 0.13- m CMOS process. MOS varactors are used for and . Capacitor is tunable from 0.25 to 1.1 pF and the capacitor from 145 to 610 fF. The use of paired coupling capacitors for

(18)

(16)

(19)

2818

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 7. Die micrograph of the CMOS directional coupler. The bias voltage and are used to tune varactors and , respectively. The fab950 m. ricated chip is 1450 m

Fig. 6. (a) Active inductor for the proposed coupler. (b) Equivalent circuit of and are used to tune the active inductor parameters. the inductor.

TABLE I CIRCUIT PARAMETERS OF THE ACTIVE INDUCTOR

Fig. 8. Tuning the coupling coefficients at 4 GHz with different bias and . The blue straight line in the inset represents theoretical voltage result, the green dashed–dotted line represents simulation result, and the red dashed line represents measurement result.

The fabricated coupler is shown in Fig. 7. It occupies 350 m 340 m without the measurement pads. The majority of the area is taken by the active inductors, which are 2 270 m 45 m. The directional coupler was measured with the two-port R&S ZVA50 vector network analyzer (VNA). A. Coupling Coefficients Fig. 8 shows the measured coupling coefficients for different bias voltages at 4 GHz. The corresponding isolation is shown in Fig. 9. It is shown that the coupling coefficient of the proposed coupler can be tuned from 1.3 to 9.0 dB while the isolation is better than 30 dB on a 40-MHz bandwidth. Therefore, 74%–9% of the input power at port 1 is transmitted to port 3. Moreover, the return loss is better than 15 dB, as shown in Fig. 10. The insets of Figs. 8–10 show the comparison of , , and

Fig. 9. Measured isolation

for the coupling coefficients in Fig. 8.

magnitudes from calculation, simulation, and measurement at 4 GHz with 2.2-dB coupling coefficient. These results agree with each other reasonably well. The directional coupler draws approximately 18-mA dc current from a 1.6-V voltage supply. The power consumption is 28.8 mW. Across the entire coupling coefficient tuning range, the insertion loss is less than 2 dB.

SUN et al.: HIGHLY RECONFIGURABLE LOW-POWER CMOS DIRECTIONAL COUPLER

Fig. 10. Measured return loss for the coupling coefficients in Fig. 8.

Fig. 12. Measured

2819

and

Fig. 13. Measured isolation

at different operating frequencies

versus frequency for different

.

in Fig. 11.

Fig. 11. Measured magnitude and versus frequency for different op. The bias voltages for 2 and 6 GHz are shown in erating frequencies the figure, respectively.

B. Center Frequency versus frequency for different Fig. 11 shows center frequencies. The cross point values of and are shown in Fig. 12 [23], which also presents the measured insertion loss and phase differences when is tuned from 2 to 6 GHz for a nominal 3-dB operation. Their magnitudes fluctuate from 3.18 to 4.33 dB. Thus, the worst insertion loss is 1.33 dB and with the phase differences between port2 and port3 from to . Thus, the output phase error is less than 2 . Fig. 13 shows the measured isolation under different bias conditions. Fig. 14 shows the corresponding return loss, which is maintained greater than 25 dB. For the entire frequency tuning range, the coupler circuit draws a dc current from 12.4 to 24.5 mA, which corresponds to power dissipation from 19.84 to 39.1 mW. From (10) and (14), if , , and vary a lot for large bias voltage variations, the center frequency and coupling coefficient for our coupler will change. However, stable coupler operation has been achieved in our measurements in which the voltage tolerance was 10 mV.

Fig. 14. Measured return loss

for different

in Fig. 11.

C. 1-dB Compression Point and Noise Fig. 15 shows and at 4 GHz versus input power level from 30 to 5 dBm. When ports 3 and 4 terminated 50- loads, the 1-dB compression point of the coupler is 3.2 dBm. Compared with the coupler proposed in [18] and [19], our coupler has a higher 1-dB compression point [24], due to the use of differential active inductors. Fig. 16 shows all of the noise current sources of the proposed coupler. The noise contribution of varactors and are modeled by a shunt noise current source and , respectively [25], where is the port number. We have (20)

2820

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 17. Calculated, simulated, and measured output noise voltages at port 2. Fig. 15. Measured

and

with different input power.

Fig. 18. Measured noise for different . As the center frequency changes, the peak of the output noise shifts from 2 to 6 GHz. Fig. 16. Noise current sources for the analysis of the proposed directional coupler.

where is the Boltzmann constant, is temperature, is the channel noise factor, and is the drain–source conductance at zero . For the active inductor circuits, the output-referred noise voltage and current can be expressed as [22]

(21)

(22) To obtain the output-referred noise of the proposed coupler for port 2 and port 3, we first calculate the impedance at each port. The impedance is a parallel combination of and the input impedance of the port. Based on even and odd mode half circuit analysis, at port 2 can be expressed as [26] (23)

where and are the even- and odd-mode voltage at port 2, respectively, and and are the currents through port 2. Then, the output noise voltage at port 2 is

(24) Fig. 17 shows the measured and simulated output noise voltages when is 4 GHz. Simulation results agree reasonably well with that from (24). The measurements, conducted with a spectrum analyzer with FS-noise software, yield slightly higher noise voltages. This discrepancy is likely due to the effects of the contact pads and repeatability of probe-pad contacts, since multiple probe-tip-pads contacts are needed for the measurements. Fig. 18 shows the measured output noise at port 2 for different . Compared with the coupler in [19], our coupler has less output noise (less than 4.0 ). To further characterize its noise performance, we measured the noise figure of the coupler with the reference to [27]. An attenuator (30-dB attenuation) and a preamplifier (B&Z BZP114UB with 33 dB gain) are used to overcome the measurement difficulty [18]. The 30-dB attenuator guarantees that the input power of the coupler, the preamplifier and the network analyzer is 10 dB below their 1-dB compression point. After power calibration and noise figure calibration, a ZVA50

SUN et al.: HIGHLY RECONFIGURABLE LOW-POWER CMOS DIRECTIONAL COUPLER

2821

TABLE II COUPLERS WITH COUPLING COEFFICIENTS FREQUENCIES

AND

TUNABLE CENTER

Fig. 19. Noise figure measured by a Y-factor method and a network analyzer 4 GHz, respectively. for

much larger area. Second, compared with other CMOS couplers, our coupler has a much wider coupling-coefficient tuning range. Third, compared with the coupler in [19], our coupler occupies 1/4 of the area and consumes 1/10 of the power. At the same time, our coupler has a higher 1-dB compression point and less noise. Fig. 20. Measured noise figure for different operating center frequencies.

IV. CONCLUSION VNA is used to measure the noise figure. The obtained is shown in Fig. 19. Also shown is the obtained with a Y-factor method, which is extracted from the following equation [28], [29]: (25) where is the noise figure, is the added noise of the whole system, and is the power gain. The obtained from these two measurements agrees with each other reasonably. Fig. 20 shows measured output noise figure at port 2 at different center frequencies. The obtained is large. Simulation analysis shows that the active inductors are the main noise source. Nevertheless, low-noise amplifiers [30] can be used to suppress noise [31]–[33] for applications. Simple amplifiers [34] could also be used in conjunction with the coupler. Compared with using LNAs, total chip areas are smaller, but with higher noise figure. Table II summarizes the performance of the coupler in this work and compares with other published couplers. A few observations can be made. First, our coupler has a frequency tuning range that is a few times wider than previously published CMOS couplers. The tuning range is comparable with the coupler in [15], which has a fixed coupling coefficient. The coupler in [15] reported the widest frequency tuning range so far, but occupies

A highly reconfigurable, low-power, and compact directional coupler is proposed, analyzed, and implemented in a 0.13- m CMOS process. Varactors and novel active inductors are used as the tuning components. The operating center frequency of the coupler is tunable from 2.0 to 6.0 GHz with return loss better than 15 dB and isolation better than 32 dB. The coupling coefficient can be tuned independently from 1.3 to 9.0 dB. The measured 1-dB compression point is 3.2 dBm. These parameters are much better than previously published couplers. This coupler consumes 40 mW or less power, which is much lower than that of similar couplers. ACKNOWLEDGMENT The coupler circuits were fabricated through MOSIS service. The authors would like to thank Prof. J. Komo, Department of Electrical and Computer Engineering, Clemson University, Clemson, SC, for his help. REFERENCES [1] D. Ozis, J. Paramesh, and D. J. Allstot, “Integrated quadrature couplers and their application in image-reject receivers,” IEEE J. Solid-State Circuits, vol. 44, no. 5, pp. 1464–1476, May 2009. [2] Y. C. Chiang and M. C. Ma, “Wide-band single-side band subharmonic mixer constructed with reentrant couplers and lumped-element coupler,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 12, pp. 806–808, 2008.

2822

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

[3] H. Zhang and P. Wang, “A new method for high-frequency characterization of patterned ferromagnetic thin-films,” J. Appl. Phys., vol. 105, pp. 1–3, Apr. 2009. [4] W. M. Fathelbab, “Synthesis of cul-de-sac filter networks utilizing hybrid couplers,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 5, pp. 334–336, May 2007. [5] M. Bona, L. Manholm, and J. P. Starski, “Low-loss compact butler matrix for a microstrip antenna,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 9, pp. 2069–2075, Sep. 2002. [6] R. W. Vogel, “Analysis and design of lumped- and lumped-distributedelement directional couplers for MIC and MMIC applications,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 2, pp. 253–262, Feb. 1992. [7] R. C. Frye, S. Kapur, and R. C. Melville, “A 2 GHz quadrature hybrid implemented in CMOS technology,” IEEE J. Solid-State Circuits, vol. 38, no. 3, pp. 550–555, Mar. 2003. [8] Y. C. Chiang and C. Y. Chen, “Design of a wideband lumped-element 3-dB quadrature coupler,” IEEE Trans. Microw. Theory Tech., vol. 49, pp. 476–479, Mar. 2001. [9] H. Mextorf, T. Lehmann, and R. Knöchel, “Compact cascaded directional couplers with continuously tunable coupling ratios,” in Proc. German Microw. Conf., Mar. 2011, pp. 1–4. [10] S. Toyoda, “Variable coupling directional couplers using varactor diodes,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1982, vol. 82, no. 1, pp. 419–421. [11] S. M. Wang, C. Y. Chang, and J. Lin, “A software configurable coupler with programmable coupling coefficient,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 185–188. [12] T. Lehmann, H. Mextorf, and R. Knöchel, “Design of quadrature directional couplers with continuously variable coupling ratios,” in Proc. 38th Eur. Microw. Conf., Oct. 2008, pp. 199–202. [13] E. A. Fardin, K. Ghorbani, and A. S. Holland, “A varactor tuned branch-line hybrid coupler,” in Proc. Asia–Pacific Microw. Conf., Dec. 2005, vol. 3, pp. 4–7. [14] E. A. Fardin, A. S. Holland, and K. Ghorbani, “Electronically tunable lumped element 90 hybrid coupler,” Electron. Lett., vol. 42, no. 6, pp. 353–355, Mar. 2006. [15] F. Ferrero, C. Luxey, R. Staraj, G. Jacquemod, and V. F. Fusco, “Compact quasi-lumped hybrid coupler tunable over large frequency band,” Electron. Lett., vol. 43, no. 19, pp. 1030–1031, 2007. [16] E. E. Djoumessi, E. Marsan, C. Caloz, M. Chaker, and K. Wu, “Varactor-tuned dual-band quadrature hybrid coupler,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 11, pp. 603–605, Nov. 2006. [17] A. Ocera, P. Farinelli, P. Mezzanotte, R. Sorrentino, B. Margesin, and F. Giacomozzi, “Novel RF-MEMS widely-reconfigurable directional coupler,” in Proc. Eur. Microw. Conf., Oct. 2007, pp. 122–125. [18] H. -H. Hsieh, Y. -T. Liao, and L. -H. Lu, “A compact quadrature hybrid MMIC using CMOS active inductors,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 6, pp. 1098–1104, Jun. 2007. [19] M. A. Y. Abdalla, K. Phang, and G. V. Eleftheriades, “A compact highly reconfigurable CMOS MMIC directional coupler,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 2, pp. 305–319, Feb. 2008. [20] J. Reed and G. J. Wheeler, “A method of analysis of symmetrical fourport networks,” IEEE Trans. Microw. Theory Tech., vol. MTT-4, no. 4, pp. 246–252, Oct. 1956. [21] C. J. Li and P. S. Wang, “A high frequency tunable differential active inductor and its applications to power dividers,” in Proc. IEEE 51st Midwest Symp. Circuits Syst., Aug. 2008, pp. 285–288. [22] C. J. Li, F. Gong, and P. S. Wang, “Analysis and design of a high-Q differential active inductor with wide tuning range,” IET Circuit, Devices Syst., vol. 4, no. 6, pp. 486–495, Nov. 2010. [23] I. Ohta, X.-P. Li, T. Kawai, and Y. Kokubo, “A design of lumped-element 3 dB quadrature hybrids,” in Proc. Asia–Pacific Microw. Conf., 1997, pp. 1141–1144. [24] C. Ler et al., “CMOS Active inductor linearity improvement using FFCS technique,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 8, pp. 1915–1924, Aug. 2009.

[25] T. H. Lee, The Design of CMOS Radio-Frequency Integrated Circuits, 1st ed. New York: Cambridge Univ., 1998. [26] D. M. Pazar, Microwave Engineering, 2nd ed. New York: Wiley, 1998. [27] A. Paech, S. Neidhardt, and M. Beer, Noise Figure Measurement without a Noise Source on a Vector Network Analyzer. Munich, Germany: Rohde & Schwarz, 2010. [28] H. Packard and S. Rosa, “Fundamental of RF and microwave noise figure measurements,” Appl. Note 57–1, 1983. [29] H. Q. Zhang, C. J. Li, R. Divan, A. Hoffmann, and P. S. Wang, “Broadband mag-noise of patterned permalloy thin films,” IEEE Trans. Magn., vol. 46, no. 6, pp. 2442–2445, Jun. 2010. [30] C. J. Li, F. Gong, and P. S. Wang, “A wideband LNA matched with quasi-T-coil networks in 0.13 m CMOS,” in Proc. 53rd IEEE Int. Midwest Symp. Circuits Syst., Aug. 2010, pp. 926–929. [31] P.-S. Wu, H.-Y. Chang, M.-D. Tsai, T.-W. Huang, and H. Wang, “New miniature 15–20-GHz continuous-phase/amplitude control MMICs using 0.18- m CMOS technology,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 10–19, Jan. 2006. [32] K.-J. Koh and G. M. Rebeiz, “An X- and Ku-band 8-element phasedarray receiver in 0.18- m SiGe BiCMOS technology,” IEEE J. SolidState Circuits, vol. 43, no. 6, pp. 1360–1371, Jun. 2008. [33] K.-J. Koh and G. M. Rebeiz, “0.13- m CMOS phase shifters for X-, Ku-, K-band phased arrays,” IEEE J. Solid-State Circuits, vol. 42, no. 11, pp. 2535–2546, Nov. 2007. [34] J. Mahattanakul and J. Chutichatuporn, “Design procedure for two-stage CMOS opamp with flexible noise-power balancing scheme,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 52, no. 8, pp. 1508–1514, Aug. 2005. Jiwei Sun received the B.S. and M.S. degrees from Xidian University, Shannxi, China, in 2007 and 2010, respectively, both in electrical engineering. He is currently working toward the Ph.D. degree with a focus on CMOS RFICs design from Clemson University, Clemson, SC.

Chaojiang Li (M’12) was born in Hubei, China. He received the B.S. degree in electrical engineering from Zhejiang University, Zhejiang, China, in 2005, and the M.S. and Ph.D. degrees in electronics engineering from Clemson University, Clemson, SC, in 2008 and 2010 respectively. He is currently with the Microelectronics Division, IBM, Burlington, VT, as an Advisory Engineer/Scientist, where he is involved with front-end RFIC design with multiple CMOS/BiCMOS/SOI technologies.

Yongtao Geng received the B.S. degree in electronic science and technology and M.S. degree in microelectronics and solid-state electronics from University of Electronic Science and Technology of China, Chengdu, China, in 2005 and 2008, respectively, and the M.S. degree in electrical engineering from Clemson University, Clemson, SC, in 2010. He is currently working toward the Ph.D. degree in electrical engineering at the University of Texas at Dallas, Richardson. His research focuses on pulse generation circuits, sample-and-hold circuits for analog-to-digital conversion, and power management integrated circuits.

Pingshan Wang (M’04) received the Ph.D. degree in electrical and computer engineering, Cornell University, Ithaca, NY, in 2004. He is currently an Associate Professor with the Department of Electrical and Computer Engineering, Clemson University, Clemson, SC. His current research interests include RF devices, circuits, and systems as well as RF nanotechnology.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

2823

A 1.1-V Regulator-Stabilized 21.4-GHz VCO and a 115% Frequency-Range Dynamic Divider for -Band Wireless Communication Takahiro Nakamura, Member, IEEE, Toru Masuda, Member, IEEE, Nobuhiro Shiramizu, Member, IEEE, Akihiro Nakamura, and Katsuyoshi Washio, Fellow, IEEE Abstract—A 21.4-GHz 1.1-V regulator-stabilized voltage-controlled oscillator (VCO) with a dual-transformer configuration and a 115% frequency-range dynamic divider—both based on 0.18- m SiGe BiCMOS technology—were developed. As for the VCO, the combination of two types of transformers, which exhibit high input impedance and capacitive-input impedance, respectively, provides both wide frequency-tuning range and low phase noise. The measured phase noise of the VCO at a 1-MHz offset frequency is 109 dBc/Hz with 11.1-mW dc power dissipation (including the regulator). The figure of merit of the VCO is 192 dBc/Hz, which is the best value among 20-GHz-class silicon-based VCOs (to the best of the authors’ knowledge). As for the divider, a two-stage single-balanced mixer reduces dc power and increases operation frequency range. The measured operation frequency of the divider is from 7 to 26 GHz while dissipating only 1.15-mW dc power and occupying a small area (0.004 mm ). These performance results indicate that the proposed VCO and the divider are suitable for low-power transceivers of quasi-millimeter-wave wireless communication systems. Index Terms—Dividers, microwave and millimeter-wave circuits, SiGe BiCMOS, transformers, voltage-controlled oscillator (VCO).

I. INTRODUCTION

T

HE -band is expected to extend the capability of high-speed wireless communication because it provides a wide available bandwidth. For instance, the Federal Communications Commission (FCC) has allocated a 250-MHz bandwidth around 24 GHz for unlicensed industrial, scientific, and medical (ISM) applications. Moreover, the frequency band from 24 to 30 GHz has been allocated for local multipoint

Manuscript received December 19, 2011; revised May 30, 2012; accepted June 06, 2012. Date of publication July 20, 2012; date of current version August 28, 2012. This work was supported in part by the Ministry of Internal Affairs and Communications of the Japanese Government. T. Nakamura, T. Masuda, and N. Shiramizu are with the Central Research Laboratory, Hitachi Ltd., Kokubunji, Tokyo 185-8601 Japan (e-mail: takahiro. [email protected]; [email protected]; nobuhiro.shiramizu. [email protected]). A. Nakamura was with the Central Research Laboratory, Hitachi Ltd., Kokubunji, Tokyo 185-8601, Japan. He is now with Hitachi Research Laboratory, Hitachi Ltd., Ibaraki 319-129, Japan (e-mail: [email protected]). K. Washio was with the Central Research Laboratory, Hitachi Ltd., Kokubunji, Tokyo 185-8601, Japan. He is now with the Department of Electronic Engineering, Tohoku University, Sendai, Miyagi 980-8579, Japan (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2206400

distribution service (LMDS). The challenge for adopting this frequency band is to develop low-cost transceivers with low power consumption. Some of the building blocks and receiver blocks [1], [2] of these transceivers were designed on the basis of a low-cost silicon process, but they consume large dc power . A block diagram of a 24-GHz wireless transceiver using a 21.4-GHz frequency synthesizer is shown in Fig. 1. The lowRF building blocks, namely, a 2-mW mixer (down converter) [3] and an image-rejection 7.9-mW low-noise amplifier (LNA) [4], have already been developed by using a silicon process. The design of the synthesizer, however, remains to be completed. The power-consuming circuits in the synthesizer are the voltage-controlled oscillator (VCO) and the DIV1. The function of the VCO is to provide local signals with low phase noise, which is a critical characteristic for a quadrature phase-shift keying (QPSK) or higher phase-modulation system. The low-voltage CMOS process provides low phase noise and low power consumption [5]–[9]. However, of the VCO is insufficient the frequency-tuning range from the standpoint of process and temperature variations. According to a preliminary study, to tolerate the variations, of more than 12% is necessary. A wide-tuning-range VCO must therefore that maintains low-phase noise and low be developed by using a silicon-based process. In our previous VCO that dissipates 7.5-mW study [10], a 20% has been developed. However, its oscillation frequency was very sensitive to the supply voltage. Therefore, a regulator is required to suppress the sensitivity. For the DIV1, one circuit configuration that provides low power consumption is an injection-locked frequency divider (ILFD) [5], [11]. Such dividers, however, have inductors as the load circuits, so they exhibit or occupy either narrow operation-frequency range is not allowed when the a large chip area. The narrow VCO requires auto-calibration [12] process of the oscillation frequency. As described above, the oscillation frequency varies 12% by the process and temperature variations. This requires of the divider to have 12% additional tuning range to is necessary, when considering the the VCO, i.e., 32% calibration process of the VCO. Other types of the dividers are the ring-oscillator-based ILFD [13] and the dynamic divider [14], which have wide frequency ranges, but consume much divider maintaining low power. Designing a wideand small area is therefore another challenge for developing the synthesizer.

0018-9480/$31.00 © 2012 IEEE

2824

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 1. Block diagram of 24-GHz sliding-IF transceiver using 21.4-GHz LO1 and 2.68-GHz LO2.

In this paper, a 21.4-GHz frequency synthesizer—composed of a 1.1-V-supply low-drop-output (LDO) regulator stabilized 21.4-GHz VCO with a dual-transformer configuration and a pseudostatic dynamic divider—was developed by using SiGe BiCMOS technology [15], [16] ( GHz; Al-top-metal thickness: about 3 m). The VCO exhibits a sufficient (4.6 GHz) with low dissipation (11.1 mW) (including the LDO). The divider operates in a wide (from 7 to 26 GHz) while dissipating low (1.15 mW). II. CIRCUIT DESIGN A block diagram of the 21.4-GHz frequency synthesizer, providing a 21.4-GHz first local signal (LO1) and a 2.68-GHz second local signal (LO2), is also shown in Fig. 1. The synthesizer consists of a VCO, a first divider (DIV1), local-chain divider, and other phase-locked-loop (PLL) blocks. The local-chain dividers are conventional static dividers. To reduce of the synthesizer, the VCO and the DIV1, which were power-consuming circuits, were designed carefully from the viewpoints of reducing supply voltage and increasing voltage gain, respectively. A. VCO The proposed VCO is shown schematically in Fig. 2(a). The supply voltage of the VCO is provided through an LDO with a bandgap-reference (BGR) circuit [schematic diagram of the LDO is shown in Fig. 2(b)]. The circuit topology of the LDO is similar to that of self-regulating VCO [17]. The LDO provides 0.95-V power supply to the VCO and dissipates 2.8-mW . To attain low phase noise by minimizing the oscillating-waveform distortion, a differential Colpitts topology is adopted. The resonator of the VCO consists of an inductor , two banks of eight MOS varactors (i.e., four per bank) for coarse tuning, and both MOS varactors and pn-junction varactors for highly linear fine tuning. Compared with our previous work about the push–push VCO [18], larger (from 70 to 110 pH) and smaller supply voltage (from 3.0 to 1.1 V) are used for achieving small . To obtain a large-signal power for mixers, a mergedtransformer ( in Fig. 2) output circuit [19] is used. This output circuit can drive the mixers even though their input impedances

Fig. 2. Schematic diagram of: (a) proposed 1.1-V regulator-stabilized VCO using dual-transformer configuration and (b) LDO.

are not so high (about 200 ) even without buffer circuits. To employ this circuit topology, it is necessary to confirm the signal isolation between RF and LO, especially RF-signal leakage to the prescaler of a PLL. A possible configuration of the VCO output circuit for driving both the TX mixer and the divider is shown in Fig. 3(a). Since the mixer and divider receive the VCO output signal from the

NAKAMURA et al.: 1.1-V REGULATOR-STABILIZED 21.4-GHz VCO AND 115% FREQUENCY-RANGE DYNAMIC DIVIDER

Fig. 4. Simulated forward transmission ( of spiral transformer. impedance Fig. 3. Output-circuit configuration of VCO. (a) Conventional and (b) dualtransformer configuration.

same node, an RF-leakage signal can enter the divider directly. In consideration of the results of a simulation of the TX mixer, a 34-dBc RF-leakage signal input to the divider is assumed. When the RF-leakage signal enters the divider, its frequency is converted by the divider chain to around that of LO2 because the subtraction of the RF and LO RF and LO signals equals the frequency of LO2. This converted signal degrades the error vector magnitude (EVM) of RF so the isolation between the nodes has to be large enough. Another transformer [ in Figs. 2 and 3(b)] was therefore added to the collector nodes of Q1 and Q2 to drive the divider. As a result of driving the divider by , insertion losses of , , Q1, and Q2 add up to that of the isolation between the node RF and divider input. Total leakage from the RF node to the divider input therefore becomes small, as shown in Fig. 3(b). Compared with an injected RF signal of a conventional configuration, the injected RF signal at the divider input becomes smaller by at least 13 dB when is used for driving the divider. Transformers and are designed so as not to have a negative impact on VCO performance. The input impedances of transformers and , connected to emitter nodes Q1 and Q2, respectively, have to be large at the oscillation frequency to achieve large negative conductance generated by Q1 and Q2. Spiral-type inductors are therefore used for the primary inductor of . Secondary inductors are located under to obtain a large mutual inductance and to avoid increasing the size of the transformer. Forward transmission ( ) and of the designed , simulated by electromagnetic (EM) simulator (Momentum of Agilent Technologies), are shown in Fig. 4. At 21.4 GHz, insertion loss is 6.3 dB, and is 990 . As for of , it should be small so as to reduce the Miller effect, which is caused by the capacitors between the collector and base nodes of Q1 and Q2. To obtain both small and small insertion loss with transformer , a 20- m linewidth transmission-line (TML) transformer of which input impedance was set in the capacitive region was introduced. Since the input impedance of the divider is high (about 500 ), the return current in the secondary inductor is not large. The inductance of the TML transformer is therefore not reduced

2825

) and differential-input

as long as the inductors are located nearby each other. Thus, the line length to make capacitive can be short (as in the case of a slow-wave TML). The primary inductor and the secondary inductor are laid out on the top (sixth) metal layer and the fifth metal layer, respectively. Dependences of simulated frequency-tuning range, voltage swing at the divider input when is 21.4 GHz, and phase noise of a 21.4-GHz signal at 1-MHz offset frequency on the length of the TML transformer are plotted in Fig. 5(a)–(c), respectively. Note that the frequency-tuning range of this simulation was obtained by coarse tuning only. In this investigation, a straight-line-shape TML transformer, which was modeled by the EM simulator, was used. When the input impedance of is inductive (line length of m), phase noise is lower than that for of zero. However, the tuning range becomes small due to the Miller effect. Around of 300 m, although both the voltage swing and the tuning range become large, the phase noise is significantly degraded. When the length of is larger than 600 m, all the VCO-performance results are marginal. To minimize the size of the VCO, a 600- m TML transformer was therefore selected for . The results of an EM simulation on the designed are shown in Fig. 6. The insertion loss is 6.5 dB, the magnitude of the differential-input impedance is 39.0 , and the phase of the input impedance is 74.5° at 21.4 GHz. The Miller effect increases effective capacitance when signal phases of two nodes are opposite. Therefore, resistive component of the input impedance has to be evaluated. These simulation results indicate that the resistive impedance is only 10.4 . B. Pseudostatic Frequency Divider A dynamic divider is suitable for a low-power divider operating at quasi-millimeter-wave frequencies. Conventional dynamic dividers, however, have difficulty in achieving both wide operation-frequency range and small . A conventional Miller divider using a double-balanced mixer is shown schematically in Fig. 7. The real part of simulated small-signal open-loop gain (from to ) for the signal of the divider is shown in Fig. 8 as a dashed line. The loop gain depends on the conversion gain of the mixer, so it decreases with

2826

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 6. Simulation results for TML transformer. (a) Forward transmission ). (b) Magnitude and phase of differential-input impedance of TML ( transformer.

Fig. 5. Dependences of VCO perfomance on line length of TML transformer . (a) Frequency-tuning range. (b) Voltage swing at divider input. (c) Phase noise at 1-MHz offset frequency. Fig. 7. Schematic diagram of conventional Miller divider.

increasing frequency of the input signal. To ensure the divider operation, the loop gain of higher than 0 dB is required, whereas the gain at 21.4 GHz is barely larger than 0 dB, namely, only 2.8 dB. With our simulation results, when a differential 1.2signal is input and an ideal buffer (of which input/output impedances are 1 M /1 m ) is used as a buffer circuit, the conventional divider in Fig. 7 operates at less than 11 GHz. As shown in Fig. 8, the gain is 5.3 dB at 11 GHz. This indicates that more than 5.3-dB gain is required to ensure a startup of the divider. To increase the loop gain around 21.4 GHz, a pseudostatic divider

composed of two single-balanced mixers, as shown in Fig. 9, was introduced. As a result of using the single-balanced mixers, the gain due to the carrier-leakage signal is added to the loop gain, which therefore becomes large. The advantages of the proposed divider over the conventional Miller divider are described using Figs. 10 and 11. The magnitudes and phases of the input/output voltage signal , the voltage signal of the LO , and the output current signal

NAKAMURA et al.: 1.1-V REGULATOR-STABILIZED 21.4-GHz VCO AND 115% FREQUENCY-RANGE DYNAMIC DIVIDER

2827

Fig. 11. Magnitude and phase of voltage/current signals at each node of proposed divider: (a) within operatable frequency, (b) at high-frequency limit, and (c) at low-frequency limit.

Fig. 8. Real parts of open-loop gain of conventional Miller divider and proposed divider.

Fig. 9. Schematic diagram of proposed divider.

Fig. 10. Magnitude and phase of voltage/current signals at each node of conventional Miller divider: (a) within operatable frequency and (b) at high-frequency limit.

of the conventional Miller divider when the divider is functional are illustrated in Fig. 10(a), where is a phase shift generated by the load circuit, i.e., resistors and parasitic capacitors. Since the output current is generated by mixing the and signals, its phase is defined by the phase of the signal, i.e., degrees. For functional divider operation, the output voltage signal has to be shifted by 180° by the mixer. The phase shift is autonomously achieved as the phase difference between the signal and signal settles to the desired position. However, as shown in Fig. 10(b), the magnitude of the output-current signal becomes small, and conversion loss due to the load circuit becomes large when increasing frequency. Loop gain, therefore, also decreases, and the divider fails to operate. The magnitudes and phases of the input/output voltage signal , the voltage signal of the LO , and the output

current signal of the first single-balanced mixer of the proposed divider are shown in Fig. 11(a). Each mixer has to contribute to a 270° phase shift to achieve proper divider operation in consideration of the inverted connection from the second to the first mixer. The single-balanced mixer outputs two current signals, and , which are generated by a carrier-leakage process and a mixing process, respectively. The phase of is opposite to that of . In a similar manner to the case of a conventional divider, the phase of settles to the desired position to achieve 270° phase shift. Compared with the magnitude of resultant current of a conventional divider [see Fig. 10(a)], of the proposed divider is large due to , indicating that the proposed divider exhibits larger loop gain. This means that of the proposed divider is smaller than that of a conventional divider. At high frequency, due to the additional gain due to , a larger output-voltage signal compared with that of a conventional divider can be obtained. Large is therefore tolerated, resulting in higher frequency operation, as shown in Fig. 11(b). The proposed divider, however, has a low-frequency limit for divider operation, as shown in Fig. 11(c). At low frequency, is so small that the resultant-current vector has to be close to the vector of output voltage signal. To achieve such a resultant-current vector, the phase difference between and becomes large, resulting in small resultant current. Therefore, the loop gain becomes small. This disadvantage can be relaxed by increasing the conversion gain of the mixer. The conversion gain is degraded by parasitic capacitors at all emitter-coupled nodes. The divider was therefore designed by not only using small heterojunction bipolar transistor (HBT) devices, but also by using a resistor as a current source. This circuit configuration also reduces of the divider. The real part of the simulated loop gain of the proposed divider is also shown in Fig. 8 as a solid line. To compare the proposed divider with a conventional divider, biased current , resistance of , and sizes of HBT (Q1–Q6) are set as the same values with that of the conventional divider. At 21.4 GHz, the loop gain is 9.6 dB, which is 6.8 dB larger than that of the conventional Miller divider. The simulated open-loop gain also shows that a wide operation range from 8.0 to 38.8 GHz is obtained when 5.3-dB gain is required. III. MEASUREMENT RESULTS A micrograph of the 21.4-GHz synthesizer chip with the proposed VCO and divider is shown in Fig. 12, and a divider chip is shown in Fig. 13. The sizes of the VCO core and the divider core are 0.41 0.42 mm and only 0.08 0.05 mm, respectively. The characteristics of the VCO and the divider were obtained from

2828

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 14. Measured frequency-tuning characteristic of proposed VCO.

Fig. 12. Chip micrograph of 21.4-GHz frequency synthesizer.

Fig. 15. Measured output spectrum of proposed VCO at oscillation frequency of 21.4 GHz.

Fig. 13. Chip micrograph of developed divider.

on-wafer measurements. Supply voltages of the VCO and the divider were set at 1.1 and 1.8 V, respectively. The measured oscillation-frequency -tuning characteristics are shown in Fig. 14. The VCO can control 16 frequency states, B00, B01, , and B15, when the bit status of the capacitor banks is set appropriately. Moreover, it oscillates over a wide frequency range (from 19.8 to 24.4 GHz) with a range from 0.5 to 2.5 V, which is the same as the output range of the charge-pump circuit in the PLL. of the VCO is 4.6 GHz, which corresponds to 20.5% of the center frequency

(22.1 GHz). Moreover, the VCO covers without any gaps. The suppressed Miller effect of the capacitor between the collector and the base nodes of the transistors contributes to ensuring the wide even when the transformer is used at the collector nodes. The VCO also covers the desired local frequency, 21.4 GHz, used for a 24-GHz super-heterodyne transceiver. Measured coarse-tuning range when is set at 1.5 V is 3.6 GHz, which is 10% larger than that of the simulated one [see Fig. 5(a)]. The difference is caused by the inaccuracy in modeling MOS varactors. The measured spectrum when is 21.4 GHz is shown in Fig. 15. 11.3-dBm output is achieved. A supply pushing of the VCO measured at 21.4 GHz is shown in Fig. 16. Around 1.1 V, the pushing is 1.65 MHz/V. The small pushing is attributed to the LDO. A phase-noise spectrum measured at 24.1 GHz by using frequency state B15 and of 1.5 V is shown in Fig. 17. The phase noises were measured using an external mixer and an external 1/8 divider, as shown in Fig. 18. The measured phase noise after 1/8 division is 130.7 dBc/Hz at a 1-MHz offset frequency, which indicates that the phase noise of the VCO’s

NAKAMURA et al.: 1.1-V REGULATOR-STABILIZED 21.4-GHz VCO AND 115% FREQUENCY-RANGE DYNAMIC DIVIDER

2829

Fig. 16. Measured dependence of oscillation frequency of proposed VCO on supply voltage.

Fig. 19. Measured dependences of phase noises of proposed VCO on

Fig. 17. Measured phase-noise spectrum of proposed VCO at oscillation frequency of 24.1 GHz.

Fig. 20. Measured dependences of phase noises of proposed VCO on control . voltage

.

Fig. 18. Measurement setup for phase noise. Fig. 21. Measured input sensitivity of proposed divider.

24.1-GHz output is 112.6 dBc/Hz. The dependence of the phase noises measured at a 1-MHz offset frequency on with of 1.5 V is plotted in Fig. 19. was changed by controlling the current-control word in Fig. 2(a). The data in Fig. 19 were obtained by adding 18.1 dB, which accounts for the loss of the 1/8 divider, to the measured phase noises. includes of the regulator in the VCO (2.8 mW).

When the current-control word is set at optimized state (dashed line in Fig. 18), the phase noises lie between 112.6 to 109.9 dBc/Hz. Dependences of the measured phase noises at a 1-MHz offset frequency on the fine-tuning voltage are plotted in Fig. 20. Within the entire range from 0.5 to 2.5 V,

2830

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

TABLE I STATE-OF-THE-ART Si-BASED VCOs OPERATING AT ABOUT 20 GHz

TABLE II STATE-OF-THE-ART Si-BASED DIVIDERS OPERATING AT ABOUT 20 GHz

the phase noises are below 109.0 dBc/Hz. These low-phase noises are attributed to the optimized configuration of the transformers. Measured input sensitivity of the proposed divider is plotted in Fig. 21. In the measurement, the operation of the divider was defined by as to have no spurious tones under the desired-frequency output. When of the divider is set at 1.15 mW, is from 7 to 26 GHz, which covers the entire frequency range of the VCO. When is 2.02 mW, maximum operation frequency is up to 37 GHz. This wide is attributed to the divider’s configuration of two single-balanced mixers and high conversion gain at low frequency. The measured performance of the proposed VCO is compared with those of previously reported silicon-based VCOs (with between 28–42 GHz) in Table I. The figure of merit , with the frequency-tuning range of the VCO taken into consideration, is 191.7 dBc/Hz, which is the lowest among those of 20-GHz-class VCOs. Moreover, the measured

performance is compared with those of previously reported silicon-based dividers in Table II. Clearly, the proposed divider exhibits the widest among those of 20-GHz-class dynamic dividers and ILFDs. IV. CONCLUSION The developed 1.1-V 21.4-GHz VCO with a dual-transformer configuration demonstrated low phase noise ( 109.0 dBc/Hz) at a 1-MHz offset frequency and a wide (4.6 GHz) tuning range while dissipating low (11.1 mW). A pseudostatic frequency divider based on a self-stabilized concept was developed and demonstrated a wide frequency range (from 7 to 26 GHz) while dissipating low (1.15 mW) and occupying a small area (0.004 mm ). Since the VCO and the divider exhibit excellent performance while consuming low , they are well suitable for a low-power transceiver of quasi-millimeter-wave wireless communication systems.

NAKAMURA et al.: 1.1-V REGULATOR-STABILIZED 21.4-GHz VCO AND 115% FREQUENCY-RANGE DYNAMIC DIVIDER

REFERENCES [1] H. Hashemi, X. Guan, A. Komijani, and A. Hajimiri, “A 24-GHz SiGe phased-array receiver—LO phase-shifting approach,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 2, pp. 614–626, Feb. 2005. [2] E. Sonmez, A. Trasser, K.-B. Schad, P. Abele, and H. Schumacher, “A single-chip 24 GHz receiver front-end using a commercially available SiGe HBT foundry process,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., Jun. 2002, pp. 159–162. [3] N. Shiramizu, T. Masuda, T. Nakamura, and K. Washio, “24-GHz 1-V pseudo-stacked mixer with gain-boosting technique,” in Proc. IEEE Eur. Solid-State Circuits Conf., Sep. 2008, pp. 102–105. [4] T. Masuda, N. Shiramizu, T. Nakamura, and K. Washio, “A 50-dB image-rejection SiGe-HBT based low noise amplifier in 24-GHz band,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., pp. 307–310. [5] J.-C. Chien and L.-H. Lu, “40 GHz wide-locking-range regenerative frequency divider and low-phase-noise balanced VCO in 0.18 m CMOS,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2007, pp. 544–545. [6] Y. Wachi, T. Nagasaku, and H. Kondoh, “A 28 GHz low-phase-noise CMOS VCO using an amplitude redistribution technique,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2008, pp. 482–483. [7] H.-Y. Chang and Y.-T. Chiu, “ -band CMOS differential and quadrature voltage-controlled oscillators for low-phase-noise and low-power applications,” IEEE Trans. Microw. Theory Tech., vol. 60, no. 1, pp. 46–59, Jan. 2012. [8] T.-P. Wang, “A -band low-power Colpitts VCO with voltage-to-current positive-feedback network in 0.18 m CMOS,” IEEE Microw. Wireless Compon. Lett., vol. 21, no. 4, pp. 218–220, Apr. 2011. [9] S.-L. Liu, K.-H. Chen, T. Chang, and A. Chin, “A low-power -band CMOS VCO with four-coil transformer feedback,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 8, pp. 459–461, Aug. 2010. [10] T. Nakamura, N. Shiramizu, T. Masuda, A. Nakamura, and K. Washio, “A 20-GHz 1-V VCO with dual-transformer configuration and a pseudo-static divider on self-stabilized concept,” in Proc. IEEE Eur. Solid-State Circuits Conf., Sept. 2009, pp. 436–439. [11] T.-N. Luo and Y.-J. E. Chen, “A 0.8-mW 55-GHz dual-injection-locked CMOS frequency divider,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 3, pp. 620–625, Mar. 2008. fractional- PLL frequency [12] J. Shin and H. Shin, “A 1.9–3.8 GHz synthesizer with fast auto-calibration of loop bandwidth and VCO frequency,” IEEE J. Solid-State Circuits, vol. 47, pp. 665–675, Sep. 2012. [13] J.-C. Chien and L.-H. Lu, “Analysis and design of wideband injectionlocked ring oscillators with multiple-input injection,” IEEE J. SolidState Circuits, vol. 42, no. 9, pp. 1906–1915, Sep. 2007. [14] S. Tsunashima, K. Murata, M. Ida, K. Kurishima, T. Kosugi, T. Enoki, and H. Sugahara, “A 150-GHz dynamic frequency divider using InP/ InGaAs DHBTs,” in GaAs Symp. Dig., 2003, pp. 284–287. [15] M. Racanelli and P. Kempf, “SiGe BiCMOS technology for RF circuit applications,” IEEE Trans. Electron Devices, vol. 52, no. 7, pp. 1259–1270, Jul. 2005. [16] M. Racanelli, S. Voinegescu, and P. Kempf, “High performance SiGe BiCMOS technology,” in IEEE/ACES Int. Wireless Commun. Appl. Comput. Electromagn. Conf. Dig., Aug. 2005, pp. 430–433. [17] A. Dec, K. Suyama, and T. Kitamura, “A 4.5 GHz LC-VCO with selfregulating technique,” in IEEE Int. Solid-State Circuits Conf. Dig., Feb. 2007, pp. 90–91. [18] T. Nakamura, T. Masuda, K. Washio, and H. Kondoh, “A 59 GHz pushpush VCO with 13.9 GHz tuning range using loop-ground transmission line for a full-band 60 GHz transceiver,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2009, pp. 496–497. [19] T. Nakamura, T. Masuda, K. Washio, and H. Kondoh, “A low-phase-noise low-power 27-GHz SiGe-VCO using merged-transformer matching circuit technique,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., Jun. 2007, pp. 413–416. [20] H. Li, H.-M. Rein, R. Kreienkamp, and W. Klein, “47 GHz VCO with low phase noise fabricated in a SiGe bipolar production technology,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 3, pp. 79–81, Mar. 2002. [21] J. Kim et al., “A 44 GHz differentially tuned VCO with 4 GHz tuning range in 0.12 m SOI CMOS,” in IEEE Int. Solid-State Circuits Conf. Dig., Feb. 2005, pp. 416–417. [22] J. Chien et al., “40 GHz wide-locking-range regenerative frequency divider and low-phase-noise balanced VCO in 0.18 m CMOS,” in IEEE Int. Solid-State Circuits Conf. Dig., Feb. 2007, pp. 544–545.

2831

[23] J.-C. Chien and L.-H. Lu, “Design of wide-tuning-range millimeterwave CMOS VCO with a standing-wave architecture,” IEEE J. SolidState Circuits, vol. 42, no. 9, pp. 1942–1952, Sep. 2007. [24] O. Richard, A. Siligaris, F. Badets, C. Dehos, C. Dufis, P. Busson, P. Vincent, D. Belot, and P. Urard, “A 17.5-to-20.94 GHz and 35-to-41.88 GHz PLL in 65 nm CMOS for wireless HD applications,” in IEEE Int. Solid-State Circuits Conf. Dig., Feb. 2010, pp. 252–253.

Takahiro Nakamura (M’07) was born in Aichi, Japan, in 1976. He received B.S. and M.S. degrees in physics from Keio University, Yokohama, Japan, in 1999 and 2001, respectively. In 2001, he joined the Central Research Laboratory, Hitachi, Ltd., Tokyo, where he has been engaged in research and development on circuit designs of both mixed-signal and analog ICs for wireless communication. His current research interests are digital-rich IC design for wireless communication and high-speed IC design for wireless-communication and terahertz systems.

Toru Masuda (M’05) was born in Saitama, Japan, on December 9, 1967. He received the B.S., M.S., and Ph.D. degrees in electronic engineering from Tokyo University of Science, Tokyo, Japan, in 1990, 1992 and 2009, respectively. In 1992, he joined the Central Research Laboratory, Hitachi, Ltd., Tokyo. Since then, he has been engaged in the research and development of highs-peed memories for mainframe computers and analog ICs for communication use. During 2001–2002, he was a Visiting Researcher with Chalmers University of Technology, Gothenburg, Sweden. His current interest is in high-speed IC design for wireless communication and power electronics semiconductor circuits.

Nobuhiro Shiramizu (M’10) was born in Saitama, Japan, in 1972. He received the B.S. and M.S. degrees in electronic engineering from the Tokyo University of Science, Tokyo, Japan, in 1996 and 1998, respectively. In 1998, he joined the Central Research Laboratory, Hitachi Ltd., Tokyo, Japan. Since then, he has been engaged in research and development of analog integrated circuits (ICs) for communication use. His current research interests are in high frequency circuit design for millimeter-wave and terahertz systems.

Akihiro Nakamura was born in Kyoto, Japan, in 1984. He received the B.S. and M.S. degrees in electrical engineering from Ritsumeikan University, Shiga, Japan, in 2006 and 2008, respectively. In 2008, he joined the Central Research Laboratory, Hitachi Ltd., Tokyo, Japan, where he was engaged in research and development on circuit designs of analog ICs for wireless communication. Since 2012, he has been with the Hitachi Research Laboratory, Hitachi Ltd., Ibaraki, Japan. His current research interest is ac dump-truck driving systems for open-pit mines.

2832

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Katsuyoshi Washio (M’94–SM’02–F’04) received the B.S. and M.S. degrees in electrical engineering from Kobe University, Kobe, Japan, in 1979 and 1981, respectively, and the Ph.D. degree from Waseda University, Tokyo, Japan, in 1991. In 1981, he joined the Central Research Laboratory, Hitachi, Ltd., Tokyo, Japan, where he has been engaged in research and development on design and characterization of Si bipolar/BiCMOS process technologies, devices, and circuits for both mixed analog/ digital large-scale integration (LSI) and high-speed LSI. Since 1985, he has been a leader responsible for over research themes and projects. From 1992 to 1993, he was a Visiting Researcher with Corporate Research and Development, Siemens AG, Munich, Germany. Since 1994, as a Researching Group Leader, he has performed research on high-speed Si BiCMOS process, device, and circuit technologies for the fast-cache memory

LSI of large-size computing machines and for the IC chipset of 10- and 40-Gb/s optical-fiber links, and for the ICs of wireless communication systems. He has also been responsible for high-speed SiGe HBT/BiCMOS processes, devices, and circuit technologies for microwave/millimeter-wave communication systems, as a Chief Senior Researcher. In 2011, he became a Professor with the Department of Electronics Engineering, Graduate School of Engineering, Tohoku University, Miyagi, Japan. His current research interests include heterogeneous epitaxial growth, highly functional materials, and smart devices leading to fusion of signal propagation. During his research career, he has presented over 150 papers and presentations, including about 30 invited talks and papers on high-speed Si and SiGe bipolar/BiCMOS device technologies. Dr. Washio has served on the Technical Program Committees of the Bipolar/ BiCMOS Circuits and Technology Meeting (BCTM), the International Electron Devices Meeting (IEDM), and others.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

2833

Wideband Inductorless Balun-LNA Employing Feedback for Low-Power Low-Voltage Applications Jusung Kim, Member, IEEE, and Jose Silva-Martinez, Fellow, IEEE

Abstract—A wideband inductorless low-noise-amplifier (LNA) with single-to-differential conversion for multistandard radio applications is proposed. Noise-suppressed current-mirror-based biasing is utilized to ensure stable operation under process, voltage, and temperature variations. The inherent gain of the common-source (CS) stage is re-used to boost the trans-conductance of the common-gate (CG) stage, and hence, a noise- and power-efficient design is achieved without hurting the noise and distortion cancellation properties of the CG–CS-based balun topology. The gain and phase balance is improved by employing an efficient compensation scheme. The prototype was realized in 0.13- m CMOS, operates from 0.1 to 2 GHz, and dissipates 3 mW from 1.2-V supply while occupying a 0.075-mm active area. The balun-LNA, including the output buffer, provides 7.6-dB maximum power gain, 4.15-dB minimum noise figure, better than without any on-chip 10-dB input matching, and 0.5-dBm inductor. Index Terms—Balun, CMOS, common-gate (CG) amplifier, common-source (CS) amplifier, feedback amplifier, low-noise amplifier (LNA), multistandard receiver, multistandard transceiver, wideband.

I. INTRODUCTION

R

ECENTLY, multistandard radio receivers have drawn strong attention because future wireless communication devices must support multiple standards and features on a single chip. The low-noise amplifier (LNA), as the first active block in the receiver chain, must have good impedance matching, low noise, and high linearity across a wide frequency band. The conventional solution employs several LC-tuned LNAs to cover a dedicated small band over the desired frequency span [1], [2]. The other extreme is a wideband LNA [3] with more flexibility and better efficiency in terms of form factor, cost, and power, but its performance must be comparable to or even better than narrowband tuned LNAs due to concurrent reception of unfiltered multistandard signals. For instance, a transmitter (TX) jammer from the frequency-division duplexed (FDD) system presents the strongest blocker ( 20 dBm) in the receiver [4], [5]. A wideband LNA cannot handle such a large blocker without the help of passive filters, such as surface Manuscript received March 06, 2012; revised June 15, 2012; accepted June 18, 2012. Date of publication July 24, 2012; date of current version August 28, 2012. J. Kim is with Qualcomm Inc., San Diego, CA 92121 USA (e-mail: [email protected]). J. Silva-Martinez is with the Analog and Mixed Signal Center, Texas A&M University, College Station, TX 77843 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2206825

acoustic wave (SAW) and thin film bulk acoustic resonator (FBAR) filters. However, passive filters are typically narrowband. Hence, large banks of filters are required for wideband systems, which are expensive [5]. A balanced and symmetrical architecture is preferred over an unbalanced one due to its robustness to power-supply and substrate noise. Second-order distortion in the receive chain is significantly reduced when differential (balanced) signaling in the receive chain is adopted. However, antennas and RF filters typically produce single-ended input/output (I/O), and thus, at some point in the receiver, single-to-differential circuitry is required [6], [7]. Passive components have been used to implement the single-to-differential conversion, but this solution is usually bulky and therefore not suitable for integrated circuits [8], [9]. A passive-balun is also lossy and narrowband so that several components dedicated to each frequency band are required for wideband operation, leading to higher costs. Current state-of-the-art RF systems with high-sensitivity requirements demand high-performance baluns that have low loss and small area. An active-balun satisfies these requirements very well since it provides sufficiently high power gain and low noise over a wideband. In addition, an active-balun should present good performance in power supply rejection, output balancing, and linearity, especially without a pre-filtering stage. Several topologies exist presently, which are: 1) a single transistor with common-source (CS) and common-drain (source follower) outputs [6], [10]; 2) a differential pair with a single input ac grounded [11]–[13]; and a 3) CS and common-gate (CG) pair [14]–[16]. In this paper, we present an inductorless balun-LNA based on the CG–CS topology. Previous studies [14], [15] have shown that CG–CS topology with trans-conductance scaling in the CS stage can achieve a low noise figure (NF) ( 3 dB) with a balanced output and noise and distortion cancellation. However, the noise and headroom issue due to the biasing of the CG stage was not fully accounted for, previous studies used either noisy bias resistor [14] or a noiseless and bulky inductor in [15]. A passive device used as a current source suffers from process, voltage, and temperature (PVT) variations, in contrast with the stable operation if a bias scheme based on currentmirror is employed. The proposed current-mirror biasing with a PVT insensitive current source (or sink) presents strong immunity to process and temperature variations. The proposed architecture employing negative feedback features lower power and achieves better bandwidth with minimal noise due to the active bias current source. The frequency compensation in the CS stage ensures better gain and phase balance, whereas previous studies [14], [15] show frequency-response mismatch between CG and CS stages.

0018-9480/$31.00 © 2012 IEEE

2834

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 1. Active-balun topologies. (a) Single transistor topology. (b) Differential topology with a single input ac grounded. (c) CG–CS topology.

This paper is organized as follows. Section II reviews the active-balun topologies and their properties. Section III describes the proposed inductorless balun-LNA and provides analytical expressions for input impedance, gain, bandwidth, NF, and output balancing. Section IV presents measurement results, and concluding remarks are given in Section V. II. ACTIVE-BALUN TOPOLOGIES AND THEIR PROPERTIES Several passive and active differential phase shifters or baluns exist in the literature. A passive balun, due to its lossy and bulky nature, is not suitable for integrated circuit operations and thus is not considered further. Fig. 1 shows several previously proposed active-balun topologies [6], [10]–[16]. The single transistor topology in Fig. 1(a) with 180 antiphase outputs at drain and source terminals is probably the simplest implementation of the active-balun. However, the asymmetric source and drain parasitics at its two outputs causes unequal signal leakage, especially at high frequency. In order to achieve a good balance at high frequency ( 1 GHz), a dummy transistor to compensate for unequal parasitics is deliberately added in [6], but this solution requires careful simulation and design to ensure sufficient gain and phase balance. Cross connection of three single transistor phase shifter is utilized to cancel the imbalance between differential output in [10] at the expense of degradation in other performances (e.g., noise and linearity).

Fig. 1(b) shows the differential topology where one of the differential pair inputs is ac grounded. The RF signal is applied at the gate of one of the differential pair transistors, and ideally RF current flows through both differential pair branches with the same magnitude, but opposite direction [17]. Two nonidealities limit the balance of the differential pair topology, which are finite impedance and feed-forward path mainly due to of differential pair transistors. The imbalance due to these effects prevents the use of differential pair topology at high frequency. One solution is to feed back a fraction of the single-ended output signal to the second transistor [13]. A feed-forward path from the input node to noninverting output node can also be employed to shift the zero of the noninverting output node to a lower frequency [17]. The CG–CS topology in Fig. 1(c) has drawn significant attention due to its advantageous properties of noise and distortion cancellation [14]–[16]. Blaakmeer et al. [14] demonstrated that, by trans-conductance scaling, the CG–CS topology can provide wideband matching, noise and distortion cancellation, and output balancing. In this study [14], the authors provide the output balancing condition at low frequency, but do not explicitly show how the differential output can be balanced at high frequency, especially when the trans-conductance of the CS stage is scaled ( 4 ), although the measurement shows good gain and phase balance up to 3.5 GHz. In [16], local feedback is utilized to boost the trans-conductance of the CG stage. However, the feedback signal is accommodated from the cascode node of the CS stage where low signal swing is desired to minimize the Miller effect and enhance the frequency response. A resistive bias is also used for the CG stage susceptible to PVT variations. The studies in [18] and [19] employed a differential current balancer (DCR) to compensate for gain and phase imbalance and showed good performance. The DCR can be inserted between CG–CS amplifiers and the load at the expense of voltage headroom [19]. In [18], the DCR is cascaded between the passive balun and the mixer with a large silicon area. In both these cases, good gain and phase balance in [18] and [19] are mainly due to the differential nature of the DCR. III. WIDEBAND INDUCTORLESS BALUN-LNA Fig. 2 shows the proposed wideband inductorless balun-LNA employing negative feedback. The compensation scheme for output balance is not shown here so as not to clutter the schematic of the proposed balun-LNA. The impedance-matching device amplifies the signal and provides the main noninverting signal path in CG configuration. The CS amplifier due to ideally shows 180° phase shift with respect to that of the noninverting CG stage. The inherent inverting gain of the CS stage is fed back to the gate of to boost the trans-conductance of , and therefore, the required can be reduced by the loop gain factor of , where is the gain of the CS stage. Both device size and power consumption in the CG stage is reduced as well; notice that the reduction factor is design dependent. An additional benefit due to the feedback is noise suppression of the CG stage bias transistor due to the reduced current demanded by

KIM AND SILVA-MARTINEZ: WIDEBAND INDUCTORLESS BALUN-LNA

2835

The input impedance of the balun-LNA in terms of device parameters can be approximated as (3) where and are output resistance and capacitance of the CS stage, respectively, and parasitic capacitor arises from the input pad, , , and . The device size in the proposed architecture is much smaller, and furthermore, the circuitry does not suffer from the Miller effect due to of in contrast to the architecture in [16]. B. Noise Analysis

Fig. 2. Simplified schematic of inductorless balun-LNA employing feedback.

with minimal voltage headroom allocated for . With the given bias current from input match condition, the only way to further minimize the noise contribution due to is to lower its current noise source at the expense of higher voltage headroom . Hence, there is a tradeoff between noise contribution due to the CG stage bias transistor and its headroom. Low noise design dictates the high voltage supply required and both parameters cannot be optimized at the same time. Previous works in [14] and [15] used either noisy resistor bias with large resistance to minimize noise at the expense of higher voltage headroom or employed a noiseless and an area-inefficient inductor. The proposed architecture maintains the noise and distortion cancellation properties of the original circuit with lower power and voltage supply. Two frequency compensation schemes to balance gain and phase of differential outputs are proposed and will be discussed in Section III-C.

The CG–CS topology is a well-known architecture and has been widely analyzed [22], [23]. The property of noise and distortion cancellation due to the CG stage was not clearly stated before, and recent works in [14]–[16] better utilized those properties by scaling trans-conductance of the CS stage. The detailed analysis for the NF of previous work without the series-shunt feedback is cumbersome, and thus the final results are given as follows without a detailed proof:

contribution

contribution

load resistor contribution (4) contribution where

is the differential voltage gain expressed as (5)

A. Input Match The input impedance of the inductorless balun-LNA employing negative feedback at low frequency can be easily evaluated using Blackman’s formula [20], [21] as follows: port short circuited port open circuited

(1)

where is the input impedance with the feedback loop broken. Since the input impedance of the CS stage is capacitive, the real part of input impedance with the feedback loop open is mainly defined by the of the CG stage. For the series-shunt (voltage-voltage) feedback used in the proposed architecture, shorting the port kills the loop gain. Equation (1) can then be further simplified to port open circuited

Assuming square-law behavior of the MOS transistor in satu, (4) ration under impedance match condition can be rewritten as

(2)

(6) and are the overdrive voltage of and where , respectively. It is explicit from (6) that the noise contribution due to current source has a direct tradeoff with its voltage headroom . A similar conclusion can be drawn if the resistor is used for CG bias [14]. The thermal noise of the bias resistor can be reduced if its value is increased at the expense of additional voltage headroom. For instance, in [14], a 350- resistor was used for CG

2836

Fig. 3. NF versus bias for

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

,

scaling with and without noise contribution due to CG , , and .

bias to minimize its noise contribution. RF choke in [15] could eliminate bias-network noise contribution. Fig. 3 shows the NF of the CG–CS topology without the proposed series-shunt negative feedback. The trans-conductance scaling of the CS stage is represented by , and is set to be 20 mS to ensure ideal input impedance matching with the reactive terms ignored. is used due to the assumption that both and have 0.3 V of overdrive voltage with 1.2-V supply. From the input impedance match condition mS , requires 0.6 V of voltage drop assuming the classic square-law behavior of MOS in saturation . The noise contribution due to current mirror bias with is appreciable and should be carefully evaluated. Notice that noise performance can be enhanced if the voltage headroom due to current mirror is increased. scaling denoted as in addition to scaling can further improve NF of the active-balun based on CG–CS topology. Fig. 4 clearly shows that only due to the scaling of both can the conventional CG–CS topology achieve sufficient low NF. The NF of the architecture employing the proposed feedback network can be expressed as

Fig. 4. NF versus . and

and

Fig. 5. NF versus

at

scaling at

and

,

,

.

where is the differential voltage gain of the proposed architecture, and is the boosting factor expressed as (8) (9)

contribution

contribution

load resistor contribution (7) contribution

The second term in (7) represents the noise contribution of transistor , and its noise is totally cancelled when the outputs are balanced. The third term represents the thermal noise contribution of the CS transistor . It is not apparent, but can be shown that the noise due to is minimized with larger loop gain . The last term accounts for the noise due to CG stage bias, and its noise is attenuated by the factor, when compared with the conventional topology [14], [15]. Fig. 5 shows that, with enough loop gain , the proposed architecture can achieve sufficiently low NF even if is not scaled.

KIM AND SILVA-MARTINEZ: WIDEBAND INDUCTORLESS BALUN-LNA

2837

Usually the nondominant pole due to the cascode device is an order of magnitude higher than the dominant pole at the output of the CS stage and then it is neglected in the following derivation. Small-signal analysis of Fig. 6 shows that the high-frequency voltage gain at yields

(13) Notice from (12) and (13) that the output balance at low frequency is satisfied when noise and distortion canceling conditions are met. The signal path generates a pole and zero pair for the noninverting path in addition to the pole at . Since the pole at is common for both CG and CS stages, the gain and phase imbalance can then be expressed as Fig. 6. Balun-LNA with parasitic capacitance for gain and phase imbalance analysis.

C. Gain and Phase Balance and Their Compensation Since the balun-LNA is typically interfaced with the doublebalanced mixer, the gain and phase balance of the balun-LNA is critical and determines several of the receiver system performances, such as power supply rejection and LO leakage. For instance, the phase imbalance must be within 5° to suppress LO leakage by more than 25 dBc [24]. The transfer function from to the noninverting and inverting output is derived to obtain the gain and phase error of the proposed balun-LNA; the analysis includes the parasitic capacitance shown in Fig. 6. The transfer function from the port to does not incur imbalance, and thus, not considered in the derivation. is the total capacitance due to , , and . is the parastitic effects at the drain of and source of . The approximate capacitance of and is given by (10)

(14)

boosting Taking into consideration the effect of and scaling , the gain and phase imbalance due to the zero are negligible. In (14), the dominant pole is determined by and the zero is pushed to high frequency by the factor . According to (14), high-frequency gain and phase imbalance of the proposed architecture are primarily generated by the pole at the output of CG stage. The capacitor between CG and CS stage output shown in Fig. 7 can be included to compensate for the gain and phase imbalance. With the compensation capacitor only (i.e., ), the transfer functions can be derived as (15), shown at bottom of this page, where and are as follows:

(11) where Miller approximation is utilized to capture the capacitance boosting due to feedback. The voltage gain from to the CS (inverting) stage output is then derived as (12)

(16) (17) Perfect balance in gain and phase can then be achieved by equating the two transfer functions. Since the natural response (pole) of the system are equivalent, the condition of equal zeros

(15)

2838

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

TABLE I DEVICE DIMENSION

to balance the balun-LNA. The drawback of this compensation scheme is the noise degradation due to the finite resistance at the gate of the cascode transistor. At high frequencies, the noise contribution due to rises considerably; its noise factor can be expressed as Fig. 7. Balun-LNA with gain and phase compensation network. (a) . (b)

(20)

.

in inverting and noninverting output paths leads to the following condition: (18) is the ratio of where CG and CS amplifier trans-conductance. The shortcoming of the proposed compensation is the dependency on the parasitic capacitance value of differential outputs ( and ) and, therefore, the required compensation component can be either capacitive or inductive. The loading due to the next stage (e.g., mixer) is symmetrical and usually more dominant than the parasitic of the balun-LNA at the differential output node in the typical implementation, and therefore, is negative (inductive) with and . The alternative compensation scheme employs the gate resistance in the cascode transistor. The gate resistance of the cascode transistor is beneficial for both differential output symmetry (balance) and stability because a capacitively degenerated transistor exhibits negative real impedance in contrast to the positive real impedance synthesis widely adopted in inductor degenerated LNAs [25], [26]. It is well known that a parasitic inductance at the gate of a cascode transistor can lead to a Colpitts oscillator. The gate resistance added at the gate of the cascode transistor acts to de- the resonator and improves the stability [27]. The voltage gain from to the CS (inverting) stage output with , but , is now expressed as

(19) The current transfer due to the cascode transistor is a secondorder function and is computed to balance the architecture. Without , the nondominant pole due to the cascode is much higher frequency than the dominant pole, as seen in (12), and is negligible. adds a degree of freedom used

where is the differential voltage gain of the proposed architecture expressed in (9). A stabilizing and compensating resistor of is chosen based on the tradeoff between output symmetry and NF degradation due to . In simulation, 0.3 dB of the NF increase at the upper 3-dB bandwidth frequency is observed when gate resistance compensation is employed. The design values of the balun-LNA are summarized in Table I. IV. MEASUREMENT RESULTS The balun-LNA was designed in TSMC 0.13- m CMOS technology and encapsulated in a quad flat no-lead (QFN) package. Fig. 8 shows the die photograph of the balun-LNA with a probe buffer. The active area of the chip and balun-LNA core are only 250 m 300 m and 170 m 150 m, respectively, since no on-chip inductor was used. To drive the 50- load (port), a probe buffer (source follower) is employed to interface the balun-LNA core and the port. The output impedance of the probe buffer is designed to be larger than 50 with smaller parasitics to extend the output matching bandwidth. Since the proposed design provides single-ended input and differential output in a wideband fashion, a wideband off-chip balun is employed to convert the differential outputs to the single-ended output for -parameter, NF, and linearity measurement. Fig. 9 shows the measured and simulated input impedance matching and power gain of the balun-LNA including the probe buffer. The measured is below 10 dB up to 2.7 GHz and matches very well with the simulated results. The maximum is 7.6 dB with 3-dB bandwidth at 2 GHz. Limited at low frequency is due to the ac coupling capacitors used in the signal path. Bandwidth limitation at high frequency is due to the parasitic capacitors , , , and , as well as QFN package, bonding, and printed circuit board (PCB) parasitics. The package and bonding effects are modeled by an LC network with the assumption of 1 nH inductance per 1 mm bonding wire length. The measured 3-dB bandwidth of 2 GHz is lower than simulated 3-dB bandwidth of 3.5 GHz due to the FR-4 PCB and its trace parasitics. Note that unloaded

KIM AND SILVA-MARTINEZ: WIDEBAND INDUCTORLESS BALUN-LNA

2839

Fig. 10. Measured

and

.

Fig. 8. Die photograph of the balun-LNA.

Fig. 11. Measured NF and NF of balun-LNA core versus frequency. Fig. 9. Measured

and

.

voltage gain of the balun-LNA core is 9 dB higher than measured with voltage-halving at the matched output and from 50- to 100- conversion of the balun. Fig. 10 shows the measured and simulated reverse isolation and output impedance matching of the balun-LNA core and the probe buffer. Within the 3-dB bandwidth frequency, measured and are better than 35 and 10 dB, respectively. Fig. 11 shows the measured and simulated NF of the proposed balun-LNA core and the probe buffer. Minimum NF measured within amplifier’s 3-dB bandwidth is 4.15 dB at 1.5-GHz RF frequency, whereas the minimum NF simulated is 4.1 dB at the same frequency. The NF of the probe buffer was not measured separately. Simulated NF of the probe buffer was de-embedded from the measured NF of balun-LNA core and the probe buffer. The procedure is detailed in the Appendix. A minimum NF of balun-LNA core is 3.8 dB after de-embedding the probe buffer effect. The linearity test ( and ) was performed with the balun-LNA core and the probe buffer, as shown in Figs. 12 and

Fig. 12. Measured

at

GHz with 4-MHz two-tone space.

13. Different two-tone spacing of 4 and 20 MHz were applied since widely spaced tones from various mobile standards dominate in wideband systems. The measured is invariant to frequency spacing and shows 0 dBm within the amplifier’s 3-dB bandwidth. As shown in Fig. 12, the proposed balun-LNA

2840

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

TABLE II COMPARISON TO RECENTLY PUBLISHED STUDIES

Minimum of 3-dB bandwidth and Voltage gain Average NF Active area size Simulation results with device mismatch Single-to-differential conversion Unloaded voltage gain of balun-LNA core De-embedded NF of balun-LNA core Simulation results GHz GHz

Fig. 13. Measured linearity (

and

dB

) versus frequency.

does not have significant higher order distortion (fifth, seventh, and higher) up to 10 dBm of input power. For measurement, one input tone is fixed at 1.4 GHz, while the other tone was swept from 0.2 to 3 GHz. An higher than 14 dBm over the full bandwidth range is achieved. If needed, resistive calibration to improve can be deployed to further enhance

Fig. 14. Gain error (in decibels) and phase error (in degrees) of the proposed balun-LNA.

the second-order distortion performance, as previously used in the Gilbert-cell mixer [28]. Fig. 14 shows the gain and phase imbalance between noninverting and inverting outputs. The gain and phase imbalance is within 0.5 dB and 5°, respectively, when measured in the

KIM AND SILVA-MARTINEZ: WIDEBAND INDUCTORLESS BALUN-LNA

2841

range from 500 MHz to 2.7 GHz. Below 400 MHz, large mismatch is measured in both gain and phase, whereas this effect was not present in simulations. We attribute the imbalance to the unwanted resonance due to asymmetric bypass capacitors on-chip and bond-wire inductance. The relatively small ac coupling capacitor used for feedback also degrades the gain imbalance at low frequency. The measured performance of the proposed balun-LNA is summarized in Table II. Recently published works in balun-LNAs are compared with the proposed architecture. Competitive performance is obtained with the lowest power consumption.

is the output-referred noise voltage due to the where balun-LNA core and is the input-referred noise voltage due to the probe buffer. The denominator in (A.3) is the combined effect of signal loss at the buffer output and the conversion from 50- input to 100- output. The analytical expression, (A.2), is derived at the output of the balun-LNA and the input of probe buffer, but de-embedding buffer’s noise can be applied to (A.2) with and at the probe buffer output. The NF of the standalone balun-LNA denoted as can then be derived from measured and , and the simulated and as follows:

V. CONCLUSIONS This paper has presented a balun-LNA architecture, and provided a detailed analysis of its performance: gain, NF, bandwidth, and differential symmetry (balance). The inherent gain of the CS (inverting) stage is utilized to reduce the power consumption and improve the balun-LNA’s performance, while noise and linearity cancellation properties of CG–CS balun topology are preserved. A current-mirror based biasing scheme is used to ensure stable operation over PVT variations. The noise contribution due to the current source is reduced with less voltage headroom when the proposed feedback scheme is employed. In addition, two gain and phase compensation schemes are introduced in Section III-C. Measurement results for the proposed balun-LNA realized in 0.13- m CMOS demonstrate 7.6-dB maximum power gain, 4.15-dB minimum NF, and better than 0.5-dBm IIP3, while dissipating only 3 mA from 1.2-V supply. A comparison of measurement results with the recently published balun-LNAs shows that the proposed balun-LNA without any inductors on-chip show very competitive performances with lowest power consumption. APPENDIX DE-EMBEDDING NF FOR STANDALONE BALUN-LNA Since a standalone probe buffer was not included on the measured die, the effect of the probe buffer is de-embedded employing the simulation results of the buffer. The probe buffer’s input-referred voltage noise is twice the noise of the source–follower, which is computed as follows: (A.1) where and are the trans-conductance of the source–follower device and current–source device in the probe buffer. The NF measured in the laboratory includes the noise due to the balun-LNA and probe buffer as well, which can be expressed as (A.2)

(A.3)

(A.4)

ACKNOWLEDGMENT The authors would like to thank the Taiwan Semiconductor Manufacturing Company (TSMC), Hsinchu, Taiwan, for support for chip fabrication. The authors would also like to thank R. Kulkarni, Broadcom Corporation, Sunnyvale, CA, M. Onabajo, Northeastern University, Boston, MA, H. J. Jeon, Texas A&M University, College Station, J. Wardlaw, Cirrus Logic Inc., Austin, TX, and E. Pankratz, Silicon Laboratories Inc., Austin, TX, for their technical discussions.

REFERENCES [1] K. Vavelidis, I. Vassiliou, T. Georgantas, A. Yamanaka, S. Kavadias, G. Kamoulakos, C. Kapnistis, Y. Kokolakis, A. Kyranas, P. Merakos, I. Bouras, S. Bouras, S. Plevridis, and N. Haralabidis, “A dual-band 5.15–5.35-GHz, 2.4–2.5-GHz 0.18 m CMOS transceiver for 802.11a/b/g wireless LAN,” IEEE J. Solid-State Circuits, vol. 39, no. 7, pp. 1180–1184, Jul. 2004. [2] M. Zargari, M. Terrovitis, S. H.-M. Jen, B. J. Kaczynski, M. Lee, M. P. Mack, S. S. Mehta, S. Mendis, K. Onodera, H. Samavati, W. W. Si, K. Singh, A. Tabatabaei, D. Weber, D. K. Su, and B. A. Wooley, “A single-chip dual-band tri-mode CMOS transceiver for IEEE 802. 11a/b/g wireless LAN,” IEEE J. Solid-State Circuits, vol. 39, no. 12, pp. 2239–2249, Dec. 2004. [3] J. Kim, S. Hoyos, and J. Silva-Martinez, “Wideband common-gate CMOS LNA employing dual negative feedback with simultaneous noise, gain, and bandwidth optimization,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 9, pp. 2340–2351, Sep. 2010. [4] H. Khatri, P. Gudem, and L. E. Larson, “Integrated RF inteference suppression filter design using bond-wire inductors,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 5, pp. 1024–1034, May 2008. [5] C. Svensson, “The blocker challenge when implementing software defined radio receiver RF frontends,” Analog Integr. Circuits Signal Process., vol. 64, pp. 81–89, Aug. 2010. [6] J. Ryynanen, K. Kivekas, J. Jussila, A. Parssinen, and K. A. I. Halonen, “A dual-band RF front-end for WCDMA and GSM applications,” IEEE J. Solid-State Circuits, vol. 36, no. 8, pp. 1198–1204, Aug. 2001. [7] J. Ryynanen, K. Kivekas, J. Jussila, L. Sumanen, A. Parssinen, and K. A. I. Halonen, “A single-chip multimode receiver for GSM900, DCS1800, PCS1900, and WCDMA,” IEEE J. Solid-State Circuits, vol. 38, no. 4, pp. 594–602, Apr. 2003. [8] S. Parisi, “180 degree lumped element hybrid,” in IEEE MTT-S Int. Microw. Symp. Dig., 1989, pp. 1243–1246. [9] M. Goldfarb and A. Platzker, “A wide range analog MMIC attenuator with integral 180 degree phase shifter,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 1, pp. 156–158, Jan. 1994.

2842

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

[10] M. Goldfarb, J. B. Cole, and A. Platzker, “A novel MMIC biphase modulator with variable gain using enhancement-mode FETS suitable for 3 V wireless applications,” in IEEE MTT-S Int. Microw. Symp. Dig., 1994, pp. 99–102. [11] S. Joo, T. Y. Choi, J. Y. Kim, and B. Jung, “A 3-to-5 GHz UWB LNA with a low-power balanced active balun,” in Proc. IEEE Radio Freq. Integr. Circuits (RFIC) Symp., Jun. 2009, pp. 303–306. [12] M. Rajashekharaiah, P. Upadhyaya, D. Heo, and E. Chen, “A new gain controllable on-chip active balun for 5 GHz direct conversion receiver,” in IEEE ISCAS, May 2005, pp. 5115–5118. [13] H. Ma, S. J. Fang, F. Lin, and H. Nakamura, “Novel active differential phase splitters in RFIC for wireless applications,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 2597–2603, Dec. 1998. [14] S. C. Blaakmeer, E. A. M. Klumperink, D. M. W. Leenaerts, and B. Nauta, “A wideband balun-LNA with simultaneous output balancing, noise-canceling and distortion-canceling,” IEEE J. Solid-State Circuits, vol. 43, no. 6, pp. 1341–1350, Jun. 2008. [15] S. C. Blaakmeer, E. A. M. Klumperink, D. M. W. Leenaerts, and B. Nauta, “THE BLIXER a wideband balun-LNA–I/Q-mixer topology,” IEEE J. Solid-State Circuits, vol. 43, no. 12, pp. 2706–2715, Dec. 2008. [16] H. Wang, L. Zhang, and Z. Yu, “A wideband inductorless LNA with local feedback and noise cancelling for low-power low-voltage applications,” IEEE Trans. Circuits Syst., vol. 57, no. 8, pp. 1993–2005, Aug. 2010. [17] G. Zhang, “CMOS front-end amplifier for broadband DTV tuner,” Master’s thesis, Dept. Elect. Comput. Sci., Texas A&M University, College Station, TX, May 2005. [18] M. A. Martins, P.-I. Mak, and R. P. Martins, “A single-to-differential LNA topology with robust output gain-phase balancing against balun imbalance,” in IEEE ISCAS, May 2011, pp. 289–292. [19] P.-I. Mak and R. P. Martins, “A 0.46-mm 4-dB NF unified receiver front-end for fullband mobile TV in 65-nm CMOS,” IEEE J. SolidState Circuits, vol. 46, no. 9, pp. 1970–1984, Sep. 2011. [20] R. B. Blackman, “Effect of feedback on impedance,” Bell Syst. Tech. J., vol. 23, pp. 269–277, Oct. 1943. [21] P. J. Hurst, “A comparison of two approaches to feedback circuit analysis,” IEEE Trans. Educ., vol. 35, no. 3, pp. 253–261, Aug. 1992. [22] K. Bult and H. Wallinga, “A class of analog CMOS circuits based on the square-law characteristic of an MOS transistor in saturation,” IEEE J. Solid-State Circuits, vol. SC-22, no. 6, pp. 357–365, Jun. 1987. [23] B. Gilbert, “The MICROMIXER: A highly linear variant of the Gilbert mixer using a bisymmetric Class-AB input stage,” IEEE J. Solid-State Circuits, vol. 32, no. 9, pp. 1412–1423, Sep. 1997. [24] H. Koizumi, S. Nagata, K. Tateoka, K. Kanazawa, and D. Ueda, “A GaAs single balanced mixer MMIC with built-in active balun for personal communication systems,” in IEEE MTT-S Int. Microw. Symp. Dig., 1995, pp. 77–80. [25] M. El-Nozahi, E. Sánchez-Sinencio, and K. Entesari, “A CMOS lownoise amplifier with reconfigurable input matching network,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 5, pp. 1054–1062, May 2009. [26] D. K. Shaeffer and T. H. Lee, “A 1.5-V, 1.5-GHz CMOS low noise amplifier,” IEEE J. Solid-State Circuits, vol. 32, no. 5, pp. 745–759, May 1997. band CMOS LNA design tech[27] B. Afshar and A. M. Niknezad, “ niques,” in Proc. IEEE Custom Integr. Circuits Conf., Sep. 2006, pp. 389–392. [28] M. Hotti, J. Ryynanen, K. Kivekas, and K. Halonen, “An IIP2 calibration technique for direct conversion receivers,” in IEEE ISCAS, May 2004, pp. 257–260. [29] W.-H. Chen, G. Liu, B. Zdravko, and A. Niknejad, “A highly linear broadband CMOS LNA employing noise and distortion cancellation,” IEEE J. Solid-State Circuits, vol. 43, no. 5, pp. 1164–1176, May 2008. [30] S. Woo, W. Kim, C. Lee, K. Lim, and J. Laskar, “A 3.6 mW differential common-gate CMOS LNA with positive-negative feedback,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2009, pp. 218–219. [31] F. Bruccoleri, E. Klumperink, and B. Nauta, “Wide-band CMOS lownoise amplifier exploiting thermal-noise cancelling,” IEEE J. SolidState Circuits, vol. 39, no. 2, pp. 275–282, Feb. 2004.

[32] R. Ramzan, S. Andersson, J. Dabrowski, and C. Svensson, “A 1.4 V 25 mW inductorless wideband LNA in 0.13 m CMOS,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2007, pp. 424–425. [33] A. Bevilacqua and A. M. Niknejad, “An ultrawideband CMOS lownoise amplifier for 3.1–10.6-GHz wireless receivers,” IEEE J. SolidState Circuits, vol. 39, no. 12, pp. 2259–2268, Dec. 2004. [34] S. Chehrazi, A. Mizraei, R. Bagheri, and A. Abidi, “A 6.5 GHz wideband CMOS low noise amplifier for multi-band use,” in Proc. IEEE Custom Integr. Circuits Conf., Sep. 2005, pp. 796–799. [35] R. Bagheri, A. Mizraei, S. Chehrazi, M. E. Heidari, M. Lee, M. Mikhemar, W. Tang, and A. Abidi, “An 800-MHz–6 GHz software-defined wireless receiver in 90-nm CMOS,” IEEE J. Solid-State Circuits, vol. 41, no. 12, pp. 2860–2876, Dec. 2006.

Jusung Kim (S’10–M’12) received the B.S. degree in electrical engineering (with highest honors) from Yonsei University, Seoul, Korea, in 2006, and the Ph.D. degree in electrical engineering from Texas A&M University, College Station, in 2011. In the summer of 2008, he was an Analog Integrated Circuit (IC) Design Engineer with Texas Instruments, Dallas, TX, where he designed an RF front-end for multistandard analog and digital TV silicon tuners. Since January 2011, he has been with Qualcomm Inc., San Diego, CA, where he designs RF integrated circuit (RFIC) products for third-generation (3G) and fourth-generation (4G) cellular systems. His research interests include transceiver system and circuit design at RF and millimeter-wave frequencies.

Jose Silva-Martinez (SM’98–F’10) was born in Tecamachalco, Puebla, México. He received the M.Sc. degree from the Instituto Nacional de Astrofísica Optica y Electrónica (INAOE), Puebla, México, in 1981, and the Ph.D. degree from the Katholieke Univesiteit Leuven, Leuven, Belgium, in 1992. From 1981 to 1983, he was with the Electrical Engineering Department, INAOE, where he was involved with switched-capacitor circuit design. In 1983, he joined the Department of Electrical Engineering, Universidad Autónoma de Puebla, where he remained until 1993. He pioneered the graduate program on opto-electronics in 1992. In 1993, he rejoined the Electronics Department, INAOE, and from May 1995 to December 1998, was the Head of the Electronics Department. He was a cofounder of the Ph.D. program on electronics in 1993. He is currently with the Department of Electrical and Computer Engineering (Analog and Mixed Signal Center), Texas A&M University, College Station, where he is a Professor. He was the inaugural holder of the Texas Instruments Professorship-I in Analog Engineering, Texas A&M University (2002–2008). He has authored or coauthored over 95 and 150 journal and conference papers, respectively, two books, and 11 book chapters. He currently serves on the Editorial Board of six major journals. His current research intererts are the design and fabrication of integrated circuits for communication and biomedical applications. Dr. Silva-Martinez was the IEEE Circuits and Systems Society (CASS) vice president of Region 9 (1997–1998). He was an associate editor for the IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—PART II: ANALOG AND DIGITAL SIGNAL PROCESSING (1997–1998 and 2002–2003). He was an associate editor for the IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—PART I: REGULAR PAPERS (2004–2005 and 2007–2009). He was the recipient of the 2005 Outstanding Professor Award of the Electrical and Computer Engineering (ECE) Department, Texas A&M University. He was corecipient of the MWCAS-2012 and RF-IC 2003 Best Student Paper Award. He was also the recipient of the 1990 European Solid-State Circuits Conference Best Paper Award.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

2843

A Precise Decibel-Linear Programmable Gain Amplifier Using a Constant Current-Density Function So-Young Kang, Student Member, IEEE, Seung-Tak Ryu, Member, IEEE, and Chul-Soon Park, Senior Member, IEEE

Abstract—In this paper, a compensation technique for realizing a precise decibel-linear CMOS programmable gain amplifier (PGA) is described. The proposed PGA, employing an auxiliary pair, not only retains a constant current density but also offers a gain-independent bandwidth (BW). For verification, a compact PGA (0.1 mm ) is fabricated using a 0.13- m CMOS process and measured. The measured gain control range is from 16 to 32 dB with 6-dB steps, while the error deviation of the gain is less than 0.35 dB. of 35 to A constant 3-dB BW of 60 MHz and an input 5 dBm are obtained, while dissipating a lower power of 1.2 mW under a 1-V supply. Index Terms—Constant current density, digitally controlled variable gain amplifier (VGA), even-gain step, low power consumption, programmable gain amplifier (PGA).

I. INTRODUCTION

P

ROGRAMMABLE gain amplifiers (PGAs) and variable gain amplifiers (VGAs) are crucial components in mobile terminals and enhance the dynamic range of a system by adjusting the signal gain depending on the received signal strength. Recently, as the bandwidth (BW) of the received signal increases due to high data rates, VGAs are required not only to obtain a decibel-linear (dB-linear) gain but also to support a wide BW of over several tens of megahertz. The gain of such an amplifier is controlled using either voltage control, as in analog VGAs [1]–[5], or through discrete component control, as in PGAs. Traditional closed-loop-based PGAs [6]–[10] easily obtain a dB-linear gain with a feedback ratio and a gain programming word for a geometric ratio, whereas analog VGAs, as shown in Fig. 1(a), require extra circuitry to generate an exponential function for obtaining a dB-linear gain. Even worse, analog signals need to be converted into digital form, and thus an analog VGA requires a more complex interface circuitry. For these reasons, PGAs are more suitable for high-frequency applications when considering both chip area and complexity. However, traditional PGAs with a closed loop, shown in Fig. 1(b), have severe limitations for high-frequency applications due to the large current required by high-frequency operational amplifiers and its sufficient resistive load driving. Therefore, an open-loop architecture, which is controlled by the Manuscript received February 01, 2012; revised April 27, 2012; accepted May 07, 2012. Date of publication July 19, 2012; date of current version August 28, 2012. This work was supported by the National Research Foundation of Korea (funded by the Korean Government) under Grant 2012-0000703. The authors are with the Department of Electrical Engineering, KAIST, Daejeon 305-701, Korea (e-mail: [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2203928

Fig. 1. Various PGA structures.

variable transconductance or output-load impedance [11]–[14], as shown in Fig. 1(c), is more popular for high-frequency applications. However, previous open-loop configured low-power PGA architectures have some drawbacks, such an uneven step size (in a dB-linear manner) caused by process/temperature variations. To overcome the drawbacks mentioned above, an open-loop-configured PGA with a simple and constant current-density-based variable transconductance control is proposed [15]. In this paper, which expands on the results in [15], an effective and simple method to obtain a high-resolution dB-linear characteristic will be introduced. For this purpose, a decibel-nonlinear characteristic of a conventional PGA is first analyzed in Section II. The design methodology of a PGA circuit employing the proposed architecture along with some further issues is then discussed in Section III. Based on the analytical results, Section IV focuses on a verification of the measured results. Finally, some concluding remarks are provided in Section V. II. ANALYSIS OF DECIBEL-NONLINEAR TRANSCONDUCTANCE IN A BINARY-WEIGHTED PGA STRUCTURE Fig. 2 shows a conventional PGA configured as a commonsource (CS) amplifier incorporated with a weighted transistor array connected in parallel. All transistors are operated in the and tail current saturation region while the gate voltage

0018-9480/$31.00 © 2012 IEEE

2844

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 2. Conventional transconductance stage with a constant tail current.

of the parallel transistors are fixed by 0.8 V and the current source , respectively. The respective weighted cell is responsible for controlling the gain variation through variable transconductance. The corresponding control range can be defined as (1) , , is the finger ratio of the gate where width of the unit-transistor, and are digital control words. In this respect, as shown in Fig. 2, taking account of the basic expression of the drain current of , the gate–source voltage and current of have a mutual relationship given by (2) where , is an overdrive voltage with respect to , is the bias current required for unit transistor of and a weighted transconductance, and is correspondingly given by (3) is defined as , and the correFor binary weighting, sponding output voltage signal can be expressed as

Fig. 3. Graphical behavior of the drain current and transconductance of a function of (a) drain–source voltage and (b) gate–source voltage.

as

of the gate–source voltage. Since the source voltage of is ground, drain–source voltage, , of is represented as drain voltage of for brevity. The drain voltage of (source voltage of ), , and the gate-source voltage of are settled as points and respectively because a lower is naturally needed in the case of all transistor cells are engaged over the fixed tail current. When decreases, for instance in Fig. 3, must to be changed from to . In this situation, correspondingly varies toward point , tracking through the line shown in Fig. 3(a), which can be theoretically represented as a channel-length modulation effect. For this reason, , affected by the channel length parameter of , is absolutely not a constant level but is slightly changed compared with that of the initial condition. This variation in the overdrive voltage of , has an impact on the avoidable decibel-nonlinear. To analytically investigate the variation of with , as shown in Fig. 2, be given by respect to , let

(5)

(4) To ensure an output signal with a constant peak with respect , in (4) is only allowed to the varying magnitude of to be changed for converting 6-dB linear steps. However, is also varied due to the fixed tail current during such operation. To further investigate overdrive voltage of , the behavior of the voltage for and with respect to can then be graphically represented as in Fig. 3. Fig. 3(a) is the drain current of as a function of the drain–source voltage, while Fig. 3(b) is the drain current of as a function

and are an arbitrary aspect ratio of where and constant dc voltage for a mirroring circuit with , respectively. Since the currents flowing through and are equivalent, it can be inferred that

(6) The reason not to consider the channel modulation effect of in (6) is that the contribution by the term

KANG et al.: PRECISE DECIBEL-LINEAR PGA USING A CONSTANT CURRENT-DENSITY FUNCTION

Fig. 4. Calculated and simulated overdrive voltage of

as a function of .

2845

Fig. 5. Proposed transconductance control based on a constant current density.

is almost dominant to determine the current. For simplicity, we adopt new index as defined in (7) with (8) Applying

, (8) can be given as (9)

Solving (9),

Fig. 6. Normalized voltage gain of the proposed PGA compared with those of both the ideal and conventional PGAs.

is obtained as

(10) Fig. 4 plots the numeric result of (10) together with that of a Spectre simulation using TSMC 0.13- m CMOS model. When , the overdrive voltage is the highest compared with the dc voltage, whose value is not sufficient to induce a weak inversion. As , inversely, an overdrive voltage of as much as 0.53 V is required. As shown in Fig. 4, the overdrive voltage of has a decibel-nonlinear function dependent on . Consequently, is now obtained as

The corresponding result expressing the multiplication between dB-linear and nonlinear terms eventually turns into a decibelnonlinear term. To overcome such a decibel-nonlinear problem, a design approach to maintain a constant overdrive voltage, such as a constant current-density-based control method, is highly required. Although the constant current-density method has been previously investigated using an appropriate change of bias current and transistor size [16], the previous work not only requires complex circuitry causing an increased chip area, but also accompanies the change of BW according to the gain variation. Thus, in this paper, a simpler and more effective design methodology that does not sacrifice BW is proposed. III. DESIGN OF THE PROPOSED PGA A. Decibel-Linear Characteristic of the Proposed Open-Loop PGA With Constant Current Density

(11)

Because an overwhelming current through the amplifier stage results in a decibel-nonlinear scale, an additional path is considered to sink the surplus current. Fig. 5(a) shows the proposed architecture, which is composed of main and auxiliary stages. By passing the current through an auxiliary stage, the current in the main stage is controlled current to keep the source voltage of . Assuming that , and connecting the gate

2846

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 7. Total parasitic gate–drain capacitance based on the load for (a) a conventional and (b) the proposed PGA.

of to , as shown in Fig. 5(a), can be easily determined due to a programmable gain step, which is given as

(12)

Redrawing Fig. 3(b) with respect to (12), can be a constant value through the contribution of , as shown in Fig. 5(b). A slight variation can be expected due to the finite output impedance of the current source, and its effect can be sufficiently low to be ignored. Based on (12) and Fig. 5(b), it is noted that the behaviors of and are conversely symmetric as the center of the bias current of . Fig. 6 shows the normalized gain of the proposed PGA compared with those of both the ideal and conventional PGAs. As shown Fig. 6, a conventional PGA has a decibel-nonlinear gain variation such as 0.24, 0.17, 0.12, or 0.09, and the gain variation is further decreased as increases resulting in a decibel-nonlinear effect. On the other hand, an ideal PGA has a constant gain variation of 0.16. It is very clear that the trajectory of the gain with respect to of the proposed PGA is almost identical to that of the ideal PGA, confirming the dB-linear operation. B. Bandwidth Characteristic of Proposed PGA There is another beneficial effect relevant to the characteristic of a 3-dB BW that is achieved by adopting the proposed architecture. Considering a half circuit within the source-coupled transistor with a resistive load, as shown in Fig. 5, a corresponding small-signal circuit can be illustrated, as shown in Fig. 7(a) and (b) for the conventional and proposed PGAs, respectively. Due to the well-known “Miller effect,” the dominant pole affecting the BW of the PGA is related with the gate–drain capacitance at the output node, yielding the pole as

Fig. 8. Simulated gain BW of a conventional PGA and the proposed PGA.

The differential mode transfer function is given by

(14) To avoid a fluctuation of the 3-dB BW for various levels of needs to be an independent capacitance. transconductance, For this reason, a gain-dependent BW is expected in a conventional PGA since the total capacitance of a conventional structure varies by choosing the corresponding cell size for the required gain. Unlike in a conventional case, a gain-independent BW is observed in the proposed PGA, as the enabled cell size is always the same when using auxiliary cells as , as illustrated in Fig. 7(b). Fig. 8 shows the simulated gain BW of the proposed PGA as compared with that of a conventional PGA. As shown in Fig. 8, the proposed PGA has a flat 3-dB gain BW irrespective of the different gain settings, while the conventional PGA has a gain-dependent 3 dB gain BW. C. Proposed Overall Programmable Amplifier Units and Their Operation

(13)

Fig. 9(a) shows a schematic of the proposed PGA featured as a differential structure with fixed resistor loads. The amplifier

KANG et al.: PRECISE DECIBEL-LINEAR PGA USING A CONSTANT CURRENT-DENSITY FUNCTION

2847

Fig. 9. Overall PGA circuit and block. (a)

TABLE I OVERALL PGA GAIN ACCORDING TO SWITCH SATES

stage is composed of a signal and auxiliary banks, which consist of weighted transistor pairs and switches. These are turned on and off respectively by the complementary control signal of and so as to make the final gate width of the overall PGA . The overall architecture is composed of two stages in cascade with a 4-b control circuit, a buffer amplifier, and a dc offset cancellation circuit, as shown in Fig. 9(b). A segmental control method, in which the first and second stages are responsible for and , respectively, is used. Correspondingly, the weighting factor of the first stage is targeted to be 8 by choosing 7 and 56 for and , respectively, and is set to 2 by choosing 1 and 2 for and in the second stage. In this manner, the overall varying gain can be obtained as follows: the first-stage PGA increases in an octuplet ratio for the coarse gain control with 18-dB steps, and the second-stage PGA increases in a binary ratio for the fine gain control with 6-dB steps. The absolute coarse gain range covers 10 to 26 dB, while the absolute fine gain range can cover 6 to 6 dB, and therefore, the overall PGA gain ranges from 16 to 32 dB in 6-dB steps. The switch decoder, implemented by digital logic circuits, is operated as a thermal meter type to control the proper weightings as mentioned above. Table I summarizes the overall gain states for a combination of the control signals.

Fig. 10. Simulated gain and gain step error with (a) temperature and (b) process variations at a test frequency of 10 MHz.

A buffer is used for the measurements, providing high input and 50- output impedances. A dc offset-cancellation circuit is implemented as a continuous-time negative feedback circuit to protect the signal from clipping due to the high gain of the amplifier stage.

2848

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 11. Simulated gain step error deviation with temperature/process variations at a test frequency of 10 MHz.

Fig. 13. Measured PGA gain against the gain programming word.

Fig. 12. Chip micrograph of the proposed PGA.

D. Further Design Issues of Compensation Dependence of Temperature and Process Variation Although the proposed architecture is focused on an accurate gain step of the decibel-linear characteristics, every parameter of the transistor in both the signal and auxiliary paths has an identical tendency with respect to both temperature and the process variations. When considering the design issues such as temperature and the process variations, the proposed architecture can also give supplemental freedom to the design. To verify further advantages of an accurate gain step, a practical simulation is considered. The simulated gain and gain step error versus the temperature at a test frequency of 10 MHz are shown in Fig. 10(a). As the temperature varies from 0 to 80, the maximum gain step error is less than 0.4 dB at the fourth interval of the programming word, which is a turning point from a fine-gain control to a coarse-gain control. In this situation, the maximum gain step error is observed to be less than 0.6 dB under different corner simulations, as shown Fig. 10(b). As shown in Fig. 11, the gain step error deviation is independent in both cases. Such simulation results successfully confirm that the proposed PGA structure provides a good temperature/process-independent gain step. IV. IMPLEMENTATION AND MEASURED RESULTS The proposed PGA is designed and fabricated using a 0.13- m CMOS process, as shown in Fig. 12. The overall chip area excluding the bond pads is less than 0.1 mm and dissipates a 1.2-mA current at a 1-V supply voltage. Fig. 13

Fig. 14. Measured frequency response for the gain levels.

Fig. 15. Measured input

dB for the gain levels.

shows the measured gain and gain error deviation versus gain programming words at 10 MHz. A total gain range of 16 to 32 dB in 6-dB steps was measured, and the gain error deviation is less than 0.35 dB over ten chips. Compared with the simulated results depicted in Fig. 10, the measured results illustrated in Fig. 13 have a similar tendency. Fig. 14 shows the measured

KANG et al.: PRECISE DECIBEL-LINEAR PGA USING A CONSTANT CURRENT-DENSITY FUNCTION

2849

TABLE II PERFORMANCE COMPARISON OF PREVIOUS PGAS

frequency response of the proposed PGA at various gain settings. The proposed PGA described in Section III provides a constant 3-dB BW of 60 MHz, independent of the overall gain range. The measured input dB for the gain levels is shown in Fig. 15. The input dB is measured as to 35 dBm when the gain is correspondingly changed from 16 to 32 dB. The measurement results are summarized in Table II together with several previously reported designs. The proposed PGA provides not only a well-defined gain step but also low power dissipation compared with previous works. V. CONCLUSION A PGA configuration with a constant current-density based transconductance control is implemented in a 0.13- m CMOS technology. The proposed constant current-density based control method provides a simple and accurate gain control using weighted transistor units. With this gain control, a well-defined gain step weight is obtained. In addition, a constant 3 dB BW of at least 60 MHz irrespective of the gain programmable words is guaranteed while dissipating a low power of 1.2 mW with a small chip area of 0.1 mm . ACKNOWLEDGMENT The authors would like to thank to J. H. Kim, Skyworks Inc., Seoul, Korea, for his useful discussions. REFERENCES [1] Q. H. Duong, Q. Le, C. W. Kim, and S. G. Lee, “A 95-dB linear low-power variable gain amplifier,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 53, no. 8, pp. 1648–1657, Aug. 2006. [2] M. M. Green and S. Joshi, “A 1.5-V CMOS VGA based on pseudodifferential structures,” in Proc. IEEE Int. Symp. Circuits Syst., May 2000, pp. IV-461–IV-464. [3] O. Watanabe, S. Otaka, M. Ashida, and T. Itakura, “A 380-MHz CMOS linear-in-dB signal-summing variable gain amplifier with gain compensation techniques for CDMA systems,” in Symp. VLSI Circuits Dig., 2002, pp. 136–139. [4] Q. H. Duong, Q. Le, C. W. Kim, and S. G. Lee, “A 95-dB linear low power variable gain amplifier,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 53, no. 8, pp. 1648–1657, Aug. 2006.

[5] H. D. Lee, K. A. Lee, and S. Hong, “A wideband CMOS variable gain amplifier with an exponential gain control,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 6, pp. 1363–1373, Jun. 2007. [6] H. O. Elwan and M. Ismail, “Digitally programmable decibel-linear CMOS VGA for low-power mixed-signal applications,” IEEE Trans. Circuits Syst. II, Analog Digit. Signal Process., vol. 47, no. 5, pp. 388–398, May 2000. [7] V. Giannini, J. Craninckx, S. D’Amico, and A. Baschirotto, “Flexible baseband analog circuits for software-defined radio front-ends,” IEEE J. Solid-State Circuits, vol. 42, no. 7, pp. 1501–1512, Jul. 2007. [8] M. Kitsunezuka, S. Hori, and T. Maeda, “A widely tunable, reconfigurable CMOS analog baseband IC for software defined radio,” IEEE J. Solid-State Circuits, vol. 44, no. 9, pp. 2498–2502, Sep. 2009. [9] S. C. Tsou, C. F. Li, and P. C. Huang, “A low-power CMOS linear-in decibel variable gain amplifier with programmable bandwidth and stable group delay,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 53, no. 12, pp. 1436–1440, Dec. 2006. , 24 dBm IIP3 VGA for [10] S. D’Amico et al., “A 6.4 mW, 4.9 a multi-standard (WLAN, UMTS, GSM, and Bluetooth) receiver,” in Proc. ESSCIRC, 2006, pp. 82–85. [11] T. W. Kim and B. Kim, “A 13-dB IIP3 improved low-power CMOS RF programmable gain amplifier using differential circuit transconductance linearization for various terrestrial mobile D-TV applications,” IEEE J. Solid-State Circuits, vol. 41, no. 4, pp. 945–953, Apr. 2006. [12] S. Y. Kang et al., “A 2.16 mW low power digitally-controlled variable gain amplifier,” IEEE Microw. Wireless Compon. Lett, vol. 20, no. 3, pp. 172–174, Mar. 2010. [13] B. Calvo, S. Celma, F. Aznar, and J. P. Alegre, “Low-voltage CMOS programmable gain amplifier for UHF applications,” Electron. Lett., vol. 43, no. 20, pp. 1087–1088, Sep. 2007. [14] C. C. Wang, C. L. Lee, L. P. Lin, and Y. L. Tseng, “Wideband 70 dB CMOS digital variable gain amplifier design for DVB-T receiver’s AGC,” in Proc. IEEE Int. Symp. Circuits Syst. (ISCAS), May 2005, vol. 1, pp. 356–359. [15] S. Y. Kang, S. T. Ryu, and C. S. Park, “A CMOS programmable gain amplifier with constant current-density based transconductance control,” Proc. IEEE CSICS, pp. 137–140, Oct. 2010. [16] H. H. Nguyen, Q. H. Duong, and S. G. Lee, “A binary-weighted switching and reconfiguration-based programmable gain amplifier,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 56, no. 9, pp. 699–701, Sep. 2009. So Young Kang (S’10) received the B.S. degree from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea in 2007, where she is currently working toward the Ph.D. degree in electrical engineering. Her current research interests include reconfigurable RFIC design and digital intensive analog circuit design for software-defined radio.

2850

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Seung-Tak Ryu (M’06) received the B.S. degree in electrical engineering from Kyungpook National University, Korea, in 1997, and the M.S. and Ph.D. degrees from Korea Advanced Institute of Science and Technology (KAIST) in 1999 and 2004, respectively. From 2001 to 2002, he was with University of California at San Diego as a visiting researcher sponsored through the Brain Korea 21 (BK21) program. In 2004, he joined Samsung Electronics, Kiheung, Korea where he was involved in mixed-signal IP design. From 2007 to 2009, he was with the Information and Communications University (ICU), Daejeon, Korea, as an Assistant Professor. Since 2009, he has been with Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in the Department of Electrical Engineering. He is now an Associate Professor. His research interests include analog and mixed signal IC design with an emphasis on data converters.

Chul Soon Park (SM’12) received the B.S. degree from Seoul National University, Seoul, Korea, in 1980, and the M.S. and Ph.D. degrees in materials science and engineering from the Korea Advanced Institute of Science and Technology, Daejon, Korea, in 1982 and 1985, respectively. From 1985 to 1999, he was with the Electronics and Telecommunication Research Institute (ETRI), where he contributed to the development of semiconductor devices and circuits. Between 1987 and 1989, he studied the very initial growth of group IV semiconductors during a visit to AT&T Bell Laboratories, Murray Hill, NJ. Since 1999, he has been with the Information and Communications University and Korea Advanced Institute of Science and Technology, Daejeon, Korea, where he is a Full Professor with the Department of Electrical Engineering and the Director of the Intelligent Radio Engineering Center. His research interests include reconfigurable RFIC, millimeter-wave ICs, and their SoC/SoP integration.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

2851

A Highly Linear and Efficient CMOS RF Power Amplifier With a 2-D Circuit Synthesis Technique Miaofu Ding, Kevin G. Gard, Member, IEEE, and Michael B. Steer, Fellow, IEEE

Abstract—A 2-D circuit synthesis technique (2DCST) is introduced that simultaneously linearizes the AM–AM and AM–PM distortions of CMOS RF power amplifiers (PAs). A class-AB nMOS RF PA fabricated in a m CMOS process is reported. With a WCDMA signal, the amplifier achieved 41.6% power-added efficiency (PAE) with 33-dBc single-adjacent channel power ratio (ACPR1) and 38.5% PAE with 40-dBc ACPR1 at output powers of 24.9 and 24.0 dBm, respectively. This state-of-the-art linearity and efficiency performance is comparable to that of GaAs HBT linear RF PAs. The 2DCST is applicable to a broad range of analog circuits and other semiconductor technologies. Index Terms—Circuit synthesis, CMOS, linearization, power amplifier (PA), RF.

I. INTRODUCTION

T

HE performance of an RF power amplifier (PA) is largely characterized by its power efficiency and linearity. While maximizing performance, a market-competitive RF PA must also minimize design complexity and cost. This is particularly true for portable products. Therefore, circuit-level treatments for linearizing RF PAs are preferred in cost-sensitive markets, as opposed to system-level treatments (e.g., using digital predistortion). CMOS foundry cost per square millimeter is less than 50% that of a III–V counterpart [1]. CMOS technologies are also better suited to system integration. However, CMOS amplifiers are inherently less linear than GaAs amplifiers and consequently require linearization technologies. Previous circuit-level linearization techniques typically involve “standalone” [2], [3] or “one-to-one” distortion-cancellation-like approaches [4]–[11]. In such techniques, transistor nonlinearity is explicitly identified and a complementary cancellation scheme is devised to neutralize overall distortion. While these techniques are generally simple to implement, the enhancement achievable is restricted by the nonlinear characteristics of the transistor. Most circuit linearization techniques use a compensating circuit with a nonlinearity inverse to that of the target circuit block. This paper presents a linearization circuit synthesis technique for distortion compensation that does not require an inverse circuit for amplitude correction. Furthermore, the distortion comManuscript received February 28, 2012; revised June 03, 2012; accepted June 08, 2012. Date of publication July 25, 2012; date of current version August 28, 2012. The authors are with the Department of Electrical and Computer Engineering, North Carolina State University, Raleigh, NC 27695-7911 USA (e-mail: [email protected]; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2012.2206824

pensation with this circuit synthesis technique can be achieved over a wide dynamic range restricted only by the device breakdown voltage. Although circuit synthesis techniques exist for low-frequency analog circuits [12]–[14], little has been developed for RF PAs and other large signal RF circuits. For RF circuits, multitanh [15], [16] and derivative superposition [17], among others, can be regarded as 1-D synthesis techniques since either AM–AM or AM–PM distortion is addressed, but not both. Also, their application to PAs is limited due to the use of power intensive differential configurations and/or unsatisfactory linearity performance [18]–[21], despite their successes in analog circuit linearization and with some RF circuits [22]–[24]. Previously the authors presented a synthesis technique for linearizing a class-A tanh cascode cell (TCC) amplifier [25]. This technique was 1-D in the sense that only the in-phase (resistive) characteristic was corrected using a current–voltage transfer characteristic block. In this paper, a 2-D circuit synthesis technique (2DCST) simultaneously addressing both AM–AM and AM–PM distortions is presented. The technique employs flexible current–voltage transfer characteristic blocks and tunable capacitor–voltage characteristic (CVC) blocks. The 2DCST technique can be used with a single-ended topology and therefore is well suited to power-efficient applications, especially RF PAs. Section II describes the conventional approaches to RF PA linearization. Section III introduces the TCC amplifier as a CMOS circuit implementation for CMOS class-AB amplifier linearization. The performance of the TCC amplifier at low and high frequencies is discussed in Section IV and the complete 2DCST amplifier architecture is introduced. Section V discusses the 2DCST amplifier design procedure for a particular amplifier. In Section VI, a CMOS WCDMA RF PA, synthesized using 2DCST, is reported with a power-added efficiency (PAE) of 41.6% and single-adjacent channel power ratio (ACPR1) of 33 dBc. With backoff, a PAE of 38.5% is reported with an ACPR1 of 40 dBc. This amplifier was implemented in the IBM 0.18- m 7WL SiGe process (with only CMOS devices used) and is compatible with integrated CMOS circuits combining RF and analog and digital circuits. The performance is state-of-the-art for a CMOS RF PA and rivals that of GaAs RF PAs. II. CONVENTIONAL APPROACHES Fig. 1 depicts a conventional circuit-level compensation of RF PA distortion. Fig. 1(a) shows the basic circuit and response of a single-ended RF amplifier. In the classic linearization pro-

0018-9480/$31.00 © 2012 IEEE

2852

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 2. Conceptual amplifier with flexible current–voltage transfer characteristics to combat amplifier nonlinearities. (a) Schematic with matching networks (MNs). (b) Flexible current–voltage transfer characteristics.

Fig. 1. Conventional linearization technique relying on the nonlinearities of another device. (a) RF PA. (b) Nonlinear device with inverse response. (c) Combined response.

cedure, the strategy is to find a complementary characteristic [see Fig. 1(b)] from available devices to cancel the distortion of the amplifier. The nonlinear device in Fig. 1(b) is put in cascade either before or after the main circuit to obtain the linearized combined response shown in Fig. 1(c). Nonlinear circuits realizing corrections for resistive [4]–[8], [10], [11], [17]–[19] and capacitive nonlinearities [9], or both [21], have been identified. However, typically only the dominant nonlinearity is targeted and a single complementary device is used for distortion cancellation. Although simple and cost effective, these approaches rely on the degree of matching of complementary characteristics, and thus, the linearization achievable ultimately depends on the nonlinear characteristics of the transistor technology. For this reason, linearity improvements with these approaches are usually limited to 10 dB and dynamic range is still dictated by transistor nonlinearities. III. CLASS-AB TCC PA The synthesis approach introduced in this paper for addressing PA distortion employs circuit-level synthesis where the current–voltage transfer characteristic of a single-ended amplifier is manipulated and a complementary device is not required. The basic requirement is that the amplifier’s transfer characteristic is capable of arbitrary manipulation. This concept is illustrated in Fig. 2. To realize our approach for a typical RF PA, a single-ended amplifier with flexible current–voltage transfer characteristics is needed. In [25], the authors introduced the TCC amplifier with the required single-ended flexible characteristics. In this section, the CMOS circuit implementation of the TCC amplifier is used to realize a highly linear class-AB amplifier.

Fig. 3. TCC amplifier as an arbitrary transfer characteristic amplifier [25]. (a) Single cell. (b) Cells in cascade.

A. TCC Amplifier Fig. 3 shows the simplified schematic of the TCC amplifier with a single cell [see Fig. 3(a)] having tanh-like current–voltage characteristics resulting from establishing reduced headroom at node . The TCC amplifier [see Fig. 3(b)] parallels cells. From Fig. 3(b), it is seen that the total output current is the linear sum of the cell currents, each of which, as will be shown, can be scaled and shifted. For identical TCCs, with each having a tanh-like function , it can be shown [26] that this summation can be approximately replaced by an integral equivalent to the convolution of and the product of a scaling function and a shifting function (1) Thus, the required linear overall characteristic, , can be synthesized by appropriate scaling and/or shifting of the current–voltage transfer characteristics of individual cells. That is, high linearity can be achieved by deriving and then synthesizing the and functions. In [25], this capability was demonstrated with a discrete prototype. Scaling can be accomplished either by device sizing or biasing , and shifting can be attained through threshold

DING et al.: HIGHLY LINEAR AND EFFICIENT CMOS RF PA WITH 2DCST

2853

Fig. 4. Simulated dynamic current–voltage transfer characteristics of the TCC , and of its cells, . amplifier,

Fig. 5. Simulated small-signal transconductance, considered in Fig. 4.

, of the TCC amplifier

voltage manipulation, gate biasing, or other means. Although some of these parameters can only be manipulated by device and process engineers, many can be addressed by the circuit designer. In [25], the high linearity of the synthesized TCC amplifier configured in class-A mode was demonstrated. In this paper, the focus is on the linearity and efficiency of the TCC amplifier configured as a narrowband class-AB PA. It will be shown that in the class-AB mode, the TCC is capable of highly linear and efficient operation. B. AM–AM Distortion Correction The overall low-frequency dynamic transfer characteristic of a synthesized TCC amplifier with identical TCCs tuned for linear transconductance is shown in Fig. 4, together with the responses of individual cells. Each cell is shifted relative to its preceeding cell by changing the gate bias with (for cell 1) being the highest bias and being the lowest. To understand the design concept, consider a small input stimulus (i.e., lower power range). Distortion can then be modeled [27] using a Taylor-series expansion of the current–voltage transfer characteristic of the synthesized TCC amplifier (2) For a narrowband PA, the primary source of distortion is the third term in (2). Thus, for minimum distortion at low power, the TCC amplifier should have . The of the CMOS TCC amplifier here is shown in Fig. 5. It is seen that is approximately zero for a broad range of bias voltages. High efficiency, as well as reasonable gain, is obtained for the class-AB point (at V) indicated in Fig. 5. Here, is suitably small, the slope of the current–voltage transfer characteristic is nonzero, and the bias current is small. That is, for very small input signals, there is negligible third-order intermodulation distortion (IMD3). For a larger input signal, it is necessary to examine the IMD3 level using a two-tone test signal. The IMD3 level of individual cells is shown in Fig. 6 as a function of the peak instantaneous amplitude of the two-tone test signal, i.e., . In Fig. 6, the IMD3 level is normalized to the amplitude of one of the third-order tones relative to .

Fig. 6. Simulated and normalized IMD3 of individual TCCs (Fig. 4) biased in class-AB, as shown in Fig. 5.

Inspection of Fig. 6 reveals several features. First, the IMD3 of cell 1, the cell with the highest gate bias, is zero at small power levels. Second, this IMD3 becomes increasingly negative and then gradually reduces in magnitude at higher power levels. Third, the IMD3s of other cells are also zero at low power levels and become increasingly positive before eventually decreasing. Since the amplifier’s (overall) IMD3 characteristic is the summation of the individual IMD3s of the cells, the sign differences of the IMD3 curves provides an opportunity to obtain zero amplifier IMD3. This can be achieved by shifting and/or scaling of the TCCs (and hence, their IMD3 curves) to eliminate third-order distortion over the entire dynamic range. It is also possible to compensate for AM–AM distortion external to the amplifier. Such distortion can be represented as an additional IMD3 curve in Fig. 6 and it is a simple matter to compensate for it. IV. MANAGING IN-PHASE AND QUADRATURE DISTORTION A. Issues at RFs In an RF PA, linear and nonlinear reactive effects introduce AM–PM distortion [9], [21]. This can be seen in the simulated IMD3 response of the TCC amplifier of Section III presented in polar form in Fig. 7 (again using voltage/voltage normalization). Here, the in-phase and quadrature components at low (13.56 MHz) and high (900 MHz) frequencies are presented. It

2854

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 9. Simplified schematic of a shunt NCN with nMOS gate varactors.

Fig. 7. Polar plot of the simulated and normalized IMD3 of the amplifier at 13.56 and 900 MHz. Output power ranges from 6 to 24 dBm.

Fig. 10. Measured CVCs of a ten-cell NCN.

Fig. 8. Architecture of the highly linear and efficient TCC CMOS RF PA with the NCN network.

is seen that the quadrature component of IMD3 is significant at the higher frequency. This is because the IMD3 characteristics of the TCC amplifier can only be optimized for minimum lowfrequency (i.e., in-phase) distortion via shifting of the TCCs. Thus, while the in-phase distortion performance is excellent at low frequencies, the quadrature IMD3 at RF is not acceptable (see Fig. 7). B. Proposed Architecture To simultaneously minimize in-phase and quadrature distortion, and hence minimize the corresponding AM–AM and AM–PM distortion, it is necessary to introduce a flexible nonlinear capacitor network (NCN). The NCN completes the architecture of the linear CMOS RF PA (see Fig. 8). The NCN block location and topology relative to the cells of the TCC could be shunt, series, pre- or post- cascade, etc. In this paper, a pre-shunt topology is adopted. The NCN is synthesized using a concept similar to that used with the TCC amplifier. That is, the CVC of the NCN can compensate the quadrature IMD3 component. Together the TCC and NCN concepts enable circuit synthesis to facilitate a 2-D compensation of RF PA distortion. C. NCN The NCN uses a multiplicity of parallel nonlinear capacitor (varactor) cells synthesized using scaling and shifting. Fig. 9

illustrates this concept using parallel varactors, each realized as a gate to drain/source nMOS varactor ( - varactor). The NCN here was fabricated using 0.4- m nMOS varactors. Fig. 10 shows measured CVCs of the NCN with different shifting, but equal scaling of the cells. Also shown for comparison is the measured CVC of a conventional nMOS - varactor. As can be seen, a flexible CVC can be obtained. V. DESIGN OF THE COMPLETE RF PA A. Tradeoffs With Number of TCCs In the design of a TCC amplifier, one of the important decisions to be made is the number of TCCs, . Large translates to higher resolution since it enables IMD5 and IMD7 to be minimized, as well as achieving low IMD3. Thus, larger results in better linearity, but diminishing returns are obtained for very large . A larger also results in higher dynamic range (the maximum input swing before clipping of the current–voltage transfer characteristic). To understand this, consider Fig. 11, which shows the current–voltage transfer characteristic of a TCC amplifier with cells having identical tanh current–voltage transfer characteristics with each cell biased to have equal relative shift, . Inspection of Fig. 11 reveals that the dynamic range is (3) Therefore, a large dynamic range is obtained with a large number of cells and is ultimately limited by gate–oxide breakdown.

DING et al.: HIGHLY LINEAR AND EFFICIENT CMOS RF PA WITH 2DCST

2855

where is the amplitude of the maximum distortion-free RF input voltage. Assuming to be fixed,2 and substituting (3) and (6) in (9) yields the average transconductance (10)

Fig. 11. Current–voltage transfer characteristic of an -cell TCC amplifier with identical tanh current–voltage transfer characteristics.

As will be shown, is also related to gain and average efficiency.1 Consider the linear summation of cell currents and the corresponding transconductances for the ideal TCC amplifier of Fig. 4. The quiescent current of the amplifier, , is determined only by the first cell and it is approximately a quarter of the cell’s saturation current, , at the class-AB bias point (4) Also, the peak small-signal transconductance of the TCC amplifier is (5) where is the maximum of a cell. The overall transconductance of the TCC amplifier at the class-AB point (where of the overall TCC is zero) is half of its maximum value, and thus approximately equal to half of the peak of a cell (6) Thus, the gain of the TCC amplifier in the class-AB mode is about 6 dB lower than that of the same TCC amplifier biased ). in the class-A mode (where overall Now, the peak current, , of the -cell TCC amplifier from Fig. 11 is (7) Substituting (7) into (4) yields the quiescent current (8) Since is set by the targeted output power then, from (8), a larger number of TCCs results in a smaller for greater average efficiency due to increased efficiency at low power levels. On the other hand, from inspection of Fig. 12(b), is given by (9) 1Average

the PA [28].

This suggests that for a fixed peak output power, increasing the number of cells reduces the small-signal gain3 of the PA. This implies a fundamental tradeoff between the gain and average efficiency of the amplifier through the number of TCCs. The complete set of tradeoffs are summarized in Table I. It can be concluded that if the gain of a TCC is inherently high, then PA performances can be improved by increasing the number of cells. The tradeoffs implies that a suitable design procedure is to optimize the small-signal gain of a cell, and then obtain better average efficiency and linearity by using a large number of TCCs. In practice, the maximum number of TCCs is restricted by gate–oxide breakdown and for the prototype, the number of bias pins available. Here, TCCs are used as the result of compromise of performance, pin count, and providing a safe oxide breakdown margin. B. TCC Device Sizing, Shifting, and Scaling In the design reported in this paper, the TCCs are identical although biased individually. Short channel transistors 0.18 m are used for the common source device [M1 in Fig. 3(a)] to obtain maximum small-signal gain. This choice also reduces the input capacitance, requiring a smaller NCN that translates to lower power loss in the NCN. A long-channel thick-oxide transistor is used for M2 to increase the breakdown voltage and reduce hot carrier effects. The absolute and relative sizing of M1 and M2 takes into consideration the peak cell current capacity, available headroom, and capacitance at node and at the output. For example, increasing the relative size of M2 to M1 increases the peak cell current, but also increases the overloading of M1 and adds output capacitance. For the design reported in this paper, shifting is implemented using voltage biasing of (although threshold voltage manipulation, etc., could have been used). Scaling is implemented through absolute/relative device sizing and (biasing of M2). C. NCN Varactors and Number of NCN Cells A critical factor that must be considered in the design of the NCN is the shape of the varactor response needed to enable the desired CVC shape to be synthesized. This requirement, however, is somewhat relaxed since a significant amount of flexibility is available from scaling and/or shifting individual cells. For the targeted process, a - nMOS varactor is used and the increases as device scaling reduces [29]. Therefore, a shortchannel nMOS device is preferred. However, the short-channel device may limit the dynamic range of the amplifier due to the limited breakdown voltage of gate oxide. Here a 0.4- m-thick oxide device is necessary to support the required dynamic range 2

is largely determined by the need for flexibility in synthesis.

3The

efficiency is defined as the statistical long-term mean efficiency of

gain reduction can be recovered by reducing the shifting voltage , is restricted by the flexibility needed to synthesize the desired but minimum IV characteristic.

2856

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

TABLE I TRADEOFF BETWEEN NUMBER OF CELLS,

Fig. 13. Measured

,AND AMPLIFIER METRICS

of the NCN CVCs in Fig. 10.

Fig. 14. Drain-to-gate-voltage waveform of the first common source device in Fig. 15). (

Fig. 12. Linear summation of the tanh current–voltage TCC current and transconductances.

for an RF PA design with 12 TCCs (targeted for 24-dBm output power). Previously, the CVC of the NCN with several shifting conditions was presented in Fig. 10, and the small-signal ’s of these are shown in Fig. 13 and are seen to be acceptable. To be effective, the capacitive nonlinearities of the NCN must be sufficient to compensate the AM–PM distortion. Therefore, the total (nonlinear and linear) NCN capacitance must be sufficiently large. However, an excessively large NCN reduces the

factor at the gate input and thus limits the small-signal gain. Experience indicates that for the NCN to be effective, the total NCN capacitance must be comparable to the input capacitance of the TCC. Similar to that of the TCC, the number of NCN cells required is directly related to the linearity (resolution) and dynamic range that can be achieved. Design of the NCN is thus a task of balancing the oxide breakdown budget, dynamic range, and, for the prototype, pin-count restrictions. D. Cascode Advantages and

Voltage

The cascode of the TCC differs from other cascoding circuits in that it has a current limit for its current–voltage transfer characteristic (to exhibit a tanh-like current–voltage transfer characteristic), but it has many benefits in common. These include reduction of the Miller effect and thus improvement of bandwidth [30], increase of output to input isolation [31], and hence, increased stability, and increased output impedance. In addition, the absolute and relative device sizing (of and ) required in synthesis will not compromise dynamic range. The

DING et al.: HIGHLY LINEAR AND EFFICIENT CMOS RF PA WITH 2DCST

2857

Fig. 15. Simplified schematics of the complete CMOS RF PA and external matching networks (M.N.).

small peak efficiency penalty, if any, can be offset by the improvement of linearity [25]. To ensure reliability, the drain-to-gate (oxide) voltages of the . CMOS device should not exceed the recommended dc For analog and digital circuits, this can usually be met without issues. However, for inductively loaded RF PAs, drain voltages can exceed the dc supply. Reports have indicated that an RF PA with peak RF stress equal to or exceeding the dc ratings by 36% [9], [20], [32]–[35] or even 100% [36], [37] can operate without noticeable performance degradation. For RF PAs with cascode configurations [20], [34]–[36], the peak RF stress is typically 1.5–2.0 times the dc rating. The argument made for such high peak RF stress tolerance derives from the low-duty cycle and nonconstant envelope of modern RF signals. Furthermore, it has been argued [36] that hot carrier stress is also less severe in low current density conditions. Here, the cells conduct for, at most, half of the cycle as the amplifier is biased in class-AB mode. The above arguments lead to the design decision of 3.6 V for while the nominal dc rating is 3.3 V. Each cascode common gate amplifier device is a thick oxide MOSFET to handle the large output voltage swings. Fig. 14 shows the simulated voltage waveforms across the drain and gate of the common gate device of the first cell (which is the one under most stress) for a two-tone signal with 24.1-dBm output. The peak RF stress across the gate to drain of the common gate amV is 5.09 V (1.54 times the recommend plifier with maximum dc supply voltage). This factor is similar to or lower than that of many recently reported CMOS RF PAs [9], [20], [32]–[37]. E. Bias Searching Algorithms Synthesis of the amplifier here requires searching for the optimum bias voltages. The complexity of the bias assignment problem is reduced by noting that the TCC is primarily responsible for the in-phase distortion component while the quadrature

distortion component mainly responds to changes of the NCN. Further simplification is achieved by using IMD3 as the sole measure of distortion. Thus, the optimization goal is independently minimizing in-phase and quadrature IMD3 components. This results in the following interactive biasing algorithm. 1) Initially set equal cell shifting for the TCC (biased at the class-AB point) and NCN. 2) Simulate the complete amplifier and record the IMD3 components at each cell. Then apply the Gauss–Newton algorithm to find the optimum bias for minimum in-phase part of IMD3. 3) Similarly, simulate and record the quadrature IMD3 component and use the Newton–Gauss algorithm to search for optimized NCN biasing to minimize the quadrature components. 4) Repeat 2) and 3) until satisfactory performance is obtained. The accuracy of the biasing algorithm relies on the accuracy of the circuit modeling. Therefore, to account for the limitations of circuit modeling, manual tuning may be necessary to refine the results from the bias search algorithms to achieve optimized performance at the experimental stage. VI. RESULTS A. Implementation Fig. 15 is the simplified schematic of the complete amplifier combining the NCN and TCC amplifier and with input and output matching networks (M.N.). There are 24 biasing controls used to tune in-phase and quadrature characteristics to satisfy experimental verification (to compensate for circuit deviations from simulation and further optimize performance beyond that obtained from the optimization algorithm) and performance (linearity and average efficiency) needs. In the work described here, the bias controls are applied from off-chip, but in the final design will be provided on-chip using on-chip self-calibrating and self-healing control circuit. This technique accommodates

2858

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 18. Measured gain, PAE, ACPR1, and ACPR2 of the TCC/NCN amplfiier in a standard WCDMA test configuration.

Fig. 16. Die micrograph of the amplifier.

Fig. 19. Measured peak output power and PAE at specified linearity plotted against frequency in a standard WCDMA test configuration.

Fig. 17. Evaluation board of the complete TCC NCN RF PA.

for aging effects, and process, voltage, and temperature (PVT) variations. The active core of the class-AB CMOS RF PA (Fig. 15) was fabricated in the IBM - m 7WL process. The amplifier was designed for an output power of 24 dBm in the 880–915-MHz band [38] with a supply voltage of 3.6 V. The die, Fig. 16, also includes electrostatic discharge (ESD) devices at the RF input and output pads, independent TCC and NCN circuits, and a conventional CMOS RF PA. transistors are identical short channel devices m m . are identical thick oxide devices in the process m m . for the NCN network are also identical thick oxide devices in the process m m . The resistors and capacitors values are k ; pF; pF; pF. The conventional CMOS PA is a common source thick-oxide nMOS devices with a length and width of 0.4 and 5548 m, respectively. To achieve optimum linearity and efficiency results from

this conventional RF PA, it is biased at its sweet spot V . Critical on-chip EM structures and bond-wires were simulated using Ansoft’s HFSS simulator and results used in postlayout simulations. Chip-to-package inductance was minimized using 26 uniformly distributed pads for RF grounding. The effective chip-to-package ground inductance of the bond-wires was simulated to be 0.15 nH. Fig. 17 shows a photograph of the evaluation board. The off-chip input and output matching networks are both inductor–capacitor–inductor (LCL) -network with dc blocking capacitors. The implementation of these networks is for convenience of implementation and tuning for this work. Notice that harmonic terminations are not considered in this work. Following the input matching network is an on-chip high- inductor that resonates out the linear input capacitances of the NCN and TCC amplifier. B. Gain, PAE, ACPR for WCDMA The performance obtained using a standard WCDMA test at 960 MHz is presented in Fig. 18. A gain of 9.4 dB and PAE of 41.6% at an output power of 24.9 dBm was measured while meeting the 3GPP adjacent channel power ratio (ACPR) specifications of 33-dBc ACPR1 and 43-dBc double-adjacent

DING et al.: HIGHLY LINEAR AND EFFICIENT CMOS RF PA WITH 2DCST

2859

Fig. 20. Measured ACPR1 of the TCC amplifier with and without the NCN network. Fig. 22. Measured polar IMD3 of the TCC amplifier with and without NCN for output power ranging from 9.8 to 24.7 dBm.

Fig. 21. Measured IMD3 distortion of the TCC amplifier with and without NCN.

channel power ratio (ACPR2). To our knowledge, this is the highest efficiency ever reported for a WCDMA CMOS RF PA. By comparison, the typical benchmark for a commercial GaAs HBT WCDMA PA is a PAE of 40% at an ACPR1 of 40 dBc. From Fig. 18, it is seen that the amplifier achieves a comparable PAE of 38.5% with an ACPR1 of 40 dBc at an output power of 24.0 dBm. Measured peak PAE and output power for a WCDMA signal are shown in Fig. 19. Measurements include bond-wire, package, PCB, and matching network losses up to the SMA connectors. C. Effectiveness of the NCN The effectiveness of the NCN for 2-D linearization is seen by comparing the ACPR performance with and without the NCN (see Fig. 20). The ACPR without NCN correction was obtained by biasing the NCN cells to a high value so that the NCN is virtually a linear capacitor. This experimental trick is valid since the gain and PAE are virtually unchanged, and, to the first order, the third-order distortions are insensitive to the linear portion of the NCN capacitor.4 As seen in Fig. 20, the NCN improves the ACPR1 by 5–8 dB in the mid and higher power ranges. The measured IMD3 with and without the NCN is compared in Fig. 21, from which a similar difference is observed. Fig. 22 4In fact, the linear portion of the total input gate capacitor (NCN and TCC) is resonated out by the gate inductor.

Fig. 23. Comparison of the PAE and ACPR of the new TCC/NCN CMOS PS and a conventional class-AB CMOS RF PA against normalized output power.

is a 2-D representation of the impact of the measured IMD3 with and without the NCN. The effectiveness of the NCN in linearizing the quadrature part of the IMD3 can be clearly observed for mid and higher power levels. Note that the phase of IMD3 is relative to the carrier and detailed measurement setup and procedures can be found in [27] and [39]. D. Comparison to Conventional Cascode CMOS RF PAs The advantages of the PA presented here can be appreciated by comparing the linearity and efficiency to that of a conventional common source CMOS RF PA (designed for comparable peak linear output power) on the same die. The conventional CMOS RF PA is biased at its sweet spot [2] (i.e., ) where in-phase distortion is not significant at low and mid power levels. The measured PAE and ACPR performance of the two PAs are plotted against normalized output power in Fig. 23. Power is normalized to that at 33-dBc ACPR1 so that for a normalized output power of 0 dB, both PAs have an ACPR1 of 33 dBc. The normalized output power of 0 dB corresponds to 23 and 24.9 dBm for the conventional CMOS and TCC/NCN PAs, respectively. That is, compared to a conventional class-AB CMOS PA and for the same distortion level, the new TCC/NCN PA requires approximately 1.9-dB less back-off from the 1-dB

2860

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

TABLE II COMPARISON OF THE PERFORMANCES OF THIS PROTOTYPE AND RECENTLY REPORTED RF PAs

gain compression point. The PA presented here has a PAE more than 15% greater than that of the conventional PA. The ACPR1 is 20 dB better for sub-mid to high power ranges. Table II compares the presented linear amplifier performance against recently published CMOS and GaAs HBT amplifier results for WCDMA applications. The presented CMOS amplifier achieves PAE 38.4% at 40-dBc ACLR and 3.6-V supply voltage compared to 37.5% PAE for the best standalone CMOS silicon-on-insulator (SOI) design [41]. The amplifier also compares favorably against the best-in-class CMOS PAE of 40% for an SOI CMOS design [40] that included considerable digital complexity and required DPD correction. Each of these CMOS designs achieved PAE performance comparable to the 40% commercial GaAs HBT designs.

VII. CONCLUSIONS A highly linear and efficient CMOS RF PA based on an amplifier with TCCs and NCN cells has been presented. A peak PAE of more than 40% was achieved using a WCDMA test and meeting ACPR specifications. This is the best reported efficiency of a WCDMA CMOS RF PA using only circuit-level linearization techniques. These results are comparable to those of GaAs PAs. Thus, CMOS RF PAs are a viable competitive solution for medium power-range applications such as cellular handset RF PAs. The significant improvement in linearization is due to a 2DCST that simultaneously linearizes in-phase and quadrature

distortion. While the technique was applied to a CMOS amplifier here, it is applicable to a wide variety of analog and RF circuits, especially those with large signals. REFERENCES [1] International Technology Roadmap for Semiconductors, 2009. [Online]. Available: http://www.itrs.net/reports.html [2] N. B. De Carvalho and J. C. Pedro, “Large and small-signal IMD behavior of microwave power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2364–2374, Dec. 1999. [3] M. R. Moazzam and C. S. Aitchison, “A low third order intermodulation amplifier with harmonic feedback circuitry,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1996, pp. 827–830. [4] J. Sun, B. Li, and Y. W. M. Chia, “A novel CDMA power amplifier for high efficiency and linearity,” in IEEE Veh. Technol. Conf., Amsterdam, The Netherlands, Sep. 1999, pp. 2044–2047. [5] G. Hau, T. Nishimura, and N. Iwata, “A highly efficient linearized wideband CDMA handset poweramplifier based on predistortion under various bias conditions,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 6, pp. 1194–1201, Jun. 2001. [6] K. Sangwon and L. Jenshan, “A linearized cascode CMOS power amplifier,” in IEEE Annu. Wireless Microw. Technol. Conf., Dec. 2006, pp. 1–4. [7] X. Yang, A. Davierwalla, D. Mann, and K. G. Gard, “A 90 nm CMOS direct conversion transmitter for WCDMA,” in IEEE Radio Freq. Integr. Circuits Symp., Jun. 2007, pp. 17–20. [8] T. Y. Yum, C. Leung, C. Chi Hou, and X. Quan, “High-efficiency linear RF amplifier—A unified circuit approach to achieving compactness and low distortion,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 8, pp. 3255–3266, Aug. 2006. [9] C. Wang, M. Vaidyanathan, and L. E. Larson, “A capacitance-compensation technique for improved linearity in CMOS class-AB power amplifiers,” IEEE J. Solid-State Circuits, vol. 39, no. 11, pp. 1927–1937, Nov. 2004. [10] S. Tanaka, F. Behbahani, and A. A. Abidi, “A linearization technique for CMOS RF power amplifiers,” in IEEE VLSI Circuits Symp. Tech. Dig., Sep. 1997, pp. 93–94.

DING et al.: HIGHLY LINEAR AND EFFICIENT CMOS RF PA WITH 2DCST

[11] T. Yoshimasu, M. Akagi, N. Tanba, and S. Hara, “An HBT MMIC power amplifier with an integrated diode linearizer for low-voltage portable phone applications,” IEEE J. Solid-State Circuits, vol. 33, no. 9, pp. 1290–1296, Sep. 1998. [12] B. Gilbert, “A monolithic microsystem for analog synthesis of trigonometric functions and their inverses,” IEEE J. Solid-State Circuits, vol. SSC-17, no. 6, pp. 1179–1191, Dec. 1982. [13] J. W. Fattaruso and R. G. Meyer, “MOS analog function synthesis,” IEEE J. Solid-State Circuits, vol. SSC-22, no. 6, pp. 1056–1063, Dec. 1987. [14] D. G. Haigh and C. Toumazou, “Synthesis of transconductor/multiplier circuits for gallium arsenide technology,” IEEE Trans. Circuits Syst, vol. 39, no. 2, pp. 81–92, Feb. 1992. [15] B. Gilbert, “The multi-tanh principle: A tutorial overview,” IEEE J. Solid-State Circuits, vol. 33, no. 1, pp. 2–17, Jan. 1998. [16] K. Kimura, “The ultra-multi-tanh technique for bipolar linear transconductance amplifiers,” IEEE Trans. Circuits Syst. I, Fundam. Theory Appl., vol. 44, no. 4, pp. 288–302, Apr. 1997. [17] D. R. Webster, D. G. Haigh, and A. E. Parker, “Novel circuit synthesis technique using short channel GaAs FETs giving reduced intermodulation distortion,” in IEEE Int. Circuits Syst. Symp., Seattle, WA, Apr. 30 May 3, 1995, pp. 1348–1351. [18] D. R. Webster and D. G. Haigh, “Low-distortion MMIC power amplifier using a new form of derivative superposition,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 2, pp. 328–332, Feb. 2001. [19] N. Srirattana, P. Sen, H. M. Park, C. H. Lee, P. E. Allen, and J. Laskar, “Linear RF CMOS power amplifier with improved efficiency and linearity in wide power levels,” in IEEE Radio Freq. Integr. Circuits Symp., Jun. 2005, pp. 251–254. [20] Y. Ding and R. Harjani, “A high-efficiency CMOS 22-dBm linear power amplifier,” IEEE J. Solid-State Circuits, vol. 40, no. 9, pp. 1895–1900, Sep. 2005. [21] C. Lu, A. V. H. Pham, M. Shaw, and C. Saint, “Linearization of CMOS broadband power amplifiers through combined multigated transistors and capacitance compensation,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 11, pp. 2320–2328, Nov. 2007. [22] L. Sheng, J. C. Jensen, and L. E. Larson, “A wide-bandwidth Si/SiGe HBT direct conversion sub-harmonic mixer/downconverter,” IEEE J. Solid-State Circuits, vol. 35, no. 9, pp. 1329–1337, Sep. 2000. [23] K. Tae Wook, K. Bonkee, and L. Kwyro, “Highly linear receiver front-end adopting MOSFET transconductance linearization by multiple gated transistors,” IEEE J. Solid-State Circuits, vol. 39, no. 1, pp. 223–229, Jan. 2004. [24] M. Parvizi and A. Nabavi, “Improved derivative superposition scheme for simultaneous second and third-order distortion cancellation in LNAs,” Electron. Lett., vol. 45, no. 25, pp. 1323–1325, Dec. 2009. [25] M. Ding and K. Gard, “Tanh cascode cell amplifier—An arbitrary transfer characteristics amplifier,” Electron. Lett., vol. 46, no. 22, pp. 1495–1497, 2010. [26] M. Ding, “Highly linear and efficient RF power amplfiers with a new circuit synthesis technique,” Ph.D. dissertation, Dept. Elect. Comput. Eng., North Carolina State Univ, Raleigh, NC, 2011. [27] C. Fager, J. C. Pedro, N. B. de Carvalho, H. Zirath, F. Fortes, and M. J. Rosario, “A comprehensive analysis of IMD behavior in RF CMOS power amplifiers,” IEEE J. Solid-State Circuits, vol. 39, no. 1, pp. 24–34, Jan. 2004. [28] F. H. Raab, P. Asbeck, S. Cripps, P. B. Kenington, Z. B. Popovic, N. Pothecary, J. F. Sevic, and N. O. Sokal, “Power amplifiers and transmitters for RF and microwave,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 814–826, Mar. 2002. [29] P. Andreani and S. Mattisson, “On the use of MOS varactors in RF VCOs,” IEEE J. Solid-State Circuits, vol. 35, no. 6, pp. 905–910, Jun. 2000. [30] T. Lee, The Design of CMOS Radio-Frequency Integrated Circuits, 2nd ed. Cambridge, U.K.: Cambridge Univ. Press, 2004. [31] R. Behzad, Design of analog CMOS integrated circuits. New York: McGraw-Hill, 2001. [32] K.-C. Tsai and P. R. Gray, “A 1.9-GHz, 1-W CMOS class-E power amplifier for wireless communications,” IEEE J. Solid-State Circuits, vol. 34, no. 7, pp. 962–970, Jul. 1999. [33] A. Giry, J. M. Fourniert, and M. Pons, “A 1.9 GHz low voltage CMOS power amplifier for medium power RF applications,” in IEEE Radio Freq. Integr. Circuits Symp., 2000, pp. 121–124. [34] T. Sowlati and D. M. W. Leenaerts, “A 2.4-GHz 0.18- m CMOS selfbiased cascode power amplifier,” IEEE J. Solid-State Circuits, vol. 38, no. 8, pp. 1318–1324, Aug. 2003.

2861

[35] M. Elmala, J. Paramesh, and K. Soumyanath, “A 90-nm CMOS doherty power amplifier with minimum AM–PM distortion,” IEEE J. SolidState Circuits, vol. 41, no. 6, pp. 1323–1332, Jun. 2006. [36] I. Aoki, S. Kee, R. Magoon, R. Aparicio, F. Bohn, J. Zachan, G. Hatcher, D. McClymont, and A. Hajimiri, “A fully-integrated quad-band GSM/GPRS CMOS power amplifier,” IEEE J. Solid-State Circuits, vol. 43, no. 12, pp. 2747–2758, Dec. 2008. [37] M. Ferndahl, H. O. Vickes, H. Zirath, I. Angelov, F. Ingvarson, and A. Litwin, “90-nm CMOS for microwave power applications,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 12, pp. 523–525, Dec. 2003. [38] “Technical specification,” 3GPP, TS 25.101 V9.0.0, Jun. 2009. [Online]. Available: http://www.3gpp.org/Release‐9 [39] J. Hu, K. G. Gard, and M. B. Steer, “Calibrated nonlinear vector network analyzer without using a multi-harmonic generator,” IET Trans. Microw., Antennas, Propag., vol. 5, no. 5, pp. 616–624, Apr. 2011. [40] C. D. Presti, F. Carrara, A. Scuderi, P. M. Asbeck, and G. Palmisano, “A 25 dBm digitally modulated CMOS power amplifier for WCDMA/ EDGE/OFDM with adaptive digital predistortion and efficient power control,” IEEE J. Solid-State Circuits, vol. 44, no. 7, pp. 1883–1896, Jul. 2009. [41] S. Pornpromlikit, J. Jeong, C. D. Presti, A. Scuderi, and P. M. Asbeck, “A watt-level stacked-FET linear power amplifier in silicon-on-insulator CMOS,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 1, pp. 57–64, Jan. 2010. [42] G. Zhang, S. Chang, S. Chen, and J. Sun, “Dual mode efficiency enhanced linear power amplifiers using a new balanced structure,” in IEEE Radio Freq. Integr. Circuits Symp., Jun. 2009, pp. 245–248. [43] H. Jeon, K.-S. Lee, O. Lee, K. H. An, Y. Yoon, H. Kim, D. H. Lee, J. Lee, C.-H. Lee, and J. Laskar, “A 40% PAE linear CMOS power amplifier with feedback bias technique for WCDMA applications,” in IEEE Radio Freq. Integr. Circuits Symp., May 23–25, 2010, pp. 561–564. Miaofu Ding was born and raised in Shanghai, China. He received the M.Eng degree in electrical and electronic engineering (with Japanese language) from the University of Birmingham, Birmingham, Edgbaston, U.K., in 2006, and the Ph.D degree in electrical engineering from North Carolina State University (NCSU), Raleigh, in 2011. He is currently a Senior RF Design Engineer with RF Micro Devices (RFMD), Greensboro, NC, where he designs highly linear and efficient monolithic microwave integrated circuit (MMIC) PAs for wireless communication systems. Since 2006, he has been a graduate student involved with highly linear and efficient RF CMOS PA techniques with the Department of Electrical and Computer Engineering, NCSU. His research interests include highly linear and efficient RF PAs and circuit synthesis techniques, as well as other analog, RF, and microwave circuits.

Kevin G. Gard (S’92–M’95) received the B.S. and M.S. degrees in electrical engineering from North Carolina State University (NCSU), Raleigh, in 1994 and 1995, respectively, and the Ph.D. degree in electrical engineering from the University of California at San Diego, La Jolla, in 2003. In 2010 he joined Analog Devices Inc., Raleigh, NC, as an RF Integrated Circuit (RFIC) Design and System Engineer, where he developed wireless receiver systems in 65-nm CMOS. In 2009, he was an RFIC Development Engineer with ST Ericsson, where he designed a multiband low-noise amplifier front-end circuit in 90-nm CMOS for GSM, EDGE, and WCDMA transceivers. From 2004 to 2008, he was the William J. Pratt Assistant Professor with the Electrical and Computer Engineering Department, NCSU. From 1996 to 2003, he was with Qualcomm Inc., San Diego, CA, where he was a Staff Engineer and Manager responsible for the design and development of RFICs for code-division multiple-access (CDMA) wireless products. Since 2009, he has been an Adjunct Assistant Professor with the Department of Electrical and Computer Engineering (ECE), NCSU. He has authored or coauthored over 70 papers related to RF/analog integrated circuit design and analysis of nonlinear circuits. His research interests are in the areas of novel integrated circuit solutions for wireless transceivers and analysis of nonlinear microwave circuits with digitally modulated signals.

2862

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Dr. Gard is a member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) and IEEE Solid-State Circuits Society. In 2007, he was secretary of the IEEE MTT-S Administrative Committee (AdCom). He ws the corecipient of the 2010 Microwave Prize of the IEEE MTT-S.

Michael Steer (S’76–M’78–SM’90–F’99) is the Lampe Distinguished Professor of Electrical and Computer Engineering with North Carolina State University (NCSU), Raleigh. He has authored over 460 refereed publications, including coauthoring Foundations of Interconnect and Microstrip Design (Wiley, 2000) and Multifunctional Adaptive Microwave Circuits and Systems (Scitech, 2009), and the textbook Microwave and RF Design: A Systems Approach (Scitech, 2010). Dr. Steer was secretary of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) (1997) and was a member of the IEEE MTT-S Administrative Committee (AdCom) (1998–2001 and

2003–2006). He was the editor-in-chief of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He was the recipient of the Alcoa Foundation Distinguished Research Award from NCSU’s College of Engineering (2003), the Jack S. Kilby Lecturer Award (2003), the Bronze Medallion of U.S. Army Research for Outstanding Scientific Accomplishment (1994 and 1996), a Distinguished Service Recognition Award of the IEEE MTT-S (2007), a U.S. Army Medal, i.e., the “Commander’s Award For Public Service” from the Commanding General of the U.S. Army Research, Development and Engineering Command (RDECOM) (2009), the 2010 Microwave Prize for the best paper on microwave engineering in any IEEE publication in the preceding year, and the 2011 Distinguished Educator Award of the IEEE MTT-S. In 2011, he was inducted into the Electronic Warfare Technology Hall of Fame sponsored by the Association of Old Crows and was also named one of the Most Creative Teachers in the South by Oxford American Magazine.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

2863

A Transformer-Less Load-Modulated (TLLM) Architecture for Efficient Wideband Power Amplifiers Mohammadhassan Akbarpour, Student Member, IEEE, Mohamed Helaoui, Member, IEEE, and Fadhel M. Ghannouchi, Fellow, IEEE

Abstract—An architecture and design procedure for transformer-less load-modulated power amplifiers (PAs) having high efficiency at power back-off is presented. This architecture utilizes a comparable load modulation concept as in the Doherty PA; however, contrary to the Doherty PA, it neither requires an output impedance transformer, nor offset lines, which are the main limiting factors in designing wideband Doherty amplifiers. In this architecture, the proper load modulation at the transistor drain levels is directly dependant on the design of the main and peaking amplifiers’ matching networks. It is shown that the design of the output impedance of the peaking amplifier as a frequency-varying susceptance rather than as a quasi-open circuit impedance (as in Doherty amplifiers) helps in achieving better wideband performance. Based on the analytical results, a step-by-step procedure is proposed for designing wideband load-modulated PAs having high efficiency over a wide power back-off region. Based on the proposed architecture and its design procedure, a 10-W PA is designed and prototyped in the 1.96–2.46-GHz band exhibiting a power-added efficiency of more than 40% at a 6-dB power back-off. In comparison with a symmetrical Doherty amplifier design using the same device, the proposed architecture exhibits a 250% enhancement in its fractional bandwidth. Index Terms—Doherty amplifier, peak-to-average power ratio (PAPR), power-added efficiency (PAE), power back-off, software-defined radio (SDR), two-point matching, two-sided matching, wideband power amplifiers (PAs).

I. INTRODUCTION

M

ODERN communication systems are using increasingly complex modulated signals to meet the requirements for high data rates. The high-order modulated signals have higher peak-to-average power ratios (PAPRs), which require special attention in designing system components. The most challenging component in the transmitter that is affected by the PAPR is the RF power amplifier (RF PA). With high PAPRs, the power amplifier (PA) operates at an output power back-off. Working in the back-off region usually causes PAs to have very low efficiency, which causes battery draining in portable devices and Manuscript received April 21, 2012; accepted June 07, 2012. Date of publication July 10, 2012; date of current version August 28, 2012. This work was supported by the Alberta Innovates Technology Future (AITF), the Natural Science and Engineering Research Council (NSERC) of Canada, and the Canada Research Chairs (CRC) Program. The authors are with the Intelligent RF Radio Laboratory (iRadio Lab), Department of Electrical and Computer Engineering, University of Calgary, Calgary, AB, Canada T2N 1N4 (e-mail: [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2206050

chip heat-up in integrated systems, requiring the need for expensive cooling systems in base stations. There are different solutions for acquiring high efficiency at power back-off, such as envelope elimination and restoration (EER) [1], the Chireix outphasing method [2], envelope tracking [3], and the Doherty amplifier [4]. In new systems, there is a large tendency toward softwaredefined radios (SDRs) that can operate in different standards and frequency bands with the same hardware [5], [6]. SDRs can decrease the costs for further developments and changes in systems. Therefore, the hardware implemented in these systems should be capable of working with different standards. SDRs need wideband PAs and the ability to work with different signals, while maintaining good linearity and high efficiency. Having wideband PAs that maintain flat high gain, high output power, and high efficiency at power back-off in a large bandwidth can be a challenging design. Doherty architecture is one of the most widely used methods for improving the efficiency at power back-off. It uses load modulation to have amplifiers working in high-efficiency regions for a certain range of output power. A quarter-wavelength impedance transformer is used in the Doherty architecture to have proper load modulation for the main amplifier, but this impedance transformer limits the operation bandwidth of the Doherty architecture. The bandwidth of the Doherty architecture can be improved using specific techniques [7]–[11]. In all of these references, the impedance transformer of the combining network is considered as one of the important limiting factors in the Doherty architecture’s bandwidth extension. In this paper, a load-modulated architecture and its systematic design procedure is proposed for the design of high-efficiency wideband amplifiers. The proposed architecture consists of two branches—the main branch and a peaking branch—similar to the Doherty amplifier, but uses a different output matching technique that does not require an impedance transformer. Therefore, it has the capability of operating in wider bandwidths. Moreover, in the Doherty architecture, due to the amplifier’s matching networks and transistor parasitics, the addition of offset lines is often required to tune the design so that proper load modulation for the main amplifier and high output impedance for the peaking amplifier at back-off can be achieved [12]–[14]. In the proposed procedure, the design is carried out based on the optimum load impedances of the transistors with the parasitics included. Hence, this procedure can be applied to any technology and any design frequency. The architecture is described in Section II. Sections III and IV describe the output matching network requirements for

0018-9480/$31.00 © 2012 IEEE

2864

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 1. Conventional Doherty architecture.

each branch. The matching network synthesis is discussed in Section V, and Section VI provides the detailed design procedure for this architecture. Sections VII and VIII describe the design and implementation of the prototype and the measurement results. II. PROPOSED ARCHITECTURE A. Limitations of the Conventional Doherty Amplifier The Doherty architecture is shown in Fig. 1. It uses an impedance transformer to have the proper load modulation for the main amplifier. The load impedance presented to the main at power back-off to amplifier changes dynamically from at peak power, where is the main amplifier’s optimum load impedance at peak power. The analysis of this architecture is based on the transistor load line. With higher load impedance, the transistor saturates earlier, and by changing the load impedance dynamically, the transistor remains in saturation, thereby maintaining high efficiency [15], [16]. However, there are some points to be considered in the design of the Doherty amplifiers. First, the impedance transformation usually takes place at the amplifier’s output, not at the transistor’s drain. The effect of the main amplifier’s output matching network should also be considered. It may alter the impedance transformation such that the impedance transformation from back-off to peak power level may be completely different at the transistor’s drain. Even if the impedance at the drain at power back-off to at peak power, level goes from it may not be the optimal condition, because of package parasitics, the inherent transistor parasitics, and the transistor’s nonlinear behavior, the optimum load impedances at peak power and back-off may not be multiples of each other. In some cases, these effects are compensated using additional offset lines that are added to the outputs of the amplifiers [12]–[14]. B. Transformer-Less Load-Modulated (TLLM) PA In the proposed design approach, the optimum load impedances required by the carrier and peaking transistors for back-off and peak power levels are assumed to be known in advance. They can be obtained from the transistor’s nonlinear model or by performing large-signal load–pull measurements. The proposed architecture is shown in Fig. 2. In this architecture, the transformer used in the Doherty structure is avoided, and the load seen by the main amplifier changes differently from that of the Doherty amplifier architecture. The appropriate impedance transformations at the transistor drain levels are realized using impedance-matching networks. At the

Fig. 2. Proposed TLLM PA architecture.

input of one or both amplifiers, a delay and phase compensation network is needed to ensure the in-phase combination of the carrier and peaking amplifier output currents at peak power. According to the output powers and bias conditions, different transistors can be used for the two branches. This means that the input and output matching networks can be different. Even with the same transistors, the matching networks may be different, since the two branches are working in different bias conditions and may have different input and optimum load impedances. At first glance, [10] and [11] seem to propose topologies for the Doherty PA similar to the one illustrated in Fig. 2. In [10], the impedance transformer is implemented using lumped elements and embedded in the output matching network of the main amplifier. In [11], the real frequency technique is used; however, it still uses the impedance inverter as a part of the output combining network. In addition, the methodology proposed here to design the TLLM amplifier illustrated in Fig. 2 is different from the ones proposed in [10] and [11]. In our approach, the design of the output matching networks are done so that the requirements at power back-off and at peak power are fulfilled. We provide a systematic approach to obtain the output matching network parameters. For the main amplifier, our approach ensures the best possible performances at both back-off and peak power. Also a very important part of the design is the prevention of power leakage into the peaking amplifier at power back-off. While the other references use optimization to fulfill this requirement, we provide a systematic approach for the design of the wideband peaking amplifier to prevent power leakage in the back-off region and to ensure the best performance at the peak power. In the Doherty architecture, the bandwidth is limited by the intrinsic frequency bandwidth of the individual PAs and by the frequency response of the quarter-wave impedance transformer. In our approach, we do not have the impedance transformer so the bandwidth of the whole structure is only limited by the bandwidth of the main and peaking PAs. The most important parts of this architecture are the two output matching networks. Their characteristics are defined based on the design goals. In our approach, these matching networks are designed based on two goals. The main goal is high efficiency at power back-off, and the second goal is the highest possible maximum output power at peak input power. The efficiency at peak output power is considered herein to be less important, because with high PAPR signals, the probability density function (PDF) of the signal is usually concentrated in

AKBARPOUR et al.: TLLM ARCHITECTURE FOR EFFICIENT WIDEBAND PAs

the back-off region and the efficiency at peak power has little effect on the average amplifier’s efficiency. To meet our design goals, the following criteria should be met [17]. 1) To fulfill the main goal, the main transistor should see its optimum load impedance for efficiency at power back-off. To reach the second goal, it should have the load impedance as close as possible to its optimum load impedance for peak output power. 2) For high efficiency at back-off, the peaking amplifier should have no RF power leakage in power back-off. If the peaking amplifier has a small-signal output admittance at back-off (Fig. 2), the ratio of of the power delivered to the load to the main amplifier’s output power can be calculated as (1) where is the real part of the . In this case, a part of the power generated by the main amplifier is delivered to the load. The rest of the output power generated by the main amplifier is dissipated in the peaking amplifier’s output impedance. Equation (1) shows should be as low as possible to reduce the that power leakage toward the peaking amplifier in the back-off power range. The peaking amplifier may include a reactive susceptance component at its output. In such a case, this susceptance should be considered in the design of the main amplifier’s output matching network since it changes the main amplifier’s load impedance at back-off. 3) The peaking transistor should see a load impedance close to its optimum load impedance at peak power to meet the second goal. 4) The peaking amplifier should have low, or in the best case, zero dc power consumption in the power back-off region, when it is turned off. Therefore, it should be biased at a proper gate bias for class C to turn on at the correct input power level. 5) At peak power, the output currents from the two amplifiers should be in-phase to have the best combining efficiency. This condition can be assured by the insertion of delay and phase alignment circuits at the inputs of the amplifiers. The last two criteria should be met by proper biasing and a delay/phase compensation circuit. The first three criteria should be satisfied by proper design of the output matching networks. As can be seen from these conditions, there are two limitations for each matching network. For the main amplifier’s output matching network, two load impedances at back-off and peak powers should be transformed to two optimum impedances for the main transistor. For the peaking amplifier’s design, the output impedance of the amplifier at back-off and the transistor’s load impedance at peak power are of prime importance. In Sections III and IV, the design procedures for these two matching networks are presented. III. TWO-POINT MATCHING In Section II, the design criteria for the main amplifier’s output matching network are described. At back-off, only the main amplifier is working, and it sees the back-off load

2865

impedance. At peak power, the peaking amplifier also delivers current into the load, and the impedance seen by the main amplifier changes. The main amplifier’s output matching network has to transform two load impedance values to two optimum impedance values—one at back-off and one at peak power. For this reason, we designate the design procedure as the two-point matching technique. Consider the output matching network of the main amplifier shown in Fig. 2 as a lossless reciprocal two-port network. The -parameter matrix of a lossless and reciprocal two-port network can be written as (2) In this equation, is the phase of . We consider the main amplifier’s load impedances at back-off and peak powers to be and , respectively. Assume that the main transistor’s optimum load at back-off is , and the optimum load at peak power is . The main goal is high efficiency at back-off; therefore, the matching network has to convert to the desired . We select as the reference impedance for the matching network’s -parameters. At power back-off, the output port is terminated to its reference impedance ; hence, we write (3) At peak power, the transistor’s load reflection coefficient can be expressed as (4) Since we need the load impedance seen by the transistor at power back-off to be the optimum load for efficiency, we know from the transistor model or load–pull measurement; therefore, for the matching network is known. Using (2) and (3), (4) can be written as

(5) After some manipulation, (5) can be written as (6) Equation (6) can be used to find the locus for the possible transistor load impedances at peak power. It is the Apollonius representation of a circle having a center and radius, respectively, of

(7) (8) This shows that, if we design the matching network for the back-off power level, the load impedance at peak power can only be transformed to a predetermined set of impedances lying

2866

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

In this case,

and (5) can be written as (10)

One should note that the reference impedances used for the and are different. Equation (9) calculation of shows that, with these reference impedances, the matching network has no loss and only a phase shift, which can be obtained using (10) and the output power contours or optimum load impedance of the transistor at peak power. IV. TWO-SIDED AND REVERSED TWO-SIDED MATCHING

Fig. 3. Graphical illustration of the two-point matching technique.

on a circle. The value of the phase is the only degree of freedom to make the design suitable for the peak power. This value can be set using the contours for the output power at peak input power for the main transistor. By plotting the power contours and the circle loci defined by (7) and (8), the intersection point of this circle with the highest possible power contour is the best possible choice and should be selected as . Using this impedance point and (6), can be directly determined. If the contours are not available, the phase of can be set such that the load impedance becomes as close as possible to the optimum load at the peak power. One should note that the analysis was done with the selected as the reference impedance. The contours should be plotted in a Smith chart with this reference impedance or the locus should be transformed to the standard impedance of 50 [18]. Since fully determines and the phase is determined to have the best possible performance at peak power, the -parameter matrix of the matching network is fully determined. The matching network should then be synthesized using circuit elements in a later circuit synthesis phase. The two-point matching technique is shown graphically in Fig. 3 with a reference impedance of 50 . As shown in this figure, should be converted to the optimum impedance for efficiency at back-off power. The locus of the possible transistor’s load impedances at peak input power can then be plotted along with the contours of output power at peak input power. The transistor’s load impedance at peak input power is selected to give the highest possible output power. To have simpler relations for wideband matching (as discussed in Section V), it is better to proceed one step further. We can choose as the reference impedance of the output port (port 2) and as the reference impedance for the input port (port 1) of the matching network. Since the matching network converts to , and the -parameter matrix of (2) can be written in the form of

As discussed in Section II, the matching network for the peaking amplifier should provide the lowest possible leakage at power back-off and also provide near-optimum impedance for the peaking transistor at peak power. Consider the output matching network of the peaking amplifier shown in Fig. 2 as a lossless reciprocal two-port network. is the load impedance seen by the peaking amplifier at peak power, is the load impedance seen by the peaking transistor at peak power, is the small-signal output impedance of the peaking transistor, and is the small-signal output impedance of the peaking amplifier. We divide the design method into two separate cases, depending on the small-signal output impedance of the peaking transistor. Case1: If the transistor’s small-signal output impedance corresponds to a low magnitude reflection coefficient, the matching network is designed to convert to a nearly reactive output impedance. Its parameters are then selected so that the peaking amplifier has the highest possible peak output power. In this case, the matching technique is termed two-sided matching. Case 2: If the transistor’s small-signal output impedance corresponds to a high magnitude reflection coefficient, the matching network usually converts it to a high magnitude output reflection coefficient that can fulfill the output impedance requirement for the peaking amplifier. In this case, the matching network is primarily designed to convert to the transistor’s optimum load at peak power . The parameters of this matching network are then selected so that the peaking amplifier does not have RF power leakage before it is turned on. This matching procedure is termed reversed two-sided matching. A. Two-Sided Matching As previously mentioned, if the peaking transistor has a low magnitude output reflection coefficient, the primary criterion in the design is the output impedance at power back-off, in order to minimize the power leakage. This condition usually happens at very high frequencies, such as millimeter-wave frequencies, in which conductive losses are dominant in the transistor terminal interconnections. In this case, by selecting to be the reference impedance, we have (11)

(9)

(12)

AKBARPOUR et al.: TLLM ARCHITECTURE FOR EFFICIENT WIDEBAND PAs

2867

possible output power. Having (14).

, one can find

using

B. Reversed Two-Sided Matching When is nearly reactive, a different design procedure can be adopted. Usually class-C biased transistors in low RF frequencies meet such a condition. In this case, the magnitude of the output reflection coefficient de-embedded to the output access port of the matching network remains high; therefore, there is very low power leakage into the peaking amplifier at power back-off. In these cases, it is better to design the matching network to have the optimum impedance at peak power and then select the parameters to have the desired output impedance at power back-off. The analysis in this case is similar to the two-sided matching case. By selecting the reference impedance to be , we have

Fig. 4. Graphical illustration of the two-sided matching technique.

(17) The primed notation is used for the peaking amplifier’s matching network. Using (2) and (11), (12) can be written as

(18) Also, (2) can be rewritten as

(13)

(19)

(14)

Using the same procedure as the two-sided matching case, we have

After some manipulation, (13) can be written as

(20)

which is a circle’s equation having a center and radius, respectively, of which is a circle with a center and radius, respectively, of

(15) (16)

(21)

This circle is the locus of the possible values for . To find the parameters for the matching network, a value should first be selected for (or ). For example, by selecting , according to (1), the total power loss percentage due to power leakage into the peaking amplifier is nearly 9%. By plotting the circular locus for the possible values along with the output power contours of the peaking transistor and using (14), the value of can then be found. By finding this parameter, the -parameter matrix of the peaking amplifier’s output matching network is fully determined. Fig. 4 illustrates the two-sided matching technique graphically with the reference impedance of 50 . As can be seen from this figure, the output impedance of the peaking transistor should be converted to the desired output impedance. The locus of the possible values can then be plotted along with the output power contours. is selected to give the highest

(22) The small-signal output impedance of the peaking amplifier lies on this circle. In this case, we have optimum load impedance for the transistor at peak power; and by selecting a proper value for , the output impedance power back-off can be set to a desired value. Fig. 5 illustrates the reversed two-sided matching graphically with the reference impedance of 50 . In this figure, is converted to to get the maximum output power. By selecting the proper output impedance, can be found using (20). V. SYNTHESIS OF THE MATCHING NETWORKS In Sections III and IV, the -parameters of the matching networks are obtained using the design criteria. As we have seen,

2868

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 5. Graphical illustration of the reversed two-sided matching technique.

Fig. 6. Three-element networks for narrowband matching network synthesis. (a) pi network. (b) T network.

all the cases lead to the same condition in which the -parameter matrix is fully determined. The next step is the synthesis of the matching networks using circuit elements in the given technology. In the following, a single-frequency synthesis method based on pi and T networks is presented; and then, the wideband design procedure is described. A. Narrowband Matching Network Synthesis The problem is the synthesis of a two-port network with predetermined -parameters. One of the simplest ways of designing the matching network is the utilization of T or pi networks, as shown in Fig. 6. Having the -parameters of a two-port network, its -parameters can be obtained at the design frequency, and with the -parameters, the network elements for the networks of Fig. 6 can be obtained as described in [19]. With the element values, the -parameters necessary for proper load modulation at drain are ensured, and there is no need for the impedance transformer, as used in conventional Doherty architecture. B. Wideband Matching Network Synthesis In wideband matching network design, the only important response is usually the amplitude response. The phase response is important only in terms of group-delay flatness. However, in our design case, the -parameters are fully determined, so the

matching network has to be synthesized so that both the amplitude and phase responses are simultaneously satisfied. In general, it is not possible to synthesize both amplitude and arbitrary phase responses; nonetheless, the design should be done to follow the amplitude and phase requirements as closely as possible. For the output matching networks, after characterizing the transistors in the desired bandwidth, the -parameters of the matching networks can be obtained using the two-sided (or reversed two-sided) and two-point matching techniques. By selecting a proper response type, such as Butterworth or Chebychev, the matching networks can then be designed. When selecting the response type, one should note that both amplitude and phase responses in the frequency range of interest should be satisfied as much as possible; therefore, there may be a need to select a wider bandwidth response than the PA design bandwidth, in order to have a phase response close to the analytically required one. After selection of the response type, the synthesis of the matching network can be done using broadband matching network design techniques [18], [20]. The design of the main amplifier’s output matching network can be simplified by controlling the output impedance of the peaking amplifier. Referring to Fig. 2, the load impedance seen by the main amplifier before turning on the peaking amplifier is equal to . Usually, the optimum impedances of the transistors for maximum output power are confined to a small region of the Smith chart at different frequencies. One can approximate these impedances with a constant impedance value. Using (10), we have

(23) If the output impedance of the peaking amplifier is nearly over the frequency bandwidth, the rightopen hand side of (23) is a constant value over the frequency band because all the impedances are nearly constant. This means that the transmission phase to be realized for the main amplifier’s matching network should be nearly constant in the desired bandwidth, i.e., the matching network should have nearly zero group delay. Such a matching network may not be feasible. To have more realizable conditions, the output admittance of the peaking amplifier can be set to be a frequency-dependent susceptance of . Using (23), the graph of versus normalized is plotted in Fig. 7 for the normalized case of and (equal output powers from two amplifiers). From (23), it can be seen that is almost constant; therefore, the slope of is negative versus in the range. Hence, if we have an increasing versus frequency in the range of 1/2 to 1/2, we have a negative slope versus frequency (positive group delay), resulting in a more realizable matching network for the main amplifier. The input matching networks can be designed using the conventional wideband matching network design method [18], [20]. By having the appropriate source impedances, the input

AKBARPOUR et al.: TLLM ARCHITECTURE FOR EFFICIENT WIDEBAND PAs

Fig. 7.

versus normalized

.

matching networks can be designed to convert the standard 50- impedance to the desired source impedance for the transistors. VI. DESIGN PROCEDURE In the proposed architecture, the design of the main and peaking amplifiers are not independent from each other. Therefore, the design should be done considering the effects of the amplifiers on each other. The design procedure is proposed as follows. Step 1) At the design frequency, the ratios of the output powers from two amplifiers should be specified at peak power. Having the ratios of the output powers, the load impedances of each amplifier at peak power can be determined as follows: (24) (25) and are the main amplifier and the where peaking amplifier’s peak output currents, respectively. These currents can be obtained from the peak power values. Step 2) The value of should be obtained at small signal condition, and (or load–pull contours) should be determined using the peaking transistor’s model or from load–pull measurements. Using the main transistor’s model or load–pull measurements, and (or load–pull contours at peak power) should be determined. Step 3) The selection of the peaking amplifier’s output matching design procedure is based on the output return loss of the peaking transistor. If the output of the peaking transistor has a high reflection coefficient, the design can be done using the reversed two-sided matching technique, and if the peaking transistor’s output return loss is not very high, the two-sided matching technique should be used. Step 4) If two-sided matching is being used, should be selected to have low power leakage in power

2869

back-off. Having , , , and , the -parameters of the peaking amplifier’s output matching network can be found using the two-sided matching technique. Using (14), one can find so that the peaking transistor’s load impedance gives the highest possible output power at peak power. If reversed two-sided matching is being used, the -parameters of the peaking amplifier’s output matching network can be found by , , and and using (20) to select to have the proper (as discussed in Section V). Step 5) Having , the load impedance of the main amplifier at back-off can be determined. Using the two-point matching technique, the -parameters of the main amplifier’s matching network can be found. Step 6) For wideband design, Step 1) through 5) can be repeated at different frequency points in the desired bandwidth, in order to have enough information about the required frequency response of the matching networks. Step 7) After obtaining enough data points in the frequency bandwidth, the matching networks can be designed using broadband matching network design techniques [18], [20]. To utilize the broadband matching network design procedure of [18] and [20], the measured optimum impedances should be fitted with proper impedance models over the design frequency range. By selecting proper port reference impedances, the insertion-loss shape should be selected to fulfill both amplitude and phase requirements. The matching networks then can be implemented by finding the corresponding circuit element values. Step 8) The input matching networks for the desired frequency band is designed using the broadband matching network design technique. The design procedure for this architecture is summarized as a flowchart in Fig. 8. VII. DESIGN IMPLEMENTATION EXAMPLE An amplifier was designed using the proposed architecture and design procedure at the frequency range of 1.8–2.4 GHz. The device used for this prototype was the CGH40010F GaN transistor from CREE, Durham, NC. The device was characterized in terms of the optimum load and source impedances at six frequency points in the design bandwidth. The class-C small-signal output impedance was also measured at the design band using a vector network analyzer. These impedances for the frequency range of 1.8–2.4 GHz are shown in Fig. 9. As can be seen in Fig. 9, the output reflection coefficient of the peaking transistor has a magnitude close to unity; therefore, reversed two-sided matching can be used for the peaking amplifier’s output matching design. The source impedances shown in Fig. 9 were measured including bias and stabilization circuit. Although it is not necessary, we designed the main and peaking amplifiers to have the same output power at full drive.

2870

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 10. Transmission phase required for the main amplifier’s output matching network.

Fig. 8. Flowchart of the proposed design procedure. Fig. 11. Synthesized load impedances for main and peaking transistors.

Fig. 9. Measured impedances for main and peaking transistors.

The drain biases of the main and peaking amplifiers were selected to be 25 and 30 V, respectively, so that both amplifiers have the same output power at saturation.

To design the matching networks, a series RLC model for each of the measured impedances was fitted to the measurement data. Using this model, the analytical approach presented in [18] can be used to design the matching networks. For the output matching networks, the design was started by the peaking amplifier’s output matching network. As can be seen from the main amplifier’s optimum impedances (Fig. 9), they do not change significantly in the desired bandwidth; therefore, it is better to use the procedure mentioned at the end of Section V. By considering , and selecting the second-order Chebyshev response with a 0.2-dB ripple, the peaking amplifier’s output matching network can be designed using the reversed two-sided matching procedure. The bandwidth of the Chebyshev response was selected to be between 1.8–2.8 GHz because of the transmission phase requirement on the matching network. The wider bandwidth was selected to follow the desired transmission phase response in the design bandwidth (1.8–2.4 GHz), as well as the amplitude response. After designing the peaking amplifier, the main amplifier’s load impedance at back-off was determined. Using this load

AKBARPOUR et al.: TLLM ARCHITECTURE FOR EFFICIENT WIDEBAND PAs

2871

Fig. 12. Schematic of the PA with component values.

impedance, the transmission phase needed for the main amplifier is shown in Fig. 10. The required phase when the peaking amplifier presents open impedance at its output is also shown in this figure. As can be seen, if the peaking amplifier has open output impedance, it is almost impossible to implement the matching network since it needs nearly zero group delay. The change in slope shown in Fig. 10 is a little bit higher than the one shown in Fig. 7 because the optimum impedances are not fixed points in the design frequency band, as was assumed in obtaining Fig. 7. To realize the required phase response, the second-order Chebyshev response with a ripple of 0.2 dB was used at the band of 1.7–2.5 GHz for the main amplifier to nearly meet both amplitude and phase requirements. The phase of this response is also shown in Fig. 10. There is a difference between the phase selected and the required phase range, which comes from the Bode–Fano limitation on the matching network since it limits the bandwidth. In the Doherty architecture, the impedance transformer and the offset lines used for compensation, add a large group delay to the matching network’s response. It is obvious that adding more delay to the output matching network will cause more deviation from the desired phase response, which will result in degradation of the bandwidth. The input matching networks were designed for the main and peaking amplifiers using the second-order Chebychev responses of 0.1- and 0.2-dB ripples for the 1.8–2.4-GHz bandwidth, respectively. The matching network synthesis was done using lumped elements [18]. The simulated load impedances at back-off and peak power for the main transistor and the load impedance at peak power for the peaking transistor are shown in Fig. 11. As can be seen from Figs. 11 and 9, the matching networks have the proper matching function in both back-off and peak power for both amplifiers over the frequency band. The output impedance of the peaking amplifier is also shown in Fig. 11, which lay in the desired range of . The complete circuit schematic utilizing the ideal component matching networks is shown in Fig. 12.

VIII. MEASUREMENT RESULTS In realizing the matching networks, the inductors were replaced with high-impedance short transmission lines in the printed circuit board (PCB) of the amplifier, because the physical lumped inductors have large parasitics. Coilcraft 0603CS inductors were used for the bias circuits. The capacitors used in the circuit were ATC 100A series with a voltage rating of 150 V. The fabricated PA’s PCB is shown in Fig. 13. The compact size of the input and output matching networks suggest that this architecture is suitable for integrated designs as well as discrete PAs. To match the delay and the phase of the main and peaking amplifier branches, a delay line was inserted at the peaking amplifier’s input. The designed amplifier was tested in 1.8–2.5-GHz frequency band. The two transistors were biased at the same condition used in the characterization procedure. The main transistor was biased at the bias current of 200 mA, and the peaking transistor’s gate bias was 5.5 V. The maximum input power to the amplifier was 31 dBm, and the power was split equally between the two amplifier branches. The measured small-signal gain versus frequency is shown in Fig. 14, and the measured power gain versus output power is shown in Fig. 15 at different test frequencies. The maximum output power versus frequency is shown in Fig. 16. The maximum output powers were measured at the point where the gain compression was less than 5 dB. The measured power-added efficiency (PAE) versus output power is shown for different frequencies in Fig. 17, and the PAEs at peak power and 5- and 6-dB back-off powers are shown in Fig. 18. As can be seen from these graphs, the PAE remains higher than 40% at a 6-dB power back-off for a nearly 500-MHz bandwidth. There was a shift and also bandwidth shrinkage in the measurement results, which was caused by the parasitics in the matching networks. The two-tone test was done to measure the linearity properties of this PA. Third-order inter-modulation (IMD3) products were measured by applying a two-tone signal with a 1-MHz

2872

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 13. PCB of the fabricated PA and the test setup (the four additional ports shown in the circuit have been used to characterize the transistors).

Fig. 16. Measured maximum output power versus frequency. Fig. 14. Measured amplifier’s small-signal gain versus frequency.

Fig. 17. Measured PAE versus output power at different frequencies. Fig. 15. Measured power gain versus output power at different frequencies.

frequency separation. By sweeping the power of the two-tone signal at different frequencies, the IMD3 products were measured versus output power. Fig. 19 shows the measured IMD3 products versus output power. The PA was also tested with a Worldwide Interoperability for Microwave Access (WiMAX) input signal with a 7.3-dB PAPR in a center frequency of 2.15 GHz. The values of the adjacent channel power ratio (ACPR) and PAE were measured with the WiMAX input signal. The measured ACPR level and

PAE versus output power are shown in Fig. 20. As can be seen from this figure, the PAE at a 7.3-dB output power back-off from the maximum output power of 41.7 dBm is 40%. This is due to the combination of the PDF of the signal and the continuouswave PAE of the PA. To assess the performance of the proposed architecture, a conventional Doherty PA was used to benchmark the results. The conventional Doherty PA was designed using a quarter-wave impedance transformer and offset lines as reported in [14, Sec. I] and implemented using identical GaN devices to those used in

AKBARPOUR et al.: TLLM ARCHITECTURE FOR EFFICIENT WIDEBAND PAs

2873

the proposed TLLM PA. The performance of the proposed architecture is compared with the conventional Doherty PA in Table I. As can be seen from this table, the fractional bandwidth of the proposed architecture has a significant improvement (250%) over the conventional Doherty amplifier. IX. CONCLUSION

Fig. 18. Measured PAE at peak power and 5- and 6-dB power back-off versus frequency.

A TLLM PA architecture for high efficiency at power back-off has been proposed along with its systematic design methodology. It offers similar load modulation conditions as the Doherty PA without the need for an impedance transformer or offset lines at the output of the amplifier branches, giving the design more scope to achieve wider frequency bandwidths. The design procedure for this architecture is also presented using a theoretical analysis. Using this approach, the optimal -parameters for the output matching networks can be obtained for both main and peaking amplifiers. An example of the practical design and its measurement results showed that, by using this architecture and design procedure, a wideband amplifier can be designed with high efficiency at power back-off over a wide frequency bandwidth. This prototype had more than 40% PAE in a 6-dB back-off for a 500-MHz frequency band, which is equivalent to 23% fractional bandwidth. ACKNOWLEDGMENT

Fig. 19. Measured IMD3 products versus output power at different frequencies.

The authors would like to thank R. Darraji, University of Calgary, Calgary, AB, Canada, for his assistance in the measurements and editing of this paper’s manuscript. The authors would like to thank T. Bata and F. Hickli, both with the University of Calgary, for their technical support during circuit prototyping. REFERENCES

Fig. 20. Measured PAE and ACPR versus output power for a WiMAX input signal. TABLE I COMPARING THE PROPOSED ARCHITECTURE WITH CONVENTIONAL DOHERTY AMPLIFIER

A

[1] L. R. Kahn, “Single-sideband transmission by envelope elimination and restoration,” Proc. IRE, vol. 40, no. 7, pp. 803–806, Jul. 1952. [2] H. Chireix, “High power outphasing modulation,” Proc. IRE, vol. 23, no. 11, pp. 1370–1392, Nov. 1935. [3] F. Wang, A. H. Yang, D. F. Kimball, L. E. Larson, and P. M. Asbeck, “Design of wide-bandwidth envelope-tracking power amplifiers for OFDM applications,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1244–1255, Apr. 2005. [4] W. H. Doherty, “A new high efficiency power amplifier for modulated waves,” Proc. IRE, vol. 24, no. 9, pp. 1163–1182, Sep. 1936. [5] J. Mitola, Software Radio Architecture. New York: Wiley, 2000. [6] , W. Tuttlebee, Ed., Software Defined Radio. New York: Wiley, 2002. [7] K. Bathich, A. Z. Markos, and G. Boeck, “Frequency response analysis and bandwidth extension of the Doherty amplifier,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 4, pp. 934–944, Apr. 2011. [8] D. Kang, D. Kim, J. Moon, and B. Kim, “Broadband HBT Doherty power amplifiers for handset applications,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 12, pp. 4031–4039, Dec. 2010. [9] Y. Lee, M. Lee, S. Kam, and Y. Jeong, “A new wideband distributed Doherty amplifier for WCDMA repeater applications,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 10, pp. 668–670, Oct. 2009. [10] D. Kang, D. Kim, Y. Cho, B. Park, J. Kim, and B. Kim, “Design of bandwidth-enhanced Doherty power amplifiers for handset applications,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 12, pp. 3474–3483, Dec. 2011. [11] G. Sun and R. H. Jansen, “Broadband Doherty power amplifier via real frequency technique,” IEEE Trans. Microw. Theory Tech., vol. 60, no. 1, pp. 99–111, Jan. 2012. [12] Y. Yang, J. Cha, B. Shin, and B. Kim, “A fully matched -way Doherty amplifier with optimized linearity,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 986–993, Mar. 2003.

2874

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

[13] B. Kim, J. Kim, I. Kim, and J. Cha, “The Doherty power amplifier,” IEEE Microw. Mag., vol. 7, no. 5, pp. 42–50, Oct. 2006. [14] R. Darraji and F. M. Ghannouchi, “Digital Doherty amplifier with enhanced efficiency and extended range,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 11, pp. 2898–2909, Nov. 2011. [15] S. C. Cripps, RF Power Amplifiers for Wireless Communications, 2nd ed. Norwood, MA: Artech House, 2006. [16] J. Moon, J. Kim, J. Kim, I. Kim, and B. Kim, “Efficiency enhancement of Doherty amplifier through mitigation of the knee voltage effect,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 1, pp. 143–152, Jan. 2011. [17] M. Akbarpour, M. Helaoui, and F. M. Ghannouchi, “A 60 GHz dualmode amplifier in 65 nm CMOS technology,” in IEEE MWSCAS Int. Midwest Symp. Dig., Aug. 2010, pp. 1–4. [18] T. T. Ha, Solid-State Microwave Amplifier Design. New York: Wiley, 1981. [19] D. M. Pozar, Microwave Engineering, 2nd ed. : Wiley, 1998. [20] D. Youla, “A new theory of broadband matching,” IEEE Trans. Circuit Theory, vol. CT-11, no. 1, pp. 30–50, Mar. 1964.

Mohammadhassan Akbarpour (S’10) received the B.Sc. degree in communication engineering from the Iran University of Science and Technology, Tehran, Iran, in 2004, the M.Sc. degree in microwave and optics engineering from the Sharif University of Technology, Tehran, Iran, in 2006, and is currently working toward the Ph.D. degree at the University of Calgary, Calgary, AB, Canada. He is currently with the Intelligent RF Radio Laboratory (iRadio Lab), University of Calgary. His research interests include active and passive microwave/millimeter-wave circuits, broadband amplifier design, and RF integrated circuit (RFIC) design. Mr. Akbarpour was the recipient of the Alberta Innovates Technology Futures (AITF) Information and Communication Technologies (ICT) Recruitment Scholarship.

Mohamed Helaoui (S’06–M’09) received the M.Sc. degree in communications and information technology from the École Supérieure des Communications de Tunis, Tunis, Tunisia, in 2003 and the Ph.D. degree in electrical engineering from the University of Calgary, Calgary, AB, Canada, in 2008. He is currently an Assistant Professor with the Department of Electrical and Computer Engineering, University of Calgary. He has authored or coauthored over 60 publications. He has seven patents pending. His current research interests include digital signal processing, power-efficiency enhancement for wireless transmitters, switching-mode PAs, and advanced transceiver design for SDR and millimeter-wave applications. Dr. Helaoui is a member of the COMMTTAP Chapter, IEEE Southern Alberta Section.

Fadhel M. Ghannouchi (S’84–M’88–SM’93–F’07) is currently a Professor, Alberta Innovates Technology Futures/Canada Research Chair, and Director of the Intelligent RF Radio Laboratory (iRadio Lab), Electrical and Computer Engineering Department, Schulich School of Engineering, University of Calgary, Calgary, AB, Canada. He has held several invited positions with several academic and research institutions in Europe, North America, and Japan. He has provided consulting services to a number of microwave and wireless communications companies. He has authored or coauthored over 500 publications. He has authored or coauthored three books. He holds 12 U.S. patents with five pending. His research interests are in the areas of microwave instrumentation and measurements, nonlinear modeling of microwave devices and communications systems, design of power- and spectrum-efficient microwave amplification systems, and design of intelligent RF transceivers for wireless and satellite communications. Prof. Ghannouchi is a Fellow of the Institution of Engineering and Technology (IET). He is a Distinguished Microwave Lecturer of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

2875

Mitigation of Bandwidth Limitation in Wireless Doherty Amplifiers With Substantial Bandwidth Enhancement Using Digital Techniques Ramzi Darraji, Student Member, IEEE, Fadhel M. Ghannouchi, Fellow, IEEE, and Mohamed Helaoui, Member, IEEE

Abstract—This paper proposes a new method for extending the bandwidth of Doherty power amplifiers (PAs) in the digital domain. The bandwidth enhancement is achieved through a frequency-selective pre-compensation mechanism that is derived to prevent the efficiency degradation that naturally occurs as the frequency of operation deviates from the center frequency. A methodical analysis of the frequency response of the conventional Doherty PA and that of the proposed Doherty PA is carried out to point out the limitations of the former and demonstrate the capability of the latter in recovering the bandwidth. Over the frequency range spanning from 1.96 to 2.46 GHz, the measured drain efficiency at 6–7-dB output power back-off is higher than 40% for the proposed Doherty PA. Such efficiency performance is achievable only from 2.04 to 2.22 GHz using the conventional Doherty PA. Hence, the bandwidth is enhanced from 180 to 500 MHz, which corresponds to an increase by a factor of 2.8 (i.e., almost triple). By applying the proposed methodology, a Doherty PA that is originally designed at the center frequency of 2.14 GHz for downlink wideband code division multiple access became operative at 1.98-GHz uplink wideband code division multiple access (UL-WCDMA), 2.22-GHz long-term evolution (LTE), and 2.34-GHz worldwide interoperability for microwave access (WiMAX) bands. The average drain efficiencies for UL-WCDMA, LTE, and WiMAX applications, were 40.1%, 44.2%, and 41.4%, respectively, using the proposed Doherty PA, and 37%, 37.3%, and 35.2%, respectively, using the conventional Doherty PA. Index Terms—Bandwidth, digital Doherty power amplifier (PA), efficiency, frequency, load modulation, wideband operation.

I. INTRODUCTION

T

HE CONTINUOUS proliferation of wireless communication standards coupled with the incessant quest for higher data throughput has given rise to stringent challenges in the design of wireless transmitters. Indeed, emerging communication standards, such as wideband code division multiple access (WCDMA), worldwide interoperability for microwave access

Manuscript received December 13, 2011; revised April 14, 2012; accepted April 17, 2012. Date of publication August 02, 2012; date of current version August 28, 2012. This work was supported by Alberta Innovates Technology Futures (AITF), the Natural Sciences and Engineering Research Council of Canada (NSERC), the Canadian Space Agency (CSA), Focus Microwaves, Nanowave Technologies, and the Canada Research Chairs (CRC) Program. The authors are with the Intelligent RF Radio Technology Laboratory (iRadio Lab), Department of Electrical and Computer Engineering, Schulich School of Engineering, University of Calgary, Calgary, AB, Canada T2N 1N4 (e-mail: [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2207910

(WiMAX), and long-term evolution (LTE), employ spectrum efficient modulation techniques with more compact constellations to enhance the transmission rate and optimize the usage of the congested RF spectrum. To avoid the distortion of the transmitted information and preserve its integrity, the power amplifier (PA) of the wireless transmitter is forced to operate at large back-off from its saturation point, which degrades the power efficiency of the RF transmitter. Therefore, power amplification architecture with efficiency enhancement at back-off operation is essential [1]. Among others, the Doherty PA is currently considered as the favorite solution for efficiency enhancement in field-deployed wireless transmitters [2]–[21]. Simple circuit configuration, high back-off efficiency, and proven linearizibility are the main advantages of the Doherty technique [2]–[21]. A well-known weakness of the Doherty PA, however, is its narrow bandwidth, which compromises its convenience for multisandard/multiband applications [11]–[19]. In this context, several research efforts were focused in designing broadband Doherty PAs with improved efficiency over a wide frequency range [11]–[19]. This intend was achieved in an analog way through a variety of solutions, such as a varactor-based impedance transformer [15], quasi-lumped transmission-line impedance inverter [16], ladder-type multisection output network [17], stepped-impedance inverter [18], and Doherty PA design via a real frequency technique [19]. This paper proposes a new technique for enhancing the bandwidth of Doherty PAs in a digital way. The Doherty PA proposed in this research allows surmounting the bandwidth restrictions imposed by the quarter-wavelength transformers of the output combining network and the frequency-dependent behavior of the active devices. This is achieved by digitally controlling the input power distribution and the phase variation between the carrier and peaking PAs. At first, the bandwidth extension capability of the proposed Doherty PA is demonstrated from a theoretical perspective. In particular, a set of generic equations is derived to illustrate its operation and assess its bandwidth. The experimental validation is then carried out based on the dual-input digital Doherty architecture [20], [21], which is adopted in this study to enable the bandwidth enhancement in the digital domain. Henceforth, the terms Doherty PA and analog Doherty PA will be used interchangeably to designate a conventional Doherty PA that employs an analog input splitter, identical devices for the carrier and peaking cells, and an output Doherty comequal bining network with an impedance inversion factor

0018-9480/$31.00 © 2012 IEEE

2876

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

to 0.5. The impedance inversion factor is the design parameter that controls the load seen by the carrier amplifier at low-input power drive, which is equal to , where is the optimal load of the carrier and peaking cells. The term digital Doherty PA, on the other hand, indicates a Doherty PA in which the input signal splitting is performed in digital domain (i.e., the carrier and peaking amplifiers are driven separately). This paper is organized as follows. In Section II, the frequency response of the digital Doherty PA is analyzed, and its bandwidth performance benchmarked against that of the analog Doherty PA. In Section III, the bandwidth enhancement due to the proposed digital Doherty PA is experimentally verified. Conclusions are drawn in Section IV. II. BANDWIDTH EXTENSION ANALYSIS OF THE DIGITAL DOHERTY PA To overcome the problem of bandwidth restrictions imposed by the quarter-wavelength transformers of the Doherty combining network and the frequency-dependent load modulation behavior, the digital Doherty concept [20], [21] is adopted in this research. Precisely, the proposed digital Doherty PA employs a pre-compensation mechanism that acts on the input power distribution and the phase variation between the carrier and peaking amplifiers to compensate for the frequency-selective behavior of the Doherty PA. The pre-compensation function will be defined based on the enhanced current factors and , which are used herein to model the variation of the output current of the carrier cell and that of the peaking cell, respectively, in response to a variation in the injected input power, at a given frequency . In what follows, the expressions of and versus are derived based on the operation of the Doherty PA with frequency-dependent components, and the effectiveness of the proposed digital Doherty PA in recovering the bandwidth performance is theoretically demonstrated. The analysis is carried out considering the flowing assumptions. 1) The carrier and peaking amplifiers are biased at class-B and class-C modes, respectively. 2) Each current source is linearly proportional to the input drive voltage , as shown in Fig. 1, and terminated with perfect harmonic short circuits so that the efficiency and the output power can be assessed using only the fundamental and dc components. 3) The carrier and peaking cells exhibit an ideal bandwidth performance, i.e., the current sources generate the same fundamental and dc components at any , and the turn-on point of the peaking cell remains equal to at all frequencies ( is the maximal input drive voltage). A. Frequency Response Analysis at the Low-Power Region , only the At the low-power region carrier cell is active. As such, the equivalent circuit diagram of the Doherty amplifier reduces to the carrier source operating into the impedance , as shown in Fig. 2. is resulting from the impedance transformation of the output load by means of two transmission lines having an electrical length of 90 at the

Fig. 1. Fundamental currents of carrier and peaking cells of the Doherty PA.

Fig. 2. Doherty PA operational circuit diagram at low-power region.

center frequency and characteristic impedances of and , respectively. Based on Fig. 2, the output impedance of the carrier cell at the low-power region is given by (1) where (2) (3) and is the impedance seen at the input of the transmission line that is connected to . Fig. 3(a) shows the variation of the real part of versus . It can be seen that decreases rapidly from the optimal value of (at as the shift from increases. The delivered RF power of the Doherty PA at the lowpower region is related to by (4) is the fundamental RF current of the carrier cell at the where low-power region.

DARRAJI et al.: MITIGATION OF BANDWIDTH LIMITATION IN WIRELESS DOHERTY AMPLIFIERS

2877

and expressing the fundamental RF current of the carrier cell of the digital Doherty PA as (6) then using (5) and (6), the delivered RF power of the digital Doherty PA is given by

(7) Fig. 3. Real part of

Therefore, in theory, the digital Doherty PA with nonideal quarter-wavelength transformers can mimic the operation of a Doherty PA with an ideal output combining network as it can deliver for . Referring to [21, eq. (15)], such a performance is achieved provided that the available input power of the Doherty PA is distributed as follows:

versus frequency.

(8) (9)

Fig. 4. Normalized output power of the analog Doherty PA at low-power region versus frequency.

Referring to (4), it is clear that the drop of induces a significant loss of output power, and consequently, restricted efficiency improvement at back-off for . For instance, Fig. 4 shows that the output power degrades by 14%, 26%, and 38% (with respect to the maximal level at ) when deviates by , and , respectively. The normalized output power reported in Fig. 4 is obtained based on the ratio , which is evaluated using (1)–(4) and found to be equal to . Ideally, a perfect Doherty combining network transforms to at all frequencies. In this case, is identically equal to . Introducing the enhanced current factor of the digital Doherty PA as (5)

and denote the input powers injected into the where carrier and peaking branches, respectively. Fig. 5(a) depicts the variation of versus . Note that increases from 1 at (i.e., ) to at (i.e., ). Likewise, Fig. 5(b) shows that increases from at (i.e., ) to at (i.e., ). The uneven drive splitting with higher power into the carrier branch allows to compensate for the loss of RF output power due to the drop of , which results in improving the efficiency of the Doherty PA for . By using (4), the efficiency of the Doherty PA at the back-off region can be calculated as (10) is the dissipated dc power of the Doherty PA at where the low-power region, which is given by the product of the dc current of the carrier cell and the drain supply voltage . Similarly, by using (7) and (10), the efficiency of the digital Doherty PA at the back-off region can be expressed as

(11)

2878

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 6. Normalized efficiency of the analog and digital Doherty PAs at back-off versus frequency.

Fig. 7. Doherty PA operational circuit diagram at high-power region.

Fig. 5. (a) Variation of versus frequency. (b) Input power distribution between carrier and peaking cells versus frequency.

where is the dissipated dc power of the digital Doherty PA at the low-power region, which is given by the product of and . From (11), the normalized efficiency of the digital Doherty PA at back-off can be written as

Fig. 6. depicts the variations of and versus , which were computed using (12) and (13), respectively. In theory, the analog Doherty PA can achieve a bandwidth of 16% at the cost of 10% efficiency degradation. The digital Doherty PA, on the other hand, achieves a 24% bandwidth for the same efficiency degradation, which corresponds to a relative improvement of 50%. B. Frequency Response Analysis at the High-Power Region At the high-power region , the carrier and peaking cells are both active. As depicted in Fig. 7, the equivalent circuit diagram of the Doherty PA consists of the carrier and peaking sources, which are operating into the common load . The fundamental RF currents of the carrier and peaking cells of the Doherty PA at peak power are given by (14) (15)

(12) is recognized as the normalized efficiency of the Dowhere herty PA, which can be evaluated from (1)–(3) and (10) as (13)

and designate the RF where currents of the carrier and peaking cells at peak power, respectively (see Fig. 1). The lower fundamental RF current of the peaking cell together with the frequency-selective behavior of the Doherty combining network impairs the load modulation mechanism and degrades the efficiency. Introducing the enhanced current

DARRAJI et al.: MITIGATION OF BANDWIDTH LIMITATION IN WIRELESS DOHERTY AMPLIFIERS

factor required to ensure the ideal load modulation behavior in the digital Doherty PA as

2879

Thus, using (14), (15), and (24), the enhanced current factor of the digital Doherty PA is explicitly obtained from (23) as

(16) where is the fundamental RF current of the peaking cell of the digital Doherty PAs, then the purpose of the following is to derive an explicit expression of versus in order to evaluate the performance of the digital Doherty PA and compare it with that of the analog Doherty PA. Starting from the -matrix of the quarter-wavelength transformer with the characteristic impedance and referring to Fig. 7, one can write

(17)

(18) Also, referring to Fig. 7, the following expressions arise: (19) (20) Substituting

(25) is recognized as the enhanced current ratio where of the uneven and the asymmetrical Doherty PAs [8], [9], which is given by the ratio of the peak power RF current of the carrier cell to the peak power RF current of the peaking cell at . It is noteworthy that design parameter gives a good indication on the bias point of the peaking cell. Indeed, the higher , the deeper the class-C bias of the peaking cell. Conversely, biasing the peaking cell at class-B results in . Fig. 8 shows the variation of the magnitude and phase of versus . It can be noted that decreases from at to at . Therefore, the uneven drive power into the peaking path is relaxed as deviates from . In addition, the phase response of the peaking cell should be adjusted for in order to ensure that (23) is strictly fulfilled at any . The real RF power of the digital Doherty PA at full drive is given by

in (19) with its expression (20) results in (21)

is given by (2) where Rearranging (18) for an expression of for (21) gives

(26)

while accounting By using (24) and (25),

can be written as (27)

(22) where To guarantee the ideal load modulation behavior in the digital Doherty PA, the peak power impedance seen by the carrier cell and that seen by the peaking cell then has to be equal to at all frequencies. This intent is achieved by satisfying the necessary and sufficient condition given by

(28) From (27) and (28), the normalized output power of the digital Doherty PA can be explicitly expressed as

(23) where

is deduced from (22) as

(24)

(29)

2880

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 9. Normalized peak output power of the analog and digital Doherty PAs.

Fig. 8. Variation of

Fig. 10. Normalized efficiency of the analog and digital Doherty PAs at peak power versus frequency.

versus frequency. (a) Magnitude. (b) Phase.

On the other hand, the real RF power of the Doherty PA at peak power is given by

From (32) and (33), the normalized output power of the Doherty PA can be explicitly expressed as

(30) Considering that and (25) as

and

can be related by using (14), (15),

(31) (34) then based on (22) (30) and (31),

can be expressed as (32)

where (33)

and versus , which Fig. 9 depicts the variations of were calculated based on (29) and (34), respectively. The results confirm that the digital Doherty PA permits significant boost of output RF power for different from compared to the analog Doherty PA. In addition, it can be noted that the output power degradation in the analog Doherty PA is more severe for deep class-C operation of its peaking cell.

DARRAJI et al.: MITIGATION OF BANDWIDTH LIMITATION IN WIRELESS DOHERTY AMPLIFIERS

2881

Fig. 11. Block diagram of the proposed digital Doherty PA.

By using (27), the efficiency of the digital Doherty PA at peak power can be written as

(35) where and are the peak power dc currents of the carrier and peaking cells, respectively. is the dissipated dc power of the digital Doherty PA at peak power, which is given by the product . Assuming that at peak power can be approximated by (36) then by using (25), (35), and (36),

is expressed as (37)

From (28) and (37), the normalized peak power efficiency of the digital Doherty PA is explicitly given by

(38)

Fig. 12. Variation of the enhanced current factors and digital Doherty PA.

for the implemented

the digital Doherty PA is capable of maintaining the maximal efficiency for . This result is expected because the digital amplifier is designed to ensure that the carrier and peaking cells are operating into at all frequencies. III. EXPERIMENTAL VALIDATION

Besides, from (32), the efficiency of the Doherty PA peak power can be expressed as

at

(39) is the dissipated dc power of the Doherty PA at peak where power, which is given by the product . By using (33) and (39), the normalized peak power efficiency of the Doherty PA is then explicitly expressed as (40) and versus , which Fig. 10 shows the variations of were obtained using (38) and (40), respectively. The results show that, regardless of the bias point of the peaking device,

In Section II, it was theoretically demonstrated that the digital Doherty PA allows for significantly improved bandwidth performance compared to the analog Doherty PA. In this section, the bandwidth-extension ability of the digital Doherty PA is experimentally established. The experiments are carried out based on a relatively narrowband Doherty PA prototype that is nominally designed to operate at 2.14 GHz for down-link WCDMA band. The analog Doherty PA is implemented using a 10-W packaged gallium–nitride (GaN) device (CGH40010) from Cree Inc., Durham, NC. The carrier and peaking cells are biased in class-AB (quiescent current, mA, drain voltage V) and class-C ( mA, V) conditions, respectively. The implementation procedure of the dual-input digital Doherty PA based on the single-input analog PA is performed following by

2882

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 13. Measured efficiencies of the analog and digital Doherty PAs at 6–7-dB output power back-off and at peak power.

Fig. 15. Measured gain and drain efficiency of the analog and digital Doherty PAs at 1.96, 2.22, and 2.34 GHz.

analog Doherty PA prototype exhibits acceptable sub-optimal performance in terms of efficiency, output power, and gain. A. Continuous-Wave (CW) Measurement Results

Fig. 14. Measured SSG and

of the analog and digital Doherty PAs.

eliminating input analog splitter and isolating the input paths of the dual-input PA. The block diagram of the proof-of concept experimental setup used in this research is reported in Fig. 11. The baseband streams and are tailored at the digital domain according to the carrier frequency of the input signal and then downloaded into two synchronized arbitrary waveform generators (AWGs) (ESG-4438C from Agilent Technologies, Palo Alto, CA). The experimental validation is conducted over a frequency range of 500 MHz (i.e., GHz GHz) where the

Fig. 12 depicts the variations of the enhanced current factors and of the implemented digital Doherty PA. It can be noted that follows the theoretical tendency that is reported in Fig. 5(a). Indeed, the overdrive power into the carrier branch at back-off is increased gradually when the deviation from the design frequency 2.14 GHz increases in order to compensate for the RF power loss due to the frequency response of the carrier branch. On the other hand, due to the nonideal bandwidth behavior of the carrier and peaking cells, the analytically derived function response of cannot be applied as it is. The phase disparity [related to the phase of through (25)] between the carrier and peaking paths and the overdrive power into the peaking branch at high-power region [related to the magnitude of through (25)] were derived experimentally at each frequency using CW measurements to maximize the peak power performance. For instance, referring to Fig. 12, the optimal value of at 2.04 GHz is found to be equal to . This means that the best performance at the high-power region was achieved when first, the input signal of the peaking PA is lagging behind that of the carrier PA by

DARRAJI et al.: MITIGATION OF BANDWIDTH LIMITATION IN WIRELESS DOHERTY AMPLIFIERS

2883

TABLE I MEASUREMENT SUMMARY OF THE ANALOG AND DIGITAL DOHERTY PAs

Fig. 16. Output spectra of the analog and digital Doherty PAs. (a) Using WCDMA at 1.98 GHz. (b) Using LTE at 2.22 GHz. (c) Using WiMAX at 2.34 GHz.

[as inferred from (16)], and second, the peaking PA is driven with dB more input power compared to the carrier PA (as inferred from [21, eq. (19)]). Fig. 13 shows the measured efficiencies of the analog and digital Doherty PAs at 6–7-dB back-off from the maximal output power and at peak drive. It is clear that the digital Doherty amplifier enables important bandwidth improvement. Indeed, the proposed PA maintains higher that 40% efficiency at back-off across the frequency range from 1.96 to 2.46 GHz, whereas the efficiency is greater than 40% only between 2.04–2.22 GHz for the analog Doherty PA. As such, the digital Doherty improves the bandwidth to 500 MHz compared to 180 MHz for the analog Doherty, which is an increase of approximately 180% in bandwidth. The performance in terms of small-signal gain (SSG) and saturated output power of the analog and digital Doherty PAs is reported in Fig. 14. The digital Doherty PA has higher

SSG and due to the digital control of the power distribution and the phase variation between the carrier and peaking branches. It is noteworthy that the slightly fluctuating frequency response observed for peak power performance of the analog Doherty PA is mainly attributed to the dynamic phase and amplitude variations due to the nonideal bandwidth behavior of the carrier and peaking devices, as well as the frequency response of the input and output matching networks. To further explore the performance of the Doherty PAs, Fig. 15 depicts the measured gain and efficiency characteristics of the analog and digital Doherty PAs at several frequencies within the operation band. B. Modulated Signal Measurement Results To verify the appropriateness of the proposed digital Doherty amplifier for multiband/multistandard applications and evaluate its linearity performance, WCDMA, LTE, and WiMAX signals

2884

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

were employed. The peak-to-average power ratios (PAPRs) of the signals are 7.04, 7.18, and 7.31 dB, respectively. When driven with a 1.98-GHz WCDMA signal, the proposed digital Doherty PA achieved a drain efficiency of 40.1% at 7-dB back-off compared to 37% for the analog Doherty PA. Besides, using a 2.22-GHz LTE signal, a drain efficiency of 44.2% is measured for the digital Doherty PA against 37.3% for the analog Doherty PA. At 2.34 GHz, the digital Doherty PA exhibited an efficiency of 41.4% at 7-dB back-off using a WiMAX signal. The efficiency of the analog Doherty PA, on the other hand, is limited to 35.2% under identical drive conditions. The measurement results for the modulated signal tests are summarized in Table I. The measured output spectra of analog and digital Doherty PAs for the modulated signal tests at 1.98, 2.22, and 2.34 GHz are reported in Fig. 16. It can be deduced that the digital Doherty PA has an acceptable linearity, which can be enhanced to meet the spectrum mask specifications in each band by using the digital predistortion (DPD) technique [22]–[24] based on the procedure reported in [21]. Since the generation of the specific input streams for the carrier and peaking branches according to the proposed technique is, in principle, not resource-intensive compared to the DPD algorithm, the proposed bandwidth enhancement mechanism does not result in a significant increase in the computational load, and thus, it can be implemented along with the DPD algorithm in the digital signal processing (DSP) block without compromising the performance of the linearizer. IV. CONCLUSION In this paper, a digital technique has been proposed to enhance the bandwidth of Doherty PAs though the mitigation of the limiting effects of the quarter-wavelength transformers of the Doherty combiner and the frequency-dependent behavior of the carrier and peaking amplifiers. Applied to a 2.14-GHz Doherty PA with an initial bandwidth of 180 MHz, the proposed technique permitted to raise the bandwidth to 500 MHz. Besides, the average efficiency is enhanced from 37%, 37.3%, and 35.2% to 40.1%, 44.2%, and 41.4% for 1.98-GHz WCDMA, 2.22-GHz LTE, and 2.34-GHz WiMAX signals, respectively. These results confirm the convenience of the proposed digital Doherty PA for multistandard applications within the frequency band of wireless communication standards. It is worth stating that better bandwidth performance can be obtained if the proposed digital technique is associated with a well-designed broadband Doherty PA in which the matching networks are broadband, the quasi-open-circuit requirement on the output impedance of the peaking amplifier is fulfilled over a large frequency band, and the fluctuations in the frequency response of the analog Doherty PA are less prominent. All these benefits allow applying the proposed digital techniques over a larger frequency range. The processing speed requirements for wider bandwidth (0.5–1 GHz), however, are challenging in both DPD and the proposed bandwidth-extension techniques. Sub-band processing techniques [24] can be adopted to alleviate the processing speed requirement in such conditions. In view of that, the complexity increase due to the additional transmitting path and the supplementary power consumption of the DSP block of the digital Doherty transmitter can be totally justified

because a single broadband digital Doherty PA would be able to cope with several communication standards. This will permit to avoid assigning a specific hardware to a given standard, and thus, reduce the running costs of base stations and optimize their operation. ACKNOWLEDGMENT The authors would like to acknowledge M. Akbarpour and A. Kwan, both with the University of Calgary, Calgary, AB, Canada, for their input during the measurements. The authors would also like to acknowledge the support of Agilent Technologies, Palo Alto, CA. REFERENCES [1] F. H. Raab, P. Asbeck, S. Cripps, P. B. Kenington, Z. B. Popovic, N. Pothecary, J. F. Sevic, and N. O. Sokal, “Power amplifiers and transmitters for RF and microwave,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 814–826, Mar. 2002. [2] M. Iwamoto, A. Williams, P.-F. Chen, A. G. Metzger, L. E. Larson, and P. M. Asbeck, “An extended Doherty amplifier with high efficiency over a wide power range,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2472–2479, Dec. 2000. [3] M. Nick and A. Mortazawi, “Adaptive input-power distribution in Doherty power amplifiers for linearity and efficiency enhancement,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 11, pp. 2764–2771, Nov. 2010. [4] C. Steinbeiser, T. Landon, C. Suckling, J. Nelson, J. Delaney, J. Hitt, L. Witkowski, G. Burgin, R. Hajji, and O. Krutko, “250 W HVHBT Doherty with 57% WCDMA efficiency linearized to 55 dBc for 2c11 6.5 dB PAR,” IEEE J. Solid-State Circuits, vol. 43, no. 10, pp. 2218–2228, Oct. 2008. [5] P. Colantonio, F. Giannini, R. Giofrè, and L. Piazzon, “Theory and experimental results of a class F AB-C Doherty power amplifier,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 8, pp. 1936–1947, Aug. 2009. [6] J. Moon, J. Kim, J. Kim, I. Kim, and B. Kim, “Efficiency enhancement of Doherty amplifier through mitigation of the knee voltage effect,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 1, pp. 143–152, Jan. 2011. [7] W. C. E. Neo, J. Qureshi, M. J. Pelk, J. R. Gajadharsing, and L. C. N. de Vreede, “A mixed-signal approach towards linear and efficient -way Doherty amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 5, pp. 866–879, May 2007. [8] J. Kim, J. Cha, I. Kim, and B. Kim, “Optimum operation of asymmetrical cells-based linear Doherty power amplifier uneven power drive and power matching,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 5, pp. 1802–1809, May 2005. [9] J. Kim, B. Fehri, S. Boumaiza, and J. Wood, “Power efficiency and linearity enhancement using optimized asymmetrical Doherty power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 1, pp. 425–434, Jan. 2011. [10] S. C. Jung, O. Hammi, and F. M. Ghannouchi, “Design optimization and DPD linearization of GaN-based unsymmetrical Doherty power amplifiers for 3 G multicarrier applications,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 9, pp. 2105–2113, Sep. 2009. [11] J. Moon, J. Kim, I. Kim, J. Kim, and B. Kim, “A wideband envelope tracking Doherty amplifier for WiMAX systems,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 1, pp. 49–51, Jan. 2008. [12] D. Kang, D. Kim, J. Moon, and B. Kim, “Broadband HBT Doherty power amplifiers for handset applications,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 12, pp. 4031–4039, Dec. 2010. [13] D. Kang, D. Kim, and B. Kim, “Design of bandwidth-enhanced Doherty power amplifiers for handset applications,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 12, pp. 3474–3438, Dec. 2011. [14] K. Bathich, A. Z. Markos, and G. Boeck, “A wideband GaN Doherty amplifier with 35% fractional bandwidth,” in Proc. 40th Eur. Microw. Conf., Paris, France, Sep. 2010, pp. 1006–1009. [15] M. Sarkeshi, O. B. Leong, and A. van Roermund, “A novel Doherty power amplifier for enhanced load modulation and higher bandwidth,” in IEEE MTT-S Int. Microw. Symp. Dig., 2008, pp. 733–766. [16] J. Qureshi, N. Li, W. Neo, F. van Rijs, I. Blednov, and L. de Vreede, “A wideband 20 W LMOS Doherty power amplifier,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2010, pp. 1504–1507.

DARRAJI et al.: MITIGATION OF BANDWIDTH LIMITATION IN WIRELESS DOHERTY AMPLIFIERS

[17] K. Bathich, A. Z. Markos, and G. Boeck, “Frequency response analysis and bandwidth extension of the Doherty amplifier,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 4, pp. 934–944, Apr. 2011. [18] L. Cen, T. Liu, Y. Ye, G. Xu, and Y. Zhao, “Optimization design of wideband asymmetric Doherty power amplifiers,” in WiCON, Wuhan, China, Sep. 2011, pp. 1–4. [19] G. Sun and R. H. Jansen, “Broadband Doherty power amplifier via real frequency technique,” IEEE Trans. Microw. Theory Tech., vol. 60, no. 1, pp. 99–111, Jan. 2012. [20] R. Darraji, F. M. Ghannouchi, and O. Hammi, “A dual-input digitally driven Doherty amplifier architecture for performance enhancement of Doherty transmitters,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 5, pp. 1284–1293, May 2011. [21] R. Darraji and F. M. Ghannouchi, “Digital Doherty amplifier with enhanced efficiency and extended range,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 11, pp. 2898–2909, Nov. 2011. [22] O. Hammi, S. Carichner, B. Vassilakis, and F. M. Ghannouchi, “Synergetic crest factor reduction and baseband digital predistortion for adaptive 3G Doherty power amplifier linearizer design,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 11, pp. 2602–2608, Nov. 2008. [23] F. M. Ghannouchi and O. Hammi, “Behavioral modeling and predistortion,” IEEE Microw. Mag., vol. 10, no. 7, pp. 52–64, Dec. 2009. [24] O. Hammi, S. Boumaiza, M. Jaidane-Saidane, and F. M. Ghannouchi, “Digital subband filtering predistorter architecture for wireless transmitters,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 5, pp. 1643–1652, May 2005. Ramzi Darraji (S’10) received the B.Eng. and M.Sc. degrees in communications engineering from the École Supérieure des Communications de Tunis, Ariana, Tunisia, in 2007 and 2008, respectively, and is currently working toward the Ph.D. degree at the University of Calgary, Calgary, AB, Canada. He is currently with the Intelligent RF Radio Technology Laboratory (iRadio Lab), University of Calgary. He has one patent pending. His research interests include DSP for wireless transmitters, high-efficiency broadband RF PAs and intelligent digital transmitters for ultra-wideband and multistandard wireless communications. Mr. Darraji was the recipient of the Alberta Innovates Technology Futures Doctoral Scholarship in information and communications technologies. He was the recipient of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) High Achievement Award of the 2010 Student High Efficiency Power Amplifier Design Competition. He was also the recipient of the First Place and Best Design Awards of the 2010 Wireless Innovations Forum’s Smart Radio Challenge.

2885

Fadhel M. Ghannouchi (S’84–M’88–SM’93–F’07) is currently a Professor, Alberta Innovates Technology Futures/Canada Research Chair, and Director of the Intelligent RF Radio Technology Laboratory (iRadio Lab), Electrical and Computer Engineering Department, Schulich School of Engineering, University of Calgary, Calgary, AB, Canada. He has held several invited positions with several academic and research institutions in Europe, North America, and Japan. He has provided consulting services to a number of microwave and wireless communications companies. He has authored or coauthored over 500 publications. He has authored and coauthored three books. He holds 12 U.S. patents with five pending. His research interests are in the areas of microwave instrumentation and measurements, nonlinear modeling of microwave devices and communications systems, design of power- and spectrum-efficient microwave amplification systems, and design of intelligent RF transceivers for wireless and satellite communications. Prof. Ghannouchi is a Fellow of the Institution of Engineering and Technology (IET). He is a Distinguished Microwave Lecturer of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S).

Mohamed Helaoui (S’06–M’09) received the M.Sc. degree in communications and information technology from the École Supérieure des Communications de Tunis, Tunis, Tunisia, in 2003, and the Ph.D. degree in electrical engineering from the University of Calgary, Calgary, AB, Canada, in 2008. He is currently an Assistant Professor with the Department of Electrical and Computer Engineering, University of Calgary. He has authored or coauthored over 60 publications. He has seven patents pending. His current research interests include DSP, power efficiency enhancement for wireless transmitters, switching-mode PAs, and advanced transceiver design for software-defined radio and millimeter-wave applications. Dr. Helaoui is a member of the COMMTTAP Chapter, IEEE Southern Alberta Section.

2886

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Microwave Chemical Sensing at Room Temperature Using an Overmoded Waveguide Design Yu-Ting Huang, Student Member, IEEE, Kelly M. Hotopp, Brian C. Dian, and William J. Chappell, Senior Member, IEEE

Abstract—Microwave spectrometers have unique advantages in the ability to determine high-resolution features that are specific to a given chemical. Very sharp lines which correspond to quantum states of the chemical allow for unique identification of the chemical. Recent advances have shown the possibility of room-temperature microwave spectroscopy analysis in which the data are collected in a short amount of time using broadband chirp pulse Fourier transform microwave (CP-FTMW) spectroscopy. In this paper, we explore the design of reduced-size spectrometers focusing on the reduction of the microwave analysis cell, where the chemical is analyzed at room temperature. Through optimization of the features of the test cell, it is shown that a much smaller analysis cell can be utilized. In combination with the established trends towards system on chip high-frequency devices, this technique demonstrates the possibility of a nonlaboratory-based implementation of a high-resolution sensor. Index Terms—Chemical and biological sensors, chemical sensors, Fourier transform microwave spectroscopy (FTMW), spectroscopy, waveguide junctions.

I. INTRODUCTION

M

ICROWAVE spectroscopy is the study of the rotational transition spectra of gas-phase molecules. The field of microwave spectroscopy originated during World War II as a useful tool in determining molecule structures for physical chemists. Afterward, Dicke et al. proposed the free induction decay (FID) time-domain measurement, which is also known as Fourier transform microwave (FTMW) spectroscopy [1]. In the 1980s, Belle and Flygare incorporated a Fabry–Perot resonator and a pulsed molecular beam in their FTMW spectrometer [2]. In 2008, a major advance in broadband measurement was brought about with a chirped pulse Fourier transform microwave (CP-FTMW) spectrometer [3]. Most of the FTMW work incorporated a pulsed molecular beam in the system and utilized supersonic expansion to cool the molecules down to the 1 K range; such a cooling effect will trap the molecules in lower rotational states since molecule population

Manuscript received December 20, 2011; revised May 10, 2012; accepted May 11, 2012. Date of publication July 12, 2012; date of current version August 28, 2012. Y.-T. Huang and W. J. Chappell are with the IDEAS Microwave Laboratory, Department of Electrical and Computer Engineering, Purdue University, West Lafayette, IN 47907 USA (e-mail: [email protected]; chappell@purdue. edu). K. M. Hotopp and B. C. Dian are with the Department of Chemistry, Purdue University, West Lafayette, IN 47907 USA (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2203925

follows a Boltzmann distribution. Recently, Shipman designed a room-temperature chirped pulse FTMW (RT-CP-FTMW) spectrometer using a WRD750 double-ridge waveguide [4], [5]. Because of the advantage of high spectral resolution in determining rotational transitions, scientists found the application of microwave spectroscopy beneficial in studying and identifying compounds in interstellar medium. Since then, microwave spectroscopy has been one of the most useful and efficient tools in chemical identification because it is highly sensitive to molecular shape [6]. This technique can distinguish isomers, which are chemicals that share the same molecular formula but have different arrangements of atoms. In particular, microwave spectroscopy can readily differentiate geometric isomers (or cis-trans isomers) that have dissimilar arrangements of functional groups about a double bond. Geometric isomers are quite challenging in this regard because they cannot be differentiated using techniques such as mass spectroscopy. Since modifications in molecular geometry can give rise to varying physical and chemical properties, the shape sensitivity of microwave spectroscopy is a significant benefit for chemical sensing because it enhances detection specificity. Although the new RT-CP-FTMW spectrometer has been successfully demonstrated using a common WRD750 waveguide and shows good potential for use as a chemical sensor, the electric field is mostly concentrated within the small ridge area of the system. This region is only 4.39 mm 3.45 mm in the cross section, and hence a relatively long (8–10 m) waveguide would be required to compensate for the lack of sample space in the reduced cross section. In this paper, we describe a compact RT-CP-FTMW spectrometer using an overmoded waveguide as the probe channel and demonstrate the successful measurements of methanol CH OH spectra at room temperature. The ability to conduct RT measurements is an advancement toward the development of a robust microwave spectrometer chemical sensor. Compared with the nonovermoded waveguide design, our overmoded waveguide reduces the length of the probe channel while enclosing approximately the same number of molecules. II. MICROWAVE SPECTROSCOPY FUNDAMENTALS In the field of microwave spectroscopy, the key parameter of rotational spectra is the moment of inertia , which is defined as the mass of each atom multiplied by the square of its perpendicular distance to the rotational axis through the center of , where is the mass of mass of the molecule, i.e., each atom and is the perpendicular distance to the axis of rotation, and a physical diagram is shown in Fig. 1 as an example [7]. In general, the rotational states can be described in terms of

0018-9480/$31.00 © 2012 IEEE

HUANG et al.: MICROWAVE CHEMICAL SENSING AT ROOM TEMPERATURE USING AN OVERMODED WAVEGUIDE DESIGN

2887

TABLE I DESIGN PARAMETERS OF STEPPED IMPEDANCE TRANSFORMER

Fig. 1. Definition of moment of inertia of a molecule. In this example, the center of mass lies on the axis passing through atoms B and C, and and are the perpendicular distances from atoms A and D to the axis of rotation.

moments of inertia about three perpendicular axes. Transitions between these rotational states can be caused by the application of external electromagnetic radiation. These fields interact with the molecule’s permanent dipole moment caused by the charge separation within the molecule. When the molecule is exposed to an external electromagnetic field, the electric field will impart a torque to the molecule and induce the rotational state transitions. The rotational states and their transitions can be predicted by quantum physics, and therefore microwave spectroscopy has been a useful tool in determining molecular structure. A simple microwave spectrometer requires: 1) a frequency source; 2) a probe channel (waveguide or resonator); and 3) a detector. Early spectrometers utilized the direct induced absorption mechanism to acquire the spectra. A continuous-wave (CW) microwave source is passed through a probe channel, and the output power is related to the channel length , channel and gas absorption coefficients and , and input power , i.e., [8]–[11]. The sample in the probe channel will have strong absorption coefficients at frequencies that correspond to its rotational transition frequencies, and hence the output signal will exhibit transmission dips at the corresponding frequencies. Therefore, a long waveguide (usually several meters long) or a high- resonator (which has an effective length of ) is required for direct absorption detection. Physically, a waveguide spectrometer that is several meters long is not favorable as a chemical sensor. Moreover, although a high- resonator significantly reduces the size of a spectrometer, performing a wideband measurement with such a resonator is extremely time-consuming, because one would require a stepper motor to fine-tune the cavity resonance frequency to sweep through the desired bandwidth. FID is the other detection scheme that is widely used in microwave spectroscopy. While direct absorption detection exploits the frequency-dependent energy differences observed by probing a sample with a microwave energy sweep and is suitable for samples having higher dipole moments, FID detection measures the weak re-emission of molecular signals after the samples are excited by a microwave energy sweep. Therefore,

for samples with weaker absorption coefficients, FID detection works better due to its higher dynamic range because it detects signals in the absence of the excitation energy sweep [1]. In FID detection, we detect the time-domain re-emission of the gaseous samples and then apply a Fourier transform to obtain the frequency-domain rotational spectrum. Two limiting factors to the spectral quality are the number of molecules and collisional broadening effects. The latter is the dominant factor in FTMW because when molecules suffer from collisions, they lose not only their re-emission energy but also their phase coherence. The ring-down time of molecules decreases significantly after collision; along with the loss in phase coherence, we lose spectral intensity and resolution. Both collisions among molecules and collisions between molecules and the sidewalls of the waveguide contribute to collisional broadening. III. OVERMODED WAVEGUIDE DESIGN To further inhibit collisional broadening effects and advance towards a more compact spectrometer, we developed an overmoded waveguide spectrometer. The initial design originates from a standard WR90 waveguide, which has cross-sectional dimensions of 22.86 mm 10.16 mm, because methanol has several rotational transition frequencies in the working range of the WR90 waveguide. The width of the waveguide is kept constant while the height is increased to twice the initial height so that the final dimensions of the overmoded waveguide are 22.86 mm 20.82 mm. The width is kept constant so the fundamental mode will not couple to higher order modes, where is an odd number, in the desired frequency range. A six-section Chebyshev multistep transformer is used as a transformer to match the WR90 waveguide to the overmoded waveguide, where the reflection coefficient of each section is defined as

(1) (2) (3) (4) if is even and The last term in the brackets is if is odd, is the center frequency, is the wavenumber, is the length of each step, and is the th-order Chebyshev polynomial [12]. At 13 GHz and maximum in the passband, we have our initial

2888

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 2. WR90 to overmoded waveguide transition. The solid line is the design of the stepped transformer, and the dashed line is the piecewise smooth model for fabrication.

Fig. 3. Design of the overmoded waveguide.

Fig. 4. Simulation result of the waveguide design shown in Fig. 3.

step length of 6.68 mm, and the initial characteristic impedance of each section is listed in Table I. In the final design for fabrication, we have optimized the step length to 7 mm with MICIAN [13], and the height and final characteristic impedance of each section are also listed in Table I. Afterward, the stepped transformer was modified such that the transition was piecewise smooth, and the final dimensions of the overmoded waveguide cross section are 22.86 mm 19.61 mm, and the transition of the stepped transformer is shown in Fig. 2. However, increasing the height also increases the coupling between the mode and the and modes due to their field similarities in the waveguide cross section. This coupling effect will generate unwanted spurious modes, and, therefore, the transmission will show multiple dips. In order to eliminate the strong coupling between the and modes and resolve the resonance in the waveguide, we have included two bifurcations in the two tapered sections to improve the field uniformity in the overmoded waveguide. With the 500- m-thick, 3-cm-long bifurcations, the two coupling sections work as a power divider and combiner [14]. The input coupling section excites the overmoded waveguide with

two simultaneous modes, and the output coupling section recombines the overmoded mode from two identical modes. In addition to a reduction of wall collisions through the utilization of an overmoded waveguide, and because of the increased volume/wall surface area ratio, the attenuation factor of the waveguide is reduced to 0.008 Np/m (threefold smaller than WRD750), which implies an improvement of the noise level and hence an improvement in sensitivity. Moreover, the increased cross section also allows the length of the waveguide to be reduced to 22 cm while still containing 65% of the volume contained in the ridge region of a 10-m WRD750 waveguide. These benefits of the presented overmoded waveguide spectrometer prove to be advancements toward field-deployable microwave sensors. The High Frequency Structural Simulator (HFSS) model of the design is shown in Fig. 3. The tapered coupling sections make a smooth transition from the standard WR90 waveguide to the overmoded waveguide from 8 to 16 GHz, as shown in Fig. 4. Two commercial coaxial-to-rectangular-waveguide adapters (HP X281A), which have a frequency range from

HUANG et al.: MICROWAVE CHEMICAL SENSING AT ROOM TEMPERATURE USING AN OVERMODED WAVEGUIDE DESIGN

Fig. 5. Measured and simulated spectrometer.

2889

-parameters of the overmoded waveguide

Fig. 7. Fabrication model of the overmoded waveguide spectrometer.

Fig. 6. Electric field strength of (a) WRD750 waveguide at 1-W input and (b) overmoded waveguide at 9-W input.

8.2 to 12.4 GHz that includes five previously observed transitions [15], were used in order to measure the waveguide. The measured and simulation results including these waveguide adapters are shown in Fig. 5. We also anticipate a tradeoff in absorption area and power: we need a higher input power in the overmoded waveguide to generate the same electrical field strength as in the WRD750 waveguide. The simulation results are shown in Fig. 6, and the required power for the overmoded waveguide is approximately ninefold greater than that of WRD750. IV. FABRICATION The overmoded waveguide spectrometer, shown in Fig. 7, consists of two tapered waveguide sections that function as input/output transitions (10 cm) from the WR90 waveguide to the overmoded waveguide (22 cm). Because of fabrication limitations, the overmoded waveguide had to be divided into two halves. In order to limit effects of dividing the waveguide,

we chose to divide it along the E-plane where the surface current flows longitudinally along the seam. The current on the other two sidewalls does not encounter any discontinuity. Therefore, dividing the waveguide along the E-plane has the least impact on waveguide performance. The long edges of one of these pieces were grooved and sealed with two pieces of Viton O-ring strips. The input and output flanges of the overmoded waveguide were also grooved and fit with O-rings to seal the flanges with 150- m-thick mica windows. On the sidewalls of the waveguide, there are three orifices of 1-mm diameter for pumping, gas inlet, and a vacuum gauge. The overmoded waveguide can hold a vacuum of 0.01 mTorr based on a leak test with helium. V. EXPERIMENTAL The RT-CP-FTMW spectrometer consists of three major components: excitation pulse generation, a microwave/probe channel, and FID detection. The schematic of the system is shown in Fig. 8, and each part will be discussed in detail. A. Excitation Pulse Generation To achieve a broadband measurement, a chirped excitation pulse is used to probe multiple rotational transitions of a chemical in a single microwave energy sweep. The microwave circuit used for chirped pulse generation is shown in Fig. 9. An excitation pulse of the desired bandwidth is generated by an arbitrary waveform generator (Tektronix AWG 7101), which has a Nyquist frequency of 5 GHz at 10-GS/s sampling rate. The

2890

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 8. Schematics for experimental setup. The spectrometer contains: a) excitation pulse generation, b) probe channel, and c) FID detection.

Fig. 9. Microwave circuit used for chirped pulse generation.

output of the waveform generator is then mixed with a 13-GHz phase-locked dielectric resonator oscillator (PDRO) and upconverted to a higher frequency range where rotational transitions of interest lie. It is worthwhile to mention that all the frequency sources, waveform generators, and PDROs, are phase locked with a quartz oscillator using a rubidium frequency standard (Stanford Research System SF725) as the frequency reference, so the system is phase stabilized. This allows us to coherently average the FID signal to increase the signal-to-noise ratio. As an example, to generate a 9.907–10.007-GHz chirped pulse, we program the arbitrary waveform generator to output a frequency sweep from 2993 to 3093 MHz. A 5-GHz low-pass filter (Lorch Microwave 10LP-5000-S) is used to filter out the spurious tones from the waveform generator. Then, a solid-state amplifier Minicircuit ZX is used to preamplify the signal filtered by the low-pass filter. The pulse is then mixed with the 13-GHz PDRO to produce the desired 9.907–10.007-GHz chirped pulse. Finally, we use a 13-GHz notch filter (Lorch Microwave 6BR6-13000/100-S) to remove the residual signal of the 13-GHz PDRO. The final stage of pulse generation is power amplification. In order to maintain the power spectral density, a 3-W solidstate amplifier (Microwave Power L0818-32-T358) is only used

for narrowband chirped pulses. The time-domain figure of the 100-MHz chirped pulse and its spectrum are shown in Fig. 10. In addition, because FID signals decay reciprocally with pressure (about 1 s at 40 mTorr [4]), the excitation pulse has to be shorter than the molecule dephasing time. In our experiments, we measure the FID at 10 mTorr, and the excitation pulse duration is optimized to 1 s. Alternatively, a traveling wave tube (TWT) amplifier (AR 200T8G18A) is used in combination with a step attenuator such that the output power is 30 W. This output power supports sufficient power spectral density and is used for a broadband chirp from 9.9 to 12.3 GHz. The lower power measurements are made to show that a lower power system can be used to look for targeted molecules. By focusing the sweep time and the frequency extent, a much lower power solid-state system can be used. This represents the power anticipated with low-cost signal sources at these frequencies. For wider bands, more generic testing the higher power TWT system was employed. This was reduced to levels that could be reached by aggressive solid-state solutions at this frequency range. The resulting rotational spectra of both broadband and narrowband excitation pulses will be discussed in the results section. B. Microwave/Probe Channel The waveguide is pumped down to the sub-mTorr level, and then the sample is fed into the waveguide at a steady flow of 10-mTorr pressure. When the power amplifier is broadcasting power, the termination of the waveguide is an open circuit. Hence, two isolators are used at the input and output of the waveguide to mitigate the standing-wave effect in the waveguide, providing excitation uniformity in the sample space as well as to protect the power amplifier from being damaged by the reflection.

HUANG et al.: MICROWAVE CHEMICAL SENSING AT ROOM TEMPERATURE USING AN OVERMODED WAVEGUIDE DESIGN

2891

Fig. 11. Detected molecular FID after the 9.907–10.007-MHz chirped pulse.

Fig. 10. (a) Time-domain waveform of the 100-MHz excitation chirped pulse centered at 9.957 GHz. (b) Spectrum of the 100-MHz chirped pulse centered at 9.957 GHz.

C. FID Detection Following the chirped pulse excitation, the molecular FID detection is accomplished by a low-noise amplifier (LNA), a down conversion circuit, and a digital storage oscilloscope. We have used an LNA that has a 45-dB gain and 2-dB noise figure (Miteq AMF-6F-06001800-15-10P) to amplify the emission of molecular signals; a diode (Advanced Control Components ACLM-4619FC361K) and a solid-state switch (ATM PNR S1517D) precede the LNA to protect the LNA and the oscilloscope from the intense excitation pulse. The solid-state switch and power amplifier are triggered by TTL logic lines to provide synchronized timing control. The digital storage oscilloscope has an operating range from dc to 12 GHz (Tektronix TDS6124C, 8-b resolution), and therefore the molecular emission signal is downconverted by mixing with an 18.9-GHz PDRO. The recorded molecular FID after the 9.907–10.007-GHz chirped pulse is shown in Fig. 11. This is an example of the time-domain re-emission signal

Fig. 12. Broadband excitation using a 30-W amplifier with a chirped pulse at the center frequency of 11.1 GHz and 2.4-GHz bandwidth with 10 000 averages. Five rotational transitions were measured using this broadband chirped pulse. Among these transitions, the frequency at 12229.3 MHz corresponds to the transition. This transition relates to high rotational quantum numbers, and , and cannot be observed with low-temperature, namely 1 K, CP-FTMW spectrometer because molecules mostly occupy lower rotational states at low temperature. Theoretical calculated frequencies are shown in parentheses.

of methanol recorded by our overmoded waveguide design rotational spectrometer. VI. RESULTS The molecular FID was recorded 1 s after the chirped pulse excites the sample. We used a 30-W output power from the TWT amplifier and we acquired a 2.4-GHz wideband spectrum with a single wideband chirped pulse. We have only targeted the frequency range from 9.9 to 12.3 GHz because methanol has a relatively intense spectrum in this range. With the higher excitation power, we were able to identify five rotational transitions at 9936.1, 9978.6, 10058.1, 12178.5, and 12229.3 MHz with a single chirped pulse. The broadband spectra is shown

2892

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

MEASURED

AND

TABLE II CALCULATED (WITH UNCERTAINTY) ROTATIONAL FREQUENCIES

Fig. 14. Measured methanol spectrum using a 3-W solid-state amplifier with a chirped pulse at the center frequency of 12.344 GHz and 400-MHz bandwidth. Theoretical calculated frequencies are shown in parentheses.

range. Furthermore, the 22-cm-long overmoded waveguide contains enough chemical sample to allow for chemical detection, even though the effective volume is only 68% of a 10-m-long WRD750 waveguide. In all, we have greatly reduced the waveguide from 10 m to 22 cm and probed six transitions totally, and the measured spectra shows very high accuracy. Fig. 13. Measured methanol spectrum using a 3-W solid-state amplifier with a chirped pulse at the center frequency of 9.957 GHz and 100-MHz bandwidth. Theoretical calculated frequencies are shown in parentheses.

in Fig. 12, and the measured and calculated rotational transitions are listed in Table II. It is noteworthy to mention that the measured frequency at 12229.3 MHz, which corresponds to the transition, cannot be measured by low temperature spectrometer because the molecule population follows a Boltzmann distribution, and the rotational quantum number and are relatively high energy states for low temperature, namely 1 K, spectroscopy. Compact integration of the waveguide with the source would require a simple amplifier. A commercial 3–W solid-state amplifier is used for two separate frequency ranges in which the methanol transition frequencies are localized: 9.907 to 10.007 GHz and 12.144 to 12.544 GHz. With the 100-MHz chirped from 9.907 to 10.007 GHz, we have probed the rotational transitions at 9936.1 and 9978.6 MHz. In addition, rotational transitions at 12178.5, 12229.3, and 12511.2 MHz were also identified by the 400-MHz chirped pulse from 12.144 to 12.544 GHz. The acquired spectra by using the 3-W solid-state amplifier are show in Figs. 13 and 14. We show that we can also acquire a spectrum with the concatenated waveguide with a tradeoff of power and sweep range. The design of the overmoded waveguide provides a good transition to match the impedance of a standard WR90 waveguide to an overmoded waveguide and preserve the frequency

VII. CONCLUSION Microwave spectroscopy has the potential for use as a chemical sensor because its kilohertz resolution accuracy allows for precision determination of shape/structure and therefore tracking back to chemical specificity. The significant advances in the introduction of FTMW and CP-FTMW spectroscopy add benefits to the detection sensitivity and the capability of broadband measurement within a short period of time. In addition, the complexity of the instrumentation at the microwave frequencies is continually being reduced by advances in circuit technology. In this paper, we further demonstrated microwave chemical sensing at RT using an overmoded waveguide design. This broadband overmoded waveguide design is by far the most compact microwave spectrometer, performs high-accuracy measurement, and only requires a relatively small amount of excitation power. The capability of conducting an overmoded waveguide RT measurement also simplifies the spectrometer design because the cooling mechanism, e.g., pulse valve for supersonic expansion, is excluded. With these advances and current state-of-the-art high-speed electronics, we can foresee the overmoded waveguide design for use as a field-deployable chemical sensor. ACKNOWLEDGMENT The authors would like to thank Dr. H. G. Hedderich and the Jonathan Amy Facility for Chemical Instrumentation, Chemistry Department, Purdue University, West Lafayette, IN, for the aid of waveguide fabrication and vacuum sealing.

HUANG et al.: MICROWAVE CHEMICAL SENSING AT ROOM TEMPERATURE USING AN OVERMODED WAVEGUIDE DESIGN

REFERENCES [1] R. Romer and R. Dicke, “New technique for high-resolution microwave spectroscopy,” Phys. Rev., vol. 99, no. 2, pp. 532–532, 1955. [2] T. Balle and W. Flygare, “Fabry-Perot cavity pulsed Fourier transform microwave spectrometer with a pulsed nozzle particle source,” Rev. Sci. Instrum., vol. 52, no. 1, pp. 33–45, 1981. [3] G. Brown, B. Dian, K. Douglass, S. Geyer, S. Shipman, and B. Pate, “A broadband Fourier transform microwave spectrometer based on chirped pulse excitation,” Rev. Sci. Instrum., vol. 79, 2008, Art. ID 053103. [4] S. Shipman, B. Kroncke, B. Pate, and P. Groner, “Waveguide chirpedpulse Fourier transform microwave spectroscopy,” in Proc. 63th Int. Symp. Molec. Spectrosc., 2008. [5] B. Reinhold, I. Finneran, and S. Shipman, “Room temperature chirpedpulse Fourier transform microwave spectroscopy of anisole,” J. Molec. Spectrosc., vol. 207, pp. 89–97, 2011. [6] I. Medvedev, C. Neese, G. Plummer, and F. De Lucia, “Submillimeter spectroscopy for chemical analysis with absolute specificity,” Opt. Lett., vol. 35, no. 10, pp. 1533–1535, 2010. [7] P. W. Atkins, Physical Chemistry, 6th ed. Oxford, U.K.: Oxford Univ., 1998. [8] C. Townes and A. Schawlow, Microwave Spectroscopy. New York: McGraw-Hill, 1955. [9] G. Thirup, F. Benmakroha, A. Leontakianakos, and J. Alder, “Analytical microwave spectrometer employing a Gunn oscillator locked to the rotational absorption line,” J. Phys. E, Sci. Instrum., vol. 19, pp. 823–830, 1986. [10] W. D. Hershberger, “Minimum detectable absorption in microwave spectroscopy and an analysis of the Stark modulation method,” J. Appl. Phys., vol. 19, no. 4, pp. 411–419, 1948. [11] J. F. Alder and J. G. Baker, Quantitative Millimetre Wavelength Spectrometry. Cambridge, U.K.: Royal Soc. Chemistry (Great Britain), 2002. [12] D. M. Pozar, Microwave Engineering. New York: Wiley, 2005. [13] Wizard 7.0, M. Bremen, Germany: Mician GmbH, 2009. [14] C. Nantista, “Overmoded waveguide components for high-power RF,” in Proc. AIP Conf., 2003, pp. 263–271. [15] L. Xu and F. Lovas, “Microwave spectra of molecules of astrophysical interest. XXIV. Methanol,” J. Phys. Chem. Ref. Data, vol. 26, no. 1, pp. 17–156, 1997. Yu-Ting Huang (S’12) received the B.S. and M.E. degrees in electrophysics from National Chiao Tung University, Hsinchu, Taiwan, in 1999 and 2003, respectively. He is currently working toward the Ph.D. degree in electrical and computer engineering at IDEAS Microwave Laboratory, Department of Electrical and Computer Engineering, Purdue University, West Lafayette, IN, under the direction of Professor William J. Chappell. His current research focuses on broadband microwave spectroscopy, specifically portable analysis cell design.

2893

Kelly M. Hotopp received the B.S. degree in chemistry education from Huntington University, Huntington, IN, in 2006, and the Ph.D. degree in chemistry from Purdue University, West Lafayette, IN, in 2012. Her research has focused on applications of broadband chirped-pulse Fourier transform microwave (CP-FTMW) spectroscopy, specifically, the development of two-dimensional CP-FTMW spectroscopy and the application of waveguide-based molecular interaction chambers. Dr. Hotopp is a member of the American Chemical Society and the American Physical Society. She was a recipient of the Bryan Scholarship, the American Cancer Society Scholarship, and the Huntington University Trustee Scholarship. She has been an active member of Iota Sigma Pi, serving as the outreach coordinator on the Purdue chapter Board of Directors.

Brian C. Dian, photograph and biography not available at the time of publication.

William J. Chappell (S’98–M’02–SM’09) received the B.S.E.E., M.S.E.E., and Ph.D. degrees from The University of Michigan at Ann Arbor in 1998, 2000, and 2002, respectively. He is currently an Associate Professor with the School of Electrical and Computer Engineering, Purdue University, West Lafayette, IN, where he is also a Member of the Birck Nanotechnology Center and the Center for Wireless Systems and Applications. His research focus is on advanced applications of RF and microwave components. He has been involved with numerous Defense Advanced Research Projects Agency (DARPA) projects involved in advanced packaging and material processing for microwave applications. His research sponsors include the Homeland Security Advanced Research Projects Agency (HSARPA), Office of Naval Research (ONR), the National Science Foundation (NSF), the State of Indiana, Communications–Electronics Research, Development and Engineering Center (CERDEC), and Army Research Office (ARO), as well as industry sponsors. His research group uses electromagnetic analysis, unique processing of materials, and advanced design to create novel microwave components. His specific research interests are the application of very high-quality and tunable components utilizing multilayer packages. In addition, he is involved with high-power RF systems, packages, and applications. Dr. Chappell was the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Administrative Committee (AdCom) secretary in 2009. He is a member of the IEEE MTT-S AdCom for 2010–2012.

2894

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Terahertz Micromachined On-Wafer Probes: Repeatability and Reliability Lihan Chen, Student Member, IEEE, Chunhu Zhang, Student Member, IEEE, Theodore J. Reck, Member, IEEE, Alex Arsenovic, Matthew Bauwens, Christopher Groppi, Arthur W. Lichtenberger, Robert M. Weikle II, Senior Member, IEEE, and N. Scott Barker, Member, IEEE

Abstract—An improved micromachined on-wafer probe covering frequencies 500–750 GHz is demonstrated in this paper to address sub-millimeter-wave integrated-circuit testing. Measurements of a prototype WR-1.5 micromachined on-wafer probe exhibit a return loss better than 12 dB and a mean insertion loss of 6.5 dB from 500 to 750 GHz. The repeatability of on-wafer measurements with the micromachined probe is investigated. Monte Carlo simulations are used to identify the dominant error source of on-wafer measurement and to estimate the measurement accuracy. The dominant error source is positioning error, which results in phase uncertainty. Reliability tests show the probe is robust and can sustain over 20 000 contacts. Index Terms—Micromachined, on-wafer probe, reliability, terahertz.

I. INTRODUCTION

T

HE TERAHERTZ spectrum is critical to a wide range of scientific applications, from radio astronomy to remote sensing. However, due to the cost, size, and weight of terahertz systems, the terahertz frequency range remains one of the least explored and utilized regions of the electromagnetic spectrum [1]. To reduce the size, weight, and cost of terahertz components, one solution is to fabricate high-density integrated circuits. Although progress has been made in the development of sub-millimeter-wave monolithic integrated circuits (S-MMICs) [2], [3], testing of S-MMICs remains time consuming and expensive because integrated circuits must be assembled into a waveguide block to be interfaced with measurement instrumentation. Calibrated on-wafer measurements are commonly used to characterize integrated circuits without the use of waveguide Manuscript received February 17, 2012; revised June 04, 2012; accepted June 08, 2012. Date of publication July 03, 2012; date of current version August 28, 2012. This work was supported by the U.S. Army National Ground Intelligence Center (NGIC) under Contract W911W5-06-R-0001 and by the Defense Advanced Research Projects Agency (DARPA) Terahertz (THz) Electronics Program and Army Research Laboratory (ARL) under DARPA Contract HR0011-09-C-0062 under a subcontract from Northrop Grumman. L. Chen, C. Zhang, A. Arsenovic, M. Bauwens, A. W. Lichtenberger, R. M. Weikle II, and N. S. Barker are with the Charles L. Brown Department of Electrical and Computer Engineering, University of Virginia, Charlottesville, VA 22904-4743 USA (e-mail: [email protected]; [email protected]). T. J. Reck was with the Charles L. Brown Department of Electrical and Computer Engineering, University of Virginia, Charlottesville, VA 22904-4743 USA. He is now with the Jet Propulsion Laboratory (JPL), California Institute of Technology, Pasadena, CA 91030 USA. C. Groppi is with the School of Earth and Space Exploration, Arizona State University, Tempe, AZ 85287 USA. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2205016

Fig. 1. Micromachined terahertz probe. Recesses are used to align the probe chip to the waveguide block. Port-I: waveguide connection. Port-II: CPW probe m is half of the waveguide (WR-1.5) width . The strip line tips. shown is fabricated in the beamlead layer.

test fixtures. Also, calibrated on-wafer measurements can set the measurement reference plane at the tested device to achieve accurate device measurements that can be used to create measurement-based circuit models to facilitate design. However, on-wafer probes above 500 GHz are rare. A micromachined on-wafer probe was demonstrated last year to simplify sub-millimeter-wave integrated circuit testing at frequencies from 500 to 750 GHz [4], [5]. This probe is based on a microfabrication process that produces circuits on ultrathin silicon substrates between 15–3 m thick [6]. The micromachined probe chip, shown in Fig. 1, is housed in an -plane split-waveguide metal-machined block. To contact the device-under-test (DUT), the probe chip extends beyond the metal housing by 400 m and terminates in coplanar waveguide (CPW) to form ground–signal–ground (GSG) probe tips. An important feature of this design is the simple, self-aligning mounting process of the probe chip, which does not require any adhesives. To provide mechanical support to the chip as pressure is applied to the probe tip, the silicon substrate is clamped in the waveguide block by compressing electroplated gold on both sides of the substrate. In [4] and [5], two designs, one based on microstrip and a second on rectangular coaxial transmission lines that couple between the waveguide and probe tips, were evaluated. This paper first presents an improved microstrip probe design and describes its scattering-parameter measurement results. Repeatability and reliability of the probe are then investigated and discussed. Since both microstrip and coaxial probes share the same mechanical design, only the microstrip probe design is used for the reliability tests. II. MICROSTRIP PROBE DESIGN As shown in Fig. 1, the microfabricated probe chip features two gold layers (one beamlead layer and one bottom gold layer),

0018-9480/$31.00 © 2012 IEEE

CHEN et al.: TERAHERTZ MICROMACHINED ON-WAFER PROBES

Fig. 2. -field distribution of the intermediate transmission lines. (a) Quasicoax. (b) Microstrip.

as well as metallized through-silicon via-holes. The beamlead layer can extend beyond the silicon chip, but the bottom gold layer must be kept within the silicon extents due to the fabrication process. In [4] and [5], two designs that couple between the waveguide and probe tip with either a microstrip or a coaxial transmission line share the same waveguide block. The initial microstrip probe design has the strip line fabricated in the beamlead layer and the ground plane on the bottom layer, as shown in Fig. 1. Since the bottom gold layer must be kept inside the silicon extents, the microstrip ground is not continuously connected to the probe housing when the probe chip is assembled in the waveguide block, as shown in Fig. 2. To provide better grounding, a revised microstrip probe design presented in this paper has the ground plane fabricated on the beamlead metal layer. Since the coplanar GSG probe tips remain in the beamlead layer, the microstrip-to-CPW transition in this design uses a “hot-via” configuration. The “hot-via” microstrip-to-CPW transition uses a via to connect the signal path of these two transmission lines on the opposite surfaces of the dielectric substrate. Images of the revised microstrip probe chip are shown in Fig. 3. The attenuation of a microstrip line consists of conductor (ohmic) losses, dielectric (substrate) losses, losses due to radiation, and propagation of surface waves and higher order modes. Generally, conductor loss is the most significant. To reduce the microstrip conductor loss, the width of the strip line can be increased; however, the characteristic impedance is correspondingly decreased. The attenuation due to conductor loss, dB m , is given approximately by [7]

where is the width of the strip line, is the surface resistivity of the conductor, and is the characteristic impedance of the microstrip. At 625 GHz, 50- microstrip, which has a strip width of 12 m on a 15- m-thick silicon substrate, has an attenuation of 4.0 dB/mm, while 35microstrip, which has a strip width of 20 m, has an attenuation of 3.4 dB/mm. Further increase in the width of the strip line will reduce the conductor loss; however, the lower characteristic impedance makes the impedance transformation to 50 at the microstrip-to-CPW transition more difficult to design. Therefore, 35- microstrip is selected in this work. A “hot-via” microstrip-to-CPW transition is characterized as having a via connected to the signal path of these two transmission lines on the opposite surfaces of the dielectric substrate

2895

Fig. 3. Images of the revised microstrip based probe chip. (a) Top view. (b) Bottom view.

Fig. 4. Equivalent circuit for the “hot via” microstrip-to-CPW transition.

Fig. 5. Dimensions of the “hot-via” microstrip-to-CPW transition. The probe pitch is 30 m. TABLE I CIRCUIT PARAMETERS OF THE “HOT-VIA” MICROSTRIP-TO-CPW TRANSITION

and the two lines sharing the same ground layer. The metallized via-hole connecting the signal lines of the microstrip and CPW can be modeled as an inductor, while the microstrip and CPW ends add capacitance to the transition. Therefore, the transition can be considered as a low-pass filter [8], as shown in Fig. 4. This low-pass filter is designed to transform the 35- microstrip to 50- CPW [9]. The circuit model parameters are obtained from an HFSS simulation by using the admittance matrix representation of the network [10]. Dimensions of the “hot-via” microstrip-to-CPW transition are detailed in Fig. 5 with the corresponding circuit parameters are detailed in Table I. III. CONTACT FORCE AND RF MEASUREMENT Ultra-thin silicon is used as a substrate for the microstrip and as a cantilever beam for contacting the transmission line on the test wafer. When the probe contacts the test wafer, the silicon deflects and generates a force at the contact points. The force induced by the probe with respect to the vertical distance the

2896

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 6. Mechanical test setup. The load cell is used to measure the amount of contact force being generated by the probe chip.

Fig. 8. Measurement results of a micromachined on-wafer probe. The dip near 550 GHz is a water vapor absorption line. The silicon substrate used has a recm. sistivity greater than 10 000

Fig. 7. Dimensions of CPW calibration standards. Dimensions of CPW is 4/7/4 m.

probe travels can be modeled as a linear spring with a spring constant . The setup shown in Fig. 6 is used to measure the spring constant . A test wafer is attached to a load cell (Futek FSH0234) that is used to monitor the contact force. A motor-driven stage is used to move the test wafer vertically. As the stage rises, the silicon chip contacts with the wafer and generates force at the contact that is measured by the load cell. During this process, the load cell also deflects and can be modeled as another spring, with constant , which is characterized independently. This setup is therefore a series-connected two-spring system. The measurement results are detailed in [11], with the spring constant of the probe determined to be mN m. Scattering parameter measurements from 500 to 750 GHz are taken with a one-port WR-1.5 frequency extension unit from Virginia Diodes Inc. (VDI WR1.5 VNAXTXRX) and a Rhode and Schwarz ZVA-40 network analyzer as the backend. A twotier calibration technique is used to obtain the scattering parameters of the probe. With this method, the network analyzer is initially calibrated to a reference plane coincident with the frequency extension unit waveguide test port, using a waveguide short and delayed shorts of three different lengths. After the first tier in the calibration procedure, the probe is attached to the network analyzer test port. The second-tier calibration is performed by terminating the CPW probe with on-wafer calibration standards: a CPW short and four CPW delayed shorts that can be accurately characterized from their physical dimensions. CPW calibration standards are fabricated on a 380- m-thick high-resistivity silicon substrate 10 k cm . The CPW dimensions are 4/7/4 m with other dimensions detailed in Fig. 7. The contact point is about 100 m away from the reference plane to minimize the effect of the discontinuity at the contact point. The contact pads are designed to match a 30- m probe pitch. Delay short-1 is 18 m longer than the short, and delay short-2 is 18 m longer than the delay short-1. This 18- m length corresponds to 35 at 625 GHz so that delay short-4 is 140 long at 625 GHz and 112 long at 500 GHz.

The reflection coefficient measured at the network analyzer test port, , is related to the error coefficients and the reflection coefficient of the CPW short, , by the bilinear transform

The error coefficients are the scattering parameters of the probe plus the launch pad and CPW up to the reference plane. Since the launch pad is usually necessary, it is treated as a part of the probe. By measuring the reflection coefficient of the probe with these on-wafer calibration standards, and noting that the probe is a reciprocal network, the scattering parameters of the probe are obtained as shown in Fig. 8. The insertion loss varies from 6 to 7 dB and the return loss is greater than 12 dB over the band from 500 to 750 GHz. The ripple in the measurement results is due to a standing wave in the rectangular waveguide. The dip near 550 GHz is a water vapor absorption line. A full-wave simulation of the probe from the CPW probe tips to the rectangular waveguide just beyond the -plane probe is shown in Fig. 9. The simulated insertion loss of 2 dB does not account for the loss due to the long waveguide connecting the probe chip to the test equipment. The conductor loss of the waveguide can be calculated by [12] dB m

(1)

is the surface resistivity of the conwhere ductor. However, (1) does not take into account the surface roughness of the machined waveguide walls, so to better estimate the loss of the waveguide block, the conductivity of aluminum is adjusted to S m, two thirds of the bulk value for aluminum. The waveguide attenuation is calculated by (1) to be 0.077 dB/mm at 625 GHz. The length of waveguide is 34.8 mm, which results in 2.7 dB. The loss due to the on-wafer CPW transmission line is also included in the measured insertion loss of the probe. The attenuation of the on-wafer CPW is simulated to be 5.2 dB/mm and 100 m of CPW is included, corresponding to 0.52 dB. The total estimated loss is summarized in Table II and the results are compared to the measured insertion loss. Measurements exceed the calculated loss by 1.17 dB

CHEN et al.: TERAHERTZ MICROMACHINED ON-WAFER PROBES

2897

Fig. 9. HFSS simulation results of probe. The simulation is done from the CPW probe tips to the rectangular waveguide just beyond the -plane probe. TABLE II ESTIMATED LOSSES IN THE PROBE AT 625 GHz Fig. 10. Remeasured -parameters of calibration standards. The dashed lines show the simulated reflect coefficient of standards used in the calibration, and the thin solid lines show the results for a single re-measurement from 500 to 750 GHz.

at 625 GHz. This discrepancy might be due to neglecting the roughness when simulating the CPW standards and the probe from waveguide to CPW probe tips. and are different in the measurement results, as shown in Fig. 8. The ripple in the return loss at the waveguide port is due to a standing wave in the 34.8-mm-long waveguide. During the second-tier calibration, a consistent contact force is critical for an accurate calibration and repeatable measurement. Previous measurements have shown that a micromachined silicon chip achieves a low contact resistance of 0.07 at 1 mN per tip [13]. However, higher contact forces 3 mN are required to ensure that all three tips on the GSG probe simultaneously contact the CPW probing pads with low resistance due to possible nonplanarity of the probe with the DUT. In [11], the initial measurement results show a minimum 15-mN contact force is needed for a good RF contact. In this study, a 20-mN contact force is maintained during second-tier calibration using the load cell as feedback, as shown in Fig. 6. IV. REPEATABILITY Repeatability is a measure of the agreement between repeated measurements of the same property under the same conditions. After calibration, the calibration standards are re-measured with the same contact force, 20 mN, to evaluate the repeatability of the on-wafer measurement, and these measurement results are shown in Fig. 10. The dashed lines show the simulated reflection coefficient of the standards used in the calibration, which are found using HFSS. The thin solid lines show the results of a single re-measurement from 500 to 750 GHz.

Fig. 11. Red dots (in online version) indicate the standards and blue dots (in (@625 GHz). online version) indicate the measurement results with

In order to quantify the probe repeatability, the calibration standards were measured repeatedly over a 3.5-h span. Beyond this time span, the phase drift in the network analyzer significantly degrades the repeatability. 45 measurements of the calibration standards were taken during this time span and the results at midband (625 GHz) are shown in Fig. 11. As can be seen, the measurement repeatability is dominated by phase error and each standard is impacted differently. A Monte Carlo simulation was developed to identify the major error source. To simplify the discussion, the reflection coefficient measured at the network analyzer waveguide test port is related to the error coefficient network and the

2898

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 12. Simplified model to calculate the reflection coefficient measured at the . network analyzer test port

reflection coefficient of the CPW short equation

by a measurement

(2) If the error coefficient network can be obtained through calibration, the reflection coefficient can be calculated by inverting the measurement equation (3) Different contact positions can result in errors in the measure. To simplify the Monte Carlo simulation, the launch ment, pad is modeled as an extension of the CPW transmission line, ignoring the transition. The simplified model to calculate the measurement, , is shown in Fig. 12. Changing the contact position at the contact pads changes the length of and , although remains constant. To investigate this positioning error, the probe is assumed to be fully calibrated out and is therefore modeled as a transmission line with zero length, to simplify the calculation. The input impedance of the CPW open is calculated by

where is the propagation constant and is the characteristic impedance of the CPW, 50 . A sample set of error-free measurements is first generated with m and m (the target contact point is set to the center of the contact pad). The error coefficient network is obtain by applying the calibration algorithm on this set of measurements. The calibration standards, short and delay shorts, are modeled using HFSS. The positioning error is assumed to be uniformly distributed from 2 to 2 m because the precision of the stages is 2 m. 50 sample measurements are generated for each calibration standard with and and the positioning errors are independent. These sample measurements are corrected using the error coefficient network and (3). The results are shown in Fig. 13. Different calibration standards have different measurement repeatability due to the open stub. It is also found that increasing the length of (moving the target contact point further away from the open end) resulted in greater phase error in the calibration standard, short. Comparing Figs. 11 and 13, we conclude that the dominant uncertainty in these on-wafer measurements is positioning error. Although the error is not stationary, we assume the error distribution is normal here to make a quantitative comparison. The standard deviation is calculated based on these samples and the

Fig. 13. Reflection coefficient of calibration standards. Red dots (in online version) indicate the standards without positioning error and blue dots (in online version) indicate the Monte Carlo simulation results of the standards with positioning error (@625 GHz). TABLE III STANDARDS DEVIATION FOR DIFFERENT CALIBRATION STANDARDS

Fig. 14. Red dots (in online version) indicate the fictitious reflection coefficient under test and blue dots (in online version) indicate the “measurement” results (@625 GHz). with the error coefficient networks

results are shown in Table III. The standard deviations of the phase and magnitude are calculated, respectively, by phase

phase

CHEN et al.: TERAHERTZ MICROMACHINED ON-WAFER PROBES

2899

Fig. 15. Measured -parameters of the same micromachined probe five times. (a) Magnitude of (CPW port).

(waveguide port). (b) Magnitude of

. (c) Magnitude of

the error distribution is normal here, for , the phase error deviation is 2.17 and magnitude error deviation is 0.023.)

and

VI. PERFORMANCE REPEATABILITY V. MEASUREMENT ACCURACY From the repeatability of calibration standards, the on-wafer measurement accuracy can be estimated based on the specific calibration method. Reck et al. use a method presented by Wong [14] to propagate the error in the calibration standards to that of a calibrated on-wafer measurement [15]. However, the on-wafer measurement error is overestimated in [15] since it does not differentiate between phase error and magnitude error. Instead of error propagation, this paper uses Monte Carlo simulation to estimate the measurement accuracy based on multiple calibration. By doing 45 different calibrations, 45 error coefficient networks are obtained

Assume the true error coefficient network is mean When only a single calibration is performed, we could end up with any of the 45 error coefficient networks. The difference between and the true error coefficient network will result in measurement error. The measurement of a fictitious reflection coefficient under test, , can be calculated using the true error coefficient network, , and (2). The calibrated measurement results can then be calculated using the error coefficient network and (3) for each of the 45 error networks. The Monte Carlo simulation results are shown in Fig. 14. Red dots (in online version) indicate the fictitious reflection coefficient under test and blue dots (in online version) indicate the measurement results with as their error coefficient networks at 625 GHz. This Monte Carlo simulation makes no assumption about the error distribution that requires the error to be stationary, which we found is not true due to phase drift in the network analyzer. The results are presented as shown in Fig. 14 rather than with a standard deviation because no assumption about the error distribution can be made and we would like to distinguish the difference between phase error and magnitude error. (If we assume

As shown in Section V, the -parameters of the micromachined probe are obtained by one-port two-tier calibration. The positioning error will result in uncertainty in the error coefficient network or the -parameters of the probe that includes the launch pad and CPW up to the reference plane. The sensitivity of the probe measurement results to the positioning error is measured by attaching a probe to a network analyzer and measuring the corresponding -parameters five times by performing five second-tier calibrations over 30 min. During the second-tier calibration, the contact force is 20 mN. The uncertainty shown in this measurement unavoidably includes the uncertainty due to the noise and phase drift of the network analyzer. However, as shown in Section V, the dominate error source is positioning error. The probe -parameter results are shown in Fig. 15. As can be seen, the uncertainty in the return loss at the CPW port is greater than that at the waveguide port. The positioning error will cause uncertainty in the return loss at both ports, but the insertion loss is about 6 dB, therefore the positioning error has much less impact in the return loss at the waveguide port. The variation in insertion loss across measurement is negligible. As shown in Fig. 1, recesses are milled into the waveguide block with a precision of 3 m. The probe chip extents are defined by microfabrication, which has a better than 2- m precision. The probe chip drops into these recesses and is subsequently aligned to the block to better than 5- m precision. The fabrication process also introduces probe-chip variations across the wafer, especially from misalignment in lithography. The uncertainty both in chip fabrication and assembly will result in variations in the probe performance from chip to chip. The sensitivity of the probe to the alignment precision is measured by mounting the same probe chip to a waveguide block five times and measuring the corresponding scattering parameters. These measurement results are shown in Fig. 16. The return-loss variation at the waveguide port across assembly is worse than the return-loss variation across measurements due to the uncertainty in waveguide connection [16]. The probes have shown a lower than 0.6-dB variation across assembly for insertion loss. The probe performance with five different probe chips of the same design is shown in Fig. 17. The performance variations are due to the uncertainty both in chip fabrication and assembly.

2900

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 16. Measured -parameters of the same micromachined probe chip mounted in the waveguide block five times. (a) Magnitude of . (c) Magnitude of (CPW port). (b) Magnitude of

(waveguide port).

Fig. 17. Measured -parameters of five different micromachined probe chips. (a) Magnitude of (CPW port).

. (c) Magnitude of

(waveguide port). (b) Magnitude of

Fig. 18. Measured -parameters of the micromachined probe with hard gold tantalization doing lifetime testing. (a) Magnitude of . (c) Magnitude of (CPW side). (b) Magnitude of

Therefore, the variations are slightly greater. The probes have shown a lower than 1-dB variation across probes for insertion loss. The return loss varies across probes, but are better than 10 dB from 500 to 750 GHz. The performance variations due to the uncertainty in chip fabrication and/or assembly will not cause measurement error in the final measurement results since these variations are calibrated out. VII. RELIABILITY TESTS The on-wafer probes are designed to skate on the contact pad to break through surface contamination and roughness to ensure a low resistance contact. However, this skating action adds to the wear of the probes. How quickly the probes wear depends on factors including contact force, as well as probe tip and contact pad materials.

(waveguide side).

The setup in Fig. 6 is used again to test the lifetime of the micromachined probes. A motorized stage is raised up gradually until the contact force reaches 20 mN. The motor stage is then lowered until the probe and substrate are separated. This completes one contact cycle. The scattering parameters of the micromachined probe are measured and pictures of the probe tips are taken with a scanning electron microscope (SEM) after every 1000 contact cycles. The SEM images shown in [11] (Fig. 8) indicate that the failure is due to a combination of displacement of the electroplated gold and wear of the silicon supporting the contact tip. The displacement of the gold is of particular concern since the material will be pushed into the area between the ground and signal contact tips. This leads to shorting of the GSG probe tips before the contact metal is abrasively removed.

CHEN et al.: TERAHERTZ MICROMACHINED ON-WAFER PROBES

2901

Fig. 19. SEM images of the micromachined hard gold probe tips after: (a) ten contacts, (b) 2000 contacts, and (c) 20 000 contacts.

The initial micromachined probe design presented in [11] used a soft gold-plating solution, Technic Gold 25ES RTU from Technic Inc. In order to improve the probe reliability, the redesigned probe presented in this paper uses a 0.5- m-thick hard gold capping layer over a 2- m-thick layer of soft gold. The hard gold is deposited using the Orosene 990HS cyanide-based gold-plating solution, which produces cobalt hardened gold films of 99.7% purity with hardness twice that of the soft gold according to plating solution manufacturer. The hard gold is designed for applications where certain sliding wear is needed. The -parameters results from the reliability test on this redesigned probe are shown in Fig. 18. The micromachined probe maintained consistent performance through 20 000 contacts. The SEM images, shown in Fig. 19, clearly show significantly less mechanical wear compared to soft gold. VIII. CONCLUSION The measured results have proved the feasibility of a reliable on-wafer probe in the terahertz frequency range (500–750 GHz). Monte Carlo simulations have been developed to identify the dominate error source of on-wafer measurement and to estimate the measurement accuracy. The probes have shown a variation of lower than 0.6 dB across assembly and lower than 1 dB across probes for insertion loss. The probe with hard gold has maintained high RF consistency up to 20 000 contact cycles at a 20-mN contact force. ACKNOWLEDGMENT The authors would like to thank Dr. J. Albrecht, Defense Advanced Research Projects Agency (DARPA), Arlington, VA, Dr. A. Hung, Army Research Laboratory (ARL), Adelphi, MD, and Dr. B. Deal, Northrop Grumman, Redondo Beach, CA. The views, opinions, and/or findings contained in this paper are those of the authors and should not be interpreted as representing the official views or policies, either expressed or implied, of DARPA or the Department of Defense (DoD). Approved for Public Release, Distribution Unlimited.

[3] L. Samoska, W. Deal, G. Chattopadhyay, D. Pukala, A. Fung, T. Gaier, M. Soria, V. Radisic, X. Mei, and R. Lai, “A submillimeter-wave HEMT amplifier module with integrated waveguide transitions operating above 300 GHz,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 6, pp. 1380–1388, Jun. 2008. [4] T. Reck, L. Chen, C. Zhang, A. Arsenovic, C. Groppi, A. Lichtenberger, R. Weikle, and N. S. Barker, “Micromachined probes for submillimeter-wave on-wafer measurements—Part I: Mechanical design and characterization,” IEEE Trans. Terahertz Sci. Technol., vol. 1, no. 2, pp. 349–356, Nov. 2011. [5] T. Reck, L. Chen, C. Zhang, A. Arsenovic, C. Groppi, A. Lichtenberger, R. Weikle, and N. S. Barker, “Micromachined probes for submillimeter-wave on-wafer measurements—Part II: RF design and characterization,” IEEE Trans. Terahertz Sci. Technol., vol. 1, no. 2, pp. 357–363, Nov. 2011. [6] R. Bass, A. Lichtenberger, R. Weikle, S. Pan, E. Bryerton, and C. Walker, “Ultra-thin silicon chips for submillimeter-wave applications,” in 15th Int. Space THz Technol. Symp., 2004, pp. 392–399. [7] I. J. Bahl and D. K. Trivedi, “A designer’s guide to microstrip line,” Microwaves, pp. 174–182, May 1977. [8] M. Houdart and C. Aury, “Various excitation of coplanar waveguide,” in IEEE MTT-S Int. Microw. Symp. Dig., 1979, pp. 116–118. [9] G. Matthaei, “Tables of Chebyshev impedance transforming networks of low-pass filter form,” Proc. IEEE, vol. 52, no. 8, pp. 939–963, Aug. 1964. [10] D. Pozar, Microwave Engineering, 3rd ed. New York: Wiley, 2009, pp. 188–188. [11] L. Chen, C. Zhang, T. J. Reck, C. Groppi, A. Arsenovic, A. Lichtenberger, R. M. Weikle, and N. S. Barker, “Terahertz micromachined on-wafer probes: Repeatability and robustness,” in IEEE MTT-S Int. Microw. Symp. Dig., 2011, pp. 1–4. [12] S. Ramo, J. Whinnery, and T. Van Duzer, Fields and Waves in Communication Electronics, 3rd ed. New York: Wiley, 1994, ch. 8, pp. 423–423. [13] T. J. Reck, L. Chen, C. Zhang, C. Groppi, H. Xu, A. Arsenovic, N. S. Barker, A. Lichtenberger, and R. M. Weikle, “Micromachined on-wafer probes,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2010, pp. 65–68. [14] K. Wong, “Uncertainty analysis of the weighted least squares VNA calibration,” in 64th ARFTG Microw. Meas. Conf. Dig., Dec. 2004, pp. 23–31. [15] T. Reck, L. Chen, C. Zhang, C. Groppi, H. Xu, A. Arsenovic, N. S. Barker, A. Lichtenberger, and R. Weikle, “Calibration accuracy of a 625 GHz on-wafer probe,” in 76th ARFTG Microw. Meas. Conf. Dig., Dec. 2010, pp. 1–5. [16] D. Williams, “500 GHz–750 GHz rectangular-waveguide vector-network-analyzer calibrations,” IEEE Trans. Terahertz Sci. Technol., vol. 1, no. 2, pp. 364–377, Nov. 2011. Lihan Chen (S’06) received the B.S. degree from the Nanjing University of Aeronautics and Astronautics, Nanjing, China, in 2003, and the M.S. degree from Southeast University, Nanjing, China, in 2006, both in electrical engineering. Since 2006, he has been a graduate student with the Charles L. Brown Department of Electrical and Computer Engineering, University of Virginia, Charlottesville. His research is focused on applying micromachining techniques for sub-millimeter-wave circuits. He is also interested in RF systems and

REFERENCES [1] P. Siegel, “Terahertz technology,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 910–928, Mar. 2002. greater than 1 [2] R. Lai et al., “Sub 50 nm InP HEMT device with THz,” in IEEE Int. Electron Devices Meeting, 2007, pp. 609–611.

circuits design.

2902

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Chunhu Zhang (S’11) received the B.S. degree in physics from the University of Science and Technology of China, Beijing, China, in 2008. He then became a graduate student with the Charles L. Brown Department of Electrical and Computer Engineering, University of Virginia, Charlottesville. He is currently involved with the fabrication of sub-millimeter-wave circuits using silicon-on-insulator (SOI) wafer processing techniques.

Theodore J. Reck (S’05–M’11) received the B.S. degree in electrical engineering from The University of Texas at Austin, in 2000, and the Ph.D. degree in electrical engineering from the University of Virginia, Charlottesville, in 2010. He is currently a National Aeronautics and Space Administration (NASA) Postdoctoral Fellow with the Jet Propulsion Laboratory (JPL), California Institute of Technology, Pasadena, where he develops terahertz devices using silicon micromachining. His research interests also include antenna arrays, sub-millimeter-wave metrology, and microelectromechanical systems (MEMS).

Alex Arsenovic received the B.S. degree in electrical engineering from the University of Virginia, Charlottesville, in 2006, and is currently working toward the M.S. degree at the University of Virginia. His research interests include electromagnetic theory and nonlinear calibration techniques.

Matthew Bauwens received the B.S. degree in electrical engineering from the University of Virginia, Charlottesville, in 2007. He is currently a graduate student with the Charles L. Brown Department of Electrical and Computer Engineering, University of Virginia. His research interests include sub-millimeter-wave metrology and quasi-optical imaging techniques.

Christopher Groppi is an Experimental Astrophysicist interested in the process of star and planet formation and the evolution and structure of the interstellar medium. His current research focuses on the design and construction of state-of-the-art terahertz receiver systems optimized to detect the light emitted by molecules and atoms in molecular clouds, the birthplace of stars. Development of multipixel imaging arrays of terahertz spectrometers is a key technology for the advancement of astrophysics in this wavelength regime. He participates in several research efforts to develop advanced terahertz imaging arrays for ground-based and suborbital telescopes. He also applies terahertz technology developed for astrophysics to a wide range of other applications, including Earth and planetary science remote sensing, hazardous materials detection, and applied physics.

Arthur W. Lichtenberger was born in Plainfield, NJ, in 1958. He received the B.A. degree in physics from Amherst College, Amherst, MA, in 1980, and the M.S. and Ph.D. degrees in electrical engineering from the University of Virginia, Charlottesville, in 1985 and 1987, respectively. In 1987, he joined the faculty of the University of Virginia, where he is currently a Research Professor with the Department of Electrical and Computer Engineering and Director of the University of Virginia Microfabrication Laboratories. His current research interests include superconducting materials, devices, and circuits in conjunction with sub-millimeter electronics, high-frequency instrumentation, and metrology.

Robert M. Weikle II (S’90–M’91–SM’95) was born in Tacoma, WA, in 1963. He received the B.S. degree in electrical engineering and physics from Rice University, Houston, TX, in 1986, and the M.S. and Ph.D. degrees in electrical engineering from the California Institute of Technology, Pasadena, in 1987 and 1992, respectively. During 1992, he was a Post-Doctoral Research Scientist with the Department of Applied Electron Physics, Chalmers University of Technology, Göteborg, Sweden. In 1993, he joined the faculty of the University of Virginia, Charlottesville, where he is currently a Professor with the Department of Electrical and Computer Engineering. His current research interests include sub-millimeter electronics, high-frequency instrumentation and metrology, and quasi-optical techniques for millimeter-wave power combining and imaging.

N. Scott Barker (S’94–M’99) received the B.S.E.E. degree from the University of Virginia, Charlottesville, in 1994, and the M.S.E.E. and Ph.D. degrees in electrical engineering from The University of Michigan at Ann Arbor, in 1996 and 1999, respectively. From 1999 to 2000, he was a Staff Scientist with the Naval Research Laboratory. In 2001, he joined the Charles L. Brown Department of Electrical and Computer Engineering, University of Virginia, where he is currently an Associate Professor. He recently started the company Dominion MicroProbes Inc., to develop the terahertz frequency wafer-probe technology coinvented by his group at the University of Virginia. He has authored or coauthored over 60 publications. His research interests include applying MEMS and micromachining techniques to the development of millimeter-wave and terahertz circuits and components. Prof. Barker has served on the MTT-21 Technical Committee on RF-MEMS since 2000 and was the committee chair from 2008 to 2011. He has also served for many years on the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS) Technical Program Review Committee. In 2011, he served on the Steering Committee of the IEEE MTT-S IMS, Baltimore, MD. He is the Technial Program Committee (TPC) vice-chair for the 2014 IEEE MTT-S IMS, Tampa, FL. He was an associate editor of the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS (2008–2010). He is currently an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He was the recipient of the Charles L. Brown Department of Electrical and Computer Engineering New Faculty Teaching Award (2006) and the Faculty Innovation Award (2004), the 2003 National Science Foundation (NSF) CAREER Award, the 2000 IEEE Microwave Prize, and First and Second Place in the Student Paper Competition of the IEEE MTT-S IMS.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

2903

Resonant Tunneling Diode Optoelectronic Circuits Applications in Radio-Over-Fiber Networks Horacio I. Cantú, Bruno Romeira, Anthony E. Kelly, Charles N. Ironside, and J. M. L. Figueiredo

Abstract—Phase-locked oscillators based on resonant tunneling diodes are used in this study to implement transceiver components that perform conversion between optical and wireless phase shift-keyed signals using injection-locked oscillator techniques. Three different links are demonstrated at frequencies of 940 MHz, 1.3 GHz, and 3.2 GHz that are capable of locking to a phase-modulated signal that propagates from the optical to the wireless and back to the optical domains. The transmitter and receiver are evaluated through Gaussian minimum shift-keying modulation accuracy metrics. Deployment of the transceiver in radio-over-fiber architectures is also discussed. Index Terms—Injection locking, phase modulation, radio-overfiber (RoF), resonant tunneling diodes (RTDs).

I. INTRODUCTION

I

NJECTION locking of oscillators is a well-known phenomenon that has been thoroughly described in a number of situations. Adler’s paper [1] has been used by several authors [2]–[6] as a cornerstone and as a first-order approximation of the mathematical description of oscillators under locked conditions. Several approaches have been used to incorporate the highly nonlinear behavior of the oscillator active components by means of quasi-static, dynamic [2], and graphical analysis [3], circuit theory concepts [4], analytical simplifications [5], and numerical solution of second-order differential equations [6]. Accurate prediction of the relation between injected power levels and the frequency-locking ranges has been obtained from these studies. The improvement of the injection-locked oscillator phase-noise characteristics has been analytically described in [3] at the center and at the edges of the frequency-locking range. Applications such as precision quadrature generation have been proposed [3] and implemented [7] taking advantage of the first-order phase-locked loop (PLL) behavior exhibited by injection-locked oscillators. The authors of [5] calculated the settling time of an injectionlocked oscillator and its application in fast hopping systems. Manuscript received May 24, 2012; accepted June 18, 2012. Date of publication July 25, 2012; date of current version August 28, 2012. This work was supported by Fundação para a Ciência e a Tecnologia under Project PTDC/EEATEL/100755/2008—WOWi—Wireless–optical–wireless interfaces for picocellular access networks. H. I. Cantú, B. Romeira, and J. M. L. Figueiredo are with the Departamento de Física, Centro de Electrónica, Optoelectrónica e Telecomunicaēoes (CEOT), Universidade do Algarve, 8005-139 Faro, Portugal (e-mail: [email protected]). A. E. Kelly and C. N. Ironside are with the School of Engineering, University of Glasgow, Glasgow G12 8LT, U.K. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2206606

Their results showed that even for moderate values of locking range, the lock time can meet the hopping time of 9.5-ns requirement of some frequency-hopped spread-spectrum system specifications. In this study, the possibility of implementing injection-locked resonant tunneling diode (RTD) based oscillators as transceiver components within picocellular [8] and femtocellular [9] networks is explored. The optoelectronic characteristic of the RTD is used to convert from optical to wireless domains and eventually for the deployment of radio-over-fiber (RoF) networks. The deployment of picocellular networks enables the transmission of high data rates in advanced wireless communications for corporate and public users [8]. The reduction in cell size helps to maintain signal-to-noise ratio (SNR) with moderate transmitted power levels while limiting the number of users per cell. The authors in [9] recognize that the system capacity of a wireless link can be improved simply by placing the transmitter (Tx) and receiver (Rx) closer to each other. Reduced distances require lower transmit power, which consumes less battery life. An RoF picocellular link was implemented in [8] and the performance was evaluated with error vector magnitude (EVM) measurement techniques in the 2.4- and 5-GHz bands. The demand of wireless high-bandwidth data delivery has made millimeter-wave frequencies attractive for the deployment of RoF networks with 1-Gb/s ultra-broadband capacity. The authors in [10] demonstrated the feasibility of a 60-GHz RoF link where the radio access points are limited to perform radio to optic and optic to radio conversion. They evaluated their link with EVM levels constrained by bit error rate (BER) values below 10 . Room-temperature oscillations at 1 THz [11] and 1.1 THz [12] have been recently reported for RTD-based circuits, which makes them the fastest electronic devices currently available with proven optoelectronics characteristics [13] suitable for the realization of radio access points required for RoF-based picocellular networks operating in the millimeter-wave range of frequencies. The principle of injection locking of RTD oscillators is exploited here as the mechanism for conversion between the wireless and optical domains performed at each one of the radio access points within the network. The oscillator frequencies reported here fall within the microwave spectrum and are limited only by the hybrid circuit implementation of the technology. Scaling and monolithic integration of the radio access points would increase transmission applications to the -band range of frequencies. A demonstration of RTD-based communication links operating at frequencies of 940 MHz, 1.3 GHz, and 3.2 GHz is presented in this study and organized in the following way:

0018-9480/$31.00 © 2012 IEEE

2904

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

TABLE I RTD EPITAXIAL LAYERS

Fig. 1. (a) Measured I–V characteristics of RTDs used as Tx oscillators and physics model [6]. (b) Microphotography of a single device.

Section II shows the RTDs structure, hybrid circuit design, and frequency tuning. In Section III, the oscillators are injection locked with phase-modulated signals and tested for signal transmission with modulation accuracy reports. The basic transceiver design is presented and implemented in Section IV and then used for measurements of modulation accuracy after signal broadcast in Section V. Implementation of RTD relaxation oscillators at -band frequencies is briefly discussed in Section VI. A summary and conclusions are included in Section VII. II. RTD OSCILLATOR CHARACTERISTICS

to valley V ratio was 6, 5, and 5.2 for the devices used for oscillator frequencies of 940 MHz, 1.3 GHz, and 3.2 GHz, respectively. The three different devices characterized in Fig. 1 were obtained from the same InP epiwafer. The difference in I–V characteristics has been attributed to electrode contact process variations that lead to different electric field distribution across the active layers described in Table I. The plateau features observed in Fig. 1 are known to be originated by low-frequency oscillations within the I–V measurement equipment network. These oscillations are extrinsic to the operation of the RTD device illustrated by the physics model [6] shown in Fig. 1. C. Oscillator Design and Frequency Tuning

A. RTD Epitaxial Structure The active devices used in this study consist of AlAs/InGaAs/ AlAs double-barrier quantum-well structures grown on semiconducting InP substrates. The epitaxial structure includes InGaAlAs layers that in previous study [14] helped to implement RTD devices as electro-absorption modulators and waveguide photo-detectors (PDs). In this study, the RTDs have been processed as pillars where the InGaAlAs layers are used to improve the absorption of light at a wavelength of 1550 nm. A description of the epitaxial layers is shown in Table I. Single devices with an active area of 13.2 m 13.2 m were fabricated with this epitaxial structure and diced to form single chips. The RTD electrodes were formed with gold metallization that allows wire bonding to off-chip hybrid circuit components. Microphotography of the device is shown in the inset of Fig. 1. B. Measured Current–Voltage (I–V) Characteristics A bias voltage was applied across the electrodes of three different RTD devices grown with the structure described in Section II-A. Each device was used as the active component of three hybrid circuit oscillators operating at different frequencies. The RTDs I–V characteristics are shown in Fig. 1. A peak voltage of 0.8 V and peak current of approximately 12 mA is exhibited by all the devices, which also show a significant variation on the width of the negative differential resistance (NDR) region. The peak current density has been calculated to be 7 kA/cm and the measured peak V

Relaxation oscillators [15]–[17] were used in this work as the basis of the Tx and Rx circuits that perform optical to wireless and wireless to optical signal conversion. The oscillators consist of an RTD connected in parallel with a 1- F shunt capacitor. The diode illustrated in Fig. 1(b) was mounted on a metal carrier and wire bonded to a 50- printed circuit board microstrip line. The line is used to interconnect with the off-chip 1- F shunt capacitor and is also used to provide an output port for the generated RF power. A photograph of the oscillator circuit module is shown in Fig. 2. When the diodes are biased into the NDR region, the shunt capacitor works as a feedback element that helps sustain a steady-state oscillation whose frequency is determined mainly by the RTD parasitic reactance. Three fundamental oscillation frequencies were obtained in three different circuits by varying the length of the on-chip gold pads that are used to wire bond the RTD upper mesa to the external components. The length of the pad was estimated to be 350, 250, and 100 m for the cases of the Tx oscillators used at the frequencies of 940 MHz, 1.3 GHz, and 3.2 GHz, respectively. The upper mesa gold pad was 60- m wide for all cases. Fig. 3 shows the measured frequency tuning characteristics and power output generated by the fundamental oscillation of three different RTD circuits that have been biased into the NDR region V . The tuning ranges varied between 55, 250, and 500 MHz depending on the frequency band of their fundamental oscillations. The voltage tuning range is commensurate with the width of the NDR region, shown earlier in Fig. 1. The RF output power level of the oscillators varied from 4 to

CANTÚ et al.: RTD OPTOELECTRONIC CIRCUITS APPLICATIONS

2905

Fig. 2. Photograph of oscillator module showing: (a) connectorized metal carrier, (b) microphotograph of RTD and pads, and (c) wire-bond connections.

15 dBm with a marked tendency to increase as the bias voltage value approached the upper end of the NDR region. III. INJECTION LOCKING WITH PHASE-MODULATED SIGNALS The authors in [18] and [19] have investigated the settling times of injection-locked oscillators coupled to antenna arrays. In [18], the data rate of an injected phase-modulated carrier was shown to be limited by the resynchronization time required by large phase transitions between data symbols. The work in [19] reported that undesired frequency modulation of the carrier affects radiation patterns adversely due to different antenna gains around the nominal center frequency. In order to minimize abrupt phase transitions from the injected signal, as well as to achieve spectral efficiency at the carrier frequency, a Gaussian minimum shift-keying (GMSK) signal has been implemented in this study as the scheme for data conversion and transmission. GMSK is widely used as one of the Global System for Mobile Communications (GSM) modulation standards for voice and data transmission in cellular networks. GSM operates in 124 channels that are 200-kHz wide occupying a total frequency band of 25 MHz. RTDs can be injection locked within frequency ranges as wide as 24 MHz [20], but the present work is concentrated on the operation of a single GMSK modulated GSM channel. Signal bursts containing GMSK modulation were used in this study to injection lock the free-running oscillations from the circuits described in Section II. The bursts are injected to each oscillator by means of a microwave circulator that couples the output locked signal to a vector signal analyzer (VSA). A photograph of the experimental setup is shown in Fig. 4 and the general characteristics of the bursts are summarized in Table II. There was no impedance-matching network between the oscillator module and the microwave circulator in Fig. 4. A modulation accuracy report was generated for these measurement conditions. The report contains measured parameters such as EVM, magnitude error, phase error, and a constellation diagram. All

Fig. 3. Frequency tuning and power ranges of RTD based oscillators used for: (a) 940-MHz, (b) 1.3-GHz, and (c) 3.2-GHz Tx signals.

reported numerical parameters are given as root mean square (rms) values calculated from the constellation diagrams. The effect of different power levels of the injected signal on the oscillator locked signal measured modulation accuracy parameters was investigated. Fig. 5 shows measured EVM, magnitude error, and phase error for the case of the three different oscillators described in Section II. The carrier frequency of the bursts is set to lock the free-running oscillators at frequencies of 940 MHz, 1.3 GHz, and 3.2 GHz. For the case of the circuit with the fundamental oscillation frequency of 940 MHz V , the free-running power level of 8 dBm was injection locked with bursts power levels varying from 52 to 20 dBm. The modulation accuracy results in Fig. 5(a) show that the EVM is higher than 5% only when the injected-locking signal power is below 50 dBm. This

2906

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 4. Photograph of experimental setup used for injection of locking signals to RTD oscillator modules.

TABLE II INJECTED SIGNAL CHARACTERISTICS

means that it is possible to achieve a considerable amount of gain (40 dB) while keeping relatively low levels of error within the modulated-locked signal. Fig. 5(b) shows modulation accuracy results for the oscillator with fundamental frequency of 1.3 GHz V . The free-running power of the oscillator is 13 dBm and can be locked with a signal that is 27 dB below that level while maintaining an EVM of less than 6%. Modulation accuracy results for the 3.2-GHz oscillator are shown in Fig. 5(c). The power of the oscillator was 12 dBm V and the injected power level of 50 dBm was able to lock the oscillator with an EVM of less than 7%. Using Adler’s equation, it was possible to obtain an estimation of the external quality ( ) factor of the oscillators after measurements of the injected power level and the locking ranges. For the case of the 940-MHz oscillator, an external factor of 20 gives a cold cavity bandwidth of 24 MHz, which is commensurate with the observed frequency locking ranges. External factors and locking ranges within the same order

Fig. 5. Modulation accuracy rms parameters of GMSK signals locking RTD oscillators at carrier frequencies of: (a) 940 MHz, (b) 1.3 GHz, and (c) 3.2 GHz.

of magnitude were obtained for the oscillators operating at 1.3 and 3.2 GHz. The hybrid circuits implemented for each oscillator module had assembly variations on the position of the shunt capacitor component and wire-bond lengths. A consequence of these variations is a difference on the value of the input impedance of each oscillator module, as well as their external factor. The lower gain obtained from injection locking of the 1.3-GHz oscillator can be explained as a consequence of these variations; in other words, the impedance mismatch prevents a more efficient coupling of the injected power to the RTD device. Variations on the external factors of the oscillator circuits have also an impact on phase noise performance for each module. In this study, there was no attempt to optimize impedance matching or the

CANTÚ et al.: RTD OPTOELECTRONIC CIRCUITS APPLICATIONS

2907

Fig. 7. Experimental setup of Tx RTD-PD, optical source, and modulating RF source used to produce the injection-locking signal.

Fig. 6. Technique used for coupling light into RTD-PD. (a) Micro-photograph of lens fiber and RTD substrate. (b) Schematic representation.

TABLE III TX SYSTEM COMPONENTS OPTICAL CHARACTERISTICS

Estimated from the product of the coupling factor reported in [20] and the transmission coefficient calculated from Fresnel equation .

factors of the oscillators modules. Instead, there was an effort to demonstrate the principle of signal amplification and conversion between optical and wireless domains. IV. RTD-BASED Tx AND Rx OPERATION A. RTD Photo-Detector (RTD-PD) It has been demonstrated in [15] and [17] that an RTD can be optically controlled using a beam of light incident on the active epitaxial structure of the device. In this study, the subcarrier of a modulated optical signal with a wavelength of 1550 nm has been used to injection lock the oscillations of the circuits described in Section II. The RTD works in this format as a PD that has the capacity to convert an optical subcarrier into an enhanced RF signal. The device illustrated in Fig. 2(b) was illuminated with a beam of light that is incident on the plane of the RTD active mesa at an angle of approximately 45 . The light is coupled to the device by means of a lens fiber, as shown in the illustration of Fig. 6. The optical characteristics of the RTD-PD and the lens fiber used in the setup are shown in Table III.

The experimental setup in Fig. 7 was used to produce the optical subcarriers that injection lock the RF oscillations of the circuits described in Section II. The output RF port of the oscillators was connected directly to an antenna used to broadcast the locked signal. The antenna used was a monopole based on the design in [21] for the cases of the 940- and 1.3-GHz oscillators. A rectangular patch antenna was used for the 3.2-GHz oscillator broadcast. A photograph of the setup in Fig. 8 shows the physical position of the Tx system components. An optical power of 3 dBm was applied to the lens fiber shown in Fig. 6. A substantial amount of the power is consumed by the coupling losses to the RTD-PD and its limited responsivity. The power absorbed by the RTD-PD, however, was sufficient to injection lock the oscillations of the device used in the setup of Fig. 7. The subcarrier is converted by the RTD to an RF signal with a power level approximately 30 dB below the free oscillating power of the devices. This injected power level has been estimated from Adler’s equation using the external factors obtained in Section III and the measured frequency-locking ranges of the oscillators. Injected photo-detected power is dependent on the responsivity parameter shown in Table III. However, under oscillation conditions, further amplification of the photo-detected signal takes place around the fundamental oscillation. An estimation of the photo-detected power under this condition was made based on optical control results and the observed locking ranges. The estimated power levels were 35, 40, and 40 dBm for the cases of oscillators working at frequencies of 940 MHz V , 1.3 GHz V , and 3.2 GHz V , respectively. Phase-modulated signals as those described in Section III were mounted on the subcarrier of the optical beam illuminating the RTD-based oscillators. The output RF locked signal is broadcast through a patch antenna that performs the final step required for the conversion from the optical to the wireless domain. The setup in Fig. 7 was used as the Tx part of the communications link presented in this study and was implemented at a frequency of 940 MHz, 1.3 GHz, and 3.2 GHz. Experimental results of modulation accuracy after signal broadcast

2908

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 9. Experimental setup of Rx RTD-LD, PD, and analyzer used for modulation accuracy measurements.

TABLE IV InGaAsP LD CHARACTERISTICS

Fig. 8. Photograph of Tx setup showing: (a) position of fiber guide and oscillator module, (b) erbium-doped fiber amplifier, (c) fiber guide micropositioner, and (d) antenna used for 3.2-GHz carrier signal.

are presented in Section VI, where demodulation and analysis take place after wireless reception of the GMSK bursts. B. RTD and Laser Diode (RTD-LD) The Rx part of the communications link was implemented with an RTD-based oscillator, a microwave circulator, an antenna, and a laser diode (LD). It converts the wireless propagated signal back to the optical domain by means of direct bias voltage modulation of an LD. This method was demonstrated in [6] where an RTD-based oscillator was able to modulate an LD in a series configuration. The authors of [8] recommend direct laser modulation as the most cost-effective solution for picocellular transponder operation. The monolithic integration of an RTD and LD is currently under investigation [22] and would allow further circuit area reduction, as well as increased frequencies of operation of the wireless to optical signal converter. Fig. 9 shows the experimental setup used at the Rx of the RTD-based communications link. The LD is an InGaAsP buried hetero-structure device capable of 7-dBm optical output power at a wavelength of 1550 nm, and requires a bias current of 30 mA. Technical specifications of the device are shown in Table IV. The LD is dc decoupled from the RTD to allow bias optimization of the circuit optical modulation. The emitted laser light was retrieved by an optical fiber, photo-detected by a commercial device (u t Photonics XPDV2020R) and monitored by

a VSA. Fig. 10 shows a photograph of the Rx setup. There were no impedance matching networks between the LD and RTD oscillator modules and the microwave circulator. A modulation accuracy report was generated for GMSK signal bursts measured after wireless reception with the setup shown in Fig. 9. The received signal injection locks the RTD oscillator connected to the circulator, which at the same time modulates the LD. EVM, magnitude error, and phase error results are presented in Section VI for carrier frequencies of 940 MHz, 1.3 GHz, and 3.2 GHz. C. Transceiver Operation The experimental setups for the RTD-PD and RTD-LD circuits in Figs. 8 and 10 were assembled on a laboratory bench and separated by a distance of 75 cm. An illustration of the communications link is shown in Fig. 11 where the optical subcarrier locking the RTD-based oscillator at the Tx is also used to wirelessly lock the RTD oscillator at the Rx. Locking experiments took place at the frequencies of 940 MHz, 1.3 GHz, and 3.2 GHz when the path losses are 29, 32, and 40 dB, respectively. A power amplifier was inserted between the RTD-PD of the Tx and the broadcast antenna in order to compensate for the cases when the path loss reduced the received power level more than 30 dB below the RTD-LD oscillator power. Simultaneous locking of the RTD-based oscillators in the Tx/Rx was achieved for the case of the three carrier frequencies investigated. The locking was optically controlled from the Tx using the technique explained earlier in this section. An improvement of the phase noise of the oscillators under locking conditions was observed for each case as inferred from the

CANTÚ et al.: RTD OPTOELECTRONIC CIRCUITS APPLICATIONS

2909

Fig. 12. Measured phase noise for the case of the locked and unlocked 940-MHz Rx oscillator.

phase modulation experiments with Tx- and Rx-locked oscillators were performed at frequencies of 940 MHz and 3.2 GHz. However, in order to obtain reliable performance metrics, a set of digital modulation tests were performed in Section V for the Tx and Rx parts of the link. The transceiver setup illustrated in Fig. 11 does not need a low-noise amplifier stage or a power amplifier stage to operate. Its operating distance is given by the amount of power required to injection lock the Rx oscillator within a frequency range given by the chosen channel bandwidth. A narrow channel bandwidth requires less locking power, which implies longer transmission distance. A first-order estimation of the maximum under these conditions can be calculated from Adler’s equation after consideration of the free-space path loss Fig. 10. Photograph of Rx setup showing: (a) coupling of light from LD using lens fiber, (b) fiber guide micropositioner, (c) LD module, (d) oscillator module, (e) microwave circulator, and (f) Rx antenna (3.2 GHz).

where is the required locking range, is the external quality factor of the Rx oscillator, and is the speed of light. The assumption was made that the power of the Tx and Rx oscillators is the same, the interconnections between the hybrid circuits are lossless, and the antennas have a gain of 0 dB. A required channel bandwidth of kHz with gives a calculated maximum distance m. V. MODULATION ACCURACY RESULTS Fig. 11. Experimental setup for simultaneous locking of: (a) Tx and (b) Rx oscillators.

analysis derived in [3]. For the case of the link operating at 940 MHz, the difference between the locked and unlocked oscillator at the Rx is shown in Fig. 12. The plots show an improvement of low-frequency phase noise when the oscillator connected to the circulator in Fig. 11(b) was injection locked with the wireless optically locked output of the circuit in Fig. 11(a). The improvement varies from 17 dB at a frequency offset of 1 kHz to more than 25 dB at a frequency offset of 10 kHz. Phase modulation of the locked carrier was implemented with a squared envelope and a data rate of 100 kHz. The modulation sidebands were reproduced accurately through the link for cases where the phase deviation was varied from 45 to 120 . These

The RTD-PD (Tx) and RTD-LD (Rx) circuits illustrated in Figs. 7 and 9 were tested independently to generate a modulation accuracy report after converting signals between the optical and wireless domains. For the case of the RTD-PD, the GMSK signal described in Section II was used to optically control the device while the signal was broadcast and monitored by a VSA. An antenna placed 75 cm away from the circuit was used to receive the signal and couple its power to the VSA. A plot of the transmitted locked signal spectrum is shown in Fig. 13 for the three different cases of RTD-PD circuits working at the frequencies of 940 MHz, 1.3 GHz, and 3.2 GHz. It is possible to observe in the GMSK spectrum that a higher level of noise is present for the RTD-PD oscillator working at 1.3 GHz. A noisier oscillator with a lower external factor could explain degradation of the modulation accuracy performance seen earlier in Fig. 5(b) at this frequency. The spectral plots in Fig. 13 are averages of 200 traces measured by the VSA.

2910

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 13. Measured spectrum of GMSK signal after conversion from RTD-PD Tx.

Fig. 15. Measured spectrum of GMSK signal after conversion from RTD-LD Rx.

Fig. 14. GMSK constellation diagrams measured for Tx circuits at frequencies of: (a) 940 MHz, (b) 1.3 GHz, and (c) 3.2 GHz.

Fig. 16. GMSK constellation diagrams measured for Rx circuits at frequencies of: (a) 940 MHz, (b) 1.3 GHz, and (c) 3.2 GHz.

TABLE V MODULATION ACCURACY RESULTS

Tx stands for RTD-PD circuit. Rx stands for RTD-LD circuit Measured at the output of gain amplifier stage after photo-detection

Constellation diagrams obtained after signal demodulation are shown in Fig. 14 and the calculated modulation accuracy metrics are shown in Table V. The RTD-PD (Tx) circuits show EVM values below 10% and phase errors below 5 for the three measured carrier frequencies. These results are consistent with the parameters reported earlier in Fig. 5. A vector signal generator (VSG) and an antenna were used to broadcast GMSK bursts 75 cm away from the RTD-LD (Rx) circuit shown in Fig. 9. The power level of the transmission was set to replicate the power level generated by the RTD-PD circuit while locking the Rx RTD-LD oscillator. Under these conditions, the modulated LD output in Fig. 9 was photo-detected for vector signal analysis. Fig. 15 shows the measured GMSK spectrum of the photo-detected locked signal output from the RTD-LD (Rx) circuit. The higher noise level observed at carrier frequencies of 940 MHz and 3.2 GHz is a direct consequence of including an additional

amplifier stage after photo-detection. The amplifier was used to increase the SNR and facilitate demodulation by the VSA. The gain of the amplifier stage was 30 dB at 940 MHz, and 15 dB at 3.2 GHz. The use of the amplifier stage for the cases illustrated in Table V can be justified as compensation for impedance mismatch at the input of the LD module at frequencies of 940 MHz and 3.2 GHz. The mismatch reduces the modulation depth of the LD optical output and the SNR of the received signal. The amplifier also compensates for variations on the coupling losses between the LD and the lens fiber used in the Rx system. Measured constellation diagrams of the RTD-LD (Rx) circuits are shown in Fig. 16 and modulation accuracy results are shown in Table V. EVM values below 10.5% and phase error values below 6 were reported for the three different frequencies investigated in this work with the measurement conditions described in this section. VI. RTD MILLIMETER-WAVE RELAXATION OSCILLATORS An example of RTD-based -band relaxation oscillator design has been demonstrated in [23] where the authors presented a monolithic version of a circuit operating at a frequency of 50 GHz. Their results did not include measurements on the effect of bias voltage over the fundamental oscillation frequency and the tuning range. However, based on the observed experimental tuning ranges of the microwave oscillators shown in Fig. 3(b) (19%) and Fig. 3(c) (15%), it is possible to argue that a modified, scaled, and monolithic design with adjusted global

CANTÚ et al.: RTD OPTOELECTRONIC CIRCUITS APPLICATIONS

2911

gain and convert the injected signals between optical and wireless domains while reducing circuit cost and complexity. Monolithic integration of RTDs and lasers is under way [22] and implementation of RTD-based oscillators and PDs at -band frequencies would allow fabrication of single package transceivers designed for deployment of radio access points within femto and picocellular networks. ACKNOWLEDGMENT The technical support from the Universidade do Algarve, Faro, Portugal, and the University of Glasgow, Glasgow, U.K., is acknowledged by the authors. Fig. 17. Frequency tuning ranges and relative power variation obtained from numerical solution of Liénard’s equation [6] using current density value of RTD device reported in [23].

parameters and can be optimized to cover the full frequency range required for -band applications. The work in [24] demonstrated that it is possible to increase tunability of an RTD-based -band voltage controlled oscillator up to 10% of the carrier frequency using varactors within the circuit topology. In this section, the electrical model proposed in [6] is used to calculate the tuning frequency range of an RTD relaxation oscillator whose dimensions have been scaled down by a ratio of 20 according to the dimensions of a circuit operating at -band. Fig. 1 showed the I–V characteristics of the RTDs used in this study, as well as the fitted physics-based model used in [6]. A device consistent with the current density (500 kA/cm ) of the work in [23] was used to obtain the numerical solution of the model in [6]. The adjusted global parameters used in the calculations were nH, fF, and , which resulted in the oscillator frequency tuning ranges and relative peak-valley power variation shown in Fig. 17. The numerical results show that it is possible to cover the whole 56.5–62-GHz license-free industrial, scientific, and medical band for RTD oscillator biasing conditions within the NDR region. The electrical model at present can only give a relative measure of the fundamental oscillation power variations obtained from different bias points within the NDR region, with absolute power levels expected from 20 to 30 dBm. A solution to the problem of low power levels at millimeter-wave frequencies would be the implementation of power-combining arrays and structures as those previously reported in [25] and [26]. VII. CONCLUSIONS In this study, the feasibility of an RoF communications link based on RTD optoelectronic circuits has been demonstrated for three different carrier frequencies. The link works under the principle of injection locking to photo-detected signals in the Tx (RTD-PD) and injection locking to wireless signals in the Rx (RTD-LD). A single GSM channel was used to test modulation accuracy of the transmitted signal in each part of the link. The results showed that it is possible to obtain phase error values that are below the 5 rms limit required by a stringent standard such as E-GSM900 [27]. The RTD-PD and RTD-LD circuits provide

REFERENCES [1] R. Adler, “A study of locking phenomena in oscillators,” Proc. IRE, vol. 34, no. 6, pp. 351–357, Jun. 1946. [2] K. Kurokawa, “Injection locking of microwave solid-state oscillators,” Proc. IEEE, vol. 61, no. 10, pp. 1386–1410, Oct. 1973. [3] B. Razavi, “A study of injection locking and pulling in oscillators,” IEEE J. Solid-State Circuits, vol. 39, no. 9, pp. 1415–1424, Sep. 2004. [4] Y. Wan, X. Lai, and J. Roychowdhury, “Understanding injection locking in negative resistance LC oscillators intuitively using nonlinear feedback analysis,” in IEEE Custom Integr. Circuits Conf., San Jose, CA, Sep. 2005, pp. 729–732. [5] N. Lanka, S. Patnaik, and R. Harjani, “Understanding the transient behavior of injection locked oscillators,” in IEEE Custom Integr. Circuits Conf., San Jose, CA, Sep. 2007, pp. 667–670. [6] B. Romeira, J. M. L. Figueiredo, T. J. Slight, L. Wang, E. Wasige, C. N. Ironside, A. E. Kelly, and R. Green, “Nonlinear dynamics of resonant tunneling optoelectronic circuits for wireless/optical interfaces,” IEEE J. Quantum Electron., vol. 45, no. 11, pp. 1436–1445, Nov. 2009. [7] P. Kinget, R. Melville, D. Long, and V. Gopinathan, “An injectionlocking scheme for precision quadrature generation,” IEEE J. SolidState Circuits, vol. 37, no. 7, pp. 845–851, Jul. 2002. [8] M. Sauer, A. Kobyakov, and J. George, “Radio over fiber for picocellular network architectures,” J. Lightw. Technol., vol. 25, no. 11, pp. 3301–3320, Nov. 2007. [9] V. Chandrasekhar, J. G. Andrews, and A. Gatherer, “Femtocell networks: A survey,” IEEE Commun. Mag., vol. 46, no. 9, pp. 59–67, Sep. 2008. [10] M. Huchard, M. Weiss, A. Pizzinat, S. Meyer, P. Guignard, and B. Charbonnier, “Ultra-broadband wireless home network based on 60-GHz WPAN cells interconnected via RoF,” J. Lightw. Technol., vol. 26, no. 15, pp. 2364–2372, Aug. 2008. [11] S. Suzuki, M. Asada, A. Teranishi, H. Sugiyama, and H. Yokoyama, “Fundamental oscillation of resonant tunnelling diodes above 1 THz at room temperature,” Appl. Phys. Lett., vol. 97, pp. 1–3F, 2010, Art. ID 242102. [12] M. Feiginov, C. Sydlo, O. Cojocari, and P. Meissner, “Resonant-tunnelling-diode oscillators operating at frequencies above 1.1 THz,” Appl. Phys. Lett., vol. 99, pp. 1–3, 2011, Art. ID 233506. [13] J. L. M. Figueiredo, B. Romeira, T. Slight, and C. Ironside, “Resonant tunelling optoelectronic circuits,” in Advances in Optical and Photonic Devices, K. Y. Kim, Ed. Rijeka, Croatia: InTech, 2010. [Online]. Available: http://www.intechopen.com/articles/show/title/resonant-tunnelling-optoelectronic-circuits [14] J. M. L. Figueiredo, A. R. Boyd, C. R. Stanley, C. N. Ironside, S. G. McMeekin, and A. M. P. Leite, “Optical modulation at around 1550 nm in an InGaAlAs optical waveguide containing an InGaAs/AlAs resonant tunneling diode,” Appl. Phys. Lett., vol. 75, no. 22, pp. 3443–3445, 1999. [15] T. P. Higgins, J. F. Harvey, D. J. Sturzebecher, A. C. Paolella, and R. A. Lux, “Direct optical frequency modulation and injection locking of resonant tunnel diode oscillator,” Electron. Lett., vol. 28, no. 17, pp. 1574–1576, 1992. [16] E. R. Brown, C. D. Parker, S. Verghese, M. W. Geis, and J. F. Harvey, “Resonant-tunneling transmission-line relaxation oscillator,” Appl. Phys. Lett., vol. 70, pp. 2787–2789, 1997. [17] M. Kahn, A. J. Lasri, M. Orenstein, D. Ritter, and G. Eisenstein, “Phase-locking of an InP/InGaP/InGaAs resonant tunneling diode relaxation oscillator by direct optical injection,” Solid State Electron., vol. 45, no. 10, pp. 1827–1830, 2001.

2912

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

[18] C. Kykkotis, P. S. Hall, and H. Gafouri-Shiraz, “Performance of active antenna oscillators arrays under modulation for communication systems,” Proc. Inst. Elect. Eng.—Microw. Antennas, Propag., vol. 145, no. 4, pp. 313–320, 1998. [19] R. J. Pogorzelski, “Experimental confirmation of the dynamics of coupled-oscillator arrays and implications for angle-based modulation,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 1, pp. 143–149, Jan. 2002. [20] B. Romeira, J. M. L. Figueiredo, C. N. Ironside, A. E. Kelly, and T. J. Slight, “Optical control of a resonant tunneling diode microwavephotonic oscillator,” IEEE Photon. Technol. Lett., vol. 22, no. 21, pp. 1610–1612, Nov. 2010. [21] X. L. Bao, M. J. Ammann, and S. V. Shynu, “Design of a tunable compact antenna for digital video broadcasting handheld terminals,” in Antennas Propag. Conf., Loughborough, U.K., Nov. 2009, pp. 461–464. [22] T. J. Slight and C. N. Ironside, “Investigation into the integration of a resonant tunnelling diode and an optical communications laser: Model and experiment,” IEEE J. Quantum Electron., vol. 43, no. 7, pp. 580–587, Jul. 2007. [23] P. Chahal, F. Morris, and G. Frazier, “50 GHz resonant tunnelling diode relaxation oscillator,” in Device Res. Conf. Dig., Jun. 2004, vol. 1, pp. 241–242. [24] S. Choi and K. Yang, “Low-voltage low-power -band balanced RTDbased MMIC VCO,” in IEEE MTT-S Int. Microw. Symp. Dig., 2006, pp. 743–746. [25] H. I. Cantu and W. S. Truscott, “Injection-locking and power combining with double barrier resonant tunneling diodes,” Electron. Lett., vol. 37, no. 20, pp. 1264–1265, Sep. 2001. [26] M. Asada and S. Suzuki, “Theoretical analysis of coupled oscillator array using resonant tunnelling diodes in subterahertz and terahertz range,” J. Appl. Phys., vol. 103, pp. 1–9, 2008, Art. ID 124514.

[27] “Understanding GSM/EDGE transmitter and receiver measurements for base transceiver stations and their components,” Agilent Technol., Santa Clara, CA, Agilent Appl. Note 1312, 2002.

Horacio I. Cantú, photograph and biography not available at time of publication.

Bruno Romeira, photograph and biography not available at time of publication.

Anthony E. Kelly, photograph and biography not available at time of publication.

Charles N. Ironside, photograph and biography not available at time of publication.

J. M. L. Figueiredo, photograph and biography not available at time of publication.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

2913

Design of Compact and Auto-Compensated Single-Layer Chipless RFID Tag Arnaud Vena, Etienne Perret, Member, IEEE, and Smail Tedjini, Senior Member, IEEE

Abstract—This paper presents a new radio frequency identification (RFID) chipless tag that is highly compact and potentially lowcost. This tag has a lot of advantages, such as being fully printable on products since no ground plane is needed for fabrication. The actual issue of the chipless tag family having a single layer, that is, their detuning effect, is compensated for the first time by a correction technique based on the use of a sensing resonator. The design is based on multiple coplanar strip-line resonators where resonant frequencies can be shifted by setting an additional short circuit at particular locations. An accurate model is proposed to easily link the footprint of the structure to the resonant frequency. Considering a frequency resolution of 50 MHz for the reading system and a tag dimension of 15 20 mm , 9 b can be encoded in the frequency band 2.0–5.5 GHz. Several experimental results validate the proposed design as well as its implementation in a realistic application and environment. Index Terms—Auto-compensation, chipless radio frequency identification (RFID), compact size, coplanar strip resonator.

I. INTRODUCTION

R

ADIO frequency identification (RFID) is an enabling technology that allows remote identification and authentication by using RF waves. RFID systems are widely used in thousands of applications such as fare collection, road tolls, and item tracking. To conquer the huge market of consumer goods tracking that is addressed today by optical barcodes and representing every year a market of ten trillion items [1], chipless RFID tags, also called RF barcodes, have several advantages. Indeed, RF signals allow nonline of sight (NLOS) and larger read range as well as less manual positioning. A study from [1] predicts that, in 2019, chipless tags will be sold at 75 billion units annually. These features explain the growing interest of businesses for such an emerging and promising technology. It is generally claimed that a target unit cost of less than 0.1 cent has to be achieved before this technology can be implemented in practice. One way for cost reduction is to develop a chipless tag solution that is directly printable on products. To satisfy these constraints, the chipless tags have to Manuscript received January 25, 2012; revised May 25, 2012; accepted June 04, 2012. Date of publication July 10, 2012; date of current version August 28, 2012. This was supported in part by the French National Research Agency under the ANR-09-VERS-013 Program and the Grenoble Institute of Technology through the BQR Program. The authors are with the Laboratoire de Conception et d’Intégration des Systémes (LCIS)/Grenoble Institute of Technology, 26000 Valence, France (e-mail: [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2203927

be realized using only one conductive layer, and their size has to be fully compatible with item tracking applications. Chipless RFID is fundamentally an alternative solution to conventional high-frequency (HF) or ultrahigh-frequency (UHF) RFID [2]. In terms of application, a chipless approach is quite comparable to optical barcode technology in terms of tag fabrication and cost. However, the detection principle, based on RF waves, has many improvements; among them are larger read range and the possibility to detect the tag regardless of its orientation in a large detection area. Consequently, the use of an automatic reading system becomes possible. In the case of conventional RFID tags based on an IC chip, a specific request is sent to the tag by a reader using an ASK modulation scheme. The IC chip decodes the signal, processes the request, and, finally, in turn provides the response using the impedance modulation technique. On the contrary, a chipless tag does not require any transmission protocol. Essentially, it can be seen as a simple target having a specific temporal/frequency electromagnetic signature (EMS). There is no rewritable capability to encode data in this case. Indeed, such a capability requires a modification of the EMS, which can be obtained by physical modification of the footprint of the tag only. Thus, for chipless tags, the information is directly coded and embedded into the antenna of the tag. In the literature, two approaches for encoding data into a chipless tag can be found: time-domain approach (TDA) and frequency-domain approach (FDA). The TDA is based on controlling the presence and position of multiple reflections of a tag in response to an incident short pulse. This technique is used by the SAW chipless tag technology [3] that operates in the ISM band at 2.45 GHz. However, the SAW tag is quite expensive because of the use of a piezoelectric substrate. Other solutions have been proposed using a structure with delay line and coupled distributed [4] or lumped capacitor [5] to generate reflections. The former approach has a capacity of 8 b and a length of 400 mm. For comparison, a SAW tag can encode 256 b in a ceramic package of size 12.5 6.25 mm , but an antenna has to be connected to this package as for conventional RFID tags. The FDA is based on controlling the amplitude and phase of the multiple resonances of the tag. Binary information can be simply coded by the presence or the absence of the resonances. The structure that codes the largest amount of data was introduced by Preradovic et al. [6], with 35 resonators corresponding to 35 b of data. However, the structure is cumbersome since its size is quite larger than the standard credit card format because the presence of two wideband antennas: one for receiving and one for transmitting. On the other hand, the most compact size design was proposed by Jalaly et al. [7]. It uses multiple

0018-9480/$31.00 © 2012 IEEE

2914

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

short-circuited dipoles of different lengths. However, the presented structure has a low coding capacity of only 5 b and still has a ground plane. Regarding all previous solutions, it can be highlighted that all proposed tags are much larger in size compared with an optical barcode. Moreover, most of the tags are designed with two conductive layers, making the technique of direct printing on the product impossible. However, a configuration based on the use of a space-filling curve to create a planar resonator has shown the possibility to use only one conductive layer to generate resonances [8]. The proposed design in [8] is highly miniaturized and has the possibility to encode 5 b between 0.7 and 0.9 GHz in a surface of 200 30 mm . The novel chipless tag structure presented in this paper is based on the FDA. It has several advantages especially in terms of compactness. Moreover, unlike the structure reported in [6], each element of the proposed tag acts, at the same time, as the receiving antenna, resonator, and transmitting antenna. Resonators used are based on a coplanar strip line (CPS) with one side as a short circuit and the other side as an open circuit. An accurate model has been extracted to take into account these discontinuities and to fit the well-known formula for CPS line. This step is necessary in order to make a direct link between a specific electromagnetic footprint having multiple resonances and the physical size of each resonator. As a consequence, the fabrication process could be fully automated because, for a specific code, a set of values for the length is computed using the modified CPS formula. Unlike most of the previous designs, there is no ground plane, and only one conductive layer is needed. This improvement is essential for decreasing the cost of fabrication and opens the way to the techniques of direct printing on product. However, the ground plane has a key role because it allows very good isolation between the container and the tag. This prevents any detuning effect. Thus, it is a great challenge to design a single-layer tag robust to the environment. Similar to UHF RFID [2], the tag can be designed to be placed on a specific container with a given material and thickness. In this case, its use becomes very complex because, for every new item to identify, a new design should be prepared. Therefore, in order to overcome this problem, a compensation (or calibration) technique based on the use of an additional resonator to probe the object is implemented for the first time. Finally, it is possible to configure the tag after fabrication just by setting small short circuits. Additionally, to improve the coding capacity for each resonator, a technique based on frequency-shift encoding has been exploited. This paper is organized as follows. Section II presents the physical description of the tag and the performed encoding method. Section III introduces the used measurement setup and the results obtained for design validation. Section IV provides a discussion about the way to implement such a technology for a real application before concluding on the performance of the tag in comparison with the conventional designs. II. DESIGN AND CODING TECHNIQUE In the FDA, data are encoded for each tag by controlling the presence of resonances in the bandwidth of the reading system. The coding technique widely used in literature [6], [7] is based

Fig. 1. Frequency coding principle with a 2-b chipless tag, using two different coding approaches: (a) absence/presence of resonances and (b) frequency shift and absence/presence of resonances.

on presence/absence of resonance for specific frequencies as shown in Fig. 1(a). In this figure, two coplanar strip resonators are used for encoding 2 b. This technique is reliable but poor in terms of density of coding per surface, because one resonator provides 1 b. Another technique presented by Balbin [9] uses the phase encoding. Indeed, for a given frequency, the phase can exhibit several values. This technique allows higher coding capacity, but it needs a phase reference to be used in practice. The technique presented here [see Fig. 1(b)] already introduced in a previous work [10] [11] combines multiple bits in just one coplanar strip resonator. The resonant frequency can be shifted to take several values in a defined frequency band. In the example in Fig. 1(b), the density of coding is enhanced and allows miniaturization of the tag by a factor of 2, corresponding to 2 b of capacity. In order to discriminate a large number of frequency values in a defined bandwidth, the frequency shift has to be as small as possible. This condition is attainable only if the quality factor of each resonance is sufficiently high, but an improved quality factor also means a poor immunity to the surrounding environment. In addition, increasing the number of resonators is an evident way to increase the capacity of coding, but the coupling effect has to be taken into consideration. Hence, for a given tag, the number of coding resonators is limited by their size and their mutual coupling. The tag introduced in this work depicted in Fig. 2 is based on a multiple-band coplanar-strips resonator with open-ended extremities. The basic principles of this structure have already been introduced in a previous work [10] [11], and here we develop a model in order to provide a direct link between a specific code and the physical parameters of the tag. Furthermore, a technique to recover the initial resonance frequencies if the tag is placed on objects having various dielectric properties is developed. A. Tag Description With respect to the previous considerations, the structure allows a good selectivity for each resonator and a good decou-

VENA et al.: DESIGN OF COMPACT AND AUTO-COMPENSATED SINGLE-LAYER CHIPLESS RFID TAG

2915

Fig. 4. . Simulation result of tag presented in Fig. 2 for various values of , keeping other slot lengths constant. Fig. 2. Photography of designed (a) tag 1, (b) tag 3, and (c) tag 4. (d) Geometry and of the “C” shape chipless RFID tag. The substrate is FR-4, with thickness of 0.8 mm. The initial slot lengths with no short-circuit are denoted , , and . Short circuits denoted , , and allow changing the frequency of each resonator. Dimensions of the tags are given in Table II.

Fig. 5. . Simulation result of tag presented in Fig. 2 for various values of , keeping other slot lengths constant. Fig. 3. . Simulation result of tag presented in Fig. 2 for various values of slot length L1, keeping other slot lengths constant.

pling between them. When the structure is excited with a vertically polarized wave [vertical arrow denoted as E in Fig. 2(d)], it gives a footprint having four resonances, which are produced by resonators denoted as 1, 2, 3, and 4 in Fig. 2(d). The frequency of resonators 1, 2, and 4 can be controlled independently as shown with simulation results plotted in Figs. 3–5. It was observed from simulation using CST Microwave Studio that resonator 3 is largely influenced by the changes in surface current of the other modes. As a result, it cannot be used for the encoding. However, its presence is mandatory, as it ensures a good decoupling between resonators 1 and 2 on one side and resonator 4 on the other side. On the other hand, it will be shown, in Section IV, that this resonator can be used to probe the relative permittivity of the environment. It is to be noted that opening the coplanar strip line on one extremity and setting a short circuit on the other side produces a quarter-wavelength resonance. The combination of the quarter-wavelength resonator and the presence of the right angle in their slot as for resonators 1 and 2 allow an appreciable size reduction. However, the RCS of such a resonator is weaker than for the case for which no short circuit is used (see Fig. 6). Indeed, a value of 28 dBsm is observed for the resonant peaks when the half-wavelength resonator is transformed into two quarter-wavelength resonators, instead of 25 dBsm reached for the open-circuit case. B. Model and Encoding As previously indicated, to encode information, the resonant frequency of each resonator is varied around a reference. The

Fig. 6.

. Simulation result for various bended coplanar strips resonators.

slot lengths can be modified by adding some short circuits, denoted as , , and in Fig. 2(d). Several short-circuit configurations can be observed in Fig. 2(a)–(c) showing, respectively, the realized tags 1, 3, and 4. The resonant frequency of one resonator can be split into two resonant modes if the short circuit is not symmetrical with respect to the horizontal axis. In order to obtain the physical length of the resonator as a function of resonant frequencies, closed-form expressions [12], [13] can be used to calculate the effective wavelength of a coplanar strip line (CPS) with a cross section shown in Fig. 7(a). Having the effective permittivity, to obtain the physical length of the coplanar strip resonator, one can take as a first approximation the quarter wavelength as the slot length value. However, for encoding with a frequency resolution as low as 50 MHz, length

2916

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 7. (a) Cross section of CPS. (b) Resonator in configuration 1. (c) Resonator in configuration 2 having a bended section.

variations close to 0.1 mm have to be realized. Consequently, a more realistic model has to be defined. As shown in Fig. 7(b) and (c), the slot line contains several discontinuities, first due to the open and short terminations and second due to the right-angle section. Therefore, it is necessary to add or subtract some portion of length denoted , , and , as a function of the shape of the slot. Indeed, as explained in [14] and [15], a discontinuity in a transmission line is equivalent to an extension or a reduction of its electrical length. In order to estimate these parasitic lengths, the method used in this paper consists of fitting simulation results obtained for various values of and to the analytical formulas [12], [13], giving a very good accuracy. Equation (1) gives a relation between resonance frequency and current path length for all configurations

Fig. 8. Calculated resonance frequency as a function of slot length of coplanar strip-line resonator according to (1)–(3). Permittivity is 4.6 and substrate height is 0.8 mm.

presence of unwanted mode 3 resonating at 2.73 GHz. Second, to keep a compact structure, the inner slot length (denoted as 4) has to be lower than 13 mm, producing a minimum resonance frequency of 3.5 GHz. For one resonator, the frequency shift can take a lot of values. By defining a bandwidth allowed for resonator , a frequency resolution chosen as a function of measurement accuracy, and the number of resonators , the following equation gives the number of achievable combinations:

(1) (2) (3) is the slot length and is the length extension due where to discontinuities. It has been found in simulation that is a function of configuration and lengths and . A plane-wave simulation of the structure using CST has been done for various values of , , and . From the obtained results and using a linear regression model, simple relations to compute in millimeters for configurations 1 (2) and 2 (3) have been extracted. These relations are valid within the entire frequency bandwidth of the tag, that is, between 2 and 6 GHz. The slot length variation as a function of the resonant frequency is plotted in Fig. 8. We observe that both configurations [see Fig. 7(b) and (c)] evolve in the same way. One can notice that a length variation will produce a larger frequency shift at higher frequency. To define an encoding for such a specific structure, we use the frequency span between 2 and 5.5 GHz. The lower frequency is determined by the maximal slot length available for such a compact structure 15 20 mm , while the higher frequency is chosen to be lower than the first higher order mode of resonator 1, that is, 6 GHz. For mode 1, the frequency value can vary between 2 and 2.35 GHz, leading to a bandwidth of 350 MHz. The frequency span of mode 2 is between 2.4 and 2.65 GHz, leading to a 250-MHz bandwidth, and, for mode 4, the frequency value is between 4 and 5.5 GHz, leading to a 1.5-GHz bandwidth. One can notice that the frequency span between 2.7 and 4 GHz is not used. The first reason is due to the

(4) Having , one can find the number of bits that can be encoded for each resonator. To determine the resolution , one of the limiting factors is the accuracy of realization (chemical etching) certified to be some 20 m, so that a minimum shortcircuit variation length of 50 m was used. For resonator 4, at the maximal frequency 5.5 GHz, a step length of 50 m gives a 30-MHz variation in the worst case, so that a frequency resolution of 50 MHz is possible. However, the other limiting factor is due to the full-width at half-maximum (FWHM) of the peak. For modes 1 and 2, it is equal to 20 and 40 MHz so that a frequency resolution of 50 MHz is still possible, but, for mode 4, it is equal to 100 MHz. As a result, the of resonator 4 is equal to 100 MHz. Using (4), it can be found that eight different frequency values can be encoded for the first mode, six frequency values for the second mode, and 16 for mode 4, giving a global capacity of 8 6 16 768 combinations or 9.58 b. Table I shows the various short-circuit lengths [calculated with (1)–(3)] to provide a specific resonance frequency and, thus, a specific code for each resonator. The initial slot length values are set to 24.7, 20.3, and 11.1 mm for resonators 1, 2, and 4, corresponding to the initial resonance frequencies 2, 2.4, and 4 GHz, respectively. The dark cells represent the configurations selected for the realized tags. III. DESIGN VALIDATION The tag shown in Fig. 2 was designed to have three modes, with resonant frequencies starting at 2, 2.4, and 4 GHz corresponding to slots 1, 2, and 4, respectively. The thickness of the substrate is 0.8 mm. The gap widths are 0.5 mm and 1 mm. The strip width is 2 mm and

VENA et al.: DESIGN OF COMPACT AND AUTO-COMPENSATED SINGLE-LAYER CHIPLESS RFID TAG

2917

TABLE I SHORT-CIRCUIT LENGTHS CALCULATION ACCORDING TO (1)–(3)

Fig. 9. (a) Measurement setup using bistatic configuration. (b) Photograph showing the tag positioning inside the anechoic chamber.

TABLE II REALIZED TAG DIMENSIONS IN mm

the metal is copper with a thickness of 35 m. Five tags with various short-circuit length configurations have been realized, and their dimensions are summarized in Table II. For each configuration, a code can be associated depending on the value of , , and , as presented in Table I. A. Measurement Setup The measurements presented in this paper were done in an anechoic chamber and were confirmed in a real environment using a bistatic configuration depicted in Fig. 9(a) and (b). We used an Agilent 8720D vector network analyzer (VNA) connected to two identical horn antennas that have a gain ranging from 10 to 12 dBi in the frequency band from 1.5 to 6 GHz. The tag is placed 65 cm from the antennas separated by a distance of 60 cm. The power delivered by the VNA is approximately 0 dBm in the entire frequency band. To eliminate the spurious coupling effect between the two antennas, an isolation measurement is done without any tag as shown in Fig. 10. Then a reference measurement with a target having a known RCS (e.g., conducting plate) is done to compensate for the filtering effect of the antennas. To get the calibrated complex RCS value of the measured tags, the following equation, derived from [16] in the case of vertical or horizontal polarization only, is used: (5) In this equation, the measurements results are , , and , respectively, for empty chamber (Isolation in Fig. 10), reference scatterer (Ref. in Fig. 10), and tag under test (Tag 1 in Fig. 10). To obtain the complex RCS value of the tag , the complex RCS value of the reference scatterer must be known. For this purpose, a flat copper plate, of size 50 50 mm was chosen. To determine , we find that the value extracted from the simulation provides the most accurate results. One can

Fig. 10. Raw measurements of the empty chamber, the reference scatterer, and the Tag 1.

notice that we cannot extract any coding information from the raw measurement of the Tag 1 (Tag 1 in Fig. 10) before calibration is done. On the other hand, the flat copper plate gives a strong response. It reveals some distortions in the spectrum that will be compensated when extracting the tag RCS response using (5). B. Measurement Results The measured RCS response of tags 1, 3, and 4 are presented, respectively, in Fig. 11(a)–(c) compared with simulation results. One can notice that the simulation and the measurement results show a good agreement. The RCS levels and the frequency values of the resonance peaks are in close agreement except for mode 4, which has an RCS level lower than the one found with simulation. Moreover, the notches observed between two peaks are less sharp. Indeed, even if a subtraction by isolation measurement is done, a noise floor level of 80 dBm is still present limiting the dynamic range of the measurement setup. Table III shows both measured and calculated resonant frequencies for each of the realized tags depicted in Table II. The average error between the calculated and measured resonance frequency is lower than 30 MHz. That confirms the accuracy of the proposed closed-form model and makes it possible to address all of the tag configurations using an analytical model. To confirm the level of decoupling between resonators, the

2918

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 11. Simulation (dashed curve) and measurement (solid curve) results for (a) tag 1, (b) tag 3, and (c) tag 5. (d) Zoom of measurement results for tags 1, 3, and 5 around the resonant frequency of mode 2. For measurement, the frequency resolution is 2.8 MHz.

TABLE III RESONANCE FREQUENCY MEASURED

IN

GIGAHERTZ

IV. APPLICATION CONSIDERATIONS As described in the previous section, measuring the electromagnetic response of such a chipless tag is a difficult task. When dealing with real applications and practical implementations, several issues are to be considered by the designer. The main aspects are discussed here. A. Calibration Technique

RCS measurement responses of tags 1, 3, and 5, having the same value and different values between 0 and 1 mm, are plotted in Fig. 11(d). It can be clearly seen that only the resonant frequency of mode 2 is shifted in this case. However, a few unwanted shifts can be noticed and the most critical one is observed for mode 2 of tag 2 (see Table III). Instead of having a value equal to 2.4 GHz, we get 2.44 GHz, so that the value is closer than 2.45 GHz, thereby producing another code. This unwanted shift is due to coupling with the first mode resonating at 2.25 GHz for this configuration. To limit this effect, the frequency span of the first mode can be limited from 2 to 2.2 GHz, or the frequency span of the second mode can start at 2.45 GHz. In the other configurations, a maximal unwanted frequency shift of 20 MHz for mode 1 between tag 1, tag 2, and tag 5 can also be observed, but this value is kept lower than the chosen frequency resolution equal to 50 MHz for modes 1 and 2 and 100 MHz for mode 4, so that this coding technique is reliable.

The trick used is to remove the effect of the static environment by subtracting a reference measurement without any tag. Implementing this technique in a real environment is possible provided certain precautions are taken. Indeed, the environment has to be well defined and surrounding objects generating spurious effects (e.g., unwanted echoes) have to be static. This can be the case if the tag is read throughout a detection tunnel with confined walls as illustrated in Fig. 12. In a real environment, we have several narrowband wireless communications sharing the free space channel, mainly at 860–960 MHz and 2.45 and 5.8 GHz. If a chipless tag operates in the UWB frequency span (between 3.1 and 10.6 GHz), we can simply avoid using the ISM bands for coding. Moreover, the noise floor is relevant outside an anechoic chamber, and the backscattered signal to detect is generally very close to this noise level. To increase the signal-to-noise ratio, we can apply an averaging on several identical measurements. For example, we found that a value superior or equal to ten allows a reliable detection. Finally, in a real environment, there are multiple paths due to reflections of the electromagnetic signature

VENA et al.: DESIGN OF COMPACT AND AUTO-COMPENSATED SINGLE-LAYER CHIPLESS RFID TAG

2919

Fig. 12. Reading system using a detection tunnel with confined walls making possible some calibration techniques as for reference subtraction.

by the surrounding objects. This provides some distortions on the frequency-domain response. However, we can apply a time gating on the time-domain response to damp this effect.

Fig. 13. (a) View of tag 1 applied on a container of 10 mm thickness. (b) Photograph of tag 1 applied on a box full of paper.

B. FCC and ECC Rules Considerations To validate our design, a VNA has been used, making a frequency sweep from 2.0 to 5.5 GHz with a constant transmitting power of 0 dBm. A prototype reader based on this approach has been designed by Preradovic et al. [17] for a conveyor belt system. Even if some specific applications, where transmitting power is not an issue (e.g., confined room), can be addressed, most of the standard RFID applications need to be FCC- and ECC-compliant regarding the rules for UWB systems. However, a possible solution is to design an impulse radio-based reading system. Indeed, using a very short pulse as an interrogation signal, it is possible to cover a very large frequency band and to decrease the power spectral density (PSD) under the limit of 41.3 dBm/MHz [18] in the UWB band from 3.1 to 10.6 GHz. The pulse repetition rate can be as low as 1 MHz, giving a very low duty cycle signal. In a previous work [10], a time-domain reading system based on a pulse generator and a digital sampling oscilloscope (Agilent DSO91204A) was experimented in this way and demonstrated the possibility to detect the tag identifier both inside and outside an anechoic chamber. C. Anticollision Considerations One tag can be detected at a time in a given space because there is no collision managing as for conventional RFID. However, if the tags are distant in space, the transient response of each tag can be extracted individually using time windowing. A detection system such as the one described in [10] can be used for this purpose. For spatial separation, another technique can be considered. It consists of using high-focusing beam antennas. Generating a spot size lower than 12 cm at 2.2 m is possible [19]. In the frequency domain, if designing a tag with a low coding capacity, it can be defined by several frequency windows fully orthogonal between them so that, even if several tags are in the field, they can all be detected. D. Handling of Detuning Effect Due to Container Permittivity One of the strengths of this design is that there is no ground plane so the tag can be potentially directly printed on product.

Fig. 14. Simulated frequency response, when tag is put on a container of var. Simulation was done using planeious permittivity with a loss wave excitation under CST Microwave Studio.

However, it is also one of its weaknesses if considering the detuning of resonators when the tag is put on a container as shown in Fig. 13(a). In Fig. 14, the simulation results of the tag put on a container of various permittivities and for a thickness of 1 mm are given. One can see a global frequency shift for all of the peaks and troughs if the loss of the container is significant as for cardboard (i.e., at 2.45 GHz). Now, if by varying the thickness of the object, having a permittivity of 3.6, as shown in Fig. 15, the overall RCS level is increased and for 10 mm, it is very hard to distinguish each resonant peak in the frequency spectrum, because the tag response is overlapped by the container response. However, it can be advantageous to consider the response in the time domain, in order to separate the early time response due to the wideband response of the container and the late time response that contains the most resonant modes. However, solutions can be implemented to handle this unwanted effect. First of all, a chipless tag may be designed taking into account the permittivity of the tagged container. In this way, a previous work in the field of classical UHF RFID [20] showed the possibility of designing robust tags for a given family of substrate (high k, low k, or conductor). However, if the material’s properties of the carrier are unknown, designing a specific tag does not provide a solution. Instead, a compensation technique to take into account the variability of the container could be more efficient.

2920

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

To fully exploit this “correction” method, it is necessary to find a relation between the frequency deviation of the known resonance frequency (mode 3) and the other ones. We start writing the ratio of the measured resonance frequency with the container by the one without the container as shown in (6)

Fig. 15. Simulated frequency response, when the tag is applied on a container , and various thicknesses. having a permittivity of 3.6, a loss Simulation was done using plane wave excitation under CST Microwave Studio.

This gives the relative frequency deviation due to the environment. In this equation, and are, respectively, the measured frequency of the encoding resonator (modes 1, 2, and 4) and its effective permittivity in the presence of the environment while and are the initial free space values to recover. In the real case, the effective permittivities and are not known, but one can assume that they are linked to the effective permittivities and of the sensing resonance (mode 3) by a constant as shown in (7) Finally, using (6) and (7) and substituting effective permittivity by their expression, one can obtain

(8)

Fig. 16. Relative frequency shift according to (8) for each resonant mode as a function of the permittivity of the tagged container [see Fig. 15(a)]. The values have been extracted from simulation results.

showing that the relative frequency shift of a given mode is is equal to those of the sensing mode. In this equation, the measured frequency value and is the known initial frequency value (2.73 GHz) of the sensing mode. The following equation allows recovery of the initial frequency value of modes 1, 2, and 4 depending on the relative shift of mode 3: (9)

To increase the detection reliability and handle some variability in the container permittivity, one or several resonators of the tag can be used to sense it. As a result, the real effective permittivity of the tag can be found, and the reading system can compensate these eventual shifts to get the right code. In a previous work [21], a single-layer chipless tag having 20 resonators and base on an on–off keying approached has been designed. The two extreme resonators have been used as effective permittivity sensors to compensate the detuning effect thanks to a linear approximation of the frequency shifts between the lowest and highest frequencies. This paper introduces a compensating technique that uses only one resonator to sense the permittivity. Moreover in this design, the tag ID is coded with a frequency-shifting technique. In the tag design introduced in Fig. 2, it was said that the inner slot 3 is not used for encoding and serves to limit the coupling between others resonators. But it can also be used as a “resonance sensor.” Its frequency is equal to 2.73 GHz when there is no container back to the tag. If a deviation is detected on this mode, deviations on all other modes can be estimated so that their initial resonance frequencies can be recovered. Indeed, because the frequency value of this mode is constant and known whatever the tag configuration, it can serve as a deviation sensor for the other modes.

To check the validity of this assumption, the variation of the relative frequency shift, for each mode and for several simulated environments is plotted in Fig. 16. The permittivity of the container varies from 1 to 10. One can see that for permittivity until , the value of the relative shift is quasi-equal for all of the modes and a maximal error of is observed between modes 1 and 3. This error will define the minimum frequency resolution usable for a specific application. At 2 GHz, means a frequency shift equal to 40 MHz, while at 2.5 GHz it is equal to 50 MHz and at 5 GHz, to 100 MHz. Consequently, if the tag is put on a container of unknown permittivity, a frequency resolution of 100 MHz has to be adopted for modes 1 and 2, while a frequency resolution of 200 MHz must be used for mode 4. This leads to a decrease of the coding capacity compared with the ideal case when there is no strong dielectric loading from the container. With (4), using these new parameters, a coding capacity equal to combinations or 6.58 b can be estimated. To validate this useful equation, measurements have been done varying the size, thickness, and permittivity of the container, and, at each time, the corrected resonance frequency is calculated for all of the modes. We used some rectangular plates

VENA et al.: DESIGN OF COMPACT AND AUTO-COMPENSATED SINGLE-LAYER CHIPLESS RFID TAG

Fig. 17. Measured response of the tag 1 put on a PTFE ( , ) or a Plexiglas ( , ) plate with a size equal to 5 5 cm and thickness 1.5 mm.

Fig. 18. Measured response of tag 1 put on a Plexiglass ( ) plate of various thickness with a size equal to 5 5 cm .

,

made of PTFE, Plexiglass, and Carp. Using the cavity method [22], we measured relative permittivities of 2.1, 3, and 4.1 and loss tangents of 0.002, 0.005, and 0.1 at 2.5 GHz, respectively. All of the rectangular plates were 1.5 mm thick and their size can be 5 5 cm or 10 10 cm . Keeping the same measurement setup that was used to validate the design, the RCS responses for all of the tags put on the various rectangular plates were measured. Fig. 17 shows the RCS magnitude response of tag 1 put on a plate of size 5 5 cm . One can notice that the greater the permittivity of the container the greater is the frequency shift. The level of response is also affected by the container, and the troughs are not as sharp as for the “no container” case, particularly for the highest frequencies. Fig. 18 shows the influence of the container thickness on the RCS response of tag 1. The frequency shift is linked to the container thickness until a certain value. After that, only the level of the RCS response seems to be affected. In applying (9) to recover the initial resonance frequencies of the tag put on a container made of PTFE with various thicknesses, Figs. 19 and 20 show the frequency deviation due to the environment, respectively for the first mode and for mode 4, before and after correction. In Fig. 19, the correction allows recovering the correct frequency value with an error of 20 MHz for both tags 1 and 4. Fig. 20 shows that, for mode 4, an error of 50 MHz is still present after correction for tag 3, but large

2921

Fig. 19. Measured and corrected resonance frequency for mode 1, when the tag is put on a PTFE plate having thickness between 0 and 4.5 mm.

Fig. 20. Measured and corrected resonance frequencies for mode 4, when the tag is put on a PTFE plate having thickness between 0 and 4.5 mm.

TABLE IV FREQUENCY SHIFT MEASURED AND CORRECTED IN MEGAHERTZ FOR TAG 1

shifts up to 280 MHz have been compensated using this approach. In Tables IV and Table V, the measured shifts are summarized, respectively, for tags 1 and 3. In most of the cases, the deviation error after compensation is approximately 30 MHz. However, one can notice a maximal error equal to 49.3 MHz after compensation for mode 4 of tag 3. To prove that this correction technique is reliable in practice, a measurement was made in the case of a tag put on a box full of paper, as shown in Fig. 13(b). The measured response is shown in Fig. 21 for tag 1. Before correction, one can see a large frequency shift for all of the resonant peaks as well as a slight attenuation. After having applied the correction technique according to (9), the resonant peaks of the curve obtained with the box are

2922

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

TABLE V FREQUENCY SHIFT MEASURED AND CORRECTED IN MEGAHERTZ FOR TAG 3

Fig. 22. DPS as a function of DPF of several chipless tag designs found in literature.

Fig. 21. Measured response for tag 1 put on a box full of paper according to Fig. 15(b) before and after correction using (9).

close to those obtained in the free space case. The residual frequency shifts for resonators 1 to 4 are, respectively, 6, 11, 0, and 34 MHz after compensation, so that no decoding error is made. As a conclusion, this correction technique is a way of operating this tag even if it is put on a container of unknown permittivity. Indeed, it was proved that the influence of materials with low permittivity value can be compensated by this technique. However, the frequency resolution, which is linked to the coding capacity of the tag, is degraded because of the residual errors that are still present after the compensation. Therefore, depending on the environment parameters, the performance of the design will change, and as a general rule, more reliability means lower coding capacity. V. PERFORMANCES OF THE DESIGN To compare with previous designs, a figure of merit is needed to represent each density of coding per surface (DPS) in b/cm as a function of density of coding per frequency (DPF) in b/GHz, as shown in Fig. 22. The design proposed by Preradovic et al. [6] embeds 35 b for a size of 88 65 cm making a density of coding of 0.61 b/cm . Jalaly et al. [7] have designed a 5-b tag in a surface of 18 35 mm giving 0.81 b/cm . While Balbin et al. [9] proposed a tag encoding 3 b for a size of 120 50 mm , giving a DPS of 0.05 b/cm . To finish, the design proposed by McVay et al. [8], encodes 5 b within 200 30 mm giving a DPS of 0.083 b/cm , and a DPF of 25 b/GHz. In this new design, it can be seen that DPS is largely improved with a value of 3 b/cm , giving a high degree of miniaturization. This is a maximum value reachable in a practical case when the residual error

Fig. 23. Calculated capacity of coding in bits as a function of the number of resonators according to (4). The resolution frequency is chosen to be 50 MHz.

after compensation is lower than 25 MHz for resonators 1 and 2 and 50 MHz for resonator 4. On the other hand, the DPF was degraded to 4 b/GHz compared with the other designs. A tradeoff has to be found between coding efficiency for a given size and bandwidth. In future work, in order to increase the capacity of coding, a tag will be designed with a larger bandwidth and more resonators. For example, if it is defined for a maximum frequency of 9 GHz, the minimum frequency will be 9/3 3 GHz in order to avoid overlap between the fundamental mode and the higher order mode. That gives a total bandwidth of 6 GHz. Keeping the same parameters as for the actual tag, with a size of 55 32.7 mm , which is smaller than a credit card format, the tag can have resonators and for each with a bandwidth of 545 MHz. With (4), one can find a capacity of coding of 36 b for a frequency resolution 50 MHz. Now, independent of the presented design, if plotting (see Fig. 23) (4) for a frequency resolution of 50 MHz and a total bandwidth corresponding to the UWB frequency span, the maximal reachable coding capacity can be deduced. Some negative slopes are presented in Fig. 23, when the bandwidth is not a multiple of the resolution frequency. With this technique it can be highlighted that 60 b are reachable with only 20 resonators within a frequency span between 3.5–10.5 GHz. To go further, a possible way to increase the capacity could be the hybrid coding technique as described in [23] where the frequency shift and the phase deviation are used independently to make a code. VI. CONCLUSION In this paper, we introduced a new design for a chipless tag. It has a reduced size and can embed a large data capacity that is

VENA et al.: DESIGN OF COMPACT AND AUTO-COMPENSATED SINGLE-LAYER CHIPLESS RFID TAG

currently close to 10 b. To our knowledge, this coding density is the largest published until now. In terms of capacity, the actual coding technique can reach 36 b on a smaller surface than that of a standard credit-card format. In addition to its reduced size, the cost of fabrication for this tag is very low; mainly because of the absence of a ground plane, it is potentially fully printable with conductive inks. Indeed, printing techniques such as flexography on paper or inkjet printing on plastic substrate can be used for this purpose. Based on our current experiments on a similar design, we found that, with flexography, a unit cost less than one cent is already achievable, and the measured performances were quite similar. The resonant peaks are slightly mitigated and enlarged. Configurability of the tag using short-circuit parts has been demonstrated and allows significant advantage when implemented in practice. Indeed, it is possible to produce initially a large number of “virgin” tags and then to configure them just by adding some conductive bridges as shorts. Many experimental measurements have been conducted in an anechoic chamber and an open space. The measurements have validated the design in the ideal case with no container. To make a proof of concept in a realistic case, a study has been conducted to evaluate the performance of the design in a real environment having various parameters. Indeed, a technique based on a sensing resonator was established to compensate the deviation effect due to the container proximity. Its implementation allowed successful decoding of the tag’s identifier for various containers. So, this confirms that this technology is reliable. A detection range of 65 cm has been demonstrated under 0-dBm excitation without any amplification. However, practically, this performance makes sense only if addressing specific applications that are not necessarily FCC- and ECC-compliant. In order to address the huge majority of the RFID applications, the emitting power has to be very low and must fit the requirements for UWB communications within the range 3.1–10.6 GHz. To do so, a possible solution consists in designing a reader based on impulse radio sending short pulse of tens of picoseconds wide, with a pulse repetition frequency as low as 1 MHz. We are currently working on the design of such a reading system, and some results will be presented in a future communication. ACKNOWLEDGMENT The authors would like to thank Prof. L. Duvillaret and Dr. F. Garet for guidance and fruitful discussions on a part of this work. REFERENCES [1] “IDTechEx, Printed and Chipless RFID Forecasts, Technologies & Players,” 2009–2019 [Online]. Available: www.IdtechEx.com [2] S. Tedjini, E. Perret, V. Deepu, and M. Bernier, “Chipless tags, the RFID next frontier,” in Proc. 20th Tyrrhenian Workshop Digital Commun., Sardina, Italy, Sep. 2009, pp. 239–249. [3] C. S. Hartmann, “A global SAW ID tag with large data capacity,” in Proc. IEEE Ultrason. Symp., 2002, pp. 65–69. [4] L. Zheng, S. Rodriguez, L. Zhang, B. Shao, and L-R. Zheng, “Design and implementation of a fully reconfigurable chipless RFID tag using inkjet printing technology,” in Proc. IEEE Int. Symp. Circuits Syst., 2008, pp. 1524–1527.

2923

[5] C. Mandel, M. Schüßler, M. Maasch, and R. Jakoby, “A novel passive phase modulator based on LH delay lines for chipless microwave RFID applications,” in IEEE MTT-S Int. Microw. Workshop Series, Croatia, 2009, pp. 1–4. [6] S. Preradovic, I. Balbin, N. C. Karmakar, and G. F. Swiegers, “Multiresonator-based chipless RFID system for low-cost item tracking,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 5, pp. 1411–1419, May 2009. [7] I. Jalaly and D. Robertson, “RF barcodes using multiple frequency bands,” in IEEE MTT-S Int. Microw. Symp. Dig., Long Beach, CA, Jun. 2005, pp. 139–142. [8] J. McVay, A. Hoorfar, and N. Engheta, “Space-filling curve RFID tags,” in Proc. IEEE Radio Wireless Symp., San Diego, CA, 2006, pp. 17–19. [9] I. Balbin and N. C. Karmakar, “Phase-encoded chipless RFID transponder for large-scale low-cost applications,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 8, pp. 509–511, Aug. 2009. [10] A. Vena, E. Perret, and S. Tedjini, “Novel compact RFID chipless tag,” in Proc. PIERS Conf., Marrakesh, Morroco, Mar. 20–23, 2011, pp. 1062–1066. [11] E. Perret, M. Hamdi, A. Vena, F. Garet, M. Bernier, L. Duvillaret, and S. Tedjini, “RF and THz identification using a new generation of chipless RFID tags,” Radio Eng. J., vol. 20, no. 2, pp. 380–386, Jun. 2011. [12] G. Ghione and C. Naldi, “Analytical formulas for coplanar lines in hybrid and monolithic MICs,” Electron. Lett., vol. 20, pp. 179–181, 1984. [13] J. L. Narayana, K. S. R. Krishna, and L. P. Reddy, “ANN models for coplanar strip line analysis and synthesis,” IJCSNS Int. J. Comput. Sci. Network Security, vol. 8, no. 10, pp. 200–204, Oct. 2008. [14] W. J. Getsinger, “End-effects in quasi-TEM transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 4, pp. 666–672, Apr. 1993. [15] C.-W. Chiu, “Equivalent circuit parameters of coplanar stripline discontinuities,” Proc. Inst. Electr. Eng.—Microw., Antennas Propag., vol. 149, no. I, pp. 11–16, Feb. 2002. [16] W. Wiesbeck and D. Kähny, “Single reference, three target calibration and error correction for monostatic, polarimetric free space measurements,” Proc. IEEE, vol. 79, no. 10, pp. 1551–1558, Oct. 1991. [17] S. Preradovic and N. C. Karmakar, “Multiresonator based chipless RFID tag and dedicated RFID reader,” in IEEE MTT-S Int. Microw. Symp. Dig., Anaheim, CA, May 2010, pp. 1520–1523. [18] S. Härmä, V. P. Plessky, X. Li, and P. Hartogh, “Feasibility of ultra-wideband SAW RFID tags meeting FCC rules,” IEEE Trans. Ultrason., Ferroelectr., Frequency Control, vol. 56, no. 4, pp. 812–820, Apr. 2009. [19] C. Hartmann, P. Hartmann, P. Brown, J. Bellamy, L. Claiborne, and W. Bonne, “Anti-collision methods for global SAW RFID tag systems,” in Proc. IEEE Ultrason. Symp., Aug. 2004, vol. 2, pp. 805–808. [20] C. Hamza, E. Perret, and S. Tedjini, “A methodology for the design of frequency and environment robust UHF RFID tags,” IEEE Trans. Antenna Propag., vol. 59, no. 9, pp. 3436–3441, Sep. 2011. [21] A. Vena, E. Perret, and S. Tedjini, “A fully printable chipless RFID tag with detuning correction technique,” IEEE Microw. Wireless Compon. Lett., vol. 22, no. 4, pp. 209–211, Apr. 2012. [22] B. Meng, J. Booske, and R. Cooper, “Extended cavity perturbation technique to determine the complex permittivity of dielectric materials,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 11, pp. 2633–2636, Nov. 1995. [23] A. Vena, E. Perret, and S. Tedjini, “Chipless RFID tag using hybrid coding technique,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 12, pp. 3356–3364, Dec. 2011.

Arnaud Vena received the Eng. Dipl. degree in electrical engineering from the Institut National Polytechnique de Grenoble (Grenoble-INP), Grenoble, France, in 2005, where he is currently working toward the Ph.D. degree. From 2005 to 2009, he was an R&D Engineer with ACS Solution France SAS. He was in charge of development of RFID contactless card readers and contributed to evolution of the ISO/IEC 14443 regulation. In October 2009, he began his research with the Laboratoire de Conception et d’Intégration des Systémes (LCIS)/Grenoble Institute of Technology, mainly focused on design of chipless RFID systems.

2924

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Etienne Perret (M’05) was born in Albertville, Savoie, France, on October 30, 1979. He received the Eng. Dipl. degree from the Ecole Nationale Supérieure d’Electronique, d’Electrotechnique, d’Informatique, d’Hydraulique et des Télécommunications, Toulouse, France, in 2002, and the M.Sc. and Ph.D. degrees from the Toulouse Institute of Technology, France, in 2002 and 2005, respectively, all in electrical engineering. From 2005 to 2006, he held a postdoctoral position with the Institute of Fundamental Electronics (IEF), Orsay, France. His research activities cover the electromagnetic modeling of passive devices for millimeter and submillimeter-wave applications. His current research interests are in the field of wireless communications, especially radio frequency identification (RFID) with the design and development of antennas for RFID tags. Since September 2006, he has been an Assistant Professor of electronics with the Laboratoire de Conception et d’Intégration des Systémes (LCIS)/Grenoble Institute of Technology. He has authored and coauthored more than 50 technical conferences, letters, and journal papers and one book chapter. Dr. Perret is a Technical Program Committee member of IEEE-RFID. He was keynote speaker and the Chairman of the 11th Mediterranean Microwave Symposium MMS’2011. He also served as the Co-Chair of the 29th PIERS 2011 in Marrakesh, Morocco.

Smail Tedjini (SM’92) received the Ph.D. degree in physics from Grenoble University, Grenoble, France, in 1985. He was an Assistant Professor from 1981 to 1986 and a Senior Researcher for the CNRS from 1986 to 1993. He became a University Professor in 1993, and, since 1996, he is a Professor with the ESISAR Department, Institut National Polytechnique de Grenoble (Grenoble-INP), Grenoble, France. His specialization topics concern electromagnetism, RF, wireless and optoelectronics. He serves as a Coordinator and Staff Member in numerous academic programs both for education and research. In 2006 to 2007, he served as the Director of ESISAR, Embedded Systems Department, Grenoble-INP. He is involved in academic research supervision since 1982. He is the Founder and past Director of the LCIS Lab. Now, he is the ORSYS Group Leader. His main topics in research are applied electromagnetism, modeling of devices and circuits at both RF and optoelectronic domains. Current research concerns wireless systems with specific attention to RFID and nanoRF. He supervised 27 Ph.D. candidates and he has authored or coauthored more than 250 papers. Dr. Tedjini is a member of several TPC and serves as expert/reviewer for national and international scientific committees and conferences including ISO, Piers, IEEE, URSI, ISO, ANR, OSEO, and FNQRT. He organized several conferences/workshops. He is President and founder of the IEEE-CPMT French Chapter, Vice-President of the IEEE Section France, and elected as the ViceChair of URSI Commission D “Electronics & Photonics” in 2008. He was reelected as Vice-Chair of the IEEE France section and will serve as the Chair of URSI Commission “D3” for the triennium 2011–2014.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

2925

A Novel Reader Architecture Based on UWB Chirp Signal Interrogation for Multiresonator-Based Chipless RFID Tag Reading Randika V. Koswatta, Student Member, IEEE, and Nemai C. Karmakar, Senior Member, IEEE

Abstract—A very low-cost RFID reader architecture comprised of a voltage-controlled oscillator (VCO), a mixer, and a low-pass filter (LPF) for reading an ultra-wideband chipless RFID tag is proposed. The VCO generates a linear chirp (swept) frequency interrogation signal. The reader, which is a coherent frequency-modulated continuous-wave radar, interrogates a tag and decodes the tag’s identification data in both amplitude and phase information. A signal-processing technique based on Hilbert transform (HT) is used and accurate detection of a 9-b tag is achieved. The new reader needs only one VCO and does not need any calibration tag to decode data as needed for its predecessors. Index Terms—Chipless radio frequency identification (RFID), Hilbert transform, ultra wideband (UWB), UWB RFID reader.

I. INTRODUCTION

T

HE radio frequency identification (RFID) technology provides wireless identifications of tagged objects. The block diagram of a generic RFID system is shown in Fig. 1. The data-carrying device is called a tag or a transponder. A reader or an interrogator is used to read and write the tag’s information [1], [2]. The reader sends the interrogation signal. In response, the tag transmits the data related to the identity of the object. The total communication uses electromagnetic (EM) waves in the RF range. RFIDs offer nonline-of-sight, long-distance, and all-weather reading. RFIDs also offer larger data carrying capacity than an optical barcode can offer. The conventional application-specific integrated circuit (ASIC) RFID tags use digital communication techniques such as amplitude shift keying (ASK) and binary phase-shift keying (BPSK) for the data communication [1]. Some chipped and chipless tags use backscatter modulation [3], [4] as well as UWB pulses [5]–[7] for data transfer. Chipless RFID tags do not contain an ASIC chip. They are fully passive. Different techniques, such as time-domain reflectometry (TDR), back-scattering modulations, and frequency signatures, are used to encode data in chipless RFID tags. Therefore, the reader design must address the technology used in the chipless RFID tag design [8]. As an example, RF surface Manuscript received March 22, 2012; revised April 19, 2012; accepted April 23, 2012. Date of publication July 12, 2012; date of current version August 28, 2012. This work was supported in part by Monash University under Proof of Concept Grant 2008, the Australian Research Council’s Linkage Project under Grant LP0989652., Securency International Pty. Ltd., and SatNet Pty. Ltd. The authors are with the Department of Electrical and Computer Systems Engineering, Monash University, Clayton, VIC, 3800 Australia (e-mail: randika. [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2203929

Fig. 1. Block diagram of a general RFID system.

acoustic wave (SAW) tags use TDR for data encoding [3]. A reader system based on counting the reflected pulses from the SAW tag is reported in [3], [9]. Another TDR-based chipless RFID tag reported in [10] uses distributed shunt capacitors for information encoding. No dedicated reader design is reported for this tag. A high-speed digital storage oscilloscope (DSO) is used to decode the data in a laboratory setting. An array of capacitively loaded printed dipoles as a chipless tag is reported by Jalaly and Robertson [11]. A chipless RFID tag made of a reactively loaded microstrip patch antenna is reported in [12], [13]. A detection technique based on soft computing for these tags is recently reported by the same group in [14]. From the above review of the reported works on the chipless RFID tags and readers, there exists a research gap in developing a low-cost reader architecture for a chipless RFID tag reading. This paper aims to fill the research gap. II. CHIPLESS RFID DEVELOPMENT The complete architecture of three frequency signature-based chipless RFID tag readers has been reported in [4] and [15]–[18]. The multiresonator-based chipless RFID tag shown in Fig. 2(a) uses frequency signatures to encode data [15]. Reading of the multiresonator-based chipless RFID tag requires a frequency-domain measurement. Either the amplitude or the phase of the transmission coefficient of the tag can be used for decoding data. However, both amplitude and phase measurements improve the efficacy of the reader. In the laboratory, a vector network analyzer (VNA) can be used to measure versus frequency to the forward transmission coefficient identify encoded data [15], [16]. However, it is not a conventional reading technique as the VNA is an expensive piece of equipment. We have reported three generations of chipless RFID tag readers [4], [15]–[18]. The first- and second-generation (Gen. 1 and Gen. 2) readers are an amplitude only detector and a amplitude and phase detector, respectively. Both readers operate over the 2.0–2.5-GHz frequency band and are capable of reading 5 b of data. The third-generation (Gen. 3) reader

0018-9480/$31.00 © 2012 IEEE

2926

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

SPECIFICATIONS

Fig. 2. (a) Structure of a 9-b chipless RFID tag realized with microstrip technology [15]. (b) Equivalent circuit of the chipless RFID tag with transmit and receive antennas.

is a UWB reader covering a larger frequency band from 5 to 11 GHz and capable of reading 23-b data from a chipless tag [18]. All three generations of the chipless RFID tag readers have a digital control section and an RF transceiving section. In the RF section of the reader, two voltage-controlled oscillators (VCOs) are used. A VCO is the most expensive item in the reader as shown in Table I. One VCO is for generation of a swept frequency interrogation signal and the second one is a local oscillator (LO) for down-converting the back-scattered microwave signal to an intermediate frequency (IF) signal. The decoding method is a fully analog envelop and phase detection. The reader uses a gain/phase detector (GPD), which detects the attenuations caused by the resonators at a particular frequency. The reader uses a reference tag to differentiate the amplitude levels corresponding to logics 1 and 0 of the encoded data in the chipless RFID tag and requires recalibration when the environment changes. The reader is not only costly due to the use of two VCOs but also rigid because a calibration tag is always needed for successful reading. Moreover, no signal processing technique is used in Gens. 1–3 readers to improve the detection quality. Therefore, there is a need for further development of the reader architecture which will be low-cost and flexible in operation so that no calibration tag will be needed to perform the reading process. The paper has addressed all of these issues and offered the solutions with only one VCO and a signal-processing algorithm based on Hilbert transformation (HT) and complex analytical signal representation. In this paper, we present a novel reader architecture based on a bistatic radar technique. The reader comprises two reader antennas for transmission and reception, a VCO for signal generation, a mixer, a low-noise amplifier (LNA), and an LPF in the RF section. A wideband linear chirp signal as the interrogation signal is generated with the VCO. The signal energizes the tag to transmit its encoded signal. An HT-based complex analytical signal-processing technique is used to recover the envelope and phase information from the received signal of the tag. The reading technique does not need a reference tag to differentiate between the logics 1’s and 0’s. The theory is validated with Agilent ADS2009 simulations, MATLAB computations, and laboratory experimentation. Different 9-b tags were

TABLE I COMPONENTS OF NEW CHIPLESS RFID READER ARCHITECTURE

OF

detected successfully with the reading technique. For all cases, there were good agreements between simulations and experimental results. This paper is organized as follows. Section III provides the operating principles of the multiresonator-based chipless RFID tag and the theory of the reader architecture. Simulation and experimental results are presented in Section IV followed by the conclusion in Section V. III. OPERATING PRINCIPLE OF CHIPLESS RFID SYSTEM Here, a brief description of the chipelss RFID system is presented, followed by the proposed reader architecture. A. Multiresonator-Based Chipless RFID Tag Fig. 2(a) shows the layout of a 9-b chipless RFID tag realized on the microstrip technology [15]. Fig. 2(b) shows the equivalent circuit of the chipless RFID tag with the transmit and receive antennas. As shown in Fig. 2(a), the tag is a fully planar passive microwave structure comprising two orthogonally polarized antennas. Spiral resonators are gap coupled to a 50microstrip transmission line. A single spiral resonator coupled to a microstrip line can be modeled with a parallel RLC network. Each parallel RLC network generates a high- stopband at a designated frequency [19]–[21]. Therefore, spirals coupled to the microstrip line generate notching in the swept frequency band. Here, and are the total equivalent inductance and capacitance of the bandstop filters. is the equivalent resistive loss of the filter. The equivalent lumped components can be derived from the quasistatic analysis of the planar structure [22]. Resonance frequency of the generic th RLC circuit of the th-order multiresonator circuit can be expressed as (1) If the response of the filter in the frequency domain is then the amplitude response and phase response an -bit tag can be expressed, respectively, as

, of

(2) (3)

KOSWATTA AND KARMAKAR: READER ARCHITECTURE BASED ON UWB CHIRP SIGNAL INTERROGATION FOR CHIPLESS RFID TAG READING

Fig. 3. Measured frequency response of the 9-b chipless RFID tag. (a) Meamagnitude. (b) Measured phase. sured

Once the tag is illuminated by the reader, the received signal passes through the series of narrow bandstop filters as shown in Fig. 2. When the signal reaches to the end of the line, the transmit antenna of the tag transmit the encoded signal to the reader. The bandstop filters introduce envelop attenuations and phase shifts at the resonant frequencies. Therefore, by measuring the forward transmission coefficient , the envelop and phase of the attenuated signals can easily be detected. Thus, the behavior of the tag in the frequency domain is identified. Fig. 3 shows the measured amplitude and phase responses of a 9-b tag [15]. The presence of a resonance in the operating frequency band is considered to be a logic “0” and the absence is considered to be a logic “1” for data encoding on the tag. With this convention for data encoding, the encoded data on the tag is “000000000.” In Section III-B, the proposed reader architecture is presented.

2927

Fig. 4. Complete block diagram for the new chipless RFID reader.

signal. The band of the swept frequency depends on the specification of the VCO. In our design, a 4–8 GHz VCO is used to read 9 b of data. The instantaneous frequency of the linear chirp signal can be expressed as [23] (4) where

is the chirp rate given by (5)

is the starting frequency, is the bandwidth of the and transmitted chirp, and is the duration of the chirp signal. The output of the chirp generator can be written as

B. Chipless RFID Reader Architecture Fig. 4 shows the complete block diagram of the proposed reader architecture. The reader reads the tag in frequency domain. It wirelessly detects both attenuations and phase ripples introduced by the bandstop filters. The heart of the detection process is the RF transceiver (Tx/Rx) section. With two transceiving antennas and the RF Tx/Rx and detection circuits, the reader is basically a bistatic FMCW radar and performs coherent detection. The digital section drives the VCO with a tuning voltage, controls the overall operation of the reader, and performs signal processing of the backscattered signal from the tag. Table I shows the specifications of the components used in the reader. Table I also shows a guide to the total cost of the reader if the reader is built with off-the-shelf components. However, some of the passive components, such as couplers and low pass filters, can be built in house to reduce the cost of the reader. Following is the detailed discussion of the different blocks of the reader. 1) RF Section: As mentioned before, a linear RF chirp signal is used as the interrogation signal. A VCO and a sawtooth waveform generator [23], [24] generate the RF chirp

(6) The output of the chirp signal generator is fed into the transmit antenna through a coupler to extract the pilot signal for coherent detection. Through Transmission via Tx Antenna: Assuming that the antenna responds linearly to the incoming swept frequency signal and it has very high efficiency , (e.g., low-loss microstrip patch antennas), the transmitted signal can be approximated as (7) Pilot Signal via Coupler: The pilot signal extracted from the coupling port of the coupler is (8) is the coupling coefficient of the coupler. As can be where seen in Fig. 4, is directly fed into the mixer.

2928

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Fig. 5. Signal propagation and free-space path loss profile of proposed chipless RFID tag reader system.

Received Signal from Tag: As shown in (6), the transmitted signal by the reader’s transmit antenna is (9) Fig. 5 shows the signal propagation and the free-space path loss profile of the tag and the reader. As mentioned above, it is assumed that there is no phase and amplitude distortions at the transmit and receive antennas of the reader and the tag. The time delay introduced by the tag and the wireless propagation is , and a constant path loss over the operating frequency range as shown in Fig. 5. The amplitude and [12] is the total phase of the received signal at the receive antenna can be written as

Fig. 6. Calculated output signal

where

,

and

using (17) with tabulated parameters.

can be written as

(16)

(10) where

where is the normalized time-varying phase of the transmitted chirped signal, which can be expressed as a function of time as

is a constant. is passed through an LPF. The LPF filters out the high —component that is associated with . through the LPF the output signal After passing can be approximated to

(12)

(17)

can be ex-

From (17), it can be seen that the frequency of the output , and . Howsignal varies with the parameters: ever, it is difficult to achieve very short chirp durations since the chirp bandwidth is high and the VCO requires settling time to stabilize the frequency output between the steps of frequency sweep. Therefore, the frequency of the signal gets very low values in practice and depends more on than and . contains the information of the transmitted signal and the phase and amplitude of the chipless RFID tag. Therefore, by analyzing the , the two functions and can be recovered to identify the data bits encoded in the chipless RFID tag. A visualization of the signal given in (17) is shown in Fig. 6. For the calculation, the tabulated values in Fig. 6 were substituted for the parameters in (17). For calculating the tag output signal , the frequency response ( and ) of the chipless RFID tag shown in Fig. 3 are used. For the given parameters 3.9 GHz, 2.2 GHz, 500 ms, 4.5 ns, and 15 mV, the tag signal is a 20-Hz sinusoidal signal that includes both amplitude variations according to and phase variations according to , as shown in Fig. 6. It is clearly seen in the figure that nine distinct frequency signatures of the 9-b chipless tag shown in Fig. 2 are

(11)

The received signal at the receive antenna pressed using (10) and (11) as

(13) As shown in Fig. 4, the received signal and the reference signal mix at the mixer. The IF signal can be expressed as

(14) Equation (14) can be simplified to (15)

KOSWATTA AND KARMAKAR: READER ARCHITECTURE BASED ON UWB CHIRP SIGNAL INTERROGATION FOR CHIPLESS RFID TAG READING

2929

pronounced in the signal waveform of . However, the representation of the signal does not yield the phase information at the distinct frequency signatures. HT-based complex analytical signal representation is used to extract both amplitude and phase of the detected tag. Requirements of the signal source(VCO): Based on previously described requirements and limitations, the requirements of the VCO can be summarized as follows. The VCO tuning bandwidth should cover the operating bandwidth of the chipless tag. It is desired to have a constant tuning sensitivity over the tuning bandwidth because it makes it easier to obtain a linear chirp output. A fast settling time increases the speed of the chirp and tag reading speed. A high-power output relinquishes the requirement of additional power amplifiers in the RF section and improves the reading range. A low phase noise is also required because it allows fine frequency steps in the frequency sweep and supports more bit decoding capability, which are encoded with low frequency gaps. 2) HT of Tag Signal: As mentioned above, HT yields both amplitude and phase information of the detected tag. For any signal that can be expressed as the sum of many sinusoids, a transform can be applied to phase shift each sinusoidal component by , and the transform is called the HT [25]. For a real signal , HT output can be denoted as . Then, the signal is called the complex analytical signal of and can be expressed as [25], [26] (18) The signal, which is expressed with (17), can be transformed as follows: into the complex analytical signal

(19) The magnitude of the complex analytical signal gives , which is expressed by the envelope of the signal

(20) Observing (20), it can be inferred that (20) represents a scaled amplitude response of the chipless tag. Similarly, the argument of gives the phase angles of the signal as follows:

(21) In (21), the first two terms show a linear variation of phase with time with a fixed phase shift of due to the time

Fig. 7. Flow chart of the signal processing of the new reader.

taken by the signal to travel from the reader’s Tx antenna though the tag and received by the reader’s Rx antenna (see Fig. 4). The third term of (21) is an easily identifiable phase information of the chipless RFID tag. Therefore, (21) yields the amplitude and phase responses of the tag. This eliminates the requirement of a reference tag to identify the amplitude and phase responses of an unknown tag. This is a huge improvement over the previous generation readers reported in [4] and [27]. In addition to elimination of the reference tag, usage of this reader architecture and HT provides great simplification of the RF section compared with the previous generation readers. HT offers amplitude and phase information extraction regardless of the frequency of the sampled input signal. This property of HT provides more flexible reader design, which can be configured easily to different bandwidths and reading speeds as well as different reading distances as the frequency of changes with these parameters. The flow chart of the detection technique and display of data is shown in Fig. 7. First, the frequency span of the linear chirp and the number of samples to be acquired are set. After generating the chirp signal, the output of the RF section, , is progressively sampled times and stored. Next, the HT of the stored data is performed followed by the computation of the analytical signal . The computed analytical signal yields the attenuation and phase shifts of the tag. Based on the locations of attenuations and phase jumps, encoded data bits are identified and displayed or transferred to a PC. The control and signal processing algorithm can be implemented in a microprocessor or a field-programmable gate array (FPGA) [28], [29].

2930

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

3) Digital Section: As shown in Fig. 4, the digital section consists of an analog-to-digital converter (ADC), a digital-to-analog converter (DAC), a digital control section, and an FPGA or a microcontroller. The digital section can be interfaced with a display to read and monitor the data. The DAC provides the tuning voltage in predefined steps of dc voltages to control and the number of frequency points of the swept signal [24]. As mentioned before, the frequency of the output signal from the RF section depends on the parameters and of the interrogation signal. is the duration of the interrogation signal, and this value depends more on the performance of the VCO. The settling time required for the VCO limits achieving very high-speed frequency sweeps and, the value of can be controlled by the digital section. Therefore, the frequency of mainly depends on the parameter . Using a VCO with average performance to sweep the 2-GHz frequency band with 5-MHz frequency steps takes nearly 500 ms. Therefore, the output of the RF section generates very low frequency outputs (e.g., 20 Hz as shown in the simulation in Fig. 6). Hence, in the digital processing of the reader, a very low sampling rate is used in the ADC. A microprocessor or an FPGA controls the ADC and DAC and provides the signal processing of the reader [28], [29]. Decoded data can be displayed on the reader’s LCD panel or can be transferred to a PC using a serial or parallel interface. 4) Integrated Chipless RFID Reader: Finally, both RF and digital sections are interfaced to complete the integrated reader. The signal processing algorithm is loaded in a microcontroller or an FPGA. The RF section is connected to two transceiving disc loaded monopole antennas. The tag is placed in between the two antennas. Fig. 4 shows the complete block diagram of the integrated reader with a chipless RFID tag.

Fig. 8. Simulation model of the new chipless RFID reader used with an Agilent ADS2009 platform.

IV. RESULTS The new reading concept was verified in two phases. First, the complete block diagram as shown in Fig. 4 was designed on the Agilent ADS 2009 platform and the whole reader architecture was simulated. This exercise proved the validity of the reader architecture. After the successful execution of the concept on ADS 2009 platform, the reader was physically constructed on a single printed circuit board (PCB) (Fig. 10) using off-the-shelf components as shown in Table I. Here, we present both simulation and measured results. A. Simulation of Reader Architecture Fig. 8 shows the model of the tag reader system designed on Agilent ADS2009 platform. For the simulation, a chirp signal generator was used that operates from 3.9 to 6.1 GHz with chirp duration of 500 ms. For the chipless RFID tag model, the measured parameters of a 9-b tag operating from the 4–6-GHz frequency bandwidth using two circular disc-loaded monopole antennas were used. The Agilent Performance Network Analyzer E8361A with full two-port calibration and confidence check was used to extract the -parameter file. The coupler, the mixer, and the LPF are connected in the schematics of ADS2009 to complete the RF section of the reader as shown in Fig. 8. The waveform was recorded into a text file. Computations of the analytical signal, the envelope, and phase

Fig. 9. (a) Simulated output with ADS2009 of the puted envelope of using the analytical signal of computed phase angle of the simulated output of signal.

(solid line) and com(dotted line) and (b) using the analytical

angles of the output signals of the simulation were done using MATLAB. The ADS simulated tag output signal and the computed envelope of the analytic signal (20) using MATLAB, which gives the frequency response of the tag in time domain, are shown in Fig. 9(a). The nine resonances corresponding to the nine spiral resonators can be clearly identified after processing the signal . Therefore, the encoded data on the chipless tag can be decoded alone with this amplitude information. This depiction of the tag response using HT-based complex analytical signal processing technique offers huge improvement over the ADS simulation. Fig. 9(b) shows the computed phase angles. The nine phase ripples introduced by the bandstop filters created by the spiral resonators can be clearly identified at the same locations on the time axis ( -axis). This phase information also can be used

KOSWATTA AND KARMAKAR: READER ARCHITECTURE BASED ON UWB CHIRP SIGNAL INTERROGATION FOR CHIPLESS RFID TAG READING

2931

Fig. 10. Photograph of the fabricated reader system.

TABLE II PARAMETERS ASSOCIATED WITH LINK BUDGET OF THE EXPERIMENTAL SETUP MILLIMETER DISTANCE) OF THE READER (AT

alone to identify the data encoded on the chipless RFID tag. However, both amplitude and phase information makes the reading more accurate and reliable. The nine resonances can be decoded as bits “000000000.” In conclusion, it can be inferred that the ADS 2009 simulation and HT-assisted complex analytical signal processing using MATLAB have validated the new reader architecture for the multiresonator-based chipless RFID. Finally, the physical layer of the reader architecture was developed and tested in a laboratory setting. B. Experimental Results According to the block diagram shown in Fig. 4, an experimental setup was designed and implemented for evaluating the validity of the proposed reader architecture. The components and devices were designed and selected in such a way that they operate in the frequency range of 4.0–8.0 GHz. The reader was realized on a PCB, and a photograph of the reader system is shown in Fig. 10. The RF section of the reader architecture was realized on Taconic TLX-0 0.5 mm high-performance RF substrate. The digital section was realized on a low-cost FR-4 PCB substrate. The RF section was mounted on the digital section PCB using two connectors, as shown in Fig. 10. Since the objective of this work is to demonstrate the operation of the reader architecture, a Richardson RVCSD6000F wideband VCO (tuning bandwidth 4–8 GHz, tuning sensitivity (fairly constant) 200 MHz/V, output power 10 dBm, phase noise at 1-MHz 80 dBc/Hz) was used as the signal source since it offset operates in the frequency band 4–8 GHz. The VCO has a linear variation of frequency output with the applied tuning voltage. Further optimization of the cost of the reader may be done by

Fig. 11. (a) Measured output of , calculated envelopes, and (b) phase using analytical signals. data of

using a low-cost or in-house-built wideband VCO. A 15-dB asymmetric coupled line coupler was realized on the same RF PCB section. A Mini-Circuit SIM-14+ double balanced mixer, a surface mount LPFs with cutoff frequency of 600 MHz are used to construct the reader along with other parts. The output port of the LPF is connected through an noninverting amplifier to the digital section, which yields . The signal is sampled with a 1-kHz sampling rate using the internal ADC of PIC18F452 microcontroller and samples are sent to the PC using the serial interface of the digital section for computations of amplitude and phase responses of the chipless tags using MATLAB. Parameters associated with the link budget of the experiment are also shown in Table II. A 9-b chipless RFID tag working in 4–6 GHz were chosen for the experimental verification. Since the tag is working in the 4–6-GHz frequency range, the tuning voltage for the VCO was generated using the DAC of the digital section only to sweep the frequency from 3.9–6.1 GHz (2.2-GHz BW), linearly with 5-MHz frequency steps with a chirp duration of 500 ms. As can be seen in the block diagram (Fig. 4), two in-house developed monopole antennas operating in the frequency range of 3.6–7 GHz were used as the reader antennas. Two different chipless RFID tags (resonance frequencies of the tag are given in Fig. 3) with nine encoded data bits “000000000”

2932

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

TABLE III PERFORMANCE COMPARISON OF CHIPLESS RFID TAG READING SYSTEMS

and “010101010,” respectively, were placed at a distance of 10 mm from the reader antennas. The measured output signal and the computed envelope of the signal are shown in Fig. 11. As can be seen in Fig. 11(a), the amplitude response of the tag has been identified as expected. The computed phase angle of the tag signal shows clear phase ripples (varies from ) at their corresponding resonances. The nine phase ripples are marked on the graph as shown in Fig. 11(b). The gradient profile of the calculated phase shows singularities around the phase ripple. An algorithm can be developed to detect the discontinuities in the gradient profile of the phase response of the chipless tag with respect to time. Therefore, to identify the resonances, the gradient of the calculated phase can be used in the signal processing algorithm. The finding reveals that the phase response is less affected by the interference caused by the system set up and surrounding noises [14], [31]. Using these two pieces of information, the amplitude and phase data of the tag is decoded as “000000000” and “010101010.” This work is compared with other readers and reading techniques reported in open literature in Table III. V. CONCLUSION A very low-cost novel reader architecture and a simple signal-processing algorithm for reading multiresonator-based chiplss RFID tags have been presented. The reader needs only one VCO, which is the most expensive component in the reader system. The chirped interrogation signal and mixing the transmitted signal with received signal from the chipless tag relinquishes the requirement of the second VCO as a variable LO. This technique provides much simpler RF section compared to the previous generation readers. The reader is much flexible in operation as no calibration tag is required. A simple signal-processing algorithm based on HT and the complex analytical signal representation has been used. The simple algorithm provides extraction of the tag’s information in both amplitude and phase data. Thus, a robust detection technique has been achieved. HT-based signal-processing offers more flexible reader architecture to achieve different frequency sweep bandwidths and different reading speeds easily. Both theoretical analysis and experimentation have validated the concept. A 9-b tag with two different encoded data was successfully read at a distance of 10 mm. A more directional antenna,

a more powerful VCO, and an amplifier in the receiving path of the reader will increase the reading distance. ACKNOWLEDGMENT The authors would like to thank Dr. V. Amaratunga for his technical support and Agilent ADS 2009 for software support. REFERENCES [1] K. Finkenzeller, RFID Handbook: Fundamentals and Applications in Contactless Smart Cards and Identification, 2nd ed. Hoboken, NJ: Wiley, 2003. [2] D. M. Dobkin, The RF in RFID: Passive UHF RFID in Practice. Boston, MA: Newnes, 2007. [3] V. Plessky and L. Reindl, “Review on SAW RFID tags,” IEEE Trans. Ultrason., Ferroelectr. Frequency Control, vol. 57, no. 3, pp. 654–668, Mar. 2010. [4] S. Preradovic and N. Karmakar, “Multiresonator based chipless RFID tag and dedicated RFID reader,” in IEEE MTT-S Int. Microw. Symp. Dig., Anaheim, CA, 2010, pp. 1520–1523. [5] M. Baghaei-Nejad, D. Mendoza, Z. Zou, S. Radiom, G. Gielen, L. Zheng, and H. Tenhunen, “A remote-powered RFID tag with 10 Mb/s dBm sensitivity UHF downlink in 0.18 m UWB uplink and CMOS,” in IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, San Francisco, CA, 2009, pp. 198–199a. [6] D. Dardari, R. D’Errico, C. Roblin, A. Sibille, and M. Win, “Ultrawide bandwidth RFID: The next generation?,” Proc. IEEE, vol. 98, no. 9, pp. 1570–1582, Sep. 2010. [7] A. Lazaro, A. Ramos, D. Girbau, and R. Villarino, “Chipless UWB RFID tag detection using continuous wavelet transform,” IEEE Antennas nd Wireless Propag. Lett., vol. 10, pp. 520–523, May 2011. [8] N. C. Karmakar, Handbook of Smart Antennas for RFID Systems. Hoboken, NJ: Wiley-Interscience, 2010. [9] P. R. Hartmann, “A passive SAW based RFID system for use on ordnance,” in Proc. IEEE Int. Conf. RFID, Orlando, FL, 2009, pp. 291–297. [10] L. Zheng, S. Rodriguez, L. Zhang, B. Shao, and L. Zheng, “Design and implementation of a fully reconfigurable chipless RFID tag using inkjet printing technology,” in Proc. IEEE Int. Symp. Circuits Syst., Seattle, WA, 2008, pp. 1524–1527. [11] I. Jalaly and I. D. Robertson, “Capacitively-tuned split microstrip resonators for RFID barcodes,” in Proc. Eur. Microw. Conf., Paris, France, 2005, pp. 1–4. [12] S. Mukherjee, “Chipless radio frequency identification by remote measurement of complex impedance,” in Proc. Eur. Conf. Wireless Technol., Munich, Germany, 2007, pp. 1007–1010. [13] S. Mukherjee, “Chipless radio frequency identification (RFID) device,” in Proc. 1st Annu. RFID Eurasia Conf., Istanbul, Turkey, 2007, pp. 1–4. [14] G. Chakraborty, S. Mukherjee, and K. Chiba, “Synthesis of passive RFID from backscatter using soft-computing techniques,” in Proc. 2nd Int. Conf. Emerging Applic. Inf. Technol., Kolkata, India, 2011, pp. 325–328.

KOSWATTA AND KARMAKAR: READER ARCHITECTURE BASED ON UWB CHIRP SIGNAL INTERROGATION FOR CHIPLESS RFID TAG READING

[15] S. Preradovic, I. Balbin, N. C. Karmakar, and G. F. Swiegers, “Multiresonator-based chipless RFID system for low-cost item tracking,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 5, pp. 1411–1419, May 2009. [16] S. Preradovic, I. Balbin, N. Karmakar, and G. Swiegers, “Chipless frequency signature based RFID transponders,” in Proc. 38th Eur. Microw. Conf., Amsterdam, The Netherlands, 2008, pp. 1723–1726. [17] S. Preradovic and N. Karmakar, “Chipless RFID: Bar code of the future,” IEEE Microw. Mag., vol. 11, no. 7, pp. 87–97, Dec. 2010. [18] S. Preradovic and N. Karmakar, “Design of chipless RFID tag for operation on flexible laminates,” IEEE Antennas Wireless Propag. Lett., vol. 9, pp. 207–210, Mar. 2010. [19] Y. Lee, J. Lim, C. Kim, D. Ahn, and S. Nam, “A compact-size microstrip spiral resonator and its application to microwave oscillator,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 10, pp. 375–377, Dec. 2002. [20] H. Lim, J. Lee, S. Lim, D. Shin, and N. Myung, “A novel compact microstrip bandstop filter based on spiral resonators,” in Proc. Asia–Pacific Microw. Conf., Bangkok, Thailand, 2007, pp. 1–4. [21] K. Yoon, H. Lee, J. Park, and J. Lee, “Design of a high-Q resonator for satellite broadcasting application,” in Proc. IEEE Antennas Propag. Soc. Int. Symp., San Diego, CA, 2008, pp. 1–4. [22] N. C. Karmakar, S. M. Roy, and I. Balbin, “Quasi-static modeling of defected ground structure,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 5, pp. 2160–2168, May 2006. [23] B. Edde, Radar: Principles, Technology, Applications. Upper Saddle River, NJ: Prentice-Hall, 1999. [24] J. Detlefsen, A. Dallinger, S. Schelkshorn, and S. Bertl, “UWB millimeter-wave FMCW radar using Hilbert transform methods,” in Proc. 9th Int. Symp. Spread Spectrum Tech. Applic., Manaus-Amazon, Brazil, 2006, pp. 46–48. [25] S. L. Hahn, Hilbert Transforms in Signal Processing. Boston, MA: Artech House, 1996. [26] I. Kollar, R. Pintelon, and J. Schoukens, “Optimal FIR and IIR hilbert transformer design via LS and minimax fitting,” in 7th IEEE Instrum. Meas. Technol. Conf. Rec., San Jose, CA, 1990, pp. 240–243. [27] S. Preradovic and N. Karmakar, “Design of short range chipless RFID reader prototype,” in Proc. 5th Int. Conf. Intell. Sensors, Sensor Networks Inf. Process., Melbourne, Australia, 2009, pp. 307–312. [28] M. A. Hassan, A. M. Youssef, and Y. M. Kadah, “Embedded digital signal processing for digital ultrasound imaging,” in Proc. 28th Nat. Radio Sci. Conf., Cairo, Egypt, 2011, pp. 1–10.

2933

[29] J. H. Chang, J. T. Yen, and K. K. Shung, “A novel envelope detector for high-frame rate, high-frequency ultrasound imaging,” IEEE Trans. Ultrason., Ferroelectr. Frequency Control, vol. 54, no. 9, pp. 1792–1801, Sep. 2007. [30] A. Blischak and M. Manteghi, “Pole residue techniques for chipless RFID detection,” in Proc. IEEE Antennas Propag. Soc. Int. Symp., Jun. 2009, pp. 1–4. [31] I. Dotlić and R. Kohno, “Low complexity chirp pulsed ultra-wideband system with near-optimum multipath performance,” IEEE Trans. Wireless Commun., vol. 10, no. 1, pp. 208–218, Jan. 2011.

Randika V. Koswatta (S’09) received the B.Eng. degree in electrical and electronics engineering from the University of Peradeniya, Sri Lanka, in 2007. He is currently working toward the Ph.D. degree in electrical engineering at Monash University, Melbourne, Australia. His areas of interests include chipless RFID reader design, ultra-wideband (UWB) antenna designs, UWB transceiver design for chipless RFID applications, digital electronics, and embedded systems design.

Nemai Chandra Karmakar (S’91–M’91–SM’99) received the Ph.D. degree in information technology and electrical engineering from the University of Queensland, St. Lucia, Australia, in 1999. He has 20 years of teaching, design, and research experience in smart antennas, microwave active and passive circuits, and chipless RFIDs in both industry and academia in Australia, Canada, Singapore, and Bangladesh. He has authored and coauthored more than 230 refereed journal and conference papers, 24 refereed book chapters and three edited and one coauthored books in the field of RFID. He has two patent applications for chipless RFIDs. Currently, he is an Associate Professor with the Department of Electrical and Computer Systems Engineering, Monash University, Melbourne, Australia.

2934

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

Letters Comments on “Compact Broadband Gysel Power Divider With Arbitrary Power-Dividing Ratio Using Microstrip/Slotline Phase Inverter” Zhengyu Sun, Lijun Zhang, Yuepeng Yan, and Hongwen Yang

In the above paper [1], the authors present a compact broadband Gysel power divider with an arbitrary power-dividing ratio. The broadband feature of the circuit is obtained by combining the dual-band four-branch-line structure and the ideal 180° phase shifter, as shown in Fig. 1. As in our study [2], a dual-band rat-race coupler with an arbitrary power dividing ratio is presented. The dual-band feature of our proposed structure is obtained by combining a dual-band four-branch-line structure and the dual-frequency 180° phase shifter, as shown in Fig. 2. Note that the equivalent circuit of our proposed coupler, which has been redrawn in Fig. 3, is the same as the one and in Fig. 1 are in Fig. 1, except that the isolation resistors combined as one single-port termination in Fig. 3. As long as the phase shifter can provide the 180° phase delay, the structures of Figs. 1 and 3 with different pair of isolation resistors, but same as in [1, eq. (20)] are equivalent. Therefore, our derived equation and as in [2, eqs. (14) and (15)] are the of impedance lines same as in [1, eqs. (6) and (12)]. In [2], we have also pointed out the close relationship between the rat-race coupler and the Gysel power divider by showing that the equation derived in [2, eqs. (14) and (15)] can also be derived from [3, eqs. (3), (34), (39), and (40)] . If the dual-frequency 180° phase applying the condition shifter is substituted by ideal 180° phase shifter using technology like a microstrip/slotline phase inverter, as in [1], a compact broadband rat-race coupler with an arbitrary power dividing ratio can be realized as shown in Fig. 3. Fig. 4 illustrates frequency response from the broadband rat-race coupler with ideal components, which is designed GHz and GHz with a power dividing ratio of for 2. As shown in Fig. 4, the broadband rat-race coupler can achieve a minimum return loss of 14 dB and a maximum gain ripple of 0.18 dB with zero phase and gain deviations across the entire band from 0.8 to 2.2 GHz.

Fig. 2. Dual-band rat-race coupler with arbitrary power-dividing ratio [2].

Fig. 3. Equivalent circuit of proposed coupler [2].

Fig. 4. Frequency response of the broadband rat-race coupler.

REFERENCES Fig. 1. Broadband Gysel power divider with arbitrary power-dividing ratio [1]. Manuscript received April 09, 2012; accepted June 09, 2012. Date of publication July 16, 2012; date of current version August 28, 2012. The authors are with the Institute of Microelectronics, Chinese Academy of Sciences, 100029 Beijing, China (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2012.2206605

[1] F. Lin, Q. X. Chu, Z. Gong, and Z. Lin, “Compact broadband Gysel power divider with arbitrary power-dividing ratio using microstrip/ slotline phase inverter,” IEEE Trans. Microw. Theory Tech., vol. 60, no. 5, pp. 1226–1234, May 2012. [2] Z. Sun, L. Zhang, Y. Yan, and H. Yang, “Unequal dual-band rat-race coupler based on dual-frequency 180 degree phase shifter,” J. Electromagn. Waves Appl., vol. 25, no. 13, pp. 1840–1850, Sep. 2011. [3] Z. Sun, L. Zhang, Y. Yan, and H. Yang, “Design of unequal dualband Gysel power divider with arbitrary termination resistance,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 8, pp. 1955–1962, Aug. 2011.

0018-9480/$31.00 © 2012 IEEE

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

2935

Authors’ Reply Feng Lin, Qing-Xin Chu, and Sai Wai Wong We would like to thank Sun et al. for their comments on the above paper [1], which offers a valuable opportunity for us to emphasize the following points. As mentioned in [1], our proposed two-way Gysel power divider was derived from the conventional two-way Gysel power divider by substituting an ideal phase inverter for the 180 phase shifter. The first draft of [1], which proposed an equal power output two-way Gysel power , divider with the same structure of [1, Fig. 2], except that was submitted to this TRANSACTIONS in April 2011. After this, we continued to further improve our study. We found that due to the use , the structure of [1, Fig. of an ideal phase inverter, when 2] not only retained the original circuit characteristics of dual-band impedance matching operation and perfect output isolation independent of frequency, but also were extended to support unequal power-dividing output. In early September 2011, we received the reviewers’ comments of the initial study. One reviewer suggested the proposed circuit should be extended to support unequal power-dividing output. Thus, the further studies of the initial circuit during the paper review process were added to [1], which was also resubmitted in September 2011, the same month of publication of [2]. The work of [2] remained unknown to us until the comments thereafter. Therefore, the research of our work was performed completely independently and without any prior knowledge of the work of [2]. In our research, we also noticed the close relationship between the rat-race coupler and the two-way Gysel power divider. The two-port -panetworks in Fig. 1(a) and (b) are equivalent due to that the rameters of the two networks can both be expressed by (1) as follows:

Fig. 1. (a) Two sections of transmission lines in serial connected with one phase inverter with two shunt resistors. (b) Equivalent circuit of (a) with the comand . (c) Equivalent circuit of (b) with changed position bined resistor of of phase inverter. (d) Broadband rat-race coupler for arbitrary power-dividing ratio.

(1) Therefore, for ports 1–3, the -parameters of the Gysel power divider in [1, Fig. 2] and the equivalent circuit of the rat-race coupler in [2, Fig. 2] are exactly the same, which can also explain why [1] and [2] derived the same design equations. The network of Fig. 1(b) can go a step further to be equivalent to the network of Fig. 1(c). Therefore, the proposed Gysel power divider in [1, Fig. 2] and the equivalent circuit of the rat-race coupler in [2, Fig. 2] can be further equivalent to the structure of rat-race coupler in Fig. 1(d) under the conditions (the port impedance) and . Since the of can structure in Fig. 1(d) is symmetric, the impedance values be easily derived by employing the even–odd-mode analysis. Thus, the analysis of the asymmetric structures of [1, Fig. 2] and [2, Fig. 2] can be simplified to the analysis of symmetric structure of Fig. 1(d). In Manuscript received April 29, 2012; accepted June 07, 2012. Date of publication July 10, 2012; date of current version August 28, 2012. This work was supported by the National Natural Science Foundation of China under Grant 61171029 and Grant 61101017. The authors are with the School of Electronic and Information Engineering, South China University of Technology, Guangzhou 510641, China (e-mail: [email protected]; [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2205940

Fig. 2. Configuration of the proposed microstrip rat-race coupler ( , GHz and power-dividing ratio ) (all dimensions are in millimeters).

addition, some studies closely related to the circuit of rat-race coupler in Fig. 1(d) can be found in [3]–[8]. Before the comments, we had proposed a novel configuration of compact broadband microstrip rat-race coupler using the microstrip/slotline phase inverter [1] for arbitrary power-dividing ratios, based on the previous studies [3]–[8], and [9] was submitted to the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS on April 9, 2012. Fig. 2 shows the final configuration of our proposed rat-race coupler with a power-dividing ratio of 2:1, operating at 1.0/2.0 GHz, which , loss was fabricated on a substrate with dielectric constant tangent , and thickness mm. Fig. 3 shows the

0018-9480/$31.00 © 2012 IEEE

2936

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 9, SEPTEMBER 2012

vector network analyzer and the electromagnetic simulator HFSS, respectively. If the 20-dB return loss is referenced, the measured data indicate that within 0.863 0.998 GHz and 1.88 2.08 GHz, the isolation is better than 24.7 dB, while the passband ripple is below 0.2 dB. For the port (port 1) excitation, the maximal insertion losses measured for the two passbands are 1.8/4.9 and 2.2/5.0 dB, respectively. For the port (port 3) excitation, the maximal insertion losses measured for the two passbands are 2.2/5.0 and 2.2/5.1 dB, respectively. The measured insertion losses include connector loss and the theoretical values are 1.76/4.77 dB. The output amplitude and phase imbalance performance can be observed from Fig. 3(c) and (d). For the port (port 1) excitation, the measured maximum amplitude imbalance of 3.1 dB and maximum phase imbalance of 1.6 are achieved over these two operating bands. For the port (port 3) excitation, the measured maximum amplitude imbalance of 3.0 dB and maximum phase imbalance of 5 from 180 are achieved over these two operating bands. Since there are many similarities between the two-way Gysel power divider and the rat-race coupler, it is believed that many ideas to design the rat-race coupler can be extended to design the two-way Gysel power divider with the similar performance, and vice versa.

REFERENCES

Fig. 3. Simulated and measured results of the designed broadband rat-race coupler. Amplitude characteristics with: (a) the port (port 1) excitation and (b) the ports (ports 2 and 3) excitation. (c) Output amplitude and (d) phase imbalances.

measured scattering parameters of the rat-race coupler and the simulated performance. They were performed by using an Advantest R3770

[1] F. Lin, Q.-X. Chu, Z. Gong, and Z. Lin, “Compact broadband Gysel power divider with arbitrary power-dividing ratio using microstrip/ slotline phase inverter,” IEEE Trans. Microw. Theory Tech., vol. 60, no. 5, pp. 1226–1234, May 2012. [2] Z. Sun, L. Zhang, Y. Yan, and H. Yang, “Unequal dual-band rat-race coupler based on dual-frequency 180 degree phase shifter,” J. Electromagn. Waves Appl., vol. 25, no. 13, pp. 1840–1850, Sep. 2011. [3] S. Rehnmark, “Wide-band balanced line microwave hybrids,” IEEE Trans. Microw. Theory Tech., vol. MTT-25, no. 10, pp. 825–830, Oct. 1977. [4] B. R. Heimer, L. Fan, and K. Chang, “Uniplanar hybrid couplers using asymmetrical coplanar striplines,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 12, pp. 2234–2240, Dec. 1997. [5] T. Q. Wang and K. Wu, “Size-reduction and band-broadening design technique of uniplanar hybrid ring coupler using phase inverter for M(H)MIC’s,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 2, pp. 198–206, Feb. 1999. [6] C.-Y. Chang and C.-C. Yang, “A novel broadband Chebyshev-response rat-race ring coupler,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 4, pp. 455–462, Apr. 1999. [7] C.-H. Chi and C.-Y. Chang, “A new class of wideband multisection 180 hybrid rings using vertically installed planar couplers,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 6, pp. 2478–2486, Jun. 2006. [8] T. T. Mo, Q. Xue, and C. H. Chan, “A broadband compact microstrip rat-race hybrid using a novel CPW inverter,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 1, pp. 161–167, Jan. 2007. [9] F. Lin, Q.-X. Chu, and S. W. Wong, “Compact broadband microstrip rat-race couplers using microstrip/slotline phase inverters for arbitrary power-dividing ratios,” IEEE Microw. Wireless Compon. Lett., submitted for publication.

Editor-in-Chief George E. Ponchak, Ph.D, FIEEE IEEE Transactions on Microwave Theory and Techniques Editorial Office c/o Mrs. Kim Tanger, Editorial Assistant Ohio Aerospace Institute 22800 Cedar Point Road Cleveland, Ohio 44142 E-mail: [email protected] or [email protected] Phone: 440-962-3023 Fax: 440-962-3057

Information for Authors The IEEE TRANSACTIONS on MICROWAVE THEORY and TECHNIQUES is published monthly with a focus on that part of engineering and theory associated with microwave/millimeter-wave technology and components, electronic devices, guided wave structures and theory, electromagnetic theory, and Radio Frequency Hybrid and Monolithic Integrated Circuits, including mixed-signal circuits, from a few 100 MHz to THz. I. Paper Submission in Electronic Form Authors need to visit the website http://www.mtt.org/transactions/34-author-information-transactions.html for the author instructions. To reduce time from submission to publication of papers, the editorial office accepts manuscripts only in electronic form as .pdf files and all communications with authors will be via email. The files must not be larger than 1MB and no *.zip files are accepted. Submissions should be submitted through the Manuscript Central site at: http://mc.manuscriptcentral.com/tmtt-ieee and use the templates provided under http://www.ieee.org/publications_standards/publications/authors/authors_journals.html (Template for all Transactions (except IEEE Transactions on Magnetics), two-column template; can also be requested from the editorial office). Figures, graphs and all other necessary information for reviewing the manuscript must be included in this file (as opposed to being attached to it as separate files) and placed at appropriate locations within the text rather than at the end: • • • • • • • • • •

Figures should be large enough to be easily readable on a computer screen and on paper when printed out. A photograph of any component or circuit presented must be included. If, at the decision of the Editor, the component or circuit can be fabricated, measured characteristics must be included. All papers with theoretical contributions must have independent verification with measurement-based validation strongly preferred. Instrument screen captures are not suitable for publication and the data should be replotted. The print version of the paper will be in black and white, but color figures may be used in the electronic version of the paper. Axes should be labeled with large lettering. Whenever possible, theory and corresponding experimental results should be printed on the same graph for easy comparison. Follow the Guidelines for Author-Supplied Electronic Text and Graphics available for download at the above website. The minimum paper length is 4 pages, excluding the authors’ photos and biographies. Short papers of three pages or less should be sent to the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. The font size is specified in the templates. TeX and LaTeX users must use scalable fonts rather than bitmapped fonts to allow easy reading of .pdf files on the computer screen. Note: Manuscripts that are related to material submitted to or published at conferences are considered only if the content is significantly updated or contains material of substantially complementary nature. Authors must reference all of their previous papers that are similar. Please attach .pdf files of previous papers and clearly state (on a separate page) the difference with respect to the current submission. Failure to disclose prior papers by the authors that are similar will be rejected. II. Final Submission Format After a manuscript has been accepted for publication, the author will be requested to provide an electronic copy of the final version of the manuscript in pdf format; Microsoft Word is the preferred format for this final submission, although TEX and LATEX formats are also acceptable. Note: Although we require a .pdf file of the manuscript for the review process, this format is not acceptable (neither is .ps) for the final submission. Some additional guidelines must, however, be followed for the submission of the final manuscript in electronic form: • Include all macros (/def) that are required to produce your manuscript (TEX and LATEX). • IEEE Transaction/Journal style dictates a 21-pica (3.5 inch) column width. If mathematical expressions are produced with this in mind, they are more aesthetically pleasing in the final version. • Figures and tables must be submitted as separate files in .ps, .eps, .doc or .tiff format III. Page Charge Papers will be reviewed for their technical merit, and decisions to publish will be made independently of an author’s ability to pay page charges. Page charges of $110 (U.S.) per printed page will be requested on papers of six printed pages or less. Overlength page charges of $200 per page are mandatory for each page in excess of six pages. If the author’s organization agrees to honor the total page charge, which includes the page charges on the first six pages plus the mandatory overlength charge, the author will receive 100 reprints. If the supporting organization honors only the mandatory charge, no free reprints will be sent.

Digital Object Identifier 10.1109/TMTT.2012.2214576

Digital Object Identifier 10.1109/TMTT.2012.2214571

Digital Object Identifier 10.1109/TMTT.2012.2214572

Digital Object Identifier 10.1109/TMTT.2012.2214573

EDITORIAL BOARD Editor-in-Chief: GEORGE E. PONCHAK Associate Editors: H. ZIRATH, W. VAN MOER, J.-S. RIEH, Q. XUE, L. ZHU, K. J. CHEN, M. YU, C.-W. TANG, J. PAPAPOLYMEROU, N. S. BARKER, C. D. SARRIS, C. FUMEAUX, D. HEO, B. BAKKALOGLU The following members reviewed papers during 2011

P. Aaen A. Abbaspour-Tamijani A. Abbosh D. Abbott A. Abdipour M. Abe M. Abegaonkar R. Abhari A. Abramowicz M. Acar L. Accatino R. Achar E. Ackerman J. Adam K. Agawa M. Ahmad H.-R. Ahn B. Ai M. Aikawa J. Aikio C. Aitchison M. Akaike T. Akin S. Aksoy I. Aksun A. Akyurtlu G. Ala L. Albasha A. Alexanian W. Ali-Ahmad F. Alimenti R. Allam K. Allen A. Alphones A. Alu A. Álvarez-Melcon A. Al-Zayed S. Amari H. Amasuga R. Amaya H. An D. Anagnostou M. Andersen K. Andersson M. Ando Y. Ando P. Andreani M. Andrés W. Andress K. Ang C. Angell I. Angelov Y. Antar G. Antonini H. Aoki V. Aparin F. Apollonio R. Araneo J. Archer F. Ares F. Ariaei T. Arima M. Armendariz L. Arnaut F. Arndt E. Artal H. Arthaber F. Aryanfar U. Arz M. Asai Y. Asano A. Asensio-Lopez K. Ashby H. Ashoka A. Atalar A. Atia S. Auster I. Awai A. Aydiner M. Ayza K. Azadet R. Azaro A. Babakhani P. Baccarelli M. Baginski I. Bahl S. Bajpai J. Baker-Jarvis B. Bakkaloglu M. Bakr A. Baladin C. Balanis S. Balasubramaniam J. Balbastre J. Ball P. Balsara Q. Balzano A. Banai S. Banba R. Bansal D. Barataud A. Barbosa F. Bardati I. Bardi J. Bardin A. Barel S. Barker F. Barnes J. Barr G. Bartolucci R. Bashirullan S. Bastioli A. Basu B. Bates R. Baxley Y. Bayram J.-B. Bégueret N. Behdad F. Belgacem H. Bell D. Belot J. Benedikt T. Berceli C. Berland M. Berroth G. Bertin E. Bertran A. Bessemoulin M. Beurden A. Bevilacqua A. Beyer M. Bialkowski

E. Biebl P. Bienstman S. Bila D. Blackham R. Blaikie M. Blank P. Blockley P. Blondy P. Blount D. Boccoli G. Boeck L. Boglione R. Boix G. Bonaguide F. Bonani G. Bonmassar O. Boos B. Borges V. Boria-Esbert O. Boric-Lubecke A. Borji S. Borm J. Bornemann W. Bosch R. Bosisio H. Boss G. Botta N. Boulejfen S. Boumaiza J. Bouny C. Boyd C. Bozler M. Bozzi R. Bradley D. Braess N. Braithwaite M. Brandolini G. Branner T. Brazil J. Breitbarth M. Bressan K. Breuer B. Bridges D. Bridges J. Brinkhoff E. Brown S. Brozovich E. Bryerton D. Budimir G. Burdge P. Burghignoli N. Buris C. C. Galup-Montoro B. Cabon P. Cabral L. Cabria C. Caloz C. Camacho-Peñalosa V. Camarchia E. Camargo R. Cameron M. Camiade C. Campbell M. Campovecchio F. Canavero A. Cangellaris A. Cantoni C. Cao F. Capolino F. Cappelluti G. Carchon J. Carmo K. Carr F. Carrez R. Carrillo-Ramirez P. Carro R. Carter N. Carvalho P. Casas R. Castello J. Catala M. Cavagnaro R. Caverly D. Cavigia J. Cazaux M. Celuch Z. Cendes D. Chadha M. Chae S. Chakraborty C. Chan C. Chang H. Chang K. Chang S. Chang T. Chang W. Chang E. Channabasappa H. Chapell W. Chappell C. Charles M. Chatras I. Chatterjee G. Chattopadhyay S. Chaudhuri S. Chebolu A. Cheldavi A. Chen C. Chen H. Chen J. Chen K. Chen M. Chen N. Chen S. Chen Y. Chen Z. Chen Z.-N. Chen H. Cheng K. Cheng M. Cheng Y. Cheng C. Cheon C. Chi M. Chia Y. Chiang J. Chiao A. Chin K. Chin H. Chiou Y. Chiou C. Chiu

H. Chiu A. Chizh C. Cho K. Cho T. Cho A. Choffrut C. Choi J. Choi W. Choi C. Chong M. Chongcheawchamnan C. Chou D. Choudhury E. Chow Y. Chow C. Christodoulou C. Christopoulos Q. Chu T. Chu H. Chuang M. Chuang Y. Chun S. Chung Y. Chung D. Chye A. Cidronali T. Cisco C. Cismaru O. Civi S. Clavijo M. Clénet D. Cogan P. Colantonio M. Cole J. Coleman J. Collantes R. Collin C. Collins B. Colpitts R. Compton G. Conciauro M. Condon D. Consonni A. Constanzo M. Converse K. Cools F. Cooray I. Corbella A. Costanzo S. Cotton C. Courtney G. Coutts J. Cowles J. Craninckx C. Crespo-Cadenas J. Cressler S. Cripps T. Crowe J. Cruz T. Cui E. Cullens T. Cunha W. Curtice J. Dabrowski W. Dai G. Dambrine P. Dankov F. Danneville I. Darwazeh A. Darwish N. Das M. Davidovich L. Davis D. Dawn J. Dawson H. Dayal F. De Flaviis D. De Zutter B. Deal A. Dearn J. Deen M. Dehan C. Dehollain C. Deibele G. Dejean M. DeLisio N. Deltimple S. Demir V. Demir J. Deng A. Dengi T. Denidni W. DeRaedt H. Deshpande Y. Deval R. Dey T. Dhaene L. Diaz A. Diaz-Morcillo L. Ding M. Dionigi C. Diskus A. Djordjevi T. Djordjevic J. Dobrowolski H. Dogan S. Donati X. Dong A. Dounavis P. Draxler R. Drayton A. Dreher J. Drewniak J. Duchamp A. Duffy L. Dunleavy J. Dunsmore S. Durden L. Dussopt C. Duvanaud J. East J. Ebel K. Eccleston I. Ederra R. Egri I. Ehrenberg N. Ehsan T. Eibert H. Eisele W. Eisenstadt G. Eleftheriades

F. Ellinger G. Ellis T. Ellis M. El-Nozahi M. Elsbury S. Elschner M. El-Shenawee T. Enoki K. Entesari L. Epp I. Erdin O. Ergul T. Eriksson C. Ernst D. Erricolo I. Eshrah M. Essaaidi H. Esteban C. Eswarappa W. Eyssa A. Ezzeddine C. Fager M. Fahmi Y. Fan D. Fang M. Farina A. Fathy M. Faulkner P. Fay A. Fazzi E. Fear P. Fedorenko D. Feld Y. Feng A. Feresidis A. Fernandez T. Fernandez M. Fernández-Barciela M. Ferndahl F. Fernez P. Ferrari E. Ferre-Pikal A. Ferrero M. Ferriss H. Fetterman J. Fiedziuszko S. Fiedziuszko G. Fikioris J. Fikioris I. Filanovsky F. Filicori D. Filipovic R. Fletcher B. Floyd H. Foltz N. Fong B. Fornberg F. Fortes K. Foster P. Foster P. Franzon A. Frappe J. Freire M. Freire A. Freundorfer F. Frezza I. Frigyes R. Frye J. Fu O. Fu R. Fujimoto O. Fujiwara C. Fumeaux C. Furse V. Fusco D. Gabbay E. Gad M. Gadringer N. Gagnon J. Gajadharsing A. Gala C. Galbraith B. Galwas J. Gambini A. Gameiro O. Gandhi B. Gao J. Gao S. Gao C. Gaquiere H. Garbe J. Garcia M. Garcia P. Garcia-Ducar F. Garcia-Vidal K. Gard P. Gardner P. Garland P. Gaudo J. Gautier S. Gedney B. Geelen F. Gekat B. Geller R. Genov A. Georgiadis N. Georgieva J. Gerdes W. Gerhard S. Gevorgian H. Ghali M. Ghanevati F. Ghannouchi K. Gharaibeh R. Gharpurey G. Ghione M. Ghovanloo F. Giannini A. Gibson I. Gil P. Gilabert B. Gimeno D. Ginste A. Goacher E. Godshalk A. Goel C. Goldsmith M. Golio M. Golosovsky R. Gómez-García A. Goncharenko X. Gong

R. Gonzalo S. Goodnick S. Gopalsami A. Gopinath A. Görür K. Gosalia M. Gouker K. Goverdhanam W. Grabherr J. Graffeuil L. Gragnani J. Grahn J. Grajal V. Granatstein A. Grbic A. Grebennikov I. Gresham A. Griol D. Grischowsky S. Grivet-Talocia E. Grossman S. Gruszczynski T. Grzegorczyk S. Guenneau T. Guerrero S. Gunnarsson J. Guo Y. Guo C. Gupta M. Gupta R. Gupta R. Gutmann W. Gwarek R. Habash S. Hadjiloucas D. Haemmerich M. Hagmann S. Hagness A. Halappa P. Hale D. Ham E. Hamidi O. Hammi H. Han T. Hancock A. Hanke G. Hanson Y. Hao Z. Hao R. Harjani L. Harle H. Harris P. Harrison O. Hartin J. Hasch H. Hashemi K. Hashimoto J. Haslett G. Hau S. Hauptmann L. Hayden L. He Y. He R. Heath E. Hegazi G. Hegazi S. Heinen W. Heinrich G. Heiter M. Hella R. Henderson F. Henkel B. Henning D. Heo K. Herrick F. Herzel J. Hesler J. Hesthaven K. Hettak H. Heuermann P. Heydari A. Hietala A. Higgins A. Hirata J. Hirokawa M. Ho K. Hoffmann R. Hoffmann E. Holzman V. Hombach J. Hong S. Hong W. Hong K. Honjo G. Hopkins Y. Horii J. Horng T.-S. Horng J. Horton K. Hosoya M. Hotta J. Hoversten J. Howard M. Høyerby H. Hsieh L. Hsieh C. Hsu H. Hsu J. Hsu C. Hsue R. Hu C. Huang F. Huang H. Huang P. Huang T. Huang J. Hubert W. Huei A. Hülsmann A. Hung C. Hung J. Hung I. Hunter I. Huynen H. Hwang J. Hwang K. Hwang R. Hwang G. Iannaccone K. Ikossi M. Isaksson T. Ishizaki

Digital Object Identifier 10.1109/TMTT.2012.2214575

S. Islam M. Ito K. Itoh T. Itoh Y. Itoh A. Ittipiboon F. Ivanek D. Iverson M. Iwamoto D. Jablonski D. Jachowski C. Jackson D. Jackson R. Jackson A. Jacob K. Jacobs S. Jacobsen D. Jaeger J. Jaeger S. Jagannathan N. Jain G. James M. Janezic S. Jang M. Jankovic D. Jansen L. Jansson H. Jantunen H. Jardon-Aguilar J. Jargon N. Jarosik B. Jarry P. Jarry A. Jastrzebski B. Jemison W. Jemison S. Jeng A. Jenkins S. Jeon D. Jeong J. Jeong Y. Jeong A. Jerng T. Jerse T. Jiang X. Jiang G. Jianjun D. Jiao J. Jin J. M. Jin J. Joe T. Johnson B. Jokanovic U. Jordan K. Joshin J. Joubert S. Jung T. Kaho S. Kanamaluru K. Kanaya S. Kang P. Kangaslahti B. Kapilevich I. Karanasiou M. Karim T. Kataoka A. Katz R. Kaul R. Kaunisto T. Kawai S. Kawasaki M. Kazimierczuk L. Kempel P. Kenington P. Kennedy A. Kerr D. Kettle A. Khalil W. Khalil S. Khang A. Khanifar A. Khanna R. Khazaka J. Khoja S. Kiaei J. Kiang B. Kim C. Kim D. Kim H. Kim I. Kim J. Kim S. Kim T. Kim W. Kim N. Kinayman R. King N. Kinzie S. Kirchoefer A. Kirilenko M. Kishihara T. Kitazawa J. Kitchen T. Klapwijk E. Klumperink D. Klymyshyn L. Knockaert R. Knoechel M. Koch K. Koh N. Kolias J. Komiak A. Komijani G. Kompa A. Konanur A. Konczykowska H. Kondoh B. Kopp B. Kormanyos J. Korvink P. Kosmas Y. Kotsuka S. Koziel A. Kozyrev V. Krishnamurthy H. Krishnaswamy C. Krowne J. Krupka D. Kryger H. Ku H. Kubo A. Kucar A. Kucharski

C. Kudsia A. Kudymov D. Kuester B. Kuhn W. Kuhn T. Kuki A. Kumar J. Kuno C. Kuo J.-T. Kuo H. Kurebayashi F. Kuroki L. Kushner S. Kusunoki D. Kuylenstierna Y. Kwon G. Kyriacou A. Lacaita J. Lamb P. Lampariello U. Langmann T. Larsen L. Larson J. Laskar C. Lau K. Lau A. Lauer D. Lautru P. Lavrador A. Lavrinenko A. Lazaro G. Lazzi R. Lech B. Lee C.-H. Lee C. Lee H. Lee J. Lee J.-H. Lee K. Lee R. Lee S. Lee T. Lee Y. Lee D. Leenaerts Z. Lei G. Leizerovich K. Leong Y. Leong R. Leoni C. Ler G. Leuzzi B. Levitas R. Levy C. Li L. Li M. Li X. Li L. Lianming C. Liao S. Liao D. Lie E. Lima E. Limiti F. Lin J. Lin K. Lin T. Lin Y. Lin S. Lindenmeier A. Lindner F. Ling D. Linkhart P. Linnér D. Linten D. Linton D. Lippens F. Little V. Litvinov C. Liu H. Liu J. Liu K. Liu Q. Liu S. Liu Y. Liu Z. Liu A. Llewandowski O. Llopis I. Lo L. Locht A. Loke K. Lonngren T. Lopetegi N. Lopez U. Lott G. Lovat D. Lovelace Z. Low C. Lu L. Lu S. Lu Y. Lu V. Lubecke S. Lucyszyn D. Ludwig N. Luhmann M. Lui J. Luy G. Lyons A. M. Niknejad K. Ma T.-G. Ma Z. Ma S. Maas P. Maccarini G. Macchiarella J. Machac B. Machiels M. Madihian A. Madjar G. Magerl S. Magierowski R. Mahmoudi I. Maio F. Maiwald A. Majedi H. Majedi M. Majewski M. Makimoto R. Makinen D. Malocha J. Manges

R. Mansour D. Manstretta J. Mao S. Mao F. Maradei A. Margomenos D. Markovic E. Márquez-Segura J. Martens F. Martin E. Martini K. Maruhashi J. Marzo D. Masotti A. Massa G. Massa F. Mastri J. Mateu A. Matsushima M. Mattes G. Matthaei K. Mayaram M. Mayer U. Mayer W. Mayer J. Mazeau S. Mazumder A. Mazzanti G. Mazzarella K. McCarthy G. McDonald I. McGregor M. McKinley J. McLean D. McQuiddy A. Mediano F. Medina M. Megahed I. Mehdi K. Mehrany A. Melcon R. Melville F. Mena D. Mencarelli C. Meng R. Menozzi W. Menzel P. Mercier B. Merkl F. Mesa R. Metaxas A. Metzger P. Meyer P. Mezzanotte E. Michielsen A. Mickelson D. Miller P. Millot J. Mingo F. Miranda D. Mirshekar A. Mirzaei S. Mitilineos R. Miyamoto K. Mizuno J. Modelski W. Moer M. Moghaddam A. Mohammadi S. Mohammadi A. Mohammadian P. Mohseni E. Moldovan M. Mollazadeh M. Mongiardo P. Monteiro J. Montejo-Garai G. Montoro J. Monzó-Cabrera J. Morente T. Morf D. Morgan M. Morgan A. Morini A. Morris J. Morsey A. Mortazawi M. Moussa M. Mrozowski Q. Mu J.-E. Mueller J. Muldavin K. Murata S.-S. Myoung M. Myslinski B. Nabet V. Nair K. Naishadham Y. Nakasha M. Nakatsugawa M. Nakhla J.-C. Nallatamby I. Nam S. Nam J. Nanzer T. Narhi A. Nashashibi A. Natarajan J. Nath A. Navarrini J. Navarro J. Nebus R. Negra J. Neilson B. Nelson P. Nepa A. Neri H. Newman G. Ng D. Ngo E. Ngoya C. Nguyen E. Nicol A. Nicolet S. Nicolson E. Niehenke M. Nielsen K. Nikita P. Nikitin N. Nikolova M. Nisenoff K. Nishikawa T. Nishino

G. Niu B. Noori C. Nordquist B. Notaros K. Noujeim D. Novak I. Novak G. Nusinovich K. O I. Obeid J. Obregon R. O’Dea M. O’Droma M. Odyniec J.-E. Oh T. Ohira E. Öjefors H. Okazaki V. Okhmatovski A. Oki M. Okumura G. Olbrich S. Olson F. Olyslager A. Omar K. Onodera B.-L. Ooi S. Ootaka H. Oraizi G. Orengo A. Orlandi R. Orta J. Ortega-Gonzalez S. Ortiz S. Otaka B. Otis K. Ozdemir T. Ozdemir O. Ozlem P. Paco R. Paknys S. Pal Y. Palaskas D. Palmer S. Pamarti G.-W. Pan S.-K. Pan A. Panariello K. Pance J. Papapolymerou S. Parisi C.-S. Park E. Park J.-S. Park M.-J. Park S. Park W. Park A. Parker T. Parker D. Pasquet M. Pastorino H. Pau S. Paulotto A. Pavio D. Pavlidis W. Pearson J.-C. Pedro S. Peik S. Pellerano G. Pelosi M. Pelosi D. Pelz R. Pengelly J. Pereda F. Pereira A. Perennec B. Perlman D. Peroulis L. Perregrini K. Per-Simon M. Persson M. Petelin A. Peterson A. Petosa O. Peverini U. Pfeiffer A.-V. Pham J. Phillips H. Pickett M. Pieraccini L. Pierantoni B. Pillans S. Pinel Z. Ping M. Pirola S. Pisa G. Pisano D. Pissoort D. Plant C. Plett J. Plumridge C. Pobanz A. Poddar F. Podevin R. Pogorzelski G. Ponchak A. Poon D. Popovic Z. Popovic J. Portilla M. Pospieszalski A. Pothier K. Pourvoyeur J. Powell H. Powen R. Prabhu L. Pradell S. Prasad D. Prather A. Priou S. Pruvost Y. Qian R. Qiang J. Qiu T. Quach X. Quan R. Quay C. Queck C. Quendo R. Quéré F. Quesada F. Raab V. Radisic

M. Raffetto A. Raffo T. Rahkonen R. Raich A. Raisanen O. Ramahi M. Ramdani R. Ranson P. Rantakari L. Ranzani P. Ratajczak H. Rategh C. Rauscher J. Rautio T. Rautio B. Rawat J. Rayas-Sanchez G. Rebeiz J. Rebollar M. Reddy J. Reid R. Reid J. Reina-Tosina S. Reising B. Rembold K. Remley R. Renaut S. Rengarajan D. Resca P. Reynaert S. Reynolds A. Rezazadeh E. Rezek S. Ricci A. Riddle L. Rienzo D. Ritter E. Rius J. Rizk V. Rizzoli M. Roberg I. Robertson P. Roblin A. Roden C. Rodenbeck W. Rodriguez F. Rodriguez-Morales M. Rodwell A. Rofougaran R. Rogers H. Rogier U. Rohde V. Rokhlin Y. Rolain J.-M. Rollin R. Romanofsky S. Romisch G. Romo Y. Rong D. Rönnow D. Root N. Rorsman M. Rosario L. Roselli A. Rosen U. Rosenberg M. Rosker T. Roste F. Rotella E. Rothwell R. Rotman P. Rovati J. Roy L. Roy M. Roy T. Rozzi T. Rubaek J. Rubio D. Rudolph M. Rudolph A. Ruehli C. Ruppel A. Rydberg J. Ryynänen C. Saavedra F. Sabath K. Sachse B. Sadler N. Safari A. Safarian A. Safavi-Naeini A. Safwat P. Saha K. Saito I. Sakagami S. Sakhnenko T. Samaras J. Sambles C. Samori A. Sanada J. Sanchez S. Sancho K. Sano A. Santarelli H. Santos S. Sanyal K. Sarabandi T. Sarkar C. Sarris H. Sato P. Saunier M. Sawan H. Sayadian A. Sayeed W. Scanlon E. Schamiloglu J. Schellenberg M. Schindler E. Schlecht E. Schmidhammer L.-P. Schmidt S. Schmidt D. Schmitt F.-J. Schmueckle J. Schoebel D. Schreurs D. Schrijver A. Schuchinsky P. Schuh L. Schulwitz K. Schünemann J. Schutt-Aine

J. Scott F. Sechi K. Sellal V. Semenov E. Semouchkina K.-S. Seo J. Sercu A. Serebryannikov J. Sevic O. Sevimli F. Seyfert L. Shafai A. Shameli O. Shanaa Z. Shao I. Shapir A. Sharma S. Sharma J. Sharp D. Sheen T. Shen Z. Shen Y. Shestopalov J. Shi Y.-Q. Shi H. Shigematsu Y. Shih H. Shin S. Shin S.-H. Shin N. Shino W. Shiroma S. Shitov K. Shu D. Shyroki D. Sievenpiper C. Silva D. Silveira M. Silveirinha K. Silvonen W. Simbuerger G. Simin R. Simons C. Simovsky J. Simpson V. Simulik D. Simunic H. Singh D. Sinnott Z. Sipus C. Siviero H. Sjöland M. Slazar-Palma R. Sloan P. Smith C. Snowden R. V. Snyder M. Sobhy A. Sodagar N. Sokal K. Solbach J. Sombrin Y.-K. Song R. Sorrentino A. Soury E. Sovero J. Sowers R. Sperlich B. Spielman K. Stadius P. Staecker D. Staiculescu D. Stancil A. Stancu A. Stanitzki S. Stapleton J. Staudinger P. Stauffer B. Stec D. Steenson P. Steenson M. Steer G. Stegmayer J. Stenarson B. Stengel K. Stephan C. Stevens N. Stevens M. Steyaert J. Stiens I. Stievano S. Stitzer M. Straayer B. Strassner A. Street W. Struble M. Stubbs M. Stuchly B. Stupfel A. Suárez G. Subramanyam T. Sudo N. Suematsu T. Suetsugu C. Sullivan F. Sullivan A. Sulyman N. Sun S. Sun X. Sun R. Sutton K. Suzuki J. Svacina M. Swaminathan D. Swanson B. Szendrenyi W. Tabbara A. Taflove Y. Tajima T. Takagi M. Takahashi I. Takenaka T. Takenaka V. Talanov S. Talisa K.-W. Tam B. Tan E. Tan J. Tan T. Tanaka C.-W. Tang W.-C. Tang

X.-H. Tang T. Taris R. Tascone P. Tasker J. Taub J. Tauritz V. Tavares S. Taylor D. Teeter R. Temkin M. Tentzeris V. Teppati J.-P. Teyssier N. Thakor H. Thal J. Tham M. Thumm M. Tiebout E. Tiiliharju M.-R. Tofighi P. Tognolatti T. Toifl T. Tokumitsu A. Tombak A. Topa E. Topsakal H. Torres-Silva G. Town S. Tretyakov R. Trew P. Troyk C. Trueman A. Truitt C.-M. Tsai Z.-M. Tsai J. Tsalamengas C.-H. Tseng T. Tsiboukis J. Tsui M. Tsutsumi S. H.-L. Tu W.-H. Tu N. Tufillaro V. Turin G. Twomey C.-K. Tzuang T. Ueda V. Urick K. U-Yen N. Uzunoglu T. Vähä-Heikkilä R. Vahldieck A. Valdovinos G. Vandenbosch K. Vanhille D. Vanhoenacker-Janvier G. Vannini L. Vardapetyan G. Vasilescu C. Vaucher J. Vaz L. Vegni G. Vendelin S. Verdeyme M. Vérez A. Verma J. Verspecht P. Vial H.-O. Vickes A. Victor L. Vietzorreck C. Vittoria S. Vitusevich R. Voelker S. Voinigescu J. Volakis A. Vorst M. Vossiek M. Vouvakis B. Vowinkel L. Vreede K. Vryssas C. Wagner B. Waldmann P. Waldow A. Walker P. Wambacq S. Wane B.-Z. Wang C. Wang C.-F. Wang C.-J. Wang E. Wang F. Wang H. Wang J. Wang K.-C. Wang N. Wang X. Wang Y. Wang Y.-H. Wang Z.-G. Wang C. Ward J. Ward W. Wattanapanitch J. Webb D. Webster R. Webster S. Wedge J. Weem X. Wei D. Weide R. Weigel R. Weikle C. Weil T. Weiland D. Weile S. Weinreb M. Weiss S. Weiss T. Weller C. Wen G. Wen S. Wentworth D. Wentzloff R. Wenzel J. Whelehan J. Whitaker J. White J. Wiart M. Wickert

A. Wiesbauer J. Wight D. Willems B. Willemsen D. Williams A. Williamson J. Wilson J. Wiltse T. Winkel K. Wise D. Wisell M. Wolf E. Wollack G. Wollenberg F. Wong K. Wong M. Wong S. Wong K. Woo J. Wood G. Woods D. Woolard C. Wu J.-M. Wu K.-L. Wu K. Wu L. Wu R.-B. Wu T. Wu T.-L. Wu R. Wylde T. Wysocki M. Xia S. Xiang J. Xiao Y. Xiao C. Xie J. Xu S. Xu Q. Xue M. Yagoub T. Yakabe A. Yakovlev K. Yamamoto K. Yamauchi W. Yan C.-L. Yang F. Yang N. Yang X. Yang Y. Yang Z. Yang F. Yanovsky H.-W. Yao J. Yao A. Yarovoy Y. Yashchyshyn K. Yashiro K. Yasumoto J. Yau S. Ye J. Yeh K.-S. Yeo S.-P. Yeo K.-W. Yeom L.-K. Yeung W.-Y. Yin X.-S. Yin S. Yngvesson D. Yongsheng D. Yoo H.-J. Yoo J.-G. Yook E. Yoon J.-B. Yoon R. York S. Yoshikado A. Young B. Young D. Young P. Young W. Young H.-K. Yu M. Yu P. Yu R. Yu W. Yu Y. Yu M. Yuan M. Yuce S.-W. Yun F. Zabini J. Zaeytijd K. Zaki P. Zampardi J. Zapata L. Zappelli C. Zelley P. Zhai C. Zhang F. Zhang G. Zhang H. Zhang J. Zhang N. Zhang Q.-J. Zhang R. Zhang Y. Zhang A.-P. Zhao Y.-J. Zhao Y. Zhao Y. Zheng Q. Zhiguo H. Zhou A. Zhu L. Zhu N.-H. Zhu X. Zhu J. Zhuang H. Zirath