JULY 2012 
IEEE MTT-V060-I07 (2012-07) [60, 7 ed.]

Citation preview

IEEE TRANSACTIONS ON

MICROWAVE THEORY AND TECHNIQUES

~,

A PUBLICATION OF THE IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY

lMTT-S ~ = JULY 2012

VOLUME 60

NUMBER 7

IETMAB

(ISSN 0018-9480)

PAPERS

Theory and Numerical Methods A New High-Efficient Spectral-Domain Analysis of Single and Multiple Coupled Microstrip Lines in Planarly Layered Media . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M. Lucido A Generalized Multiple Scattering Method for Dense Vias With Axially Anisotropic Modes in an Arbitrarily Shaped Plate Pair . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Y.-J. Zhang and J. Fan Modeling of Waveguide Structures Using DG-FETD Method With Higher Order Tetrahedral Elements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . F.-G. Hu and C.-F. Wang A Comprehensive Analysis of the Absorption Spectrum of Conducting Ferromagnetic Wires . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . I. Liberal, I. Ederra, C. Gómez-Polo, A. Labrador, J. I. Pérez-Landazábal, and R. Gonzalo Passive Components and Circuits High-Performance Solenoidal RF Transformers on High-Resistivity Silicon Substrates for 3D Integrated Circuits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Z. Feng, M. R. Lueck, D. S. Temple, and M. B. Steer Complex Impedance Transformers Consisting of Only Transmission-Line Sections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . H.-R. Ahn A Rigorous Design Methodology for Compact Planar Branch-Line and Rat-Race Couplers With Asymmetrical T-Structures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C.-H. Tseng and C.-L. Chang Prediction of Multipactor Breakdown for Multicarrier Applications: The Quasi-Stationary Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S. Anza, C. Vicente, J. Gil, M. Mattes, D. Wolk, U. Wochner, V. E. Boria, B. Gimeno, and D. Raboso Application of Stepped-Impedance Technique for Bandwidth Control of Dual-Band Filters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. Ha, S. Lee, B.-W. Min, and Y. Lee Harmonic Suppressed Dual-Band Bandpass Filters With Tunable Passbands . . . . . . . . G. Chaudhary, Y. Jeong, and J. Lim Design of High-Order Wideband Planar Balun Filter in s -Plane Bandpass Prototype . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Y.-W. Lin, J.-C. Lu, and C.-Y. Chang On the Relation Between Stored Energy and Fabrication Tolerances in Microwave Filters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M. Martinez-Mendoza, C. Ernst, J. A. Lorente, A. Alvarez-Melcon, and F. Seyfert A Novel Compact Printable Dual-Polarized Chipless RFID System . . . . . . . . . . . . . . . . . . . . . M. A. Islam and N. C. Karmakar Self-Biased Nonreciprocal Microstrip Phase Shifter on Magnetic Nanowired Substrate Suitable for Gyrator Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . G. Hamoir, J. De La Torre Medina, L. Piraux, and I. Huynen

2025 2035 2046 2055

2066 2073 2085 2093 2106 2115 2124 2131 2142 2152

(Contents Continued on Back Cover)

♦.IEEE

(Contents Continued from Front Cover) Electron Devices and Device Modeling Microwave and RF p-i-n Diode Model for Time-Domain Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . R. H. Caverly Hybrid and Monolithic RF Integrated Circuits A Dual-Resonant Mode 10/22-GHz VCO With a Novel Inductive Switching Approach . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S.-L. Liu, K.-H. Chen, and A. Chin Design and Analysis of an Ultra-Wideband Automatic Self-Calibrating Upconverter in 65-nm CMOS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B. Kang, J. Yim, T. Kim, S. Ko, W. Ko, H. Shin, I. Ryu, S.-G. Yang, J.-D. Bae, and H. Park A Fully Integrated 0.18- m CMOS Transceiver Chip for -Band Phased-Array Systems . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . K. Gharibdoust, N. Mousavi, M. Kalantari, M. Moezzi, and A. Medi Instrumentation and Measurement Techniques Device Characterization Techniques Based on Causal Relationships . . . . . . . . . . . M. Wojnowski, G. Sommer, and R. Weigel Multimode TRL Calibration Technique for Characterization of Differential Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M. Wojnowski, V. Issakov, G. Sommer, and R. Weigel Simple Test and Modeling of RFID Tag Backscatter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D. G. Kuester, D. R. Novotny, J. R. Guerrieri, A. Ibrahim, and Z. B. Popović RF Applications and Systems Broadband Tissue-Equivalent Phantom for BAN Applications at Millimeter Waves . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . N. Chahat, M. Zhadobov, and R. Sauleau Mechanisms of RF Electromagnetic Field Absorption in Human Hands and Fingers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C.-H. Li, M. Douglas, E. Ofli, N. Chavannes, Q. Balzano, and N. Kuster Low-Power Wireless Power Delivery . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . E. Falkenstein, M. Roberg, and Z. Popović Multilayer Antenna-Filter Antenna for Beam-Steering Transmit-Array Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . L. Boccia, I. Russo, G. Amendola, and G. Di Massa Optical Modulation of Millimeter-Wave Beams Using a Semiconductor Substrate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . T. F. Gallacher, R. Søndenå, D. A. Robertson, and G. M. Smith Information for Authors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

2158

2165 2178 2192 2203 2220 2248

2259 2267 2277 2287 2301 2310

CALLS FOR PAPERS

Special Issue on Biomedical Applications of RF/Microwave Technologies . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . TTHZ Special Issue on Terahertz Spectroscopic Techniques and Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

2311 2312

IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY

The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society upon payment of the annual Society membership fee of $17.00, plus an annual subscription fee of $23.00 per year for electronic media only or $46.00 per year for electronic and print media. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only. ADMINISTRATIVE COMMITTEE N. KOLIAS, President A. ABUNJAILEH S. BARBIN L. BOGLIONE

G. LYONS, Secretary

M. GUPTA President Elect

T. BRAZIL W. CHAPPELL

N. KOLIAS S. KOUL

M. GOUKER K. ITOH

T. LEE M. MADIHIAN

Honorary Life Members

T. ITOH A. A. OLINER

W. CHAPPELL, Treasurer

A. MORTAZAWI V. NAIR

Distinguished Lecturers

P. STAECKER K. TOMIYASU

J-C. CHIAO M. GUPTA

S. KOUL L. PIERANTONI

D. PASQUET G. PONCHAK D. SCHREURS

R. SORRENTINO B. SZENDRENYI R. WEIGEL

D. SCHREURS J. WOOD

K. WU Q. XUE

Past Presidents

R. SNYDER (2011) S. M. EL-GHAZALY (2010) B. PERLMAN (2009)

MTT-S Chapter Chairs Albuquerque: H. J. WAGNON Atlanta: K. NAISHADHAM Austria: A. SPRINGER Baltimore: J. SCHOFIELD Bangalore/India: K. VINOY Beijing: Z. FENG Belarus: A. GUSINSKY Benelux: G. VANDENBOSCH Boston: J. MULDAVIN Bombay/India: M. KADU Brasilia: J. BEZERRA/ M. VINICIUS ALVES NUNES Buenaventura: M. QUDDUS Bulgaria: K. ASPARUHOVA Canada, Atlantic: Z. CHEN Cedar Rapids/Central Iowa: M. ROY Central Indiana: C. FULTON Central & South Italy: L. TARRICONE Central No. Carolina: M. HUGHES Central Texas: J. PRUITT Chengdu: Z. NEI Chicago: D. ERRICOLO Cleveland: M. SCARDELLETTI Columbus: D. PSYCHOUDAKIS Connecticut: C. BLAIR Croatia: D. BONEFACIC Czech/Slovakia: J. DRINOVSKY Dallas: C. SANABRIA Dayton: A. TERZUOLI

Editor-In-Chief GEORGE E. PONCHAK NASA Glenn Research Center Cleveland, OH USA Editorial Assistant KIM TANGER OAI USA

Delhi/India: S. KOUL Delhi/India, Amity Univ: J. P. GODARA Delhi/India, ABES Eng. College: S. MITTAL Delhi/India, IIT Delhi: M. S. PARIHAR Delhi/India, Jamia Millia Islamia: A. KHAN Delhi/India, Rajasthan Tech. Univ.: P. K. SHARMA Delhi/India, Swami Keshvanand Inst.: A. S. POONIA Denver: M. JANEZIC Eastern No. Carolina: T. NICHOLS Egypt: E. HASHEESH Finland: A. LUUKANEN Florida West Coast: J. WANG Foothills: F. FREYNE France: P. EUDELINE Germany: G. BOECK Greece: R. MAKRI Gujarat/India: R. J. SHARMA Harbin: Q. WU Hawaii: R. MIYAMOTO Hong Kong: Q. XUE Houston: S. A. LONG Houston, College Station: G. H. HUFF Hungary: L. NAGY Huntsville: E. GREGORIAN Hyderabad: L. MERUGU India/Calcutta: D. GUHA

India: D. BHATNAGER Indonesia: E. T. RAHARDO Israel: S. AUSTER Japan: K. HONJO Kansai: I. AWAI Kitchener-Waterloo: R. R. MANSOUR Lebanon: E. NASSAR Lithuania: V. URBANAVICIUS Long Island/New York: J. COLOTTI Los Angeles, Coastal: V. RADISIC Los Angeles, Metro/San Fernando: T. CISCO Macau: K. W. TAM Madras/India: S. SALIVAHANAN Malaysia: M. ESA Malaysia, Penang: B. L. LIM Melbourne: R. BOTSFORD Milwaukee: S. G. JOSHI Mohawk Valley: E. P. RATAZZI Monterrey/Mexico: R. M. RODRIGUEZ-DAGNINO Morocco: M. ESSAAIDI Montreal: K. WU Morocco: M. ESSAAIDI Nagoya: T. OHIRA Nanjing: W. XUN Nanjing/Southeast Univ: W. HONG New Hampshire: D. SHERWOOD New Jersey Coast: J. SINSKY New South Wales: K. ESSELLE New Zealand: A. WILLIAMSON North Italy: G. VECCHI North Jersey: K. DIXIT

Northern Australia: J. MAZIERSKA Northern Canada: M. DANESHMAND Northern Nevada: B. S. RAWAT Norway: K. M. GJERTSEN Orange County: H. J. DE LOS SANTOS Oregon: K. MAYS Orlando: S. EBADI Ottawa: Q. YE Philadelphia: Q. VASSEUR Phoenix: S. ROCKWELL Poland: J. PIOTROWSKI Portugal: N. BORGES DE CARVALHO Princeton/Central Jersey: A. KATZ Queensland: A. ROBINSON Rio de Janeiro: J. R. BERGMANN Rochester: G. PETTIS Romania: G. LOJEWSKI Russia, Moscow: V. A. KALOSHIN Russia, Nizhny-Novgorad: G. L. PAKHOMOV Russia, Novosibirsk: A. YAROSLAVTSEV Russia, Saratov/Penza: N. M. RYSKIN Russia, Saint Petersburg: S. P. ZUBKO Russia, Tomsk: R. V. MESCHERIAKOV San Diego: J. TWOMEY Santa Clara Valley/San Francisco: N. SHAMS Seattle: L. CAI Seoul: C. SEO Serbia and Montenegro: B. MILOVANOVIA Shanghai: J. MAO Singapore: M. ONG LING CHUEN South Africa: R. GESCHKE

Associate Editors KEVIN J. CHEN Hong Kong Univ. Sci. Technol. Hong Kong MING YU COM DEV Cambridge, ON, Canada CHIN-WEN TANG Nat. Chung Cheng Univ. Taiwan JOHN PAPAPOLYMEROU Georgia Inst. Technol. Atlanta, GA USA

HERBERT ZIRATH Chalmers Univ. Technol. Goteborg, Sweden WENDY VAN MOER Vrije Universiteit Brussel Brussels JAE-SUNG RIEH Korea Univ. Seoul, Korea QUAN XUE City Univ. Hong Kong Hong Kong LEI ZHU Nanyang Technol. Univ. Singapore

J. WOOD, Editor-in-Chief, IEEE Microwave Magazine C. TZUANG, Editor-in-Chief, IEEE Microwave and Wireless Component Letters

IEEE Officers

South Australia: C. FUMEAUX South Brazil: J. R. BERGMANN Southeastern Michigan: T. OZDEMIR Southern Alberta: E. FEAR Spain: J. I. ALONSO Springfield: P. R. SIQUEIRA Sri Lanka: V. R. HERATH Sweden: A. RYDBERG Switzerland: M. MATTES Syracuse: H. P. PARTAL Taegu: Y.-H. JEONG Tainan: T. HORNG Taipei: C.-S. LU Thailand: P. AKKARAEKTHALIN Toronto: G. V. ELEFTHERIADES Tucson: H. XIN Tunisia: A. GHARSALLAH Turkey: B. SAKA Twin Cities: M. J. GAWRONSKI UK/RI: A. REZAZADEH Ukraine, Kiev: Y. PROKOPENKO Ukraine, Rep. of Georgia: G. GHVEDASHVILI Ukraine, Vinnitsya: V. M. DUBOVOY Ukraine, West: I. IVASENKO Uttar Pradesh/India: N. ALAM Utter Pradesh/India: R. C. AGARWAL Vancouver: S. MCCLAIN Venezuela: J. B. PENA Victoria: K. GHORBANI Virginia Mountain: T. A. WINSLOW Washington DC/Northern Virginia: M. NUESLEIN Winnipeg: V. OKHMATOVSKI Xian: X. SHI

N. SCOTT BARKER Univ. Virginia Charlottesville, VA USA COSTAS D. SARRIS Univ. Toronto. Toronto, ON, Canada CHRISTOPHE FUMEAUX The Univ. Adelaide Adelaide, South Australia, Australia DEUKHYOUN HEO Washington State Univ. Pullman, WA USA BERTAN BAKKALOGLU Arizona State Univ. Tempe, AZ USA

P. H. SIEGEL, Editor-in-Chief, IEEE Trans. Terahertz Science and Technology T. LEE, Web Master

MICHAEL R. LIGHTNER, Vice President, Educational Activities DAVID A. HODGES, Vice President, Publication Services and Products HOWARD E. MICHEL, Vice President, Member and Geographic Activities STEVE M. MILLS, President, Standards Association FREDERICK C. MINTZER, Vice President, Technical Activities JAMES M. HOWARD, President, IEEE-USA

GORDON W. DAY, President PETER W. STAECKER, President-Elect CELIA L. DESMOND, Secretary HAROLD L. FLESCHER, Treasurer MOSHE KAM, Past President

PETER N. CLOUT, Director, Division IV—Electromagnetics and Radiation

IEEE Executive Staff DR. E. JAMES PRENDERGAST, Executive Director & Chief Operating Officer THOMAS SIEGERT, Business Administration MATTHEW LOEB, Corporate Activities DOUGLAS GORHAM, Educational Activities EILEEN LACH, General Counsel & Corporate Compliance BETSY DAVIS, SPHR, Human Resources CHRIS BRANTLEY, IEEE-USA

ALEXANDER PASIK, Information Technology PATRICK MAHONEY, Marketing CECELIA JANKOWSKI, Member and Geographic Activities ANTHONY DURNIAK, Publications Activities MARY WARD-CALLAN, Technical Activities

IEEE Periodicals Transactions/Journals Department

Staff Director: FRAN ZAPPULLA Editorial Director: DAWN MELLEY Production Director: PETER M. TUOHY Managing Editor: MONA MITTRA Senior Editor: CHRISTINA M. REZES

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, Piscataway, NJ 08854-4141. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $157.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee indicated in the code at the bottom of the first page is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, Piscataway, NJ 08854-4141. Copyright © 2012 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE, 445 Hoes Lane, Piscataway, NJ 08854-4141. GST Registration No. 125634188. CPC Sales Agreement #40013087. Return undeliverable Canada addresses to: Pitney Bowes IMEX, P.O. Box 4332, Stanton Rd., Toronto, ON M5W 3J4, Canada. IEEE prohibits discrimination, harassment and bullying. For more information visit http://www.ieee.org/nondiscrimination. Printed in U.S.A.

Digital Object Identifier 10.1109/TMTT.2012.2205624

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

2025

A New High-Efficient Spectral-Domain Analysis of Single and Multiple Coupled Microstrip Lines in Planarly Layered Media Mario Lucido, Member, IEEE Abstract—The analysis of propagation of bound and leaky modes in single and multiple coupled microstrip lines in planarly layered media by means of Galerkin’s method applied to an electric field integral-equation formulation in the spectral domain with Chebyshev polynomials basis functions weighted with the edge behavior of the unknown surface current densities on the metallic strips leads to the evaluation of improper integrals of oscillating functions with a slow asymptotic decay. In this paper, a new analytical technique for drastically speeding up the computation of such integrals is presented. First, suitable half-space contributions are pulled out of the kernels, which makes the integrands exponentially decaying functions. The integrals of the extracted contributions are then expressed as combinations of proper integrals and fast converging improper integrals by means of appropriate integration procedures in the complex plane. Index Terms—Analytical method, Galerkin’s method, microstrip lines, spectral-domain approach.

I. INTRODUCTION

T

HE ANALYSIS of propagation of bound and leaky modes in single and multiple coupled microstrip lines in planarly layered media has received the attention of many researchers for its relevance in a great number of applications including couplers, filters, and phase shifters in monolithic microwave and millimeter-wave integrated circuits. Many of the produced works are aimed at combining low computational cost with high accuracy in the evaluation of the dispersion characteristics of such structures. For this reason, full-wave approaches, such as the finite-element method, finite-difference time-domain and frequency-domain methods, and method of moments applied to the operator equation governing the problem at hand, are widely preferred (see [1]–[10] for an overview). Among the techniques developed, integral-equation formulations in either spatial or spectral domain, allowing to express the fields as functions of unknowns defined on finite regions, combined with the variational nature of the method of moments, are the most suitable methods to analyze the propagation in nonshielded structures. These formulations require the knowledge of the potential/field Green’s functions for the examined problems, which are generally available in closed-form expressions in the spectral domain, and in the Manuscript received December 29, 2011; revised March 25, 2012; accepted March 30, 2012. Date of publication May 16, 2012; date of current version June 26, 2012. The author is with the Dipartimento di Ingegneria Elettrica e dell’Informazione (DIEI), University of Cassino, 03043 Cassino, Italy (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2012.2195025

spatial domain, they are traditionally represented by slowly converging integrals of the Sommerfeld types. Hence, the need to efficiently evaluate such integrals is a precondition for obtaining rapidly converging spatial-domain formulations. Many researchers have addressed this problem, and different strategies have been proposed. In [11]–[16], the spectral-domain Green’s functions are approximated to analytically perform the corresponding Sommerfeld integrals. Despite the remarkable efficiency of the method, the accuracy cannot be controlled a priori as demonstrated by the great variety of expressions proposed and techniques developed to achieve them. Alternatively, Sommerfeld integrals can be directly evaluated by means of suitable quadrature formulas, possibly after the application of appropriate acceleration/extrapolation techniques and/or a change of the integration path [17]–[23]. Unfortunately, the operation cannot be carried out once because the integrands are dependent on the distance between the source and the field points, the frequency, and the propagation constant. Spectral-domain methods have been widely used for their considerable simplicity and flexibility when dealing with the analysis of propagation in layered media and when multiple conducting strips and/or slots are involved [24]–[26]. The most effective spectral-domain technique is the Galerkin’s method applied to an electric field integral-equation formulation with Chebyshev polynomials basis functions weighted with the edge behavior of the unknown surface current densities on the metallic strips [27]–[29]. In this way, analytical regularization is achieved [30]: for the expansion functions eigenfunctions of the most singular part of the integral operator [31], the first-kind singular operator is reduced to a second-kind matrix operator at which Steinberg’s theorems can be applied [32], [33]. Therefore, the eigenvalues of the problem can be readily obtained by enforcing the determinant of the truncated matrix coefficients (or impedance matrix) to be zero. Moreover, few expansion functions are needed to accurately reconstruct the unknowns, and the symmetries of the impedance matrix, resulting from reciprocity, reduce the number of the coefficients to be numerically evaluated. However, the computation time rapidly increases with the accuracy required for the solution because the coefficients of self-contribution and mutual contribution between two strips located at the same interface are improper single integrals of oscillating functions with a slow asymptotic decay. For this reasons, different techniques have been developed to accelerate the convergence of such integrals. The procedure proposed in [34]–[36], consisting of the extraction from the kernels of their asymptotic behavior, leads to integrands decaying asymptotically as the inverse

0018-9480/$31.00 © 2012 IEEE

2026

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

fourth power of the spectral variable. Moreover, the slowly converging integrals of the extracted parts are expressed in closed form for the coefficients of self-contribution and mutual contribution between two identical coplanar strips. Nevertheless, for multiple coupled microstrip lines, the accelerated integrals of mutual contributions cannot be rapidly converging since a greater distance between the strips causes the integrands to oscillate rapidly. In [4], a rapidly converging direct singular integral-equation technique has been developed to analyze a single microstrip line. It consists of the extraction of a suitable contribution from the kernel so to obtain integrands decaying as the inverse sixth power of the spectral variable. The integrals of the extracted contributions are then opportunely recast in the spatial domain and written in computationally efficient forms. The aim of this work is the introduction of a new analytical technique in the spectral domain to efficiently evaluate the propagation characteristics of bound and leaky modes in single and multiple coupled microstrip lines in planarly layered media, generalizing the one introduced by the author to analyze the propagation of bound modes in double-layer striplines [37]. To speed up the numerical evaluation of the slowly converging improper integrals of self-contribution and mutual contribution between two strips located at the same interface, suitable halfspace contributions are pulled out of the kernels of such integrals to obtain exponentially decaying integrands. Moreover, the slowly converging integrals of the extracted contributions are expressed as combinations of proper and improper integrals of nonoscillating exponentially decaying functions by using appropriate integration procedures in the complex plane. In Section II, a brief overview on the formulation of the problem in the spectral domain and on the discretization of the obtained integral operator equation is presented. The new analytical technique is illustrated in Section III. Section IV is devoted to show that highly accurate results can be obtained with low computation times. The conclusions are summarized in Section V and mathematical Appendices A and B conclude this paper.

Fig. 1. Geometry of the problem.

with

,

, and

, where , is the 2-D dyadic Green’s function in the spectral domain for the examined problem [38], [39] (reported in Appendix A for the sake of completeness), is the Fourier transform with respect to the -axis in the complex plane of the surface current density on the th strip at the th interface, and is an appropriate inverse Fourier transform integration path depending on the type of mode to be searched for [40]. It is well known that, in general, no closed-form solutions exist for the nonstandard eigenvalue problem in (1); therefore, it is necessary to resort to numerical methods. In a Galerkin’s scheme, by expanding the longitudinal and transverse components of the surface current density in series of Chebyshev polynomials of first and second kind, respectively, weighted with the edge behavior of the unknowns [27], the system of integral (1) is reduced to a homogeneous matrix equation whose coefficients are proportional to single integrals of the kind [37]

II. BACKGROUND In Fig. 1, a planarly layered medium consisting of homogeneous and isotropic layers of dielectric permittivity , magnetic permeability , and wavenumber with is sketched, and a coordinate system with the axis orthogonal to the discontinuity surfaces is introduced. At the interface with , perfectly conducting thin strips of width , directed along the axis and centered at the abscissas with , are located. Assuming for the fields a behavior with of the kind , where is the propagation constant, the following homogeneous system of integral equations governing the propagation in the considered structure is obtained by imposing the transversal component of the electric field with respect to the -axis to be vanishing on the strips’ surfaces: (1)

(2) with

nonnegative integers , , and , and is the Bessel function of first kind and order

. III. EFFICIENT EVALUATION OF THE IMPEDANCE MATRIX: A NEW TECHNIQUE Observing that along the integration path plane

in the complex

(3)

2027

LUCIDO: NEW HIGH-EFFICIENT SPECTRAL-DOMAIN ANALYSIS OF SINGLE AND MULTIPLE COUPLED MICROSTRIP LINES

where dent of

with , and [41]

are suitable parameters indepen-

where

(4) (8a) for , it can be concluded that the integrand of the improper integral in (2) is an oscillating function, which decays asymptotically as . Therefore, for (integral of self-contribution or mutual-contribution between strips located at the same interface), the computation time rapidly increases with the accuracy required for the solution. In order to overcome this problem, a new analytical acceleration technique is proposed here. From the expressions in Appendix A, it is simple to obtain

(8b) and the upper sign has to be taken for the TE case, while the lower sign has to be taken for the TM case, which has the following exponential asymptotic behavior along the integration path :

(9) where for (10)

for for (5) that can be reduced to the following nonoscillating function for and by posing for , i.e., when only two half-spaces are involved,

(6) By simple algebraic manipulations, it can be verified that the difference between the functions in (5) and (6) is

(7)

with are suitable parameters indepenand dent of . Moreover, since the quasi-static parts of (5) and (6) coincide, the function in (7) is free from the singularity appearing when the frequency tends to zero. Therefore, by rewriting (2) for as (11), shown at the bottom of this page, and using (4), it can be concluded that the last integral in (11) (integral of the accelerated contribution) is still an improper integral of an oscillating function, but with an asymptotic exponential decay of the kind . Conversely, the first integral in (11), i.e., the integral of the extracted contribution, is an improper integral of an oscillating function with a slow asymptotic decay. However, it will be shown to follow that such integral can be written as a combination of very quickly converging integrals taking advantage of the nonoscillating nature of the kernel. For the sake of simplicity of notation and without loss of generality, the indication of the interface at which the involved strips are located will be omitted and the positions , , , , , , and will be introduced. As a first task, by using the recurrence formula [41] (12)

(11)

2028

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

the property [41] (13) with integer, and using (6), it is possible to verify that the first integral in (11) can be written as (14a)–(14c), shown at the bottom of this page, where

(15a)

Fig. 2. Integration path for

.

(15b)

following alternative expressions for are obtained for and , and for , respectively:

(15c) with , and it is immediate to establish the following useful symmetry property:

(16) that leads to consider only the cases for and , and for . Subsequently, alternative expressions for the integral in (15a) can be obtained. To this end, it is useful to observe that the integrand in (15a) has one couple of square-root branch points singularities in the complex plane only for . Otherwise, two couples of square-root branch points arise (see Fig. 2 where the Sommerfeld branch cuts are also plotted for ), which create a couple of two-sheeted Riemann surfaces. Moreover, a couple of improper poles, located as in Fig. 2, appears when , , one of the square-root functions in (15b) takes values on the principal sheet and the other on the secondary sheet of the corresponding Riemann surface. Therefore, different equivalent integration paths are possible depending on the number of branch points and poles detoured around. Supposing without loss of generality that (analogous considerations can be made for ) and assuming for in (15a), the integration path sketched in Fig. 2 detouring around the branch points , by using the integration procedures in the complex plane detailed in the Appendix B, the

(17a)

(17b)

(14a) (14b) (14c)

LUCIDO: NEW HIGH-EFFICIENT SPECTRAL-DOMAIN ANALYSIS OF SINGLE AND MULTIPLE COUPLED MICROSTRIP LINES

2029

where the apexes and denote the real and imaginary part of a complex number, respectively,

(18a) (18b) (18c) denotes the square-root principal sheet, and with is the Hankel function of th kind and order . As can be seen, the integrals in (17a) and four of the five integrals in (17b) are proper integrals, while from (4), it is simple to conclude that the third integral in (17b) is an improper integral of a nonoscillating function with an asymptotic exponential decay being . However, (B3a) and (B3b) allow to state that at low frequencies, a quasi-singularity appears in the integrands of the integrals in (17a) for and in (17b) for , thus an accurate and efficient evaluation of these integrals can become cumbersome. This problem is overcome by means of the analytical extraction of the quasi-singularity whose discussion is omitted for the sake of brevity. Hence, it can be concluded that the first integral in (11) has been written as combination of quickly converging integrals. It is worth noting that the procedure outlined in this section remains valid when considering different integration paths in (15a), provided to simply change the integration contours in Appendix B accordingly.

IV. NUMERICAL RESULTS This section shows the accuracy and efficiency of the presented technique. All the simulations are performed on a laptop equipped with an Intel Core 2 Duo CPU T9600 2.8-GHz 3-GB RAM, running Windows XP and the integrals evaluated by means of a globally adaptive scheme based on a 33-point Gauss-Kronrod quadrature rule. As noted above, the spectral-domain technique used in this paper is fast convergent, i.e., few expansion functions are needed to obtain the desired accuracy for the solutions, and a regularizing scheme for the examined problem, i.e., the accuracy required for the solution can be increased by increasing the number of expansion functions used, providing to ensure a high enough accuracy in the numerical evaluation of the impedance matrix coefficients. The relative effective dielectric constants plotted in Fig. 3 for the fundamental modes of the three coupled microstrip lines sketched in the top figure are obtained by using four expansion terms for each component of the surface current density on each strip and evaluating the impedance matrix coefficients with a relative accuracy of 10 so to guarantee an accuracy for the solutions of at least five significant figures on all the considered range of frequencies. A comparison with the results obtained in [10] by means of a 2-D finite-difference frequency-domain

Fig. 3. Relative effective dielectric constants for the fundamental modes of the mm, mm, structure sketched in the top figure. mm, mm, mm, mm, . Solid lines: this method. Circles: data from [10].

method with nonuniform grids and perfectly matched layer reveals a fairly good agreement. More accurate solutions can be obtained by properly increasing the number of expansion functions and the accuracy in the evaluation of the impedance matrix coefficients. Such behavior is shown in Table I where the relative effective dielectric constant for one of the fundamental modes of the structure sketched in Fig. 3 at 40 GHz is reported for a different number of expansion functions used ( ) and relative accuracies in the evaluation of the impedance matrix coefficients ( ). Just for an example, the accuracy of the solutions reaches seven, nine, and 11 significant figures for and , and , , and , respectively. It is important to note that with the presented method, highly accurate results can be obtained with a low computation time. Thus, with reference to the previous example, an accuracy for the solution of five, seven, nine, and 11 significant figures requires only 0.25, 0.5, 1.0, and 4.4 s respectively, to fill the entire impedance matrix for each simulation point. The proposed technique is very efficient even to analyze the propagation of leaky waves. The dispersion curves in bound and leaky regimes of the two coupled microstrip lines sketched in Fig. 4 show good agreement with the ones obtained in [42] formulating the problem as an electric field integral equation in the spatial domain discretized by means of the Galerkin’s method with Chebyshev polynomials basis functions. An accuracy for the normalized propagation constant of at least five significant figures on all the considered range of frequencies ( , ) requires only 0.15 s to fill the entire impedance matrix for each simulation point.

2030

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

TABLE I RELATIVE EFFECTIVE DIELECTRIC CONSTANT FOR ONE OF THE FUNDAMENTAL MODES OF THE STRUCTURE IN FIG. 3 FOR DIFFERENT NUMBER OF EXPANSION FUNCTIONS USED ( ) AND RELATIVE ACCURACIES IN THE EVALUATION OF THE IMPEDANCE MATRIX COEFFICIENTS ( ). mm, mm, mm, mm, mm, mm, , GHz

Fig. 5. Normalized propagation constants for the fundamental modes of the mm, mm, mm, structure sketched in the top figure. mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, . Solid lines: this method. Circles: data from [8].

Fig. 4. Dispersion curves in bound and leaky regimes of the structure sketched in the top figure. (a) Normalized phase constant and (b) normalized attenuation cm, cm, cm, . Lines: this constant. method. Circles: data from [42].

The next examples emphasize that the presented method is very efficient even when a high number of coupled microstrip lines and/or multilayered substrates are involved. In Fig. 5, the normalized propagation constants for the fundamental modes of the eight coupled double-layer striplines sketched in the top figure are plotted and compared with the results obtained in [8] for a shielded structure by means of a

nonuniform fast Fourier transform technique incorporated into the spectral-domain approach. An accuracy for the solution of at least six significant figures needed to distinguish the propagation constants of the modes on all the considered range of frequencies ( , ) requires less than 1.3 s to fill the entire impedance matrix for each simulation point. In Fig. 6, the relative effective dielectric constants for the fundamental modes of the three coupled triple-layer striplines sketched in the top figure are plotted and compared with the results obtained in [2] by means of the finite-difference method. An accuracy for the solution of at least five significant figures on all the considered range of frequencies ( , ) requires less than 0.6 s to fill the entire impedance matrix for each simulation point. To conclude, in order to further appreciate the computational efficiency of the presented method, in Fig. 7 the ratio between

LUCIDO: NEW HIGH-EFFICIENT SPECTRAL-DOMAIN ANALYSIS OF SINGLE AND MULTIPLE COUPLED MICROSTRIP LINES

2031

Fig. 8. Integration contours in the complex plane.

Fig. 9. Integration contours in the complex plane.

Fig. 6. Relative effective dielectric constants for the fundamental modes of the , , , . structure sketched in the top figure. Solid lines: this method. Circles: data from [2].

efficients evaluated with a relative accuracy of 10 . In a second one, in order to achieve an accuracy for the normalized propagation constants ( ) of the fundamental modes of at least five significant figures, an higher number of expansion functions must be used when three, four, and five coupled microstrip lines are considered. Although the very efficient implementation suggested in [3] and [9] is assumed, the presented method always leads to a reduction of the computation time. In particular, the presented method is computationally more efficient than the one in [3] and [9] as a higher number of expansion functions is used and strips is considered. V. CONCLUSIONS In this paper, a new analytical method for the analysis of propagation of bound and leaky modes in single and multiple coupled microstrip lines in planarly layered media has been presented allowing to obtain very accurate results with a low computational cost. Future perspectives is the generalization of the method to cases in which the strips thickness cannot be neglected. APPENDIX A

Fig. 7. Ratio between the CPU time needed to fill the entire impedance matrix for each simulation point as obtained by using the technique introduced in [3] and refined in [9], with respect to the one proposed here, as a function of the number of strips, by using five basis functions for each component of the surface current density on each strip (dotted line) or by setting to five significant figures the accuracy required for the normalized propagation constants of the , mm, mm , fundamental modes (solid line). mm, , GHz.

the CPU time needed to fill the entire impedance matrix for each simulation point as obtained by using the mixed potential integral equation in conjunction with the Galerkin’s method and the discrete complex image technique, as introduced in [3] and refined in [9], with respect to the one proposed here, as a function of the number of strips is shown. In a first example, five expansion functions are always used for each component of the surface current density on each strip and the impedance matrix co-

The 2-D dyadic Green’s function in the spectral domain for the planarly layered medium in Fig. 1 is [38], [39]

(A1) where the functional dependences on and have been omitted for the sake of simplicity of notation, , , with , the sign “ ” has to be taken for , while the sign “ ” has to be taken otherwise, (A2a) (A2b)

2032

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

(A2c) (A2d)

(A2e)

contours sketched in Fig. 8(a) and (b), respectively, where the solid line denotes that both the square-root functions in (15b) take values on the principal sheet of the corresponding Riemann surface, the dotted line that takes values on the secondary sheet, the dashed line that both the square-root functions take values on the secondary sheet, and the contours do not detour around the improper poles of (omitted in Fig. 8 for the sake of simplicity). Hence, by means of Cauchy’s integral theorem, it is possible to write

(A2f) (A2g)

(B2) Remembering (4) and observing that [41]

(A2h)

for

with integer (B3a)

for

for for

for

(B3b)

(A2i) (A2j) (A2k) (A2l) (A2m) (A2n)

for

(B3c)

it can be concluded that the integrands in (B2) have at most a logarithmic singularity in being , while they decay asymptotically as for and , respectively. Therefore, by means of Jordan’s lemma, it is simple to rewrite (B2) for and , respectively, as follows:

(A2o) (A2p) APPENDIX B The aim of this appendix is to show that, for and assuming for the integration path sketched in Fig. 2, the integral in (15a) can be expressed as in (17a) for and , and as in (17b) for . and : For , (15a) can be rewritten as

(B4a)

(B4b)

(B1) thus vanishes for odd that leads to consider only the cases for even. Now, the functions , with , are analytical in the regions of the complex plane delimited by the

where relation (13) and the following [41]: (B5) have been used.

LUCIDO: NEW HIGH-EFFICIENT SPECTRAL-DOMAIN ANALYSIS OF SINGLE AND MULTIPLE COUPLED MICROSTRIP LINES

2033

ACKNOWLEDGMENT

By summing (B4a) and (B4b), using the relation [41] (B6) and comparing the result with (B1), (17a) can be easily obtained. : For , (15a) can be rewritten as

The author is grateful to Prof. G. Panariello, University of Cassino, Cassino, Italy, for making several helpful comments. REFERENCES

(B7) Now, the function is analytical in the regions of the complex plane delimited by the contours with sketched in Fig. 9(a) and (b), respectively (see the comments to Fig. 8 for a description). Hence, by means of Cauchy’s integral theorem, it is possible to write (B8) Using (4), it can be concluded that the integrands in (B8) have an asymptotic exponential decay for being . Therefore, by means of Jordan’s lemma, it is simple to rewrite (B8), for and , respectively, as follows:

(B9a)

(B9b) By summing (B9a) and (B9b), and comparing the result with (B7), (17b) can be easily obtained.

[1] M. S. Alam, M. Koshiba, K. Hirayama, and Y. Hayashi, “Hybrid-mode analysis of multilayered and multiconductor transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 2, pp. 205–211, Feb. 1997. [2] K. Radhakrishnan and W. C. Chew, “Full-wave analysis of multiconductor transmission lines on anisotropic inhomogeneous substrates,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 9, pp. 1764–1770, Sep. 1999. [3] J. Bernal, F. Medina, R. R. Boix, and M. Horno, “Fast full-wave analysis of multistrip transmission lines based on MPIE and complex image theory,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 3, pp. 445–452, Mar. 2000. [4] J. L. Tsalamengas, “Rapidly converging direct singular integral-equation techniques in the analysis of open microstrip lines on layered substrates,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 3, pp. 555–559, Mar. 2001. [5] F. Liu, J. E. Schutt-Ainé, and J. Chen, “Full-wave analysis and modeling of multiconductor transmission lines via 2-D-FDTD and signalprocessing techniques,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 2, pp. 570–577, Feb. 2002. [6] M. I. Aksun, F. Çalışkan, and L. Gürel, “An efficient method for electromagnetic characterization of 2-D geometries in stratified media,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 5, pp. 1264–1274, May 2002. [7] J. L. Tsalamengas and G. Fikioris, “Rapidly converging spectral-domain analysis of rectangularly shielded layered microstrip lines,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 6, pp. 1729–1734, Jun. 2003. [8] K. Y. Su and J. T. Kuo, “An efficient analysis of shielded single and multiple coupled microstrip lines with the nonuniform fast Fourier transform (NUFFT) technique,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 90–96, Jan. 2004. [9] R. Rodríguez-Berral, F. Mesa, and F. Medina, “Enhanced implementation of the complex images method to study bound and leaky regimes in layered planar printed lines,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 709–720, Feb. 2004. [10] J. N. Hwang, “A compact 2-D FDFD method for modeling microstrip structures with nonuniform grids and perfectly matched layer,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 2, pp. 653–659, Feb. 2005. [11] M. I. Aksun and G. Dural, “Clarification of issues on the closed-form Green’s functions in stratified media,” IEEE Trans. Antennas Propag., vol. 53, no. 11, pp. 3644–3653, Nov. 2005. [12] M. Yuan, T. K. Sarkar, and M. Salazar-Palma, “A direct discrete complex image method from the closed-form Green’s functions in multilayered media,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 3, pp. 1025–1032, Mar. 2006. [13] V. N. Kourkoulos and A. C. Cangellaris, “Accurate approximation of Green’s functions in planar stratified media in terms of a finite sum of spherical and cylindrical waves,” IEEE Trans. Antennas Propag., vol. 54, no. 5, pp. 1568–1576, May 2006. [14] R. R. Boix, F. Mesa, and F. Medina, “Application of total least squares to the derivation of closed-form Green’s functions for planar layered media,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 2, pp. 268–280, Feb. 2007. [15] A. G. Polimeridis, T. V. Yioultsis, and T. D. Tsiboukis, “A robust method for the computation of Green’s functions in stratified media,” IEEE Trans. Antennas Propag., vol. 55, no. 7, pp. 1963–1969, Jul. 2007. [16] A. Alparslan, M. I. Aksun, and K. A. Michalski, “Closed-form Green’s functions in planar layered media for all ranges and materials,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 3, pp. 602–613, Mar. 2010. [17] S. L. Dvorak and E. F. Kuester, “Numerical computation of 2-D Sommerfeld integrals—A novel asymptotic extraction technique,” J. Comput. Phys., vol. 98, pp. 217–230, 1992. [18] K. A. Michalski, “Extrapolation methods for Sommerfeld integral tails,” IEEE Trans. Antennas Propag., vol. 46, no. 10, pp. 1405–1418, 1998. [19] L. Tsang, C.-C. Huang, and C. H. Chan, “Surface electric fields and impedance matrix elements of stratified media,” IEEE Trans. Antennas Propag., vol. 48, no. 10, pp. 1533–1543, Oct. 2000.

2034

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

[20] J. R. Mosig and A. A. Melcón, “Green’s functions in lossy layered media: Integration along the imaginary axis and asymptotic behavior,” IEEE Trans. Antennas Propag., vol. 51, no. 12, pp. 3200–3208, Dec. 2003. [21] M. Yuan and T. K. Sarkar, “Computation of the Sommerfeld integral tails using the matrix pencil method,” IEEE Trans. Antennas Propag., vol. 54, no. 4, pp. 1358–1362, Apr. 2006. [22] B. Wu and L. Tsang, “Fast computation of layered medium Green’s functions of multilayers and lossy media using fast all-modes method and numerical modified steepest descent path method,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 6, pp. 1446–1454, Jun. 2008. [23] R. G. Niciforovic, A. G. Polimeridis, and J. R. Mosig, “Fast computation of Sommerfeld integral tails via direct integration based on double exponential-type quadrature formulas,” IEEE Trans. Antennas Propag., vol. 59, no. 2, pp. 694–699, Feb. 2011. [24] R. H. Jansen, “The spectral domain approach for microwave integrated circuits,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 10, pp. 1043–1056, Oct. 1985. [25] T. Itoh, Numerical Techniques for Microwave and Millimeter-Wave Passive Structures. New York: Wiley, 1989. [26] D. B. Davidson and J. T. Aberle, “An introduction to spectral domain method-of-moments formulations,” IEEE Antennas Propag. Mag., vol. 46, no. 3, pp. 11–19, Jun. 2004. [27] V. K. Tripathi and H. Lee, “Spectral-domain computation of characteristic impedances and multiport parameters of multiple coupled microstrip lines,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 1, pp. 215–221, Jan. 1989. [28] M. Kobayashi and T. Iijima, “Frequency-dependent characteristics of current distributions on microstrip lines,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 4, pp. 799–801, Apr. 1989. [29] J. S. Bagby, C.-H. Lee, Y. Yuan, and D. P. Nyquist, “Entire-domain basis MOM analysis of coupled microstrip transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 1, pp. 49–57, Jan. 1992. [30] A. I. Nosich, “The method of analytical regularization in wave-scattering and eigenvalue problems: Foundations and review of solutions,” IEEE Antennas Propag. Mag., vol. 41, no. 3, pp. 34–49, Jun. 1999. [31] G. W. Hanson and A. B. Yakovlev, Operator Theory for Electromagnetics. New York: Springer-Verlag, 2002. [32] S. Steinberg, “Meromorphic families of compact operators,” Arch. Rational Mech. Anal., vol. 31, no. 5, pp. 372–379, 1968. [33] M. Reed and B. Simon, Methods of Mathematical Physics I: Functional Analysis. San Diego, CA: Academic, 1980.

[34] S. Park and C. A. Balanis, “Dispersion characteristics of open microstrip lines using closed-form asymptotic extraction,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 3, pp. 458–460, Mar. 1997. [35] S. Park and C. A. Balanis, “Closed-form asymptotic extraction method for coupled microstrip lines,” IEEE Microw. Guided Wave Lett., vol. 7, no. 3, pp. 84–86, Mar. 1997. [36] S. Amari, R. Vahldieck, and J. Bornemann, “Using selective asymptotics to accelerate dispersion analysis of microstrip lines,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 7, pp. 1024–1027, Jul. 1998. [37] M. Lucido, “A new method for the accurate and efficient analysis of multiple coupled microstrip lines,” in Proc. 39th Eur. Microw. Conf., Sep.-Oct. 2009, pp. 715–718. [38] W. C. Chew, Waves and Fields in Inhomogeneous Media. New York: IEEE Press, 1995. [39] W. C. Chew and S. Y. Chen, “Response of a point source embedded in a layered medium,” IEEE Antennas Wireless Propag. Lett., vol. 2, pp. 254–258, 2003. [40] F. Mesa and D. R. Jackson, “Investigation of integration paths in the spectral-domain analysis of leaky modes on printed circuits lines,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 10, pp. 2267–2275, Oct. 2002. [41] M. Abramowitz and I. A. Stegun, Handbook of Mathematical Functions. Berlin, Germany: Verlag Harri Deutsch, 1984. [42] A. B. Yakovlev and G. W. Hanson, “On the nature of critical points in leakage regimes of a conductor-backed coplanar strip line,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 1, pp. 87–94, Jan. 1997. Mario Lucido (M’04) was born in Naples, Italy, in 1972. He received the Laurea degree (summa cum laude) in electronic engineering from the University of Napoli “Federico II,” Naples, Italy, in 2000, and the Ph.D. degree in electric and telecommunication engineering from the University of Cassino, Cassino, Italy, in 2004. Since April 2005, he has been a Researcher with the University of Cassino, where he has been also Adjunct Professor of antennas and microwaves since 2006. His research interests include scattering problems, microwave circuits and microstrip antennas. Dr. Lucido was the recipient of the Giorgio Barzilai Prize for the Best Young Scientist Paper at the Italian National Congress on Electromagnetics in 2006. He has been listed in Marquis’ Who’s Who in the World since 2010.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

2035

A Generalized Multiple Scattering Method for Dense Vias With Axially Anisotropic Modes in an Arbitrarily Shaped Plate Pair Yao-Jiang Zhang, Senior Member, IEEE, and Jun Fan, Senior Member, IEEE Abstract—Numerical addition theorems of both axially anisotropic and axially isotropic parallel-plate modes are derived using a method based on boundary integral equations. This leads to a generalized multiple scattering (GMS) method for signal/power integrity analysis of dense vias in an arbitrarily shaped plate pair, which overcomes the limitation of the conventional multiple scattering method depending on the analytical addition theorems in an infinitely large or a finite circular plate pair. Both the numerical addition theorems and the GMS method have been validated by comparing results with either analytical expressions for special cases or full-wave simulations for more general cases. Several examples are provided to demonstrate the advantages of the generalized method over previous via models by taking into account the axially anisotropic modes due to the asymmetry caused by dense vias and/or arbitrarily shaped power/ground plate edges on via performance. Index Terms—Addition theorems, axially anisotropic modes, boundary integral equation method, generalized multiple scattering (GMS) method, signal/power integrity, via modeling.

I. INTRODUCTION

V

IAS are widely used in multilayer printed circuit boards (PCBs) and packages to connect traces or parallel plates in different layers [1]. Besides providing additional routing space for signal traces, vias also form discontinuities in signal transmission paths causing mismatch in these signal interconnects. Moreover, a high-frequency vertical current flowing on a via barrel could excite propagating parallel plate modes between two adjacent power/ground plates. As a result, strong crosstalk or voltage fluctuations may occur in nearby signal vias or in power distribution networks, causing serious signal/power integrity (SI/PI) concerns. In addition, the propagating waves (modes) may excite the resonant modes of the power/ground pair, which could result in electromagnetic interference (EMI) problems due to strong edge radiations. Therefore, via modeling is crucial for SI/PI and EMI analysis in practical designs of high-speed PCBs or packages. Thus far, two efficient via modeling approaches, i.e., hybrid field-circuit via models and the multiple scattering method, have Manuscript received June 20, 2011; revised February 21, 2012; accepted March 08, 2012. Date of publication May 24, 2012; date of current version June 26, 2012. This work was supported in part by the National Science Foundation under Grant 0855878. The authors are with the Department of Electrical and Computer Engineering, Electromagnetic Compatibility Laboratory, Missouri University of Science and Technology (formerly University of Missouri-Rolla), Rolla, MO 65401 USA (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2195195

been developed to handle vias in a plate pair. A physics-based via model was used to describe the via-plate interactions based on physics intuition [2]–[4]. The physics-based via model is flexible and suitable for any arbitrarily shaped plate pair but the boundary condition on vias is not satisfied. To overcome the drawback of the physics-based via model, an alternative hybrid field-circuit via model, namely the intrinsic via circuit model reported in [5] and [6], was derived rigorously based on electromagnetic analysis for multiple vias in a plate pair. The physics-based via model can be viewed as an approximation of the intrinsic via circuit model at low frequencies [7]. The validity of the hybrid field-circuit via models depends on two assumptions. One is that the separation of vias is sufficiently large so that the evanescent higher order parallel-plate modes can be safely neglected in via coupling. The other is that the via itself is electrically sufficiently small that all of the axially anisotropic modes around a via due to asymmetric geometries can be excluded. These two assumptions prohibit the hybrid field-circuit via models from analyzing a plate pair with dense vias where the evanescent higher order and axially anisotropic modes due to strong asymmetric field distributions around a via have to be included. An alternative via modeling approach is the conventional multiple scattering (CMS) method, which is a semi-analytical approach proposed in [8]–[16]. The conventional multiple scattering method considers via–plate–pair interactions rigorously by enforcing via boundary conditions and including the mutual coupling of both axially isotropic and axially anisotropic modes among vias. However, as it relies on the analytical addition theorems of parallel-plate modes, the CMS method can only handle vias in an infinitely large or a finite circular plate pair. This has prevented the method from being applied to many practical applications where almost all power/ground-plate pair are not circularly shaped. An empirical technique, namely the frequency-dependent cylinder layer (FDCL), was intended to model arbitrarily shaped plate edges in the CMS method [17]. In FDCL, the plate edges of the perfect magnetic conductor (PMC) boundary condition are replaced by a layer of PMC circular cylinders. Although a similar idea of FDCL is proved to be feasible for a perfectly electric conductor (PEC) or Dirichlet boundary conditions for TM waves [18], it is a significant challenge to guarantee the accuracy of PMC or Neumann boundary conditions in FDCL. Recently, an improved multiple scattering (IMS) method was proposed for an arbitrarily shaped plate pair with electrically small vias and relatively large via spacing, where only axially isotropic propagating modes need to be

0018-9480/$31.00 © 2012 IEEE

2036

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

considered and its addition theorem is found to be related to the parallel plate impedance matrix [19]. In summary, the hybrid field-circuit models and the IMS method can handle arbitrarily shaped plate pair but fail in dense via analysis. On the other hand, the CMS method can deal with the asymmetric field distribution in dense vias but is limited to a circular plate pair. In this paper, numerical addition theorems of either axially isotropic or axially anisotropic parallel-plate modes are derived through a boundary integral equation method for an arbitrarily shaped plate pair. This leads to a generalized multiple scattering (GMS) method that explicitly enforces the boundary conditions on both vias and plate edges. The method has both the capability of the CMS method for dense vias and the flexibility of the hybrid field-circuit models in handling arbitrarily shaped plates. The GMS method can be viewed as a further development of the IMS method for dense vias in an arbitrarily shaped plate pair by considering axially anisotropic modes. This paper is organized as follows. In Section II, complete parallel-plate modes and their analytical addition theorems in a circular plate pair are provided to be used for validation of the numerical addition theorems, which are derived using a boundary integral equation method in Section III. A GMS method based on the numerical addition theorems is proposed in Section IV. Numerical examples are provided in Section V to validate both the numerical addition theorems and the GMS method. II. PARALLEL-PLATE MODES AND ANALYTICAL ADDITION THEOREMS

Fig. 1. Multiple vias in an arbitrarily shaped plate pair. (a) Top view. (b) Side view.

where and are the expansion coefficients for the regand , respecular and outward cylindrical harmonics and are the paralleltively, and plate modes for via and can be expressed as

A. Parallel-Plate Modes Consider multiple vias located in an arbitrarily shaped plate pair as shown in Fig. 1. The plate-pair edge is represented by and is the thickness of the dielectric layer between the two parallel plates whose relative permittivity is . The barrel and anti-pad (via hole) radii associated with via are denoted and , respectively. The vector or the polar coordinates is used to represent a point in the global polar coordinate system, together with in the vertical direction. The location of via is denoted by a vector , whose polar coordinate is . The vector from via to via is denoted here , while and . Local polar coordinate systems are defined at the centers of the vias on the surface of the bottom plate, and or is used to represent a point in the local cylindrical coordinates of via . Clearly, relates a point in the local and global polar coordinate systems. Here, subscripts and superscripts are used to represent the global and local polar coordinates, respectively. In the parallel-plate pair, the vertical electric field on the barrel of via can be expressed in its local cylindrical coordinates as [6]

(2) (3) where are the th-order Bessel and second kind Hankel functions, respectively, represents a point in the local cylindrical coordinates of via , and (4) is the transverse wave number. Note that, in this paper, the bold is used to denote the imaginary unit to be distinguished from the integer index . and To facilitate further discussions, the coefficients are arranged into vectors in the following sequences:

(5)

(6) (1)

ZHANG AND FAN: GMS METHOD FOR DENSE VIAS WITH AXIALLY ANISOTROPIC MODES IN AN ARBITRARILY SHAPED PLATE PAIR

2037

Here, finite integers and are used to truncate the infinite summations of and in (1) in practical calculations, i.e., and . Thus, both and are vectors with a length of . B. Analytical Addition Theorems Physically, the outward wave of via may illuminate via , which is described by addition theorems of parallel-plate modes as

Fig. 2. Multiple vias in a circular plate pair and analytical addition theorems.

(7) stands for the transform coefficient from the where outward wave to the regular cylindrical harmonics , and and are the local coordinates at the centers of via and via , respectively. is a key paNote that the expansion coefficient rameter for wave transforms among different vias in multiple scattering analysis. For an infinitely large plate pair or a finite circular plate pair as shown in Fig. 2, can be obtained analytically [10], [14], [20] as (8) where (9)

Fig. 3. Outward wave from the th via in an arbitrarily shaped plate pair.

A. Boundary Integral Equation for PMC Plate-Pair Edges The separation of the parallel plates is often electrically small. Therefore, the plate-pair edges can be approximated as a PMC boundary condition [21], [22]. Then, the vertical electric fields along the plate-pair edge satisfy the following boundary integral equation [5]:

(10) is used to describe the wave reflection at the circular and edge with various boundary conditions and can be obtained as

(11) . in (9) describes the direct illumination Note that from via to via , and in (10) accounts for the wave reflection from the circular plate-pair edge. Note that an infinitely large plate pair can be viewed as a special finite one with a perfect matched layer (PML) boundary condition at . III. NUMERICAL ADDITION THEOREMS PARALLEL-PLATE MODES

(12) where is the gradient operator on the observation point and is the normal vector of the source point . Both and are located at the plate-pair edge , i.e., . The addition theorem of (7) quantitatively describes the relafrom via and tionship between to via . As the direct illumination has already been given in (9), which does not change with the shape of the parallel-plate pair; only the edge reflection part needs to be calculated. Assume that an outward wave goes out from via , as shown in Fig. 3, as the incident wave to the plate-pair boundary as (13) Substituting (13) into (12) yields

OF

For an arbitrarily shaped plate pair, for any and from via to via cannot be obtained analytically. The main contribution of this paper is to provide a numerical method to calculate the addition theorem coefficient, , through the boundary integral equation used in [5]. This will lead to a GMS method suitable for analyzing dense vias in an arbitrarily shaped plate pair.

(14)

B. Integral Equation Solved by the Method of Moments (MoM) The standard MoM procedures described in [23] are used to solve the integral equation (14) for the electric field along , which is discretized into segments as illustrated in

2038

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

C. Reflected Waves Expressed in Local Coordinates of Via From (15)–(17), the scattered electric field at any location inside the plate pair due to the incidence wave of (13) can be obtained as

Fig. 4. Electric field on the

segment reflected back to via .

Fig. 3. The total electric field be expanded as

along the edge

can then

(20) Note that the electric field in (20) is expressed in the global coordinates. To obtain the addition theorem coefficients , (20) needs to be expressed in the local coordinate system of via as shown in Fig. 4. The addition theorem of the zeroth-order Hankel function of the second kind is well known as [20]

(15) is a constant pulse along the edge where the basis function but a cosine function along the direction as otherwise

(16)

is the expansion coefficient of the electric field on and segment of the plate-pair edge illuminated by the source from via defined in (13). Following the same point-matching procedure described in [5] and [23] results in

(21) for . Also, the gradient operator to the observation point can be transferred to the source point as (22) Substituting (21) to (22) yields

(17) where the column vector contains the expansion coefficients and the column source is obtained as vector

(23) where the gradient operator on the cylindrical harmonics can be further expressed as

(18) The obtained as

matrix

is the MoM matrix and its element is

where and vector of segment . Here, of the segments and and

(19)

(24)

, and is the normal and are defined at the centers is the length of segment .

Substituting (24) into (23), and further into (20), leads to the scattered electric field expressed in the local coordinates of via as given by (25), shown at the bottom of this page.

(25)

ZHANG AND FAN: GMS METHOD FOR DENSE VIAS WITH AXIALLY ANISOTROPIC MODES IN AN ARBITRARILY SHAPED PLATE PAIR

Note that the scattered electric field in (25) is the result of the incident wave given in (13). Therefore, the expansion coefficients of the addition theorems in an arbitrarily shaped plate pair are derived as

2039

are popular in measurements for validations of via models [2], [3]. Through similar derivations of (33), the numerical addition theorems in a PEC plate pair can be expressed as (34) where

and (35)

(26) Defining a representing parameter in

(27) (26) becomes

(28) As and using (17), similar to (10) for a circular plate pair, we have (29) where and are and matrix, respectively, and their elements are formed from (27) and (18) as (30)

. with Note that (33) and (34) provide a numerical method to calculate the addition theorem coefficients of any parallel-plate modes using the MoM matrices for any arbitrarily shaped plate pair with a PMC or PEC boundary. It is worth mentioning that (33) and (34) are reduced to the radial scattering matrix derived in [5] when only the zeroth-order axially isotropic modes are considered. The method can be validated for a special case, a circular plate pair, by comparing with the results from the analytical expressions using (8)–(10). Equation (33) is valid for any parallel-plate modes, i.e., any and in (1). This means that the numerical addition theorems (33) can consider both higher order and asymmetric modes. Thus, it can lead to a GMS method for modeling dense vias in an arbitrarily shaped plate pair. IV. GMS METHOD USING NUMERICAL ADDITION THEOREMS Due to the wave behaviors discussed earlier, for via , the unknown expansion coefficient vectors , for the regular and and outward waves and the excitation source vectors are related together by the following Foldy–Lax equation as (36)

(31) where . Note that the derivative operation in (27) can be calculated by the following identity:

(32) D. Numerical Addition Theorems Using the scattering term (29) and the direct illumination term (9) together, the numerical addition theorem coefficients for an arbitrarily shaped plate pair can be calculated as (33) where is a matrix whose elements are obtained from (9). It is worth including the numerical addition theorems for a plate pair with perfectly electric conductor (PEC) edges usually formed by stitching shorting vias, which

where the reflection matrix and the excitation sources , can be obtained analytically [14], [24]. Clearly, both of them are independent of the shape of the plate pair. On the other in (36) depends on via hand, the radial scattering matrix locations and the shape of the plate pair. can only be obtained for an inFor the CMS method, finitely large or finite circular plate pair where analytical addition theorems are available. This is the main drawback of the method. The numerical addition theorems proposed in this paper are based on the boundary integral equation, which is suitable for arbitrarily shaped plate pair. Thus, a GMS method becomes possible where the radial scattering matrix can be calculated by the numerical addition theorems derived in (33) or (34) for PMC or PEC plate pair, respectively. To demonstrate how to obtain the radial scattering matrix , the structure of is given as a block-diagonal matrix shown in Fig. 5(a). This block-diagonal property is due to the orthogonality of the cosine functions in the parallel plate modes

2040

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 5. (a) Block-diagonal property of the radial scattering matrix submatrix, . (b) Dense

.

of (2) and (3). On the other hand, the axially isotropic and axially anisotropic modes are coupled together in each submatrix of as shown in Fig. 5(b) according to (28). Once the coefficient vectors and for each via have been calculated by the Foldy–Lax equation (36), an admittance matrix that relates the port currents and port voltages can be expressed as (37) where and are the -length vectors of port currents and voltages at the top (bottom) ports, respectively. Then the corresponding -parameters can be easily calculated, for example using [10, eq. (48)].

Fig. 6. Comparisons of the numerical addition theorem coefficients by the boundary integral equation method and the analytical expression (8) in a circular plate pair with a PMC boundary.

V. NUMERICAL EXAMPLES AND DISCUSSIONS Here, numerical addition theorems have been first validated by comparing with the analytical ones in a circular plate pair. Then, several examples are provided to validate the GMS method using the numerical addition theorems. The impact of plate thickness on the phase shift of -parameters and the influence of edge reflections on via performance are also investigated. A. Validation of Numerical Addition Theorems Consider a circular plate pair with a radius of 25.4 mm closed by a PMC boundary. The dielectric layer between the two plates has a thickness of 0.508 mm, a relative permittivity of 4.2, and a loss tangent of 0.02. Via 1 and Via 2 are located at 5.08,-5.08 mm and (5.08, 2.54) mm, respectively. The origin of the coordinate system is at the center of the circular plate pair. Both the real and imaginary parts of the addition theorem coefficients by the two methods are compared in Fig. 6. It can be seen that the addition theorem coefficients by the numerical

Fig. 7. Comparisons of the magnitudes of the numerical and analytical addition theorem coefficients for a circular plate pair with a PEC boundary.

method matches well with the analytical expressions. The magnitudes of the addition theorem coefficients for the same circular plate pair but with a PEC boundary are compared in Fig. 7. Again, good agreements have been observed. In Fig. 8, the addition theorem coefficient of a higher oder evanescent mode is compared with that of a zerothorder propagating mode for two vias in the same circular plate

ZHANG AND FAN: GMS METHOD FOR DENSE VIAS WITH AXIALLY ANISOTROPIC MODES IN AN ARBITRARILY SHAPED PLATE PAIR

Fig. 8. Comparisons of the numerical and analytical addition theorem coefficients for the zeroth-order and higher order modes in a circular plate pair with a PMC boundary.

2041

Fig. 9. Test geometry of a signal via with two nearby shorting vias in a square plate pair.

pair of Fig. 6. Via 1 and Via 2 are located at (5.08,5.08) and (5.08, 4.32) mm, respectively. It can be seen that the addition theorem coefficient for the zeroth-order mode , is much larger than the counterpart of the higher order mode . This indicates the zeroth-order propagating, either axially isotropic or axially anisotropic, modes must be considered for via coupling between these two vias with a separation of only 0.76 mm (about 30 mils) while via coupling of the higher order modes is weak in this example. In most practical designs, the separation between vias and the distance of vias to plate edges are sufficiently large that all of the higher order evanescent modes are confined locally near a via, whose coupling to nearby vias and plate edges can be safely neglected. This may significantly reduce the computational time in the algorithm implementation. B. Validation of GMS Method The first example as shown in Fig. 9 is a 2.54 2.54 mm rectangular plate pair with a coordinate system whose origin is located at the center. The metal plate thickness is 0.0762 mm, and the thickness of the dielectric layer is 0.508 mm. As the dielectric layer is still electrically thin even at 50 GHz, the side walls of the plate pair can be approximated as PMC boundary conditions [21], [22]. One signal via and two shorting vias are located at (0, 0), (0.889, 0), and (0, 0.889) mm, respectively. All three vias have the same barrel radius of 0.254 mm, and the signal via has an anti-pad radius of 0.508 mm. A Debye dielectric model is used for the permittivity of the dielectric material. The relative static permittivity and the optical permittivity are set to be 4.3 and 4.1, respectively. The relaxation time is s. The same Debye dielectric model is used in all of the examples here. The purpose of using such a small cavity as a validation example is to manifest the effects of the anisotropic modes around the signal via. The electric field around the central signal via has to vary rapidly because of the nearby shorting vias and the

Fig. 10. -parameters of a signal via with two nearby shorting vias in a square plate pair by the GMS method with different number of azimuthal modes, the FIT method of CST Microwave Studio, and the physics-based via model ( and normalized to 50 ).

PMC edges. In addition, a larger cavity has lower and denser resonant frequencies in the frequency range of interest between

2042

Fig. 11. 8 vias).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

8 via array in a square plate pair (29 signal vias and 35 shorting

1–50 GHz, which makes it difficult to compare different via models. The magnitude results of the reflection and transmission coefficients of the signal via by the generalized multiple scattering method, a full-wave solver based on the finite integral technique (FIT) from CST Inc., and a solver based on the physics-based via model are compared in Fig. 10. It can be seen that, at the frequencies higher than 10 GHz, the physics-based via model does not match the full-wave CST simulations. This is because the physics-based via model neglects both the axially anisotropic modes and the higher order mode coupling among vias. On the other hand, the GMS method with the axially isotropic modes considers the mutual coupling of the higher order modes , and thus it can match the CST simulation slightly better than the physics-based via model. However, it still shows obvious differences at the first valley of and at the high frequencies near 45 GHz. When the higher order axially anisotropic modes with or are included, the GMS method matches better with the CST simulations in the entire frequency band from 1 to 50 GHz. In other words, in this example, the GMS method with is sufficient to get the converged results. This is because the circumferences of vias are still much smaller than the wavelength of interest. In practical applications, a few axially anisotropic modes are usually sufficient even when vias are closely spaced. In the following examples, only is used in the GMS method. The structure of another example is an 8 8 via array located in a square plate pair as shown in Fig. 11(a). The pitch of the array, or the separation between the centers of two adjacent vias, is denoted , and the distance between the via array and the four side walls of the plate pair is . The radii of the via barrels and

Fig. 12. Impact of plate thickness on the magnitude and phase of a transmission 2.032 0.127 parameter. (a) Magnitudes. (b) Phases 0.381 0.0762 0.2692 unit mm .

the anti-pads are denoted and , respectively. The thickness of the metal plates and the dielectric layer between the two plates are and . This test structure can be modeled as cascaded admittance networks shown in Fig. 11(b) when the finite thickness of the plates is taken into account. The admittance matrix for the ports defined at the inner surfaces of the plates is obtained by the GMS method in (37). The top or bottom plate is considered to be a small segment of a coaxial transmission line. In this 8 8 via array, there are 29 signal vias and 35 shorting vias. Therefore, each network is described as a 58 58 admittance matrix. The final admittance matrix of the entire structure can be obtained using the recursive cascaded network algorithm developed in [25]. The transmission coefficient results of via 8 between Ports 3 and 4 defined in Fig. 11(a) are shown in Fig. 12. The geometrical dimensions of this via array are 2.032 mm (80 mils), 0.127 mm, 0.381 mm, 0.0762 mm, and 0.2692 mm. It can be seen from Fig. 12(a) that, for this case, the finite thickness of the metal plates has little impact on the magnitude of the transmission parameter . However, for the phase calculation, the algorithm with the plate thickness taken into account can give much better agreement with the CST simulation as shown in Fig. 12(b). For a multilayer PCB, depending on the number of plates in the geometry, phase shift

ZHANG AND FAN: GMS METHOD FOR DENSE VIAS WITH AXIALLY ANISOTROPIC MODES IN AN ARBITRARILY SHAPED PLATE PAIR

Fig. 13. Layer stack of a via-array geometry 0.381 unit mm .

1.016

2043

0.127

Fig. 15. Comparisons of (a) reflection and (b) transmission coefficients of via 1 obtained by the GMS method, CST Microwave Studio, and the CMS method.

Fig. 14. Comparisons of (a) reflection and (b) transmission coefficients of via 7 obtained by the GMS method, CST Microwave Studio, and the CMS method.

due to the thickness of the plates may have to be considered to obtain the correct -parameters. Edge effects on different vias have been further investigated by comparing the reflection and transmission parameters of via 7 and via 1 located in a multilayer plate pair whose side view and top view are shown in Figs. 13 and 11(a), respectively. The pitch of the via array is 1.016 mm (40 mils). The thickness of the

plates is 0.0762 mm, and the separation of the adjacent plates is 0.2692 mm (10.6 mil). The radii of the via barrels and anti-pads are 0.127 and 0.381 mm, respectively. It is shown in Fig. 14 that both the GMS and CMS methods agree well with the CST simulations for via 7. Note that the plate pair is assumed to be infinitely large in the CMS method. This is because via 7 is nearly enclosed in a cavity formed by surrounding shorting vias. Only a small amount of waves can go out and get reflected from the plate-pair edges. Careful observation, however, reveals that the GMS method can achieve the same small notches or valleys as the FIT in CST simulations does. These small notches reflect the effects of the finite rectangular plate pair as well as other vias. The same comparison is done for via 1 in Fig. 15. It can be seen that the GMS method can match well with the full-wave CST simulation for both the reflection and transmission parameters, while the CMS method fails. Note from Fig. 11 that via 1 is at the corner of the finite rectangular plate pair. Different from via 7 with eight shorting vias, via 1 can be approximately viewed as in a small cavity that has two PMC edges and two PEC walls formed by the adjacent three shorting vias. The length of the four

2044

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

sidewalls of the small cavity is about 2.032 mm, the first resonant frequency of which is about 25.47 GHz using the formulas derived in [26]. This resonance causes the strong reflection or weak transmission for via 1, which can be correctly predicted by the GMS method. These results indicate that, when a via with many nearby shorting vias, the CMS method can get reliable results. This is because many nearby shorting vias provide a low-impedance return path and a good shielding to reduce the effect of plate-pair edge reflection. However, for those vias near plate-pair edges or not surrounded by many shorting vias, edge reflection would have a great impact on their electrical performance. In this case, the GMS method overcomes the limitation of the CMS method in calculations of the coefficients of the addition theorems for arbitrarily shaped plate pairs. Finally, it is worth mentioning that, using the same computer platform for the calculations of Figs. 14 and 15, it took 3.9 10 s of CPU time and 7.3-Gbyte memory for the CST Microwave Studio to complete the four-port -parameter simulations. For the GMS and CMS methods, the simulations took 9.8 10 and 5.0 10 s of CPU time and 0.05 and 0.04 Gbytes of memory, respectively. A total of 201 frequency points were calculated in each simulation. Further, in the GMS method, the entire 58-port instead of four-port -parameters were calculated. Therefore, the GMS method is much more efficient than the full-wave numerical solver and is comparable to the CMS method in both simulation time and memory requirements.

VI. CONCLUSION The CMS method is restricted to either an infinitely large or a finite circular plate pair because of its dependence on the analytical addition theorems of parallel-plate modes. To overcome this limitation, numerical addition theorems are derived for an arbitrarily shaped plate pair based on a boundary integral equation method. This leads to a GMS method, which can be used to accurately account for the reflection from the edges of any arbitrarily shaped plate pair, as well as the multiple scattering among the vias of the higher order and axially anisotropic parallel plate modes. The proposed method has been validated by comparing the results with a full-wave numerical solver. It has been demonstrated that the method has a great potential in modeling arbitrary via structures typical in multilayer PCBs or packages.

REFERENCES [1] S. H. Hall, G. W. Hall, and J. A. McCall, High-Speed Digital System Design-a Handbook of Interconnect Theory and Design Practices. New York: Wiley, 2000. [2] C. Schuster, Y. Kwark, G. Selli, and P. Muthana, “Developing a “physical” model for vias,” in Proc. IEC DesignCon Conf., Santa Clara, CA, Feb. 6–9, 2006, pp. 1–24. [3] G. Selli, C. Schuster, Y. H. Kwark, M. B. Ritter, and J. L. Drewniak, “Developing a physical via model for vias—Part II: Coupled and ground return vias,” in Proc. IEC DesignCon Conf., Santa Clara, CA, Feb. 1, 2007, pp. 1–22. [4] Y. Zhang, J. Fan, G. Selli, M. Cocchini, and F. D. Paulis, “Analytical evaluation of via-plate capacitance for multilayer printed circuit boards and packages,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 9, pp. 2118–2128, Sep. 2008.

[5] Y.-J. Zhang, G. Feng, and J. Fan, “A novel impedance definition of a parallel plate pair for an intrinsic via circuit model,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 12, pp. 3780–3789, Dec. 2010. [6] Y.-J. Zhang and J. Fan, “An intrinsic circuit model for multiple vias in an arbitrarily shaped plate pair through rigorous electromagnetic analysis,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 8, pp. 2251–2265, Aug. 2010. [7] Y.-J. Zhang and J. Fan, “Recent development of via circuit model: Hybrid circuit and field analysis,” in Proc. IEEE Electr. Design Adv. Packaging Syst. Symp., Singapore, 2010, pp. 1–4. [8] H. Chen, Q. Lin, L. Tsang, C.-C. Huang, and V. Jandhyala, “Analysis of a large number of vias and differential signaling in multilayered structures,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 818–829, Mar. 2003. [9] L. Tsang, H. Chen, C.-C. Huang, and V. Jandhyala, “Modeling of multiple scattering among vias in planar waveguides using Foldy–Lax equations,” Microw. Opt. Technol. lett., vol. 31, pp. 201–208, Nov. 2001. [10] L. Tsang and D. Miller, “Coupling of vias in elctronic packaging and printed circuit board structures with finite ground plane,” IEEE Trans. Adv. Packag., vol. 26, no. 4, pp. 375–384, Nov. 2003. [11] C.-C. Huang, L. Tsang, and C. H. Chan, “Multiple scattering among vias in lossy planar waveguides using SMCG method,” IEEE Trans. Adv. Packag., vol. 25, pp. 181–188, May 2002. [12] C.-J. Ong, D. Miller, L. Tsang, B. Wu, and C.-C. Huang, “Application of the Foldy-Lax multiple scattering method to the analysis of vias in ball grid arrays and interior layers of printed circuit boards,” Microw. Opt. Technol. Lett., vol. 49, no. 1, pp. 225–31, Jan. 2007. [13] X. Gu and M. B. Ritter, “Application of Foldy-Lax multiple scattering method to via analysis in multi-layered printed circuit board,” in Proc. DesignCon 2008, Santa Clara, CA, Feb. 7, 2008. [14] Y.-J. Zhang, J. Fan, A. R. Chada, and J. L. Drewniak, “A concise multiple scattering method for via array analysis in a circular plate pair,” in Proc. Electr. Design Adv. Packaging Syst. Symp., Korea, 2008, pp. 143–146. [15] Z. Guo and G. Pan, “On simplified fast modal analysis for through silicon vias in layered media based upon full-wave solutions,” IEEE Trans. Adv. Packag., vol. 33, no. 2, pp. 517–523, 2010. [16] B. Wu and L. Tsang, “Modeling multiple vias with arbitraily shape of antipads and pads in high speed interconnect circuits,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 1, pp. 12–14, Jan. 2009. [17] E.-X. Liu, E.-P. Li, Z. Z. Oo, X.-C. Wei, Y. Zhang, and R. vahldieck, “Novel methods for modeling of multiple vias in multilayered parallelplate structures,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 7, pp. 1724–1733, Jul. 2009. [18] A. Z. Elsherbeni and A. A. Kishk, “Modeling of cylindrical objects by circular dielectric and conducting cylinders,” IEEE Trans. Antenna Propagat., vol. 40, no. 1, pp. 96–99, Jan. 1992. [19] Y.-J. Zhang, A. R. Chada, and J. Fan, “An improved multiple scattering method for via structures with axially isotropic modes in an irregular plate pair,” IEEE Trans. Electromagn. Compat., vol. 54, no. 2, pp. 457–465, Apr. 2012. [20] W. C. Chew, Waves and Fields in Inhomogeneous Media, Appendix D. New York: Van Nostrand Reinhold, 1990. [21] G.-T. Lei, R. W. Techentin, P. R. Hayes, D. J. Schwab, and B. K. Gilbert, “Wave model solution to the ground/power plane noise problem,” IEEE Trans. Instrum. Meas., vol. 44, no. 2, pp. 300–303, Apr. 1995. [22] C. Wang, J. Mao, G. Selli, S. Luan, L. Zhang, J. Fan, D. J. Pommerenke, R. E. DuBroff, and J. L. Drewniak, “An efficient approach for power delivery network design with closed-form expressions for parasitic interconnect inductances,” IEEE Trans. Adv. Packag., vol. 29, no. 2, pp. 320–334, May 2006. [23] A. E. Peterson, S. L. Ray, and R. Mittra, Computational Methods for Electromagnetics. Oxford, U.K.: Oxford Univ., 1998, ch. 2. [24] B. Tomasic and A. Hessel, “Electric and magnetic current sources in the parallel plate waveguide,” IEEE Trans. Antennas Propagat., vol. AP-35, no. 11, pp. 1307–1310, Nov. 1987. [25] Y.-J. Zhang, Z. Z. Oo, X.-C. Wei, E.-X. liu, J. Fan, and E.-P. Li, “Systematic microwave network analysis for multilayer printed circuit boards with vias and decoupling capacitors,” IEEE Trans. Electromagn. Compat., vol. 52, pp. 478–485, May 2010. [26] Y.-J. Zhang et al., “Efficient prediction of RF interference in a shielding enclosure with PCBs using a general segmentation method,” in Proc. Int. Symp. Europe Electromagn. Compatibil., Hamburg, Germany, 2008, pp. 1–4.

ZHANG AND FAN: GMS METHOD FOR DENSE VIAS WITH AXIALLY ANISOTROPIC MODES IN AN ARBITRARILY SHAPED PLATE PAIR

Yao-Jiang Zhang (S’97–M’01–SM’11) received the B.E. and M.E. degrees in electrical engineering from the University of Science and Technology of China, Hefei, China, in 1991 and 1994, respectively, and the Ph.D. degree in physical electronics from Peking University, Beijing, China, in 1999. From 1999 to 2001, he was with Tsinghua University as a Post-Doctoral Research Fellow. From August 2001 to August 2006, he was a Senior Research Engineer with the Institute of High Performance Computing (IHPC), Agency for Science, Technology and Research (A*STAR), Singapore. From September 2006 to September 2008, he was with the EMC Laboratory, Missouri University of Science and Technology (Missouri S&T, formerly University of Missouri-Rolla). From September 2008 to April 2010, he was a Research Scientist with IHPC, and he is currently an Associate Research Professor with the EMC Laboratory, Missouri S&T. His research interests include computational electromagnetics, parallel computing techniques, and signal integrity and power integrity issues in high-speed electronic packages or printed circuit boards.

2045

Jun Fan (S’97–M’00–SM’06) received the B.S. and M.S. degrees from Tsinghua University, Beijing, China, in 1994 and 1997, respectively, and the Ph.D. degree from the Missouri University of Science and Technology (formerly University of Missouri-Rolla), Rolla, in 2000, all in electrical engineering. From 2000 to 2007, he was with NCR Corporation, San Diego, CA, as a Consultant Engineer. In July 2007, he joined the Missouri University of Science and Technology (Missouri S&T), Rolla, where he is currently an Assistant Professor with the Missouri S&T EMC Laboratory. His research interests include signal integrity and EMI designs in high-speed digital systems, dc power-bus modeling, intra-system EMI and RF interference, PCB noise reduction, differential signaling, and cable/ connector designs. Dr. Fan served as the Chair of the IEEE Electromagnetic Compatibility (EMC) Society TC-9 Computational Electromagnetics Committee from 2006 to 2008 and was a Distinguished Lecturer of the IEEE EMC Society in 2007 and 2008. He currently serves as the Vice Chair of the Technical Advisory Committee of the IEEE EMC Society. He received an IEEE EMC Society Technical Achievement Award in August 2009.

2046

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Modeling of Waveguide Structures Using DG-FETD Method With Higher Order Tetrahedral Elements Fu-Gang Hu, Member, IEEE, and Chao-Fu Wang, Senior Member, IEEE

Abstract—In this paper, the discontinuous Galerkin (DG) finite-element time-domain (FETD) method is developed to model electromagnetic (EM) structures with waveguide excitations. Several specific issues about the DG-FETD modeling are addressed. First, the higher order tetrahedral elements are employed to accurately model the geometry of EM structures and effectively reduce the dispersion error so that the efficiency of the FETD method is increased. To further increase the efficiency of the DG-FETD method, the local time-stepping scheme is applied. Secondly, the conformal perfect matching layer (PML) is applied to terminate the waveguide. The formulation of the conformal PML is presented in this paper. Thirdly, a novel approach is proposed to extract the -parameters of waveguide structures. This approach applies the surface magnetic current to excite the EM fields in the waveguide structures. Taking advantage of the relationship between the excitation current and excited fields in the uniform waveguide, one can readily obtain the incident electric fields that are required for calculating the -parameters. This approach avoids the pre-simulation of the uniform waveguide. Finally, the numerical results are given to validate the DG-FETD modeling. Index Terms—Conformal perfect matching layer (PML), discontinuous Galerkin (DG) approach, finite-element time-domain (FETD) method, higher order tetrahedral elements, local time-stepping (LTS) scheme, waveguide excitation.

I. INTRODUCTION

I

N MICROWAVE engineering, waveguide structures can find many applications. They can serve as filters, power dividers, antenna feeds, etc. To successfully model these kinds of electromagnetic (EM) structures, the methods should possess the capability of treating arbitrary shape and inhomogeneous media. The finite-element method (FEM) is suitable for analyzing the waveguide structures because of its intrinsic features [1]–[4]. For the finite-element frequency-domain (FEFD) method, the fast frequency sweep techniques are usually required to efficiently obtain the EM response during a wide bandwidth of frequencies [4], [5]. The higher order techniques are developed to further improve the efficiency and accuracy of the FEFD method [6]–[8]. For the finite-element time-domain (FETD) method [9]–[16], the temporal EM fields can be found. The frequency response is readily obtained by applying the discrete Fourier transformation (DFT) to the temporal signals. Manuscript received September 05, 2011; revised March 09, 2012; accepted March 13, 2012. Date of publication April 27, 2012; date of current version June 26, 2012. The authors are with Temasek Laboratories, National University of Singapore, Singapore 117411 (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2193138

Recently, the discontinuous Galerkin (DG) approach [17]–[20] has been applied to the FETD method. The DG-FETD method [21]–[26] is vibrant and attractive because it not only possesses the effective spatial discretization, which is an important advantage of the conventional FETD method, but also is globally explicit. In this paper, the globally explicit DG-FETD method is formulated to analyze the EM structures with waveguide excitation. First, the higher order element technique [4], [6], [7], [27], [28] is incorporated into the DG-FETD modeling. It is well known that the higher order element can be used to more accurately model the complex geometry. Furthermore, when the EM fields are expanded into the higher order vector basis functions, the numerical dispersion error can be significantly reduced. The vector elements also overcome the shortcomings of node elements, such as spurious solutions and difficulty in treating conducting and dielectric edges [4]. In this study, the curvilinear tetrahedral elements are applied to discretize the computational domain. The EM fields are expanded using higher order interpolatory vector basis functions. To further increase the efficiency of the DG-FETD method, the local time-stepping (LTS) scheme [29] is applied. Usually, the time step size is limited by the size of the smallest element. The LTS scheme can greatly relax the limitation by regrouping the elements in terms of their local time step sizes and setting different time step sizes for different element groups. Secondly, the perfect matching layer (PML) technique [24], [25], [30]–[32] is applied to the DG-FETD modeling. For some waveguide structures with complex geometry, the PML in the Cartesian coordinate system is not available for the simulation. Nevertheless, the conformal PML coinciding with the waveguide ports is a suitable choice to terminate the waveguide. The auxiliary differential equations proposed by Gedney et al. in [24], which are originally for the PML in the Cartesian coordinate system, can be further modified to be applicable to the conformal PML. In this paper, the conformal unsplit PML for the waveguide structures is formulated on the basis of equations in [24]. This PML is conformal with the ports that are arbitrarily located. Furthermore, a stable difference scheme is proposed for the time discretization. This difference scheme eliminates the requirement of the simultaneous solutions of doublet variables so the proposed difference scheme is a complete leapfrog (LF) scheme and takes shorter computational time than the simultaneous solutions of doublet variables. Thirdly, a novel approach is proposed to calculate the -parameters of waveguide structures. Actually, for the time-domain methods, there are mainly two ways to calculate -parameters. The first one is to apply the accurate waveguide port boundary

0018-9480/$31.00 © 2012 IEEE

HU AND WANG: MODELING OF WAVEGUIDE STRUCTURES USING DG-FETD METHOD

2047

(1b) , and is the speed of light in free space. where The computational domain is discretized with higher order tetrahedral elements [6], [28]. The EM fields are expanded into a set of higher order interpolatory vector basis functions [6], [28]. Here the superscripts and indicate the electric and magnetic fields, respectively. The subscript is the index of basis function. Applying Galerkin’s approach in each element gives [24]

Fig. 1. Descriptive EM structure with

ports.

(2a) condition. This boundary condition is an exact modal absorbing boundary condition (MABC) [33], [34]. This approach applies the Laplace transform to the frequency-domain boundary condition, and the resultant time-domain boundary condition involves convolutions with impulse responses. The second way is to impose the electric current in the Maxwell’s curl equation [35]. To obtain the incident fields, one has to pre-simulate a section of uniform waveguide additionally. Thus, the second approach involves simulation of the waveguide twice. The approach proposed in this paper avoids pre-simulating the uniform waveguide. It imposes the magnetic surface current on the excitation port. Based on the interface boundary condition for the electric fields and magnetic surface current, one can then readily find the tangential incident electric fields in the time domain. After the total fields are obtained from the Maxwell’s equations in the time domain, the reflection and transmission electric fields on all ports can be found. The orthogonality of modes and DFT are then applied to calculate the -parameters. Finally, several EM structures with waveguide excitation are simulated, including the three-port waveguide T-junction , sixport power divider, and monopole antennas. The numerical results are compared with the reference results. Good agreements between them validate the DG-FETD modeling.

(2b) Actually, from (1b), one has (3) By decomposing the right-hand side of (3) into two parts, (3) can be rewritten as

(4) Applying the following vector identity: (5) one can obtain

II. FORMULATION Fig. 1 shows a descriptive EM structure with ports. The EM structure can be a waveguide device filled with inhomogeneous media, or an antenna structure with waveguide excitation. The waveguide is terminated by the PML so that the outgoing propagation wave can be absorbed with trivial reflection. The waveguide ports can be away from or on the interfaces between the waveguide and PML. The magnetic current density is impressed on the excitation port.

(6) Applying surface divergency theorem (7) one can get

The Maxwell’s curl equations in the non-PML region are written as

(8) Substitution of the above equation into the second term of the right-hand side in (4) gives (2b). In a similar way, one can obtain (2a). Making use of the boundary conditions

(1a)

(9)

A. Formulation in Non-PML Region

2048

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

is globally explicit because the global coefficient matrix is block-diagonal. The time-step size is chosen in terms of the following condition:

one can obtain

(12) (10a)

(10b) where . and are the surface electric and magnetic current density, respectively. The superscript denotes the exterior boundary of volume , and is its outward normal vector. It is worth noting that the central-flux DGTD formula [21] can be derived from (10) with the aid of (8) and its counterpart for (1a). Applying the LF scheme to the above equations, one can obtain the matrix equations

is the average area where is the volume of element and of four facets. are the orders of the basis functions. is set to be 0.95 for flat elements. For curvilinear tetrahedra, is set to be 0.7 0.85. From the numerical examples, the above condition is sufficient to guarantee the numerical stability. In this paper, the LTS scheme [29] is applied to increase the efficiency of the DG-FETD method. This scheme regroups the elements in terms of the local time-step sizes. The local timestep size of each element can be determined by (12). For this case, the minimum function in (12) has only one argument. Let denote the minimum local time-step size. Assume the elements are classified into groups. For group , , where is an odd number [29]. The global time step size is , which is the maximum of . B. Formulation in PML Region For the conformal PML, the differential equations of auxiliary variables , , , and [24] are given by

(11a) (11b)

(13a)

where (13b) (13c) (13d) where

are 3 3 diagonal matrices. The diagonal elements are given by

Here, . indicates the th time step. The above expressions are locally implicit. However, the DG-FETD method

HU AND WANG: MODELING OF WAVEGUIDE STRUCTURES USING DG-FETD METHOD

2049

Fig. 2. Infinitely long waveguide excited by a surface magnetic current on the . plane of

In this study, . . and are the distance and maximum distance to the interface between the PML and non-PML region, respectively. Similar to [32], the value of is given by (14) is the average element size in the PML region. where Assume and are two orthogonal unit vectors on the interface between the PML and non-PML regions. The normal vector of the plane . Thus, is given by can be found by (15) Discretizing (13a) and (13d) at yields

, and (13b) and (13c) at

(16a)

The electric or magnetic current may be impressed on the interface between the PML and non-PML regions. Hence, the terms and remain in (16a) and (16c). It should be mentioned that the difference schemes for both the PML and non-PML region are with the second-order accuracy. It should be noted that and hold on the interface between the PML and non-PML regions. C. Excitation and Extraction of -Parameter Fig. 2 shows an infinitely long waveguide excited by a nonat the cross section . physical surface magnetic current The transverse components of excited electric fields ( and ) in the frequency domain can be written as

(16b) (17) (16c) (16d)

where is the transverse component of the electric field’s eigenmode of the vector wave equation when . Making use of the boundary condition at , one can obtain

where

(18) The corresponding electric fields in the time domain can be expressed by

(19) where (20) denotes the Laplace transform. According to the interface boundary condition, one may have (21)

2050

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Making use of the orthogonality of modes, one can obtain the coefficients in terms of (22) Now assume the excitation source is placed at Port . According to the above analysis, the transverse component of the incident electric field at Port can be expressed as (23) where (24)

Fig. 3. -plane waveguide T-junction loaded with a partial-height metallic mm. post. Both the height and diameter of the post are

is the normal direction of Port pointing inward the waveguide device. The transverse component of the total field at Port solved by the DG-FETD method can be expressed as (25) where (26) Thus, for the dominant mode obtained by

, the -parameter can be

(27)

III. NUMERICAL RESULTS In this study, the constant conductivity profile is applied for the PML media, i.e., set in . In addition, is applied in the LTS scheme. The DG-FETD method with arbitrary-order interpolatory vector basis functions is implemented by using Fortran language. For the following examples, the Fortran program is run on a PC machine with an Intel Xeon CPU of 2.27 GHz. First, the -plane waveguide T-junction is simulated. As shown in Fig. 3, a partial-height metallic post is centered in one branch of the T-junction. The second-order tetrahedral elements are applied to discretize the computational domain. The commercial software Patran is employed to generate the mesh. The global edge length for the mesh is set to be 5 mm. The number of elements is 1767. In view of the solution accuracy and computational efficiency, the second-order interpolatory vector basis functions are employed to expand the EM fields. For the secondorder basis function, each element includes 45 vector basis functions for electric and magnetic fields, respectively. The excitation source is placed on Port 1. The modulated Gaussian pulse

Fig. 4. Normalized time-domain modal coefficients of the incident and scatmode. tered fields of the dominant

is applied in the source. Here s, , and GHz. As for the LTS scheme, the elements are classified into three groups in terms of their local time step sizes. The minimum time step size are s. The memory requirement is about 256 MB. The CPU time is about 592 s for 2000 global time steps. Fig. 4 plots the waveforms of the modal coefficients in the time domain. The waveforms approach to zero due to the absorption of EM fields by the PMLs. Fig. 5 shows the magnitude and phase of -parameters. The operating frequency band of the dominant mode is 9.8 14.9 GHz. The DG-FETD results are compared with those from the commercial software HFSS. Good agreements between them can be observed. In addition, as shown in Figs. 4 and 5, the ascending order of the amplitude of , , and implies that of the magnitude of , , and at a majority of the operating bandwidth. This feature can also be found in the next example.

HU AND WANG: MODELING OF WAVEGUIDE STRUCTURES USING DG-FETD METHOD

2051

Fig. 7. Normalized time-domain modal coefficients of the scattered fields of mode. the dominant

Fig. 5. -parameters of the waveguide T-junction loaded with a partial-height metallic post.

Fig. 6. -plane six-port power diver loaded with a dielectric-coated PEC mm, mm, and mm. The radius of cylinder. mm. The outer radius of the dielectric coating is the PEC cyinder is mm. The relative permittivity of the coating media is .

Second, the DG-FETD method is applied to model an -plane six-port power divider loaded with a full-height dielectric-coated perfect electric conductor (PEC) cylinder, as shown in Fig. 6. The second-order tetrahedral elements are applied to discretize the computational domain. The global edge length for the mesh is set to be 5 mm. The number of elements

Fig. 8. -parameters of the -plane power divider loaded with a dielectriccoated cylinder. The measurement results are taken from [36].

is 3018. The second-order interpolatory vector basis functions are employed to expand the EM fields. The excitation source

2052

Fig. 9. Circular monopole antenna. mm.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

mm,

Fig. 11. Conical monopole antenna. mm, and .

mm, and

Fig. 10. Time-domain reflection of the circular monopole antenna. The measurement results are taken from [37].

.

is placed on Port 1. The modulated Gaussian pulse is applied to the source. s, , and GHz. The tetrahedral elements are classified into two groups. The minimum time step size are s. The memory requirement is about 500 MB. The CPU time is about 891 s for 2000 global time steps. Fig. 7 demonstrates the waveforms of the time-domain modal coefficients of the scattered fields. Fig. 8 shows the magnitude and phase difference of -parameters. The operating frequency band of the dominant mode is 8.2 12.4 GHz. The numerical results are compared with the measured results [36]. As shown in Fig. 8, there are very good agreements between numerical and measurement results. Finally, the DG-FETD method is applied to model two types of monopole antennas: the circular and conical monopole antennas. The coaxial line is terminated by a section of PML. The computational domain over the ground plane is truncated by an artificial surface, which is assigned the first-order absorbing boundary condition. The Gaussian pulse is applied in the excitation source. Here, s and . Fig. 9 shows the dimension of the circular monopole. The computational domain is decomposed into 34430 second-order tetrahedral elements. The EM fields are expanded into firstorder interpolatory vector basis functions. For the first-order basis function, each element includes 20 vector basis functions. The tetrahedral elements are classified into three groups. The minimum time step size are s. The memory requirement is about 790 MB. The CPU time is about 3545 s for

mm,

mm,

Fig. 12. Time-domain reflection of the conical monopole antenna. The measurement results are taken from [37].

mm,

.

3000 global time steps. Fig. 10 demonstrates the reflection coefficient in the time domain. The numerical results are compared with the measurement results [37]. As shown in Fig. 10, there are also good agreements between them. Fig. 11 shows the dimension of the conical monopole antenna. The computational domain is decomposed into 25 963 second-order tetrahedral elements. The first-order basis function is applied. The tetrahedral elements are classified into four groups. The minimum time-step size are s. The memory requirement is about 607 MB. The CPU time is about 2218 s for 1000 global time steps. The reflection coefficient in the time domain is shown in Fig. 12. The numerical results are compared with the measurement results [37]. The agreements between them are also good. IV. CONCLUSION The globally explicit DG-FETD method is developed to model the EM structures with waveguide excitations. The high-order element technique is applied to accurately model the geometry of EM structures and effectively expand the EM fields. The incorporation of the higher order element technique can significantly reduce the number of elements for decomposition of computational domains. Therefore, the efficiency of the DG-FETD method can be improved. To further improve the efficiency of the DG-FETD method, the LTS scheme is applied. The numerical simulation demonstrates that the application of the LTS scheme can greatly relax the limitation of time step and keep the reasonable accuracy of the DG-FETD method. In

HU AND WANG: MODELING OF WAVEGUIDE STRUCTURES USING DG-FETD METHOD

addition, the conformal PML is employed to truncate the waveguide. A stable time-difference scheme is proposed to discretize the equations about the PML. The numerical results show that the conformal PML can effectively absorb the outgoing wave. Apart from two aspects above, this paper proposes a simple and effective approach to extract -parameters of waveguide. This approach avoids the pre-simulation of the waveguide.

REFERENCES [1] M. Hano, “Finite-element analysis of dielectric-loaded waveguides,” IEEE Trans. Microw. Theory Tech., vol. 32, no. 10, pp. 1275–1279, Oct. 1984. [2] J. F. Lee, D. K. Sun, and Z. J. Cendes, “Full-wave analysis of dielectric waveguides using tangential vector finite elements,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 8, pp. 1262–1271, Aug. 1991. [3] K. Ise, K. Inoue, and M. Koshiba, “Three-dimensional finite-element method with edge elements for electromagnetic waveguide discontinuities,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 10, pp. 1289–1295, Oct. 1991. [4] J. M. Jin, The Finite Element Method in Electromagnetics, 2nd ed. New York: Wiley, 2002. [5] L. T. Pillage and R. A. Rohrer, “Asymptotic waveform evaluation for timing analysis,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 9, no. 4, pp. 352–366, Apr. 1990. [6] R. D. Graglia, D. R. Wilton, and A. F. Peterson, “Higher order interpolatory vector bases for computational electromagnetics,” IEEE Trans. Antennas Propag., vol. 45, no. 3, pp. 329–342, Mar. 1997. [7] J. P. Webb, “Hierarchal vector basis functions of arbitrary order for triangular and tetrahedral finite elements,” IEEE Trans. Antennas Propag, vol. 47, no. 8, pp. 1244–1253, Aug. 1999. [8] J. Liu, J. M. Jin, E. K. N. Yung, and R. S. Chen, “A fast three-dimensional higher-order finite element analysis of microwave waveguide devices,” Microw. Opt. Technol. Lett., vol. 32, pp. 344–352, Mar. 2002. [9] S. D. Gedney and U. Navsariwala, “An unconditionally stable finite-element time-domain solution of the vector wave equations,” IEEE Microw. Guided Wave. Lett., vol. 5, no. 5, pp. 332–334, May 1994. [10] J. F. Lee, R. Lee, and A. C. Cangellaris, “Time-domain finite element methods,” IEEE Trans. Antennas Propag., vol. 45, no. 3, pp. 430–442, Mar. 1997. [11] D. Jiao, A. Ergin, B. Shanker, E. Michielssen, and J. M. Jin, “A fast time-domain higher-order finite element-boundary integral method for three-dimensional electromagnetic scattering analysis,” IEEE Trans. Antennas Propag., vol. 50, no. 9, pp. 1192–1202, Sep. 2002. [12] M. Feliziani and F. Maradei, “Hybrid finite element solution of time dependent Maxwell’s curl equations,” IEEE Trans. Magn., vol. 31, no. 3, pp. 1330–1335, May 1995. [13] R. N. Rieben, G. H. Rodrigue, and D. A. White, “A high-order mixed vector finite element method for solving the time dependent Maxwell equations on unstructured grids,” J. Comput. Phys., vol. 204, pp. 490–519, 2005. [14] H.-p. Tsai, Y. Wang, and T. Itoh, “An unconditionally stable extended (USE) finite-element time-domain solution of active nonlinear microwave circuits using perfectly matched layers,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 10, pp. 2226–2232, Oct. 2002. [15] T. V. Yioultsis, N. V. Kantartzis, C. S. Antonopoulos, and T. D. Tsiboukis, “A fully explicit whitney-element time-domain scheme with higher order vector finite elements for three-dimensional high-frequency problems,” IEEE Trans. Magn., vol. 34, no. 5, pp. 3288–3291, Sep. 1998. [16] B. He and F. L. Teixeira, “A sparse and explicit FETD via approximate inverse Hodge (mass) matrix,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 6, pp. 348–350, Jun. 2006. [17] B. Cockburn, G. E. Karniadakis, and C. W. Shu, Discontinuous Galerkin Methods: Theory, Computation and Applications. Berlin, Germany: Springer-Verlag, 2000. [18] J. S. Hesthaven and T. Warburton, “Nodal high-order methods on unstructured grids- I: Time-domain solution of Maxwell’s equations,” J. Comput. Phys., vol. 181, pp. 186–211, 2002. [19] T. Lu, P. W. Zhang, and W. Cai, “Discontinuous Galerkin methods for dispersive and lossy Maxwell’s equations and PML boundary conditions,” J. Comput. Phys., vol. 200, pp. 549–580, 2004.

2053

[20] T. Xiao and Q. H. Liu, “Three-dimensional unstructured-grid discontinuous Galerkin methods for Maxwell’s equations with well-posed perfectly matched layer,” Microw. Opt. Technol. Lett, vol. 46, pp. 459–463, Sep. 2005. [21] L. Fezoui, S. Lanteri, S. Lohrengel, and S. Piperno, “Convergence and stability of a discontinuous Galerkin time-domain method for the 3-D heterogeneous Maxwell equations on unstructured meshes,” ESAIM: M2AN, vol. 39, no. 6, pp. 1149–1176, Nov. 2005. [22] B. Donderici and F. L. Teixeira, “Mixed finite-element time-domain method for transient Maxwell equations in doubly dispersive media,” IEEE Trans. Microw. Theory Tech., vol. 56, pp. 113–120, Jan. 2008. [23] R. Rieben, D. White, and G. Rodrigue, “High-order symplectic integration methods for finite element solutions to time dependent Maxwell equations,” IEEE Trans. Antennas Propag., vol. 52, pp. 2190–2195, Aug. 2004. [24] S. D. Gedney, C. Luo, J. A. Roden, R. D. Crawford, B. Guernsey, J. A. Miller, T. Kramer, and E. W. Lucas, “The discontinuous Galerkin finite-element time-domain method solution of Maxwell’s equations,” ACES J., vol. 24, pp. 129–141, Apr. 2009. [25] S. Dosopoulos and J. F. Lee, “Interior penalty discontinuous Galerkin finite element method for the time-dependent first order Maxwell’s equations,” IEEE Trans. Antennas Propag., vol. 58, no. 12, pp. 4085–4090, Dec. 2010. [26] Z. Lou and J. M. Jin, “A new explicit time-domain finite-element method based on element-level decomposition,” IEEE Trans. Antennas Propag., vol. 54, no. 10, pp. 2990–2999, Oct. 2006. [27] J. M. Jin, K. C. Donepudi, J. Liu, G. Kang, J. Song, and W. C. Chew, “High-order methods in computational electromagentics,” in Fast and Efficient Algorithms in Computational Electromagnetics, W. C. Chew, Ed. et al. Norwood, MA: Artech House, 2001. [28] F. G. Hu, C. F. Wang, and Y. B. Gan, “Efficient calculation of interior scattering from large three-dimensional PEC cavities,” IEEE Trans. Antennas Propag., vol. 55, no. 1, pp. 167–177, Jan. 2007. [29] E. Montseny, S. Pernet, X. Ferriéres, and G. Cohen, “Dissipative terms and local time-stepping improvements in a spatial high order discontinuous Galerkin scheme for the time-domain Maxwell’s equations,” J. Comput. Phys., vol. 227, pp. 6795–6820, 2008. [30] J. P. Berenger, “A perfectly matched layer for the absorption of electromagnetic waves,” J. Comput. Phys., vol. 114, pp. 195–200, 1994. [31] W. C. Chew and W. H. Weedon, “A 3-D perfectly matched medium from modified Maxwell’s equations with stretched coordinates,” Microw. Opt. Technol. Lett., vol. 7, pp. 599–604, 1994. [32] S. D. Gedney, “Perfectly matched layer absorbing boundary conditions,” in Computational Electrodynamics: The Finite-Difference Time-Domain Method, A. Taflove and S. B. Hagness, Eds., 3rd ed. Boston, MA: Artech House, 2005. [33] T. H. Loh and C. Mias, “Implementation of an exact modal absorbing boundary termination condition for teh application of the finite-element time-domain technique to discontinuity problems in closed homogeneous waveguides,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 882–888, Mar. 2004. [34] Z. Lou and J. M. Jin, “An accurate waveguide port boundary condition for the time-domain finite-element method,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 3014–3023, Sep. 2005. [35] A. Zhao and V. Räisänen, “Application of a simple and efficient source excitation technique to the FDTD analysis of waveguide and microstrip circuits,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 9, pp. 1535–1539, Sep. 1996. [36] S. P. Yeo, L. Qiao, and M. Cheng, “Symmetrical -port waveguide junction loaded with dielectric sleeve and metallic post,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 6, pp. 1298–1302, Jun. 1995. [37] J. Maloney, G. Smith, and W. Scott, “Accurate computation of the radiation from simple antennas using the finite difference time-domain method,” IEEE Trans. Antennas Propag., vol. 38, no. 7, pp. 1059–1068, Jul. 1990. Fu-Gang Hu (M’06) received the B. Eng. and M.Eng. degrees from Xidian University, Xi’an, China, in 1999 and 2002, respectively, and the Ph.D. degree in electrical engineering from Iowa State University, Ames, in 2010. From 2002 to 2007, he was an Associate Scientist, and since 2010, he has been a Research Scientist with Temasek Laboratories, National University of Singapore, Singapore. His current research interest includes EM modeling using numerical techniques.

2054

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Chao-Fu Wang (M’98–SM’02) received the B.Sc. degree in mathematics from Henan Normal University, Xinxiang, China, in 1985, the M.Sc. degree in applied mathematics from Hunan University, Changsha, China, in 1989, and the Ph.D. degree in electrical engineering from the University of Electronic Science and Technology of China, Chengdu, China, in 1995. From 1987 to 1996, he was a Lecturer and then an Associate Professor with the Nanjing University of Science and Technology, Nanjing, China. From 1996 to 1999, he was a Postdoctoral Research Fellow with the Center for Computational Electromagnetics, University of Illinois at Urbana-Champaign. From 1999 to 2001, he was a Research Fellow with the Department of Electrical and Computer Engineering, National University of Singapore, Singapore. In 2001, he was transferred to Temasek Laboratories, National University of Singapore, Singapore, as a Research Scientist, became a Senior Research Scientist in 2007,

and where he is currently a Principal Research Scientist and Head of the Propagation and Scattering Group. His research interests include fast algorithms for computational electromagnetics, scattering and antenna analysis, ferrite components and their analysis, monolithic microwave integrated circuit (MMIC) design and fast EM simulation, fast prediction of EM scattering from large and complex target, efficient electromagnetic compatibility (EMC)/electromagnetic interference (EMI) modeling for practical platform, EM scattering, and antenna measurement. Dr. Wang has served the IEEE Singapore Microwave Theory and Techniques (MTT)/Antennas and Propagation (AP) and EMC Chapters as a committee member, Chapter secretary, and treasurer since 2003. He currently serves the IEEE Singapore MTT/AP Chapter as a vice-chairman. He has been actively involved in organizing several international conferences in Singapore. He has served as the publication chair for the RFIT2005, RFIT2007, RFIR2012, and APCAP2012, the Organizing Committee secretary for IWAT2005 and ISAP2006, and exhibition and sponsorship chair for APMC2009.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

2055

A Comprehensive Analysis of the Absorption Spectrum of Conducting Ferromagnetic Wires Iñigo Liberal, Student Member, IEEE, Iñigo Ederra, Cristina Gómez-Polo, Alberto Labrador, Jose Ignacio Pérez-Landazábal, and Ramón Gonzalo, Member, IEEE

Abstract—A detailed analysis of the absorption spectrum of conductive ferromagnetic wires is presented. The absorption spectrum is computed from the solution to the scattering problem, and circuit models are formulated to clarify the interplay between losses, skin effect, and wire geometry. Both infinitely long wires and the axial resonances introduced by finite-length wires are considered. The theoretical results are validated experimentally through measurements within a metallic rectangular waveguide. Index Terms—Absorption spectrum, ferromagnetic resonance (FMR), ferromagnetic wires. Fig. 1. Geometry of an infinitely long ferromagnetic wire excited by a uniform plane wave.

I. INTRODUCTION

A

N ACCURATE knowledge of the absorption spectrum of ferromagnetic wires is required from both a technological and a material science point of view. On the one hand, not only is the absorption spectrum fundamental to the design of electromagnetic absorbers [1]–[3], but it is also a key aspect for the development of many other wire-based systems, such as self-sensing materials[4], [5], transmission control screens [6], [7], and artificial electromagnetic materials [8]–[12]. On the other hand, the absorption spectrum of ferromagnetic wires is employed to determine the ferromagnetic resonance (FMR) frequency, and thus investigate the magnetic properties of the wires. To this end, the absorption spectrum is typically measured in resonant cavities [13]–[15], microstrip lines [16], and/or coaxial lines [17]–[19]. Despite all this interest, the absorption spectrum of conductive ferromagnetic wires is not always well-understood. As a matter of fact, its interpretation is not straightforward due to the inter-coupling between losses, skin effect, and wire geometry. For example, although losses are maximal at the FMR, in general this does not imply a peak of absorption [14]. Therefore, the FMR does not necessarily enhance the absorption in the wires and it cannot be easily identified. In addition, axial Manuscript received November 30, 2011; revised March 11, 2012; accepted March 13, 2012. Date of publication May 16, 2012; date of current version June 26, 2012. This work was supported by the Spanish Ministry of Science and Innovation, Dirección General de Investigación y Gestión del Plan Nacional de I+D+I, Subdirección General de Proyectos de Investigación under Project TEC2009-11995 and Project CSD2008-00066. I. Liberal, I. Ederra, and R. Gonzalo are with the Electrical and Electronic Engineering Department, Public University of Navarra, Pamplona, Navarra 31006, Spain(e-mail: [email protected]) C. Gómez-Polo and J. I. Pérez-Landazabal are with the Physics Department, Public University of Navarra, Pamplona, Navarra 31006, Spain. A. Labrador was with the Physics Department, Public University of Navarra, Pamplona, Navarra 31006, Spain. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2195022

resonances can be excited in finite-length wires, introducing additional complexity to the absorption spectrum. Previous works have solved the scattering problem of infinitely long ferromagnetic wires [13], [20], [21], while the antenna approximation has been applied to find the electromagnetic response of finite-length wires [9]. Whereas these works have provided the necessary tools to estimate the absorption spectrum of the wires, this contribution presents a comprehensive analysis of the absorption spectrum of conductive ferromagnetic wires, clarifying the aforementioned issues. The analysis is thus intended to enable an intuitive interpretation of the absorption spectrum, and to simplify the design of wire-based systems. To this end, the absorption spectrum is determined from the solution to the scattering problem, and circuit models are formulated to provide more physical insight into the absorption and scattering phenomena. Finally, the theoretical results are experimentally validated with measurements within a metallic rectangular waveguide. II. INFINITELY LONG WIRES: THEORETICAL ANALYSIS A. Absorption Spectrum From the Scattering Problem The geometry of a ferromagnetic wire of radius excited by a plane wave with its electric field polarized along the wire axis is schematically depicted in Fig. 1. For infinitely long wires, the problem is reduced to a 2-D configuration as represented in the inset of the same figure. In general, the power absorbed by the wire is rigorously found by integrating the Poynting vector on the surface of the wire (1) where and are the electric and magnetic field on the wire surface, and is the unitary vector perpendicular to the surface can be found from previous studies on of the wire. and

0018-9480/$31.00 © 2012 IEEE

2056

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

the scattering of ferromagnetic wires [12], [20], [21]. For conducting ferromagnetic wires, it has been theoretically found [7], [21] and experimentally verified (e.g., in resonant cavities [14] and rectangular waveguides [21]) that the response of the wire is dominated by the uniform electric current flowing along the wires. In this case, the fields in the wire have perfect azimuthal symmetry, and thus, (1) simplifies to (2) Note that since it is a 2-D problem, the absorbed power has been addressed as absorbed power per unit length . In general, and are a complex function of the wire geometry, conductivity, and magnetization state. In this work, the expressions of and uniform and static magnetizations introduced in [21, eqs. (17) and (19)] and/or in [12, eqs. (A8) and (A9)] will be employed since it is the expected response for saturated wires. In other words, exchange interactions will be neglected in the remainder of this paper. Therefore, the wires are magnetically characterized by a permeability dyadic , where and are the diagonal and off-diagonal permeability components with Lorentzian frequency behavior. For the studied polarization, the wires response is equivalent to an effective permeability . Fig. 2(a) shows the effective permeability of a ferromagnetic wire around the FMR frequency. Typical parameters of Co-rich wires [13] have been adopted: gyromagnetic ratio T s , saturation magnetization T, conductivity S/m, and magnetic losses factor . In addition, the effective dc magnetic field has been fixed to kA/m to center the FMR at 9 GHz. As it is shown, the effective permeability follows a Lorentzian resonant behavior, with a maximum of magnetic losses at the FMR frequency. Furthermore, its real part is positive below the FMR frequency and negative above it. In order to illustrate the complexity of the absorption spectrum, Fig. 2(b) depicts the absorption spectrum of wires with 22.5-, 5-, and 2- m radius. The magnitude of the incident electric field has been fixed to V/m, leading to an incident power density W/m . It can be concluded that the absorption spectrum is strongly correlated with the wire radius. Firstly, the absorption spectrum of the wire with 22.5- m radius presents a maximum of absorption close to the FMR, but at slightly higher frequencies. Secondly, the absorption spectrum of the wire with 5 m is characterized by the sequence of a minimum and maximum, none of them centered at the FMR frequency. More strikingly, the absorption spectrum of the wire with 2 m features minimal absorption at the FMR frequency. This complex behavior reveals that the absorption is not necessarily enhanced by the FMR, which must be taken into account in the design of ferromagnetic wire-based devices. Moreover, the FMR cannot be easily identified from the absorption spectrum. B. Equivalent-Circuit Model This complex response can be clarified with the aid of an equivalent-circuit model. By defining the equivalent current

Fig. 2. (a) Effective relative permeability and (b) absorption spectrum for Co-rich wires with 22.5-, 5-, and 2- m radius.

and wire distributed impedance , (2) can be rewritten in terms of circuital elements as follows: (3) where stands for the real part of the wire distributed impedance, given by [7] (4) where and stand for the medium impedance and propagation constant inside the wire, where the wire permittivity is mostly controlled by the conductivity. is the Bessel function of the first kind and zeroth order. Reordering the terms of introduced in [21] and [12], the equivalent current can also be rewritten in circuital terms as follows: (5)

LIBERAL et al.: COMPREHENSIVE ANALYSIS OF ABSORPTION SPECTRUM OF CONDUCTING FERROMAGNETIC WIRES

2057

Fig. 3. Equivalent-circuit model of the scattering and absorption of a long conductive ferromagnetic wire.

Fig. 5. Frequency-domain behavior of the real and imaginary parts of the wire distributed impedance, radiation resistance, and inductance produced by the equivalent current. Wire radius of 22.5 m.

Fig. 4. Wire distributed impedance , radiation resistance , and inductance produced by the equivalent current as a function of the wire radius. The frequency has been fixed at 8 GHz.

where takes into account the radiation losses of the wires, and can be written as (6) and stand for the medium where impedance and propagation constant in free space. Moreover, takes into account the inductance produced by the equivalent current, and is given by (7) In summary, this circuit formulation reflects that the scattering problem is equivalent to the simple equivalent circuit represented in Fig. 3. Note that this circuit is not an approximation, but just a more intuitive way to rewrite the solution to the scattering problem. In order to provide more physical insight into the equivalentcircuit model, note that the importance of each of the impedance terms in (5) depends on the geometry of the wire. On the one hand, thick wires with strong skin effect behave as good conductors so that the inductance term is dominant. On the opposite extreme, the wire resistance is dominant for very thin wires in which the skin-effect is weak. This is evidenced in Fig. 4, which represents the wire distributed impedance, the radiation resistance, and the inductance produced by the equivalent current as a function of the wire radius.

The results were obtained for a frequency of 8 GHz. As for the frequency dependence of such impedance contributions, the behavior of the wire distributed impedance, radiation resistance, and inductance produced by the equivalent current for a wire of 22.5- m radius are represented in Fig. 5. As it is shown, the wire distributed impedance follows the Lorentzian resonant behavior of the wire permeability, with maximum resistance at the FMR, and a reactance that is inductive below FMR and capacitive above it. In addition, the reactance produced by the equivalent current and radiation resistance naturally increase along with frequency. C. Interpretation of the Absorption Spectra The previous analysis provides an intuitive explanation for the absorption spectra depicted in Fig. 2. For thick wires, is dominant so that the absorbed power simplifies to (8) Therefore, the absorption spectra is expected to show a maximum of absorption at the FMR, corresponding to the maximum of wire resistance . In other words, if the inductance produced by the equivalent current is dominant, the wire distributed impedance has no impact on the equivalent current , and the absorption is maximized at the maximum of losses. Contrarily, affects for smaller radii. This shifts the absorption maximum towards higher frequencies since the capacitive contribution of above the FMR compensates increasing , which can be observed for the wire of 22.5- m radius in Fig. 2. Similarly, the inductive contribution of below the FMR produces a decrease of , and thus a minimum of absorption. Therefore, the absorption spectra of wires with intermediate radii are characterized by the sequence of a minimum and a maximum, as it is observed for the wire of 5- m radius in Fig. 2. Finally, for very thin wires, the wire resistance is dominant so that the absorbed power simplifies to (9)

2058

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 6. Absorbed to scattered power ratio spectra for Co-rich wires with 22.5-, 5-, and 2- m radius.

which explains the minimum of absorption at the FMR resonance observed for the wire of 2- m radius in Fig. 2. In circuital terms, the excess of losses at the FMR produces a reduction of the excited current, which leads to a minimum of absorption. Although this equivalent-circuit model clarifies the absorption spectrum, it is still not possible to identify the exact position of the FMR. This can be solved by noting that the scattered power is also proportional to the square of (10) Thus, the absorbed to scattered power ratio defined here as (11) is independent of the current excited in the wire, and features a maximum at the FMR independently on the wire geometry. Fig. 6 depicts the spectra for Co-rich wires with 22.5-, 5-, and 2- m radius. As expected, a maximum at 9 GHz is observed for all studied radii. In addition, increases as the radius decreases due to the increase in . III. INFINITELY LONG WIRES: EXPERIMENTAL VERIFICATION Three different Co Fe Si B ferromagnetic wires with 22.5-, 5-, and 2- m metallic radius and mean total diameter (including the Pyrex coating) of 65, 33, and 14 m, respectively, have been employed to experimentally validate the theoretical analysis. The samples have been fabricated by means of the Taylor–Ulitovsky technique by Prof. M. Vázquez, Material Science Institute of Madrid (ICMM), Madrid, Spain. A sketch and photograph of the experimental setup are presented in Fig. 7. The wire is placed between two rectangular metallic WR-90 waveguides so that when they are connected the wire is short circuited and behaves as the theoretically studied infinitely long wires. The setup has been calibrated at the waveguide ends. Moreover, an electromagnet is employed to apply a dc magnetic field along the wire axis. The frequency range is selected so that monomode operation is ensured, with the waveguide mode as incident high-frequency field. The reflection , transmission , and absorption power coefficients can be directly obtained from the measurement of the -parameters: , , and .

Fig. 7. (a) Sketch and (b) photograph of the experimental setup. The microwire is placed in the middle of a WR-90 waveguide with its ends short circuited to the metallic walls. An electromagnet has been positioned in such way that a dc magnetic field is applied parallel to the microwire axis.

Theoretical analysis of the experimental setup can be found in [21] and [22]. In essence, the incident electromagnetic field impinges on the ferromagnetic wire, which scatters some electromagnetic power in the form of cylindrical waves that, due to the influence of the metallic walls, is reflected back and transmitted forward as the waveguide mode. Therefore, the scattered power is proportional to twice the measured reflection coefficient , and the absorbed power is proportional to the absorption coefficient . Consequently, the absorbed to scattered power ratio is estimated as . Fig. 8(a) represents the measured absorbed to scattered power ratio for the wire with 22.5- m radius. Each line corresponds to a different biasing dc magnetic field, as indicated in Table I. While the response is flat for a null biasing kA/m, the absorbed to scattered power ratio of biased wires is characterized by a peak that increases its frequency position along with . According to the theory, this peak identifies the FMR and has been marked with a vertical line to compare it with the absorption spectrum, which is represented in Fig. 8(b). The absorption spectrum is also characterized by a peak that increases its frequency position along with . In accordance with the theoretical predictions, these absorption maxima are shifted toward higher frequencies with respect to the FMR. The measurements of the wire with 5- m radius are depicted in Fig. 9. Again, the absorbed to scattered power ratio is char-

LIBERAL et al.: COMPREHENSIVE ANALYSIS OF ABSORPTION SPECTRUM OF CONDUCTING FERROMAGNETIC WIRES

Fig. 8. Measured: (a) absorbed to scattered power ratio and (b) absorption for a m radius, as a function of the applied Co-rich ferromagnetic wire of dc magnetic field. TABLE I BIASING MAGNETIC FIELD

2059

Fig. 9. Measured: (a) absorbed to scattered power ratio and (b) absorption for m radius, as a function of the applied a Co-rich ferromagnetic wire of dc magnetic field.

with respect to the geometry. As expected, the measured values of absorbed to scattered power ratio increase with respect to the other wires. In addition, the absorption minima are centered at the FMR in accordance to the theoretical model. IV. FINITE-LENGTH WIRES: THEORETICAL ANALYSIS

acterized by a maximum peak, and the measured values are larger than those obtained for the wire of 22.5- m radius. Despite using the same bias field and wire composition, the FMR frequencies do not coincide with those of the 22.5- m radius wire. Note that this is a typical effect in glass-coated amorphous wires [23], produced by changes on the magnetic properties of the wires due to the mechanical stresses produced during the fabrication processes, which are a function of the wire geometry (metallic and total radius). As for the absorption spectrum, it describes a minimum–maximum sequence as predicted by the theory [see Fig. 9(b)]. Finally, the absorbed to scattered power ratio and absorption spectra of the wire with 2- m radius are depicted in Fig. 10(a) and (b), respectively. As with the other wires, the absorbed to scattered power ratio spectrum is characterized by a maximum, which confirms the independency of this figure

A. Absorption Spectrum From the Scattering Problem Once the response of infinite-length wires has been explained, let us focus on the finite-length wires case. Consider the ferromagnetic wire of length and radius depicted in Fig. 11. In virtue of the Huygens Principle and equivalence theorem [24], the original problem can be transformed to an equivalent one by including electric and magnetic currents on the surface of the wire. Thus, the scattering problem ( , i.e., total field, is equal to the addition of the incident and scattered fields) is reduced to the following integro-differential equation:

(12)

2060

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 11. Geometry of the original and equivalent problems of the scattering from a finite-length ferromagnetic wire.

The solution to this integro-differential equation provides the surface current . Analytical solutions to this equation have been introduced in [25]–[27]. However, the equation has several solutions and an iterative process is, in general, needed to achieve the correct value. Despite this, it has been proven that simple numerical methods can be adopted leading to accurate solutions [28]. In this work, (15) is solved by using the method of moments following a point matching scheme with a triangular basis functions. Once the surface current is known, the electric and magnetic fields on the surface of the wires can be easily retrieved, and thus compute the absorbed and scattered powers. Again, the absorbed power is determined by integrating the Poynting vector on the surface of the wire

Fig. 10. Measured: (a) absorbed to scattered power ratio and (b) absorption for m radius, as a function of the applied a Co-rich ferromagnetic wire of dc magnetic field.

(18) Similarly, the scattered power is computed by integrating the Poynting vector of the scattered field on the surface of the wire

where and are the equivalent electric and magnetic currents, respectively, which are given by

(19) B. Approximate Circuit Model

(13) (14) For the particular case of microwires, and are simultaneously fulfilled, and thus, (12) is reduced to a generalized Pocklington equation

(15) where

is the exact thin wire kernel equal to

While the previous analysis represents a complete solution to the scattering problem, it must be solved numerically and can hardly provide much physical insight into the absorption spectrum. Therefore, this section introduces a simple circuit model that, despite being an approximation of the scattering solution, it is reasonably accurate, and simple enough to provide physical insight into the correlation between the absorption spectrum and the geometry of the wire. To begin with, the solution to the scattering problem becomes easier if the current distribution on the wire is known. As with dipole antennas, the current can be approximated by sinusoidal distributions [29]. In particular, the current distribution of a receiving dipole antenna is given by (20)

(16) with

with (17)

(21)

LIBERAL et al.: COMPREHENSIVE ANALYSIS OF ABSORPTION SPECTRUM OF CONDUCTING FERROMAGNETIC WIRES

2061

Thus, the problem is reduced to finding the magnitude of the current . Furthermore, can be easily determined by means of the reciprocity theorem as follows: [30] (22) where

stands for the induced electromotive force given by (23)

being the current distribution function of the recipwith rocal antenna in the transmitting mode (24) is the self-impedance of the reciprocal transIn addition, mitting antenna, and is an impedance term, which takes into account the wire surface impedance . In particular, the finite conductivity and magnetic response of the wire produce a voltage drop at each point of the wire, which is modeled as an additional impedance term defined as (25) Once the current on the wire has been determined through the circuit model, the absorbed and scattered powers can again be computed through (18) and (19). The accuracy of this circuit model basically relies on the validity of the sinusoidal currents assumption. Therefore, the circuit model will be accurate as long as the wires behave as good conductors (i.e., thick wires). Fig. 12 depicts the comparison of the absorption spectra predicted by the method of moments and the circuit model for Co-rich wires with 22.5-, 5-, and 2- m radius and mm length. While there is almost a perfect match between both models for the thickest wire, the accuracy of the circuit model degrades as the wire radius decreases. Nevertheless, the circuit model provides a qualitative estimation of the absorption spectrum for all considered radii. As for the absorbed to scattered power ratio, (18) and (19) show that is again independent of the current induced in the wire and thus both methods retrieve exactly the same result, which is, in fact, equal to the ratio obtained for infinitely long wires. C. Interpretation of the Absorption Spectrum Fig. 12 also reveals the main features of the absorption spectrum for finite length wires. To further clarify this spectrum, Fig. 13 represents the modified wire surface impedance of a wire with mm length and m radius, as well as the input impedance of the reciprocal transmitting antenna, . While has been evaluated through (25), has been calculated as in basic antenna textbooks (see, e.g., [29, p. 918]). The figure shows that is a scaled version of (see Fig. 5), while follows the typical behavior of a dipole antenna, with a resistance of approximately at 15.3 GHz ( ), and zero reactance at approximately 14.9 GHz ( ).

Fig. 12. Comparison of the absorption spectrum predicted by the method of moments and circuit model for Co-rich wires with 22.5-, 5-, and 2- m radius mm length. and

Fig. 13. Modified wire surface impedance of a mm length and m radius, as well as the input wire with . impedance of the reciprocal transmitting antenna

In view of the frequency dependence of these impedance terms, the two absorption peaks observed for the wire of 22.5- m radius (see Fig. 12) can be explained as follows: the first peak is centered close to 9 GHz, and therefore it is ascribed to the increase of losses at the FMR. On the contrary, the second peak is placed close to the half-wave antenna resonance, and thus it is produced by an increase of the current excited in the wire at . As the wire radius decreases the wire resistance increases, which weakens the axial resonance. In fact, the second absorption maximum diminishes from 0.07 W for 22.5- m radius to 0.025 W for 5- m radius, and it completely vanishes for the wire of 2- m radius.

2062

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 14. Absorption spectrum of: (a) an infinitely long and (b) a mm m radius, and covered by with long Co-rich ferromagnetic wire of different Pyrex coatings of thickness 1, 5, 10, and 20 m.

Fig. 15. Measured: (a) absorbed to scattered power ratio and (b) absorption, m radius and mm for a Co-rich ferromagnetic wire of length, as a function of the applied dc magnetic field.

Note also that the maxima due to the FMR observed in the wires of 22.5- and 5- m radius are located at lower frequencies than the FMR (below 9 GHz), while the absorption peaks of infinitely long wire where observed at higher frequencies. This is justified by the capacitive at the FMR frequency (see Fig. 13), in contrast to the dominant inductance of infinitely long wires. As for the wire of 2- m radius, the wire resistance is again dominant and a minimum of absorption is centered at the FMR.

completed with an additional cylindrical region. As with other cylindrical layered structures [31], the result is a new wire with radius , where is the thickness of the coating, and with a new distributed impedance, , given by

D. Impact of the Pyrex Coating Up to this point, the impact of the Pyrex coating on the wire response has been neglected. In fact, the same assumption is implicit in previous theoretical studies on the electromagnetic response of the wires both for infinitely long [7], [20] and finite-length [9] wires. This assumption is based on the weak dielectric response of Pyrex ( ) as compared to the ferromagnetic core. Additionally, this dielectric coating is placed in a minimum of electric field for wires behaving as good conductors. Nevertheless, the Pyrex coating might perturb the axial resonances excited in finite-length wires, and thus significantly affect the absorption spectra. To include the coating in this study, the scattering problem addressed in [7], [12], and [20] must be

(26) with (27) where and stand for the medium impedance and propagation constant inside the coating, and is the original wire distributed impedance, given by (4). Fig. 14 represents the absorption spectrum of both an infinitely long and a mm long ferromagnetic wire of m radius, covered by different Pyrex coatings of thickness 1, 5, 10, and 20 m. It can be concluded that the Pyrex coating has a negligible impact on the absorption spectrum of infinitely long ferromagnetic wires, while it appreciably affects the spectrum of finite-length wires. The reason is again the alteration of the axial resonances in finite-length wires. In analogy to dipole antennas, covering the wire with a dielectric material

LIBERAL et al.: COMPREHENSIVE ANALYSIS OF ABSORPTION SPECTRUM OF CONDUCTING FERROMAGNETIC WIRES

Fig. 16. Measured: (a) absorbed to scattered power ratio and (b) absorption for m radius and mm length as a Co-rich ferromagnetic wire of a function of the applied dc magnetic field.

increases its electrical size on the axial direction, and thus the axial resonances appear at lower frequencies. V. FINITE-LENGTH WIRES: EXPERIMENTAL VERIFICATION The same Co Fe Si B ferromagnetic wires with 22.5-, 5-, and 2- m metallic radius have been employed for the validation of theoretical results on finite-length wires. The experimental setup is also the one depicted in Fig. 7 with the only difference that rather than connecting the wires to the waveguide walls, they have been cut to a length of 9.8 mm, and suspended within the waveguide on a Rohacell foam ( ) with no contact with the metallic walls. The spectra of absorbed to scattered power ratio and absorption for the wire of 22.5- m radius are presented in Fig. 15. As is shown, the unbiased wire ( ) presents a flat spectrum of absorbed to scattered power ratio while its absorption spectrum is characterized by a maxima, not present in the infinitely long wire (see Fig. 8). Therefore, this maximum is ascribed to an axial resonance in the wire. For biased wires, a peak of absorbed to scattered power ratio can be observed in Fig. 15(a), which again identifies the FMR. Moreover, an additional maximum appears on the absorption spectrum. As predicted by the theory, this maximum is produced by an increase of at the FMR, but is located at lower frequen-

2063

Fig. 17. Measured: (a) absorbed to scattered power ratio and (b) absorption for m radius and mm length, as a Co-rich ferromagnetic wire of a function of the applied dc magnetic field.

cies due to the compensation of the capacitive input impedance ( ) with the inductive below the resonance. It is also observed that this frequency shift between the first absorption maxima and the FMR frequency increases along with the bias field. The reason is that the FMR frequency increases along with the bias field so that a smaller is placed at the FMR. Thus, the compensation of with gets stronger as compared to , and therefore the shift of the absorption peak relative to the FMR increases along with the biasing field. Note also that changing the biasing shifts toward higher frequencies the peak produced by the axial resonance, initially positioned at . This is produced by the above the FMR so that at higher frequencies. In addition, the closer the FMR and axial resonances are the larger is the magnitude of , and the axial resonance is shifted toward higher frequencies. A similar response is observed for the wire of 5- m radius (see Fig. 16). The more remarkable difference is that as the electromagnetic fields penetrate more in the wire the axial resonance weakens, and the strength of both absorption peaks is comparable. In the same way, the shifts produced by the changes in the biasing fields are more pronounced.

2064

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

On the contrary, a complete different response is observed for the wire of 2- m radius. As anticipated in the theoretical analysis, Fig. 17(b) demonstrates that the axial resonance vanishes for very thin wires. In addition, a minimum of absorption appears at the FMR frequency. Unfortunately, the measurements of absorption to scattered power ratio were too noisy and cannot be interpreted [see Fig. 17(a)]. The reason is the small reflection produced by a short wire of 2- m radius ( at 10 GHz). VI. CONCLUSIONS A detailed analysis of the absorption spectrum of conductive ferromagnetic wires has been presented. The analysis involves the computation of the absorption spectrum from the solution to the scattering problem, the formulation of circuit models, and the experimental validation of the theoretical results. This analysis confirms the strong correlation between the absorption spectrum and the wire geometry. Therefore, the interpretation of the absorption spectrum is not straightforward, the FMR does not necessarily enhance the absorption, and the FMR frequency cannot be localized. However, the absorption spectrum can be intuitively explained through circuit models and the FMR can be identified through the absorbed to scattered power ratio spectrum. Furthermore, axial resonances are excited in finite-length wires as long as they are thick enough to behave as good conductors. This leads to additional absorption maxima in the proximity of half-wavelength resonances. In addition, there is an inter-coupling between axial and FMRs, which further alters the absorption spectra. On the contrary, the finite length of the wires has no impact on the absorbed to scattered power ratio. ACKNOWLEDGMENT The authors thank Prof. M. Vázquez, Material Science Institute of Madrid (ICMM), Madrid, Spain, for providing the ferromagnetic wires. REFERENCES [1] S. A. Baranov, “Use of a microconductor with natural ferromagnetic resonance for radio-absorbing materials,” Tech. Phys. Lett., vol. 24, no. 7, pp. 549–550, Jul. 1998. [2] P. Marin, D. Cortina, and A. Hernando, “Electromagnetic wave absorbing material based on magnetic microwires,” IEEE Trans. Magn., vol. 44, no. 11, pp. 3934–3937, Nov. 2008. [3] Z. Zhang, C. Wang, Y. Zhang, and J. Xie, “Microwave absorbing properties of composites filled with glass-coated Fe Co Si B amorphous microwire,” Mater. Sci. Eng. B, vol. 175, no. 3, pp. 233–237, Dec. 2010. [4] D. P. Makhnovskiy and L. V. Panina, “Experimental demonstration of tunable scattering spectra at microwave frequencies in composite media containing CoFeCrSiB glass-coated amorphous ferromagnetic wires and comparison with theory,” Phys. Rev. B, Condens. Matter, vol. 74, no. 6, pp. 1–11, Aug. 2006. [5] F. X. Qin, N. Pankratov, H. X. Peng, M. H. Phan, L. V. Panina, M. Ipatov, V. Zhukova, A. Zhukov, and J. Gonzalez, “Novel magnetic microwires-embedded composites for structural health monitoring applications,” J. Appl. Phys., vol. 107, no. 9, 2010, Art. ID 09A314. [6] S. Starostenko and K. N. Rozanov, “Microwave screen with magnetically controlled attenuation,” Progr. Electromagn. Res., vol. 99, pp. 405–426, 2009. [7] I. Liberal, I. S. Nefedov, I. Ederra, R. Gonzalo, and S. A. Tretyakov, “Electromagnetic response and homogenization of grids of ferromagnetic microwires,” J. Appl. Phys., vol. 110, no. 6, 2011, Art. ID 064909.

[8] I. Liberal, I. S. Nefedov, I. Ederra, R. Gonzalo, and S. A. Tretyakov, “On the effective permittivity of arrays of ferromagnetic wires,” J. Appl. Phys., vol. 110, no. 10, 2011, Art. ID 104902. [9] D. P. Makhnovskiy and L. V. Panina, “Field dependent permittivity of composite materials containing ferromagnetic wires,” J. Appl. Phys., vol. 93, no. 7, 2003, Art. ID 4120. [10] L. V. Panina, M. Ipatov, V. Zhukova, A. Zhukov, and J. Gonzalez, “Magnetic field effects in artificial dielectrics with arrays of magnetic wires at microwaves,” J. Appl. Phys., vol. 109, no. 5, 2011, Art. ID 053901. [11] O. Reynet, A. Adenot-Engelvin, S. Deprot, O. Acher, and M. Latrach, “Effect of the magnetic properties of the inclusions on the high-frequency dielectric response of diluted composites,” Phys. Rev. B, Condens. Matter, vol. 66, no. 9, pp. 1–9, Sep. 2002. [12] V. Boucher and D. Ménard, “Effective magnetic properties of arrays of interacting ferromagnetic wires exhibiting gyromagnetic anisotropy and retardation effects,” Phys. Rev. B, Condens. Matter, vol. 81, no. 17, pp. 1–21, May 2010. [13] S. E. Lofland, H. García-Miquel, M. Vázquez, and S. Bhagat, “Microwave magnetoabsorption in glass-coated amorphous microwires with radii close to skin depth,” J. Appl. Phys., vol. 92, no. 4, 2002, Art. ID 2058. [14] L. Kraus, G. Infante, Z. Frait, and M. Vázquez, “Ferromagnetic resonance in microwires and nanowires,” Phys. Rev. B, Condens. Matter, vol. 83, no. 17, pp. 4438–4449, May 2011. [15] F. Yildiz, B. Z. Rameev, S. I. Tarapov, L. R. Tagirov, and B. Aktas, “High-frequency magnetoresonance absorption in amorphous magnetic microwires,” J. Magn. Magn. Mater., vol. 247, no. 2, pp. 222–229, Jun. 2002. [16] G. Goglio, S. Pignard, A. Radulescu, L. Piraux, I. Huynen, D. Vanhoenacker, and A. V. Vorst, “Microwave properties of metallic nanowires,” Appl. Phys. Lett., vol. 75, no. 12, pp. 1769–1772, 1999. [17] H. García-Miquel, M. Esbri, J. Andres, J. Garcia, J. Garcia-Beneytez, and M. Vázquez, “Power absorption and ferromagnetic resonance in Co-rich metallic glasses,” IEEE Trans. Magn., vol. 37, no. 1, pp. 561–564, 2001. [18] N.-E. Belhadj-Tahar, A. Fourrier-Lamer, and H. de Chanterac, “Broadband simultaneous measurement of complex permittivity and permeability using a coaxial discontinuity,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 1, pp. 1–7, Jan. 1990. [19] J. Garcia-Beneytez, F. Vinai, L. Brunetti, H. García-Miquel, and M. Vázquez, “Study of magneto impedance effect in the microwave frequency range for soft magnetic wires and microwires,” Sens. Actuators A, Phys., vol. 81, no. 1–3, pp. 78–81, Apr. 2000. [20] L. Kraus, “Theory of ferromagnetic resonances in thin wires,” Czech. J. Phys., vol. 32, no. 11, pp. 1264–1282, Nov. 1982. [21] I. Liberal, I. Ederra, C. Gómez-Polo, A. Labrador, J. Pérez-Landazabal, and R. Gonzalo, “Theoretical modeling and experimental verification of the scattering from a ferromagnetic microwire,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 3, pp. 517–526, Mar. 2011. [22] N. Okamoto, I. Nishioka, and Y. Nakanishi, “Scattering by a ferrimagnetic circular cylinder in a rectangular waveguide,” IEEE Trans. Microw. Theory Tech., vol. MTT-19, no. 6, pp. 521–527, Jun. 1971. [23] A. Zhukov, M. Vázquez, J. Velázquez, A. Hernando, and V. Larin, “Magnetic properties of fe-based glass-coated microwires,” J. Magn. Magn. Mater., vol. 170, no. 3, pp. 323–330, 1997. [24] C. A. Balanis, Advanced Engineering Electromagnetics. New York: Wiley, 1989. [25] P. C. Waterman and J. C. Pedersen, “Scattering by finite wires,” J. Appl. Phys., vol. 72, no. 2, pp. 349–359, 1992. [26] P. C. Waterman and J. C. Pedersen, “Scattering by finite wires of arbitrary , and ,” J. Opt. Soc. Amer. A, Opt. Image Sci., vol. 15, no. 1, p. 174, 1998. [27] P. C. Waterman, “Scattering, absorption, and extiction by thin fibers,” J. Opt. Soc. Amer., vol. 22, no. 11, pp. 2430–2441, 2005. [28] S. Alyones, C. W. Bruce, and A. K. Buin, “Numerical methods for solving the problem of electromagnetic scattering by a thin finite conducting wire,” IEEE Trans. Antennas Propag., vol. 55, no. 6, pp. 1856–1861, Jun. 2007. [29] S. J. Orfanidis, Electromagnetic Waves and Antennas. New Brunswick, NJ: Rutgers Univ. Press, 2008. [Online]. Available: http://www.ece.rutgers.edu/ orfanidi/ewa/ [30] S. A. Tretyakov, S. Maslovski, and P. A. Belov, “An analytical model of metamaterials based on loaded wire dipoles,” IEEE Trans. Antennas Propag., vol. 51, no. 10, pp. 2652–2658, Oct. 2003. [31] B. J. Hu, E. K.-N. Yung, and X. Q. Sheng, “Electromagnetic scattering from nonuniform magnetized ferrite cylinder,” Microw. Opt. Technol. Lett., vol. 32, no. 4, pp. 268–272, 2002.

LIBERAL et al.: COMPREHENSIVE ANALYSIS OF ABSORPTION SPECTRUM OF CONDUCTING FERROMAGNETIC WIRES

Iñigo Liberal (S’12) was born in Pamplona, Navarra, Spain, on October 31, 1985. He received the M.Sc. degree in telecommunication engineering from the Public University of Navarra (UPNA), Pamplona, Spain, in 2009, and is currently working toward the Ph.D. dgree at the UPNA. Since August 2009, he has been with the Antenna Group, UPNA. His main research interests are in the field of high-frequency applications of magnetic materials, the design of low-profile metamaterial-inspired antennas, and ultra-wideband (UWB) antenna systems. Mr. Liberal was the recipient of a scholarship to carry out his masters’ thesis at the International Research Center for Telecommunications and Radar (IRCTR), Delft University of Technology, Delft, The Netherlands. Iñigo Ederra was born in Isaba, Navarra, Spain, in 1972. He received the Ingeniero de Telecomunicación and Ph.D. degrees from the Universidad Pública de Navarra, Pamplona, Spain, in 1996 and 2004, respectively. In 1997, he joined the Microwave and Millimetre Wave Group, Universidad Pública de Navarra. From 1999 to 2000, he was with the European Space Research and Technology Centre (ESTEC), European Space Agency (ESA), Noordwijk, The Netherlands, where he was involved with electromagnetic-bandgap materials and their applications in the field of antennas. Since 2001, he has been with the Antenna Group, Universidad Pública de Navarra. From June to October 2002, he was a Visitor Scientist with the Rutherford Appleton Laboratory, Chilton, Didcot, U.K., where he participated in the Startiger project. His research interests are in the field of electromagnetic-bandgap materials and metamaterials and their applications in microwave and millimeter-wave components and antennas. Dr. Ederra was the corecipient of the LAPC 2006 and IWAT 2007 Best Paper Award. Cristina Gómez-Polo was born in Madrid, Spain. She received the M.Sc. and Ph.D. degrees in physics (material science) from the Complutense University, Madrid, Spain, in 1988 and 1992, respectively. In 1995, she joined the Public University of Navarra, Pamplona, Spain, as a Lecturer. Her research activity is mainly focused on the magnetic properties and applications of nanostructured magnetic materials and include the study of amorphous, nanocrystalline, and nanoparticle systems.

2065

Alberto Labrador was born in Pamplona, Navarra, Spain on June 4, 1981. He received the M.Sc. degree in telecommunication engineering from the Public University of Navarra (UPNA), Pamplona, Spain, in 2008. From December 2008 to July 2010, he was with the Physics Department, UPNA, where he was involved with physical properties and applications with the Materials Group. His research was focused on the analysis of ferromagnetic microwires properties and the applications of these microwires in metamaterials development. Mr. Labrador was the recipient of a scholarship from Gobierno de Navarra. Jose Ignacio Pérez-Landazábal received the Ph.D. degree in solid-state physics from Bask Country University, Bask Country, Spain, in 1995. He is currently an Associate Professor with the Physics Department, Public University of Navarra (UPNA), Pamplona, Spain. His current research interests include ferromagnetic shape memory alloys and magnetic properties of nanoparticles. He is interested in all experimental aspects related to phase transformations and its influence on their magnetic and themomechanical properties of materials. Ramón Gonzalo (S’95–M’12) was born on July 15, 1972 in Logroõ, La Rioja, Spain. He received the M.Sc. and Ph.D. degree in ingeniero de telecomunicación (both with honors) from the Public University of Navarra (UPNA), Pamplona, Spain. Since October 1995 he has been with the Antennas Group, Electrical and Electronic Engineering Department, UPNA where he is currently an Associate Professor. From January 2006 to April 2008, he was acting Sub-Director of the Engineering Faculty, and since April 2008, he has been Head of Electrical and Electronic Engineering Department, UPNA. From September 1997 to December 1998, he was a Research Fellow with the Antenna Section, European Space Research and Technology Centre (ESTEC), European Space Agency (ESA), Noordwijk, The Netherlands, where he was involved in the modeling and design of electromagnetic crystal devices at microwave and millimeter-wave frequencies. He has been involved in over 25 research projects in both European and on the National level (as coordinator in several of them). He has been coordinator of five projects funded by the ESA, two projects in the framework of the European Commission, and several of them funded by the Spanish Minister of Science. He has authored or coauthored over 50 journal publications in peer-reviewed magazines and 100 conference papers related to his research. His current area of research are in the field of terahertz technologies, subsystems and devices, electromagnetic-bandgap technology with emphasis on space antenna applications, design of waveguide transmission lines, and corrugated horn antennas.

2066

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

High-Performance Solenoidal RF Transformers on High-Resistivity Silicon Substrates for 3D Integrated Circuits Zhiping Feng, Matthew R. Lueck, Dorota S. Temple, Senior Member, IEEE, and Michael B. Steer, Fellow, IEEE

Abstract—Soleniod-like transformers based on a traveling-wave design and using advanced through silicon via process technology are reported for operation at frequencies from 1 to 14 GHz. The symmetrical 1:1 transformers are designed as compact slow-wave transmission-line structures with well-defined signal return paths. One-, two-, three-, and four-turn transformers have 1-dB bandwidths ranging from 6 to 9.2 GHz, and midband insertion losses from 0.24 to 0.37 dB. The measured intrinsic loss is 0.46 dB or less up to 10 GHz, and 0.97 dB up to 14 GHz. Relatively simple and scalable physically based lumped-element circuit models accurately predict the performance of these low parasitic transformers. Index Terms—Heterogeneous integration, RF transformer, slowwave structure, 3D integrated circuit (3DIC), through silicon via (TSV).

I. INTRODUCTION

T

HE RF transformer finds applications in the matching and biasing networks of low-noise amplifiers [1], [2], baluns [3], mixers, and voltage-controlled oscillators (VCOs) [4]. The performance of an RF transformer, and its inductor counterpart, is typically characterized in terms of its self-resonant frequency, insertion loss, and fractional bandwidth. These metrics vary widely depending on the transformer design and the method of integration with active circuitry. Transformers that are monolithically integrated are severely constrained by the limited chip area, the need for planar or stacked planar transformer realizations, the relatively thin metallization available, and the typically high conductivity of substrates used in silicon integrated circuits (ICs). The area constraint leads to closely packed coils, often realized as overlapping spiral inductors, with the associated high parasitic capacitances resulting in low self-resonant frequency and narrow fractional bandwidth of transformers. At the other extreme of integration are discrete RF transformer components typically realized as surface mount elements in low-temperature co-fired ceramic (LTCC)

Manuscript received January 08, 2012; accepted February 01, 2012. Date of publication May 10, 2012; date of current version June 26, 2012. This work was supported in part by the U.S. Space and Naval Warfare Systems Center under Grant 132G106097-B through Boise State University. Z. Feng and M. B. Steer are with the Department of Electrical and Computer Engineering, North Carolina State University, Raleigh, NC 27695 USA (e-mail: [email protected]). M. R. Lueck and D. S. Temple are with RTI International, Research Triangle Park, NC 27709 USA. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2195026

technology. Metallization thicknesses of several micrometers and appreciable vertical separation of coils are available. This results in losses of 1 dB or less up to 4.5 GHz with half-octave bandwidths (typical of the best discrete RF transformers from component suppliers). Intermediate between these integration extremes is 3D integrated circuit (3DIC) technology in which passive and active tiers (or wafers) are stacked to realize compact heterogeneous high-performance analog and digital ICs [5], [6]. The work described in this paper is directed at developing RF transformers on a passive tier of a 3DIC. At frequencies above a few hundred megahertz, planar and 3D transformers have wire lengths that are a substantial part, and often longer, of a wavelength. As such, RF and microwave transformers are in reality distributed elements, and balancing return currents must exist for distributed structures, whether the return current path is provided explicitly or not. In prior work, the return current path of RF transformers has not been explicit and as a result integrated RF transformers tend to be very sensitive to nearby structures, particularly if losses are low and large magnetic fields develop. A key feature of the transformers presented here, and our earlier work on transmission line-like solenoidal RF inductors [7], [8], is that a signal return path is specifically provided. In so doing, our transformer design is akin to a coupled slow-wave transmission line structure. Consequently, the transformers are broadband and are insensitive to nearby structures. Various reported 3-D transformer designs aim to improve performance of the transformers or reduce their size. These designs include multilayer planar spiral stacked transformers [9]–[11], single-turn multilayer interlaced stacked transformer structures [12]–[14], pop-up helical transformer structures [15], and solenoidal transformer structures [16]–[18]. In a planar spiral stacked transformer, the parasitic capacitances between the coils degrade electrical performance of the device and the spiral layout occupies a large chip area. Furthermore, the stacked single-layer design, the most common, can only realize a one-turn transformer. The helical and solenoidal transformers enhance the flux coupling by directing the magnetic than for field through multiple loops, resulting in a higher a single-turn structure. As a result of enhanced flux coupling, the suspended and pop-up microelectromechanical systems (MEMS) solenoidal transformers have better performance than planar stacked devices and can have unlimited turns. The suspended and pop-up structures, however, suffer from poor reliability and are not compatible with integration into multitier 3DICs [15].

0018-9480/$31.00 © 2012 IEEE

FENG et al.: HIGH-PERFORMANCE SOLENOIDAL RF TRANSFORMERS ON HIGH-RESISTIVITY SILICON SUBSTRATES FOR 3DICs

The three key aspects of the transformers described in this paper are the use of off-chip transformers on high-resistivity silicon substrates, the use of low resistance through silicon vias (TSVs), the use of a slow-wave structure, and provision of an explicit current return path. High-resistivity silicon substrates 10 k cm can be used as embedded passive layers in modules [5], [6], [19], [20] and have recently become increasingly available and affordable. Low-loss transformers can therefore be fabricated in these passive silicon substrates and then heterogeneously integrated with silicon ICs. On-wafer solenoid-like transformers and inductors necessarily require many vias, and thus, low-resistivity TSV technology is essential to achieving low loss [7], [8], [17]. Slow-wave structures have been explored for shrinking on-chip and on-wafer transformers [21] and other RF components [22]. The provision of explicit signal return paths has always been used for transmission-line elements on-chip. In this paper, all four aspects are combined to yield a robust 3-D transformer that is easy to fabricate, model, and use. This paper describes the combination of all of these technologies to realize superior 3-D transformers. In an earlier study [7], we fabricated solenoidal inductors using TSV technology. This technology was used to fabricate TSV-enabled inductors with up to five turns and ’s exceeding 30 [8]. Here, this work is refined and extended to transformers. The design and fabrication of transformers with low insertion loss and high coupling factor are reported in Section II. The characterization of the transformers is described in Section III. In Section IV, physically based scalable equivalent-circuit models of the transformers are presented. Also in Section IV, the effects of finite substrate resistivity and of key process parameters on the RF performance of the transformers are explored. II. DESIGN AND FABRICATION Fig. 1 shows a two-turn 1:1 TSV-enabled transformer with an outer ground ring carrying return currents. This transformer is a two-port device, but can be realized with three and four ports depending on its application as an isolating transformer (two ports with zero, one or both ports floating), a balun (two-port with the balanced port floating), or a matching network (either a two- or three-port). The TSV-enabled transformer has a bandpass characteristic with the lower frequency determined by the strength of the magnetic coupling and the upper frequency determined by capacitive parasitics. Windings with 1–10 turns were fabricated with a one-turn transformer having the highest upper frequency limit, but also the highest low frequency limit. The transformer windings are comprised of copper lines on the top and bottom surfaces of the substrate and TSVs between the top and bottom metallizations. The ground ring, located 290 m from the edge of the coils, provides a return path for the signal on the top and bottom surfaces of the wafer. The width of the transformer coils is 400 m, equal to the thickness of the wafers thus creating a 400 m 400 m cross section. This square geometry maximizes the ratio of inductance to resistance for a given wafer thickness, and hence maximizes . The width of the surface lines is 70 m with 30- m spacing between turns. The diameter of the TSVs is 50 m. Since the coils of the trans-

2067

Fig. 1. Perspective drawing of a TSV-enabled transformer with two primary and two secondary turns.

former surround a silicon core, currents in the silicon will be excited and there will be losses. The currents and losses are lower when the substrate resistivity is higher. Here, high-resistivity silicon wafers with resistivity 10 k cm were used and this eliminated the significance of the induced currents in the substrate. For comparative purposes, silicon wafers with medium 5 k cm and low (10 cm, commonly used in CMOS processing) resistivities were also fabricated. The process flow of the transformers is illustrated in Fig. 2. TSVs of 50- m diameter were first etched through the double-sided polished (DSP) wafers using patterned AZ4620 photoresist as the mask. After the photoresist was removed, a conformal 1- m-thick layer of parylene was deposited to provide electrical insulation from the substrate. The conducting layers were added by sputter deposition of a Ti/Cu adhesion layer on both sides of the wafer, and then metal-organic chemical vapor deposition (MOCVD) of 0.3 m of copper provided a conformal seed layer for subsequent electroplating. The final step was copper electroplating to the final desired thickness. The copper plating extended into the hollow TSVs. Wafers were plated with 2.5 and 3.5 m of copper to explore the effect that metal thickness had on performance. Fig. 3 is a scanning electron micrograph (SEM) of the cross section of a completed TSV where the parylene and copper layers are visible. The use of unfilled, or barrel-coated, vias mitigates the reliability risks associated with fully filled copper TSVs and simplifies the fabrication process since no chemical mechanical polishing (CMP) of copper is required. The Ni/Au probe pads for RF probing were electroplated using dry-film photoresist as a masking layer [7]. As shown in Fig. 2(b), the dry film conveniently tents across the TSVs to prevent unwanted plating. The coils of the transformers were then patterned using a second dry-film lithography process. The coil areas were protected by dry film on both sides of the wafer and a wet etch was used to pattern the copper layer [see Fig. 2(c)]. Finally, a second insulating parylene layer was deposited and opened over the probe pads. Fig. 4(a) is a photograph of finished circuits, and Fig. 4(b) is a close-up SEM image of the copper line and conducting TSV.

2068

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 3. Cross-section SEM image of the corner of a TSV with the thick copper conductor layer and parylene insulation layers visible.

Fig. 2. Process flow for the fabrication of TSV-enabled transformers.

DC resistance testing of each device was initially done to ensure high conductivity and resistance linearity. The dc resistance per turn was measured to be 0.18 and 0.12 for 2.5- and 3.5- m-thick copper, respectively. III. MEASUREMENT AND DISCUSSION The measured -parameters of transformers fabricated using high-resistivity 10 k cm silicon wafers are shown in Fig. 5 for two- and four-turn transformers. The device was placed on a high-resistivity silicon wafer for measurement. Gold-plated microprobes and standard short, load, open, and through (SLOT) calibration, with the standards fabricated on the same wafer as the transformers, was used. The contact pads were also gold platted resulting in contact resistances of less than 1 m . The transformers in Fig. 5 are broadband and have low loss. This is attributed to the transformer being a slow-wave structure rather than a wire-wound transformer. The dimensions of the solenoidal transmission lines were optimized using Sonnet to have a 50- system characteristic impedance so that they would be matched at the ports. With more turns, the coupling of the transmissions lines increases and the bandwidth increases. Transformers with 1–10 windings were fabricated and measured. Design of the transmission-line geometry was that determined for the two-turn transformer. When the number of turns

Fig. 4. SEM transformer images. (a) Transformers with various pitches and turns. (b) Close-up of a TSV with a patterned copper line.

increases from one to four, the center passband frequency decreases from 9 to 3 GHz, and at the lower 1-dB corner frequency

FENG et al.: HIGH-PERFORMANCE SOLENOIDAL RF TRANSFORMERS ON HIGH-RESISTIVITY SILICON SUBSTRATES FOR 3DICs

2069

TABLE I MEASURED PERFORMANCE OF TRANSFORMERS WITH VARIOUS NUMBERS OF TURNS

Fig. 5. Measured -parameters of: (a) two- and (b) four-turn transformers. cm.) (Copper thickness is 3.5 m, Si substrate resistivity is 10 k

reduces from 7 to 1.6 GHz. The 1-dB bandwidths of one-, two-, and three-turn transformers are similar, 4.7–6.0 GHz, while the four-turn transformer has a much wider bandwidth of 9.2 GHz. Measured minimum insertion losses are 0.24 and 0.37 dB for one- and four-turn transformers, respectively. These values are about 2.5 dB lower than the values reported for planar monolithically integrated stacked transformers [8]. Table I summarizes the test results. In Table I, it is seen that the fractional bandwidth of the transformers increase monotonically from one turn to ten turns ranging from an octave to a little less than three octaves. At the same time, the low end of the operating frequency of the transformer reduces as the magnetic linkage increases with more turns. The upper operating frequency reduces with more turns and this could be a result of both increased resistive loss and increased parasitic capacitance. However, the impact of parasitic capacitance is minimalized as it is incorporated in the slow-wave transmission-line structure. The higher insertion loss of the transformer with more turns is attributed to the greater resistance of the windings. To further investigate the resistance-related loss, two sets of transformers with different metal thicknesses were fabricated. Insertion loss is affected by mismatch at the transformer ports, and

Fig. 6. Intrinsic loss of a three-turn transformer calculated from the measured -parameters. The dashed and solid lines correspond to transformers with 2.5- and 3.5- m-thick copper layers, respectively (Si subcm). strate resistivity is 10 k

thus the intrinsic loss, defined as , which accounts for losses related to imperfect matching, is used for comparison. Note that the transformers are symmetrical, and thus the intrinsic loss is a reasonable measure of the minimum loss possible. The intrinsic loss is zero if the two-port is lossless. Fig. 6 reports the intrinsic loss of transformers with 2.5- and 3.5- m-thick metal for two three-turn transformers that are otherwise identical. The intrinsic loss of the transformer with the thicker metal is lower, but not appreciably so, indicating that 0.1 (corresponding to 0.46 dB) intrinsic loss is about the best that can be achieved by the transformers at 10 GHz. Fixed losses include via, substrate, and radiative losses. In Fig. 6, note that the degree of improvement of intrinsic loss with increased metal thickness is a function of frequency. This demonstrates that the hollow TSVs have introduced very low resistive loss and are suitable for microwave applications. To study the effect of the resistivity of silicon substrates on the performance of TSV-enabled transformers, two more sets of devices were fabricated on low- and medium-resistivity silicon substrates while keeping all other factors the same. The measured -parameters of the three-turn transformers on low 10 cm , medium 5 k cm , and high-resistivity

2070

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 8. Comparison of the intrinsic losses of three-turn transformers fabricated in silicon substrates of various resistivity. The intrinsic loss was calculated from cm : dashed line, mediummeasured -parameters. Low-resistivity 10 cm : solid line; and high-resistivity 10 k cm : solid line resistivity 5 k with dots. All of the devices were fabricated with 3.5- m-thick copper.

Fig. 7. Measured -parameters of the three-turn transformers fabricated on sil. (b) . Low resistivity icon substrates with three different resistivities. (a) 10 cm : dashed line; medium-resistivity 5 k cm : solid line, and high-recm : solid line with dots. Copper thickness is 2.5 m. sistivity 10 k

10 k cm silicon substrates are shown in Fig. 7. The -parameters are similar for the transformers on medium- and high-resistivity silicon substrates; however, there is a significant increase in loss for the low-resistivity substrate. Clearly the low-resistivity silicon substrate is not suitable here. Fig. 8 compares the intrinsic losses of the three-turn transformers on low-, medium-, and high-resistivity silicon substrates. This further supports the preference for the high- and medium-resistivity substrates in RF/microwave applications even when a parylene insulating layer is used between metal and substrate. The use of 3DIC technology and the passive tiers that can then be used has significant advantages for RF applications compared to realizing RF and microwave functionality on a single low-resistivity active device layer. IV. MODELING Equivalent-circuit models were developed for the transformers on high-resistivity silicon substrates. Fig. 9(a) is a schematic of the circuit model fitted to the measured characteristics of a one-turn transformer. The core of the model is a magnetic transformer with primary (Port 1) and secondary (Port 2) windings having self-inductances and , self-resistances and , and coupling factor . Lumped capacitances ,

, and correspond to parasitic capacitors resulting from the electrical coupling of the windings, but is also due to the capacitance per unit length of the slow-wave transmission-line structure. Input and output lossless transmission lines describe the distributed nature of the transformers and these lines have characteristic impedances and , and lengths and , respectively. The modeled and measured -parameters are in very close agreement, as shown in Fig. 9(b). The model is based on the physics of the structure. Extension of the model to multiple turns is straightforward and is an almost direct scaling of the one-turn model after its core is put in cascadable form. A three-turn transformer model is shown in Fig. 10(a) with excellent agreement of modeled and measured parameters obtained [see Fig. 10(b) and (c)]. Each unit cell comprises the cascadable transformer and input and output shunt capacitances. The number of unit cells in the model is equal to the number of coils (turns) and only minor modification of the parasitic capacitances is required to obtain an optimum fit. The lengths of the input and output transmission lines are scaled linearly with the number of turns. While transmission-line sections could be assigned to each unit cell, it is more convenient to combine the lines into input and output sections. Referring again to the lumped-distributed model in Fig. 10(a), and , and , and are the self-resistances, self-inductances, and coupling factors of the th section with a second subscript of “1” indicating the primary (top) and “2” indicating the secondary winding. Within the measurement range, no self-resonance is evident and it is unlikely that there is a self-resonance frequency as the structures are well matched at the ports and are essentially transmission lines. In summary, the parasitic resistance and capacitances are quite low and the transformers have significantly wide bandwidth. The advantages are due to explicitly providing a controlled impedance signal return path, the coupled slow-wave transmission line structure, lower losses from the thicker metallization, and larger areas available when using a passive high-resistivity tier in a 3DIC technology rather than integrating the transformer monolithically in a standard 2DIC process. By

FENG et al.: HIGH-PERFORMANCE SOLENOIDAL RF TRANSFORMERS ON HIGH-RESISTIVITY SILICON SUBSTRATES FOR 3DICs

2071

6.4 GHz, and the minimum insertion loss is 2.1 dB. The total series primary (and also secondary) resistance was 9.9 and this corresponds to 0.95 for the one-turn transformer reported here. In [9], the shunt substrate resistance is 530 , whereas it is not measurable with the TSV-enabled transformers here. In a 3DIC process, the TSV-enabled transformer provides superior low-loss broadband RF and microwave functionality. V. CONCLUSION

Fig. 9. Model of a one-turn transformer. (a) Schematic. (b) Comparison of measured (solid line) and modeled (dashed line) responses. , mm, nH, , , fF, and fF. (Copper thickness is 3.5 m, cm.) Si substrate resistivity is 10 k

High-performance multiturn micromachined 1:1 symmetrical solenoidal transformers were developed. The transformers were targeted for 3DIC application in which a passive tier of high-resistivity silicon can be used to realize high-performance RF components [5]. Low loss of the transformer derives from the use of thick metallizations and an RF-optimized TSV process. Low loss is also a result of using high-resistivity silicon substrates, whereas low-resistivity substrates are required in most monolithic silicon IC processes. The traveling-wave design and specific provision of a current return path yielded a robust transformer relatively insensitive to nearby structures. Scalable circuit models of the transformers were derived. With a 1-dB bandwidth criterion, the four-turn transformer achieved 1.6–10.6-GHz coverage with midband insertion loss of 0.37 dB. With a 3-dB bandwidth criteria, the frequency coverage is extended from 1 to 14 GHz. The transformers had broadband intrinsic loss of 0.1 (0.46 dB) up to 10 GHz and 0.2 (0.97 dB) up to 14 GHz. A ten-turn transformer had a 1-dB passband from 0.6 to 4.6 GHz and a minimum insertion loss of 0.58 dB. A study of the effect of key fabrication parameters on transformer performance was undertaken. It was found that the increased thickness of Cu layers in the TSVs reduces the intrinsic loss of the devices and that while high-resistivity 10 k cm substrates have the lowest losses, medium resistivity substrates 5 k cm are still suitable for RF and microwave applications, and in particular, for realizing the transformers. REFERENCES

Fig. 10. Three-turn transformer model. (a) Schematic and (b) comparison of measured (dashed line) and modeled (solid line) magnitude response and , electrical length mm, (c) phase response. nH ( , ), . ( , ), coupling factor , fF, and fF. (Copper thickness is 3.5 m, Si substrate resistivity is cm.) 10 k

comparison, a recently reported monolithic transformer for a silicon RFIC used low-resistivity 10 cm silicon substrate [9]. In the widest bandwidth design reported in [9], the FST1 design, the 1-dB bandwidth is 4.7 GHz, the center frequency is

[1] M. Engels, R. Jansen, W. Daumann, R. Bertenburg, and F. Tegude, “Design methodology, measurement and application of MMIC transmission line transformers,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1995, vol. 2, pp. 1635–1638. [2] L. Wong, C. Snyder, T. Manku, and S. Kovacic, “An integrated capacitively coupled transformer and its application for RFIC’s,” in IEEE Custom Integr. Circuits Conf., May 2000, pp. 349–352. [3] H. Yang and J. Castaneda, “Design and analysis of on-chip symmetric parallel-plate coupled-line balun for silicon RF integrated circuits,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, pp. A13–A16. [4] M. Bury and K. Martin, “A wide-tuning range transformer-based RF COMS oscillator,” in 28th Eur. Solid-State Circuits Conf., Sep. 2002, pp. 547–550. [5] L. Katehi, W. Chappell, S. Mohammadi, A. Margomenos, and M. Steer, “Heterogeneous wafer-scale circuit architectures,” IEEE Microw. Mag., vol. 8, pp. 52–69, Feb. 2007. [6] W. R. Davis, J. Wilson, S. Mick, J. Xu, H. Hua, C. Mineo, A. M. Sule, M. Steer, and P. D. Franzon, “Demystifying 3-D ICs: The pros and cons of going vertical,” IEEE Des. Test Comput., vol. 22, no. 6, pp. 498–510, Nov.–Dec. 2005. [7] J. Carlson, M. Lueck, C. A. Bower, D. Temple, Z.-P. Feng, M. B. Steer, A. J. Moll, and W. B. Knowlton, “A stackable silicon interposer with integrated through-wafer inductors,” in Proc. 57th Electron. Compon. Technol. Conf., May 2007, pp. 123–128. [8] Z.-P. Feng, C. Bower, J. Carlson, M. Lueck, D. Temple, and M. B. Steer, “High- solenoidal inductive elements,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 1905–1908.

2072

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

[9] C. C. Lim, K. Yeo, K. W. Chew, A. Cabuk, J. Gu, S. F. Lim, C. C. Boon, and M. A. Do, “Fully symmetrical monolithic transformer (true 1:1) for silicon RFIC,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 10, pp. 2301–2311, Oct. 2008. [10] A. Zolfaghari, A. Chan, and B. Ravavi, “Stacked inductors and transformers in CMOS technology,” IEEE J. Solid-State Circuits, vol. 36, no. 4, pp. 620–628, Apr. 2001. [11] N. Fong, J. Plouchart, N. Zandner, H. Kim, K. Jenkins, C. Plett, and G. Tarr, “High-performance and area performance and area-efficient stacked transformers for RF CMOS integrated circuits,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, vol. 2, pp. 967–970. [12] H. Liang, Y. Lin, C. Chen, P. Yeh, Y. Tzeng, T. Wang, and S. Lu, “An analysis of perfect-magnetic-coupling ultra-low-loss micromachined SMIS RF transformers for RFIC applications,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 12, pp. 4256–4267, Dec. 2006. [13] W. Z. Chen, W. H. Chen, and K. Hsu, “Three-dimensional fully symmetric inductors, transformer, and balun in CMOS technology,” IEEE Trans. Circuits Syst., vol. 54, no. 7, pp. 1413–1423, Jul. 2007. [14] D. Weon and S. Mohammadi, “High performance 3-D helical RF transformers,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 1897–1900. [15] L. Gu and X. Li, “High-performance CMOS-compatible solenoidal transformers with a concave-suspended configuration,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 6, pp. 1237–1245, Jun. 2007. [16] J. Kim, S. Gu, B. M. Henderson, T. R. Toms, L. G. Chua-Eoan, S. S. Bazarjani, and M. Nowak, “Three dimensional inductor and transformer,” U.S. Patent Appl. 12/576,033, Oct. 8, 2009. [17] M. Duplessis, O. Tesson, F. Neuilly, J. R. Tenailleau, and P. Descamps, “Physical implementation of 3-D integrated solenoids within silicon substrate for hybrid IC applications,” in Proc. 39th Eur. Microw. Conf., Sep. 29–Oct. 1, 2009, pp. 1006–1009. [18] B. Zhang, Y.-Z. Xiong, L. Wang, S. Hu, J. Shi, Y.-Q. Zhuang, L.-W. Li, and X. Yuan, “3D TSV transformer design for DC–DC/AC–DC converter,” in Proc. 60th Electron. Compon. Technol. Conf., Jun. 1–4, 2010, pp. 1653–1656. [19] N. Khan, V. S. Rao, S. Lim, H. S. We, V. Lee, X. Zhang, E. B. Liao, R. Nagarajan, T. C. Chai, V. Kripesh, and J. H. Lau, “Development of 3-D silicon module with TSV for system in packaging,” IEEE Trans. Compon. Packag. Technol., vol. 33, no. 1, pp. 3–9, Mar. 2010. [20] W. Y. Liu, J. Suryanarayanan, J. Nath, S. Mohammadi, L. P. B. Katehi, and M. B. Steer, “Toroidal inductors for radio-frequency integrated circuits,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 646–654, Feb. 2004. [21] J.-H. Jung, Y.-B. Park, B.-R. Jung, J.-G. Ju, S.-I. Hong, E.-H. Jang, C.-H. Min, H.-C. Kim, M.-S. Cha, D.-W. Kang, S.-Y. Kang, Y. Yun, and D. Sam-Dong, “An ultra-compact on-chip impedance transformer fabricated using a novel microstrip line employing periodically arrayed capacitive elements on MMIC,” in Int. Appl. Electro-Magn. and Student Innovation Competition Awards Conf., Aug. 2010, pp. 102–106. [22] G. Wang, W. Woods, J. Xu, and E. Mina, “On-chip high performance slow wave transmission lines using 3-D steps for compact millimeter wave applications,” in IEEE 61st Electron. Compon. Technol. Conf., May 31–Jun. 3, 2011, pp. 1047–1051.

Zhiping Feng received the B.S. degree in physics from Beijing University, Beijing, China, in 1987, the M.S. degree in physics from Bowling Green State University, Bowling Green, OH, in 1994, and the Ph.D. degree in electrical engineering from the University of Colorado at Boulder, in 2000. She is currently a Postdoctoral Research Associate with the Department of Electrical and Computer Engineering, North Carolina State University, Raleigh. Prior to joining North Carolina State University in 2004, she was a Senior Staff Engineer with Comspace Inc., Irving, TX. Her research interests include microwave passive circuit design for the front end of wireless communication systems and

RF/microwave applications of BST thin-film and MEMS. She is also interested in RF modeling of flip-chip and ac coupled interconnects and 3D packaging.

Matthew R. Lueck received the B.S. degree in electrical engineering from the University of Cincinnati, Cincinnati, OH, in 2002, and the M.S. degree in electrical engineering from The Ohio State University, Columbus, in 2005. Since joining the Center for Materials and Electronic Technologies, RTI International, Research Triangle Park, NC, as a Research Engineer in 2005, he has been involved with various processes for 3-D integrated electronics including TSV interconnects, electroplating, thin wafer handling, and fine pitch metal bonding. He has also developed processes for the microfabrication of vacuum electronics for terahertz sources. He has authored or coauthored 40 scientific publications.

Dorota S. Temple (M’04–SM’05) received the M.Sc. and Ph.D. degrees in solid-state physics from the AGH University of Science and Technology, Cracow, Poland. She is currently a Senior Fellow of electronics and energy technologies with RTI International, Research Triangle Park, NC. She possesses over 20 years of experience in the area of semiconductor device technology and the science and engineering of electronic and opto-electronic materials. She directs the 3D microsystem integration program at RTI, which encompasses the development and application of technologies for high-density 3D stacked ICs, 3D packaging, including advanced flip-chip, and 3D-enabled silicon interposers. She has authored or coauthored over 130 publications. She holds six U.S. patents. Dr. Temple was the recipient of the 2010 IEEE Outstanding Engineer Award and the 2011 IEEE Professional Achievement Award for Individuals.

Michael B. Steer (S’76–M’78–SM’90–F’99) is the Lampe Distinguished Professor of Electrical and Computer Engineering with North Carolina State University (NCSU), Raleigh. He has authored over 450 refereed publications. He coauthored Foundations of Interconnect and Microstrip Design (Wiley, 2000) and Multifunctional Adaptive Microwave Circuits and Systems (Scitech, 2009) and the textbook Microwave and RF Design: A Systems Approach (Scitech, 2010). Dr. Steer was secretary of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) in 1997. He was a member of the IEEE MTT-S Administrative Committee (AdCom) (1998–2001 and 2003–2006). He was the editor-in-chief of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He was the recipient of the Alcoa Foundation Distinguished Research Award from NCSU’s College of Engineering (2003), the Jack S. Kilby Lecturer (2003), the Bronze Medallion from U.S. Army Research for Outstanding Scientific Accomplishment (1994 and 1996) a Distinguished Service Recognition Award from IEEE MTT-S (1997), an Army Medal, the “Commander’s Award For Public Service” from the Commanding General of the U.S. Army Research, Development and Engineering Command (RDECOM) (2009), the Microwave Prize for the best paper on microwave engineering in any IEEE publication in the preceding year (2010), and the Distinguished Educator Award from IEEE/MTT-S (2011). In 2011 was inducted into the Electronic Warfare Technology Hall of Fame, sponsored by the Association of Old Crows. In 2011, he was named one of the Most Creative Teachers in the South by Oxford American Magazine.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

2073

Complex Impedance Transformers Consisting of Only Transmission-Line Sections Hee-Ran Ahn, Senior Member, IEEE

Abstract—Design methods are, for the first time, suggested for the complex impedance transformers, to solve the conventional problem of imaginary value of characteristic impedance of transmission-line sections. The complex impedance transformers consist of only transmission-line sections, and the design formulas are the function of the reflection coefficients of the complex termination impedances. Firstly, the impedance transformers that transform a complex impedance into a real one are discussed. Secondly, those transforming a complex impedance to another complex one are studied. For the impedance transformers of the first type, the regions of the Smith chart where one complex impedance can be transformed into one real one by only one transmission-line section are called allowed regions, while the outside forbidden regions. Depending on where the complex impedances are located, a method to reduce the size is discussed in more detail. For the second case where both termination impedances are complex, the complex conjugate of one of two complex impedances should be located on a Smith chart for maximum power transfer. The design formulas are then obtained in a similar manner. To validate the design formulas, three impedance transformers terminated in a fixed complex impedance of and are fabricated and three real impedances of 50, 60, and 80 measured. The measured results show quite good agreement with prediction, return losses of 30–38 dB being achieved around the design center frequency of 2 GHz. Index Terms—Allowed and forbidden regions, complex impedance transformers, design formulas of complex impedance transformers, transmission-line impedance transformers.

I. INTRODUCTION

F

OR MAXIMUM power transfer, many microwave circuits use impedance transformers to transform a certain impedance into another one. These transformers are employed in power dividers [1]–[3], power combiners, antenna feeding lines, and power amplifiers [4], [5]. The simplest design is generally the most preferable for the engineering solutions. For instance, a quarter-wave impedance transformers are suitable for this purpose. However, since the input or output impedances of power transistors, antennas, power dividers, combiners, or baluns are not always real values, complex impedance transformers are therefore needed. The impedance transformers terminated in complex impedances are discussed in [6]–[9]. In

Manuscript received January 30, 2012; accepted March 13, 2012. Date of publication June 05, 2012; date of current version June 26, 2012. The author is with the School of Electrical Engineering and Computer Science, Seoul National University, Seoul 151-742, Korea (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2197022

[6] and [7], design formulas are presented for those with complex termination impedances, but any solution to the imaginary value of characteristic impedance and electrical length of the impedance transformers is not given even with one complex and one real termination impedances. Furthermore, the design formula for the electrical length is incorrect [7]. In [8], impedance transformers with coupled transmission-line sections are discussed, and additional stubs are found needed for the complex termination impedances. In [9], a tunable varactor diode is indispensable for the complex termination impedances. In this paper, simple complex impedance transformers only with transmission-line sections will be discussed. A quarter-wave impedance transformer transforms a real impedance into another real one, and its behavior on an impedance Smith chart draws a half circle cutting two points of the real axis of the Smith chart. All the points on the half circle are therefore complex, except two cutting real values. If the length of the quarter-wave impedance transformer is reduced by less than 90 , the real impedance can be transformed into a complex one by the remaining transmission-line section of the quarter-wave impedance transformer. This method is introduced theoretically [10] and graphically [11]. A formula for the length of the transmission-line section [10], however, seems to be incorrect. The graphical method [11] requires impedance (admittance) normalization twice on the Smith chart and thus reading errors are easily encountered. To overcome both problems in [10] and [11] , the graphical method is solved mathematically and the mathematical method is applied to small impedance transformers [1], being able to transform a real impedance into another real one [12]. The application is therefore limited only to the case where impedance transformation ratios of the quarter-wave impedance transformers are given [13]–[17]. In this paper, complex impedance transformers will be discussed mathematically, using Smith charts to solve the conventional problem having imaginary value of the characteristic impedance of the impedance transformers. Firstly, the impedance transformer to transform a real impedance into a complex impedance is explained on a Smith chart and allowed and forbidden regions are defined depending on where the normalized complex impedance is located. All the normalized complex impedances located in allowed regions can be transformed into unit value of impedance by only one transmission-line section, whereas those in forbidden regions cannot be. To explain the allowed regions in more detail, allowed regions I–IV are defined according to the sign of reflection coefficients. Examples of each allowed region together with forbidden regions are also solved. For the normalized complex impedances

0018-9480/$31.00 © 2012 IEEE

2074

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

located in both allowed regions II and IV, the length of the impedance transformers is less than 90 , while the required length is greater than 90 for those in allowed regions I and III. To reduce the impedance transformer, the normalized complex impedances in allowed regions I and III need to be moved into allowed region II or IV by adding a transmission-line section, a shunt capacitive or inductive stub to the normalized complex impedance. Since adding a transmission-line section is more advantageous than adding a shunt capacitive or inductive stub in terms of bandwidth and simplicity, the design method by adding a transmission-line section is intensively discussed. To compare the impedance transformer designed in this paper with conventional designs [18], one example of and 80 located in allowed region IV is chosen. The comparison shows that the frequency response of the impedance transformer designed in this paper can be used in the entire frequency region of interest, while the two conventional designs are effective only around the design center frequency, even though the conventional designs require an additional shunt capacitive or inductive stub. From this example, the design method proposed in this paper demonstrates a substantial advantage over any other conventional design. Next, design formulas for the impedance transformers to transform a complex impedance to another complex one are derived on a Smith chart. In this case, the design concept is very similar to the case with one complex and one real impedances, but the only difference is that one complex impedance is transformed into the complex conjugate of another one for maximum power transfer. As one example of this design, two complex impedances are chosen as and and the solutions to the impedance transformers are solved with varying from 40 to 110 . For , the impedance transformer is composed of only one transmission-line section with the characteristic impedance of 83 and the electrical length of 12 . The simulated frequency responses show that return loss of more than 20 dB is obtained in whole frequencies of interest. This simple and powerful design method for both complex impedances can be expected useful for various applications. To validate the design formulas, three impedance transformers to transform into 50, 60 and 80 are fabricated on a substrate ( , mm, and ) at the design center frequency of 2 GHz and measured from 0 to 5 GHz. The measured frequency performance shows very good agreement with prediction, giving return losses of 30–38 dB around a design center frequency of 2 GHz. II. DESIGN FORMULAS DEFINING IN IMPEDANCE DOMAIN A. Design Formulas A complex impedance transformer simply consisting of one transmission-line section with the characteristic impedance of and the electrical length of is depicted in Fig. 1, where the complex termination impedances of and are and . Two reflection coefficients and looking into the termination impedance of and the transmission-line section, respectively, are indicated.

Fig. 1. Complex impedance transformer.

The reflection coefficients

and

are expressed as

(1a) (1b) where is the complex conjugate of . The characteristic impedance of is calculated from the condition of and gives (2) The relation between

and

is (3)

from which the electrical length of

in Fig. 1 is computed as (4)

For a real value of satisfied:

in (2), the following relation should be (5)

is satisfied with the equation in (5) for a given , the If characteristic impedance of in (2) becomes a real number. Otherwise, is an imaginary value. The real value of means that one transmission-line section can transform a complex impedance of into another complex one of . If the value of is imaginary, since one transmission-line section is not sufficient as the impedance transformer in Fig. 1, the number of transmission-line sections should be increased, or a capacitive or an inductive stub should be added to one of two complex termination impedances. For a solution to the imaginary value of , the regions, where is satisfied with the condition in (5) for a given , are named allowed regions and the others, forbidden regions. It is, however, very difficult to define both allowed and forbidden regions in a Smith chart because no mapping functions are available to convert the functions in (5) defined in the impedance domain into the reflection-coefficient functions working in a Smith chart. To solve this problem, a direct analysis on a Smith chart, or, a graphical method [1], [11], [12] is needed. For this, the design formulas with reflection coefficients will be derived.

AHN: COMPLEX IMPEDANCE TRANSFORMERS CONSISTING OF ONLY TRANSMISSION-LINE SECTIONS

2075

B. Conventional Design Formulas There are conventional works in [6], [7], [10] and [11] worth being discussed. The design equations for the characteristic impedance of and the electrical length of are treated for both complex termination impedances, but no example is given in [6], and only the case of one real and one complex termination impedance is verified [7]. Furthermore, no solution to the imaginary value of is presented in [6] and [7], and the design formula for the electrical length of is incorrect [7] because (1b) is misunderstood as . In [10], the impedance transformer may be used for a complex impedance of and a real impedance of . In this case, the design formulas for and in Fig. 1 are given as

Fig. 2. Quarter-wave impedance transformer and its behavior on an impedance Smith chart. (a) Quarter-wave impedance transformer to transform 50 into 100 . (b) Impedance Smith chart with the locus of a quarter-wave impedance transformer.

(6a) (6b) where , , and . However, no solution to the negative value of in (6a) is suggested. Furthermore, the formula expressing the electrical length of in (6b) seems to be incorrect. For and , the value of in (6b) is calculated as , even though the correct solution exists. The correct and in Fig. 1 are and . In [11], a graphical method is illustrated to obtain the impedance transformers with one real and one complex termination impedances. The method is, however, found to be effective only if the characteristic impedance of is real and the complex impedance is located in allowed region II, which will be explained later. III. IMPEDANCE TRANSFORMERS TERMINATED A REAL AND A COMPLEX IMPEDANCE

IN

A. Review of a Quarter- Wave Impedance Transformer A quarter-wave impedance transformer to transform 50 into 100 [see Fig. 2(a)] is depicted on an impedance Smith chart normalized to 50 [see Fig. 2(b)]. As is well known, a quarterwave impedance transformer draws a half circle cutting two points 1 and 2 of the Smith chart. Let us define the electrical length from port as and the input impedance looking into the transmission line at as . For [see Fig. 2(b)], its input impedance is then 100 , whereas , when , and , when . In this way, perfect matching can be achieved at both termination impedances of 50 and 100 . When in Fig. 2(b), the equivalent circuit may be drawn as shown in Fig. 3(a) where port is terminated with , and the length of the transmission-line section is reduced to 60 . This shows that one transmission-line section with the length of 60 can transform into 50 . Two termination impedances of 50 and in Fig. 3(a) are still on the half circle of the quarterwave impedance transformer in Fig. 3(b). The normalized characteristic impedance of the impedance transformer is [see

Fig. 3. Impedance transformer to transform a complex impedance into a real one and its drawing on an impedance Smith chart normalized to 50 . (a) Impedance transformer to transform a complex impedance into a real one. (b) Locus of the impedance transformer on an impedance Smith chart normalized to 50 .

Fig. 3(b)], and the center of the circle is determined by the two termination impedances. The center of the circle having arbitrary complex and real impedances can be determined using a simple geometrical procedure that a perpendicular bisector of a line connecting two points on a circle always passes through the center of the circle. This concept can be generalized on a Smith chart to find the normalized characteristic impedance of impedance transformer to transform a real impedance into a complex impedance or a complex impedance into another complex impedance. B. General Impedance Transformers A general impedance transformer to transform a complex impedance into a real impedance is depicted in Fig. 4(a) where the characteristic impedance and electrical length of the impedance transformer are again assumed to be and . If an impedance Smith chart is normalized to the real termination impedance of , the real termination impedance is located at the origin of the Smith chart, and the complex termination impedance of normalized to is appropriately located. Assuming that has positive real and negative imaginary parts of the reflection coefficient, two termination impedances normalized to are expressed as and in the Smith chart in Fig. 4(b). Through the relation of

2076

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

The center of the circle including two termination impedances [see Fig. 4(b)] or the point at which “line 2” meets the real reflection axis is obtained by in (9). Thus, the vector from the origin of the Smith chart to the center of the circle, in Fig. 4(b), is (10) and on The circle in Fig. 4(b) intersects two points the real axis of reflection coefficients. The reflection coefficient at has only real part of and its value is (11) Referring to Fig. 8(a), the relation between reflection coeffiis obtained as cients and (12) where and are again real and imaginary parts of the reflection coefficient at . The relation between and is obtained by in (12). It yields (13) Fig. 4. General impedance transformer to transform a complex impedance into a real impedance , and their termination impedances are expressed on an impedance Smith chart. (a) Two termination impedances are expressed on an . (b) Impedance Smith chart normalimpedance Smith chart normalized to . ized to the characteristic impedance of

tion coefficient

, real and imaginary parts of the reflecare written as (7a) (7b)

where Using , real and imaginary parts of the normalized complex impedance may be expressed with real and imaginary parts of its reflection coefficient such as (8a) (8b) To determine the center of circle including two termination in Fig. 4(b), the equations of “line 1” impedances of and and “line 2” are needed. The “line 1” connecting two normalized termination impedances [see Fig. 4(b)] is and its perpendicular bisector, “line 2” is expressed as (9)

The quarter-wave impedance transformer to transform 100 into 50 draws a half circle, cutting two points 2 and 1 on an impedance Smith chart normalized to 50 in Fig. 2(b), and the characteristic impedance of the impedance transformer is square root of 2 1, two cutting point product. Similarly, the normalized characteristic impedance of the impedance transformer [see Fig. 4(a)] is (14) and which is expressed only with reflection coefficients of becomes to be an exact solution when . Thus, if the information on is given, the characteristic impedance of the impedance transformer in Fig. 4(a) can be easily obtained using (14). To determine the electrical length in Fig. 4(a), the impedance Smith chart should be renormalized to . The center of the circle in Fig. 4(b) is then moved to the origin of the renormalized Smith chart, while , and [see Fig. 4(b)] are also changed to , , and , as shown in Fig. 4(c). The electrical length of the impedance transformer in Fig. 4(a) is half the distance from to toward the generator on the Smith chart. Therefore, the electrical length [see Fig. 4(c)] may be found as (15) where , are real and imaginary parts of reflection coefficient at , and , are those at . The electrical length of may also be found using (4).

AHN: COMPLEX IMPEDANCE TRANSFORMERS CONSISTING OF ONLY TRANSMISSION-LINE SECTIONS

2077

Fig. 6. Characteristic impedance of varied. termination impedance of

and electrical length of

with the real

TABLE I DESIGN DATA FOR TRANSFORM

Fig. 5. Allowed regions are displayed on a Smith chart.

THE IMPEDANCE

TRANSFORMERS INTO

TO

C. Allowed Regions in (10) means that the distance from the origin of the Smith chart to the center of the circle including two termination impedances is 0.5. Thus, the circle with will draw a constant circle, cutting real axis at two points, the origin and the point at , where is resistance value expressed on the real axis of the Smith chart. In this case, the normalized characteristic impedance of in (14) becomes , which cannot be realized. To obtain any solution for the realizable characteristic impedance of in Fig. 4(a), should be (16) The regions satisfying the condition in (16) are bounded by two circles and expressed, depending on the sign of the real reflection coefficient of , such as (17a) (17b) The equations in (17) may also be found by the condition in (14). The regions satisfying (17) having a real value of are displayed as hatched area of the Smith chart in Fig. 5 where I–IV are specified depending on the sign of real and imaginary parts of the reflection coefficient. Only if the normalized complex impedance of is located in the hatched region, a complex termination impedance can be transformed into a real one by only one transmission-line section. The regions satisfying (17) are, therefore, called allowed regions. Depending on where the normalized complex impedance is located, the solution is different and will be investigated. 1) Allowed Region IV: Prior to the discussion on located in allowed region I, the impedances in allowed region IV will be discussed first. An example of normalized complex impedances located in allowed region IV is with

varied from 40 to 90 . Using (14) and (15), the characteristic impedance of and the electrical length of are calculated at equal intervals of 10 . The calculated results are plotted in Fig. 6 and listed in Table I. The data in Fig. 6 and Table I are also confirmed by (2) and (4). As the real impedances of increase, the characteristic impedances of of the impedance transformer in Fig. 4(a) also increase, while the electrical lengths of decrease (Fig. 6). Using the data in Table I and Fig. 6, the impedance transformers were simulated at the center frequency of 1 GHz, and the frequency responses are plotted in Fig. 7. Since the frequency responses of and are symmetric with respect to the design center frequency of 1 GHz, those of are plotted less than 1 GHz, and those of greater than 1 GHz (Fig. 7). Independently of the real impedances of , all are perfectly matched, and no insertion loss is encountered around the design center frequency. The bandwidth is maximum with and gradually decreases with decreasing. It means that the difference between the real part of and is related with the bandwidth, and return loss more than 15 dB can be achieved in the whole frequency region of interest with . The normalized complex impedances located in allowed region IV can be transformed into unit value of impedance using conventional methods [18], one of which is adding a single stub to the real impedance in series or parallel (single stub series or shunt tuning). The method with a single stub series tuning is not recommended because inductance or capacitance produced by the series single stub cannot be easily realized and may introduce loss. Using the conventional method with a single stub shunt tuning, one case of and is studied for the comparison. For the conventional method, moving

2078

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 7. Simulated frequency responses. Fig. 9. Compared simulation results. (a) Scattering parameters of . (b) Scattering parameters of .

Fig. 8. Conventional impedance transformer with a real and a complex termination impedances. (a) Single shunt inductance connected. (b) Single shunt capacitance connected.

the complex impedance normalized to toward the generator by 180 , its admittance value is obtained. The impedance Smith chart is then changed to an admittance Smith chart. One standing wave ratio (SWR) circle including the admittance intersects a constant conductance circle at two points, . Required lengths of the transmission-line sections to have unit characteristic admittance are 27.274 for and 105.88 for . Since this admittance Smith chart is normalized to 1/80 , actual values of are . To perfectly match to a real impedance, either 31.58 nH or 0.8 pF at 1 GHz needs to be connected to the real impedance in parallel. The resulting circuits are described in more detail in Fig. 8 where a shunt inductance or capacitance is shown in Fig. 8(a) and (b), respectively. The impedance transformer designed in this paper is compared with two conventional designs, and their frequency responses are plotted in Fig. 9. The solid lines in Fig. 9 are frequency responses of the impedance transformer consisting of only one transmission-line section designed in this paper, and dotted and two parallel lines with a solid triangle and disk are those with conventional designs. The simulation results of the impedance transformer suggested in this paper show that the worst values of and are 0.17 and 14.1 dB at 0 and 2 GHz, while those of two conventional ones are acceptable only around the center frequency. Even worse for the conventional methods, longer transmission-line sections are required in Fig. 8(b) and the bandwidths are reduced by adding the single shunt stubs in Fig. 9. On the other hand, the impedance transformer designed in this paper can be used in the entire frequency region of

interest in a much simpler way. Hence, the design method suggested in this paper may be considered more advantageous than any other conventional one. 2) Allowed Region I: An example of allowed region I is with varied from 40 to 90 . The complex impedances normalized to the real impedances of are indicated as points in Fig. 10(a) and both complex and real impedances renormalized to ( and ) are also described in Fig. 10(b) where only two cases of and are depicted. The characteristic impedances of in Fig. 4(a) for are the same as those with in allowed region IV because the two are complex conjugates. The data in Table I were therefore used for producing and in Fig. 10(b). The distance from to toward the generator in Fig. 10(b) is more than 180 for . Therefore, the electrical length of in Fig. 4(a) is more than 90 . It may also be checked by (4). To reduce the size of the transmission-line section with in Fig. 4(a) to less than 90 , in allowed region I should be moved into allowed region IV by adding a transmission-line section with characteristic impedance of and electrical length of in Fig. 11(a), a shunt capacitive in Fig. 11(b), or inductive in Fig. 11(c) stub. Since the complex impedance of has inductance, adding either a transmission-line section or a shunt capacitive stub is a good choice. The latter case can reduce total size of the impedance transformer more. A resonance between the inductance of the complex termination impedance and the added capacitance may, however, be produced and can cause frequency response of the resulting impedance transformer to change abruptly. Here, only the former case moving along a constant SWR circle like that in Fig. 11(a) will be discussed. The input impedance looking into the transmission-line section with [see Fig. 11(a)] is given as

(18) where

.

AHN: COMPLEX IMPEDANCE TRANSFORMERS CONSISTING OF ONLY TRANSMISSION-LINE SECTIONS

2079

Fig. 11. Adding a transmission-line section, a capacitive or an inductive shunt stub. (a) Transmission-line section. (b) Capacitive shunt stub. (c) Inductive shunt stub.

Fig. 10. Complex impedance of normalized to real varied from 40 to 90 and both complex and real imimpedances of pedances normalized to the characteristic impedance of the impedance trans. (b) and only with formers. (a) and .

Moving from allowed region I to IV may be equivalent to making the imaginary part of in Fig. 11(a) negative by adding a transmission-line section. To see the behavior of the imaginary part of in Fig. 11(a), the input impedances of were calculated using (18) with fixed at and the characteristics of the transmission-line section with and in Fig. 11(a) varied. The calculated results are plotted in Fig. 12. When in Fig. 12, the imaginary part of starts with and gradually decreases with the increase in . Around , the imaginary part of changes from positive to negative. For , the sign of the imaginary part of changes around and around for . The required length to have a negative imaginary part of is inversely proportional to the characteristic impedance of . When and [see Fig. 11(a)], , which is already in allowed region IV. Based on obtained above, and were calculated with varied from 40 to 90 at equal intervals of 10 , and the results are listed in Table II. The total lengths of the resulting impedance transformers are less than 90 (Table II). 3) Allowed Region II: An example of the complex impedance located in allowed region II is with varied from 50 to 90 . and were calculated and the results are list in Table III. In this case, since the characteristic impedance of in Fig. 4(a) is always less than

Fig. 12. Imaginary part of with varying the characteristic impedance and electrical length of an added transmission-line section.

TABLE II DESIGN DATA

FOR THE IMPEDANCE TRANSFORMERS TO TRANSFORM INTO WITH AND OF THE TRANSMISSION-LINE SECTION ADDED

the real termination impedances of , is located on the right half-plane of the Smith chart, while is still in allowed region II. Thus, half of the distance from to toward the generator is less than 90 . 4) Allowed Region III: An example of the complex impedance located in allowed region III is when is varied from 50 to 90 . The values of and are the same as those in Table III. However, is located in allowed region III and IV, while is on the real axis of right

2080

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

TABLE III DESIGN DATA FOR TO TRANSFORM

THE IMPEDANCE

TABLE IV TRANSFORMERS INTO

DESIGN DATA

FOR THE IMPEDANCE TRANSFORMERS TO TRANSFORM INTO WITH AND OF A TRANSMISSION-LINE SECTION ADDED

Fig. 13. Imaginary part of input impedance of when the characteristic impedance and electrical length of an added transmission-line section are varied.

half-plane. Hence, half of the electrical distance from to toward the generator of the Smith chart is more than 90 . To reduce the size of the transmission-line section in Fig. 4(a), i.e., to have a positive imaginary part of (Fig. 11), moving along a constant SWR circle [see Fig. 11(a)] or adding inductance [see Fig. 11(c)] are both suitable. If a shunt inductance of is chosen as [see Fig. 11(c)], the input impedance of becomes the same as . The same solution as the example in allowed region II can be obtained. In terms of bandwidth, however, adding a transmission-line section in Fig. 11(a) will be discussed further. For this case of located in allowed region III, the imaginary part of was calculated with fixed and and in Fig. 11(a) varied. The calculation results are plotted in Fig. 13. For , the imaginary part of starts with and gradually increases with the increase in . Around , the sign of the imaginary part of changes from negative to positive. For , the sign of the imaginary part of changes from negative to positive around . The required length of transmission-line section [see Fig. 11(a)] to have a positive imaginary part of is proportional to . The gradients between and imaginary part of are almost linear. This situation is somewhat different from the case in allowed region I. When and , the imaginary part of is already positive. Table IV gives data of and , adding a transmission-line section with and . The total lengths of the resulting impedance transformers are less than 90 (Table IV). D. Forbidden Regions If a normalized complex impedance is located outside of the allowed regions in Fig. 5, one transmission-line section cannot

Fig. 14. Forbidden regions.

TABLE V DESIGN DATA

FOR THE IMPEDANCE TRANSFORMERS TO TRANSFORM INTO WITH AND OF A TRANSMISSION-LINE SECTION ADDED

transform the complex impedance into a real one. The outside parts of the allowed regions are therefore called forbidden regions and indicated in Fig. 14, where they are divided into two parts: forbidden regions I and II. An example of complex impedances located in forbidden region I is with varied from 60 to 90 . By adding a transmission-line section [see Fig. 11(a)] or a shunt capacitive stub [see Fig. 11(b)], it is possible to move the complex termination impedance of into allowed region II or IV. To obtain the input impedance of in allowed region II with a shorter length of in Fig. 11(a), the characteristic impedance of [see Fig. 11(a)] should be smaller, referring to the results in Fig. 12. The same process is then applied and the solutions to and are given in Table V. For the normalized complex impedances in forbidden region II, make the imaginary part of the input impedance of in Fig. 11 positive by adding a transmission-line section or a shunt inductive stub. Then apply the same design method in forbidden Region I.

AHN: COMPLEX IMPEDANCE TRANSFORMERS CONSISTING OF ONLY TRANSMISSION-LINE SECTIONS

2081

where

with . Letting in (19) gives the real part of the reflection coefficient at in Fig. 15(b). The real part of the reflection coefficient at , is therefore obtained as (20) The distance from is

to the center of the circle

in Fig. 15(b) (21)

and intersects the real axis at two The circle including points ( and ) in Fig. 15(b), and the real reflection coefficients and at and are (22a) (22b) where it is assumed that is less than . Similar to the equations in (13) and (14), the characteristic impedance normalized to , , is Fig. 15. Impedance transformer terminated in both complex impedances. (a) Impedance transformer with one transmission-line section. (b) Smith chart illustrating a circle having one complex and another complex conjugate and . impedances. (c) Smith chart showing renormalized

IV. IMPEDANCE TRANSFORMERS TERMINATED IN BOTH COMPLEX IMPEDANCES A complex impedance transformer terminated in both complex impedances ( and ) is again displayed in Fig. 15(a) where and . An impedance Smith chart normalized to , the real part of , is in Fig. 15(b) and that normalized to the characteristic impedance of is in Fig. 15(c). The normalized impedances of and are therefore expressed as and on the normalized Smith chart in Fig. 15(b). Note that the complex conjugate of is located on the normalized impedance Smith chart in Fig. 15(b) and (c) since the complex impedance of is transformed into the input impedance of [see Fig. 15(a)], and should be satisfied for the maximum power transfer. The equation of “line 1” in Fig. 15(b) is

(19)

(23) where and should be satisfied to have a real value of . To determine the electrical length of in Fig. 15(a), and should be renormalized to the normalized characteristic impedance of in (23). The center of the circle in Fig. 15(b) is then moved to the origin of the renormalized Smith chart in Fig. 15(c) and , in Fig. 15(b) are also changed to , in Fig. 15(c). Two times of the electrical length is the electrical distance from to toward the generator in Fig. 15(c). Thus, the electrical length in Fig. 15(a) is found to yield (24) , are real and imaginary parts of the reflection where coefficient at , and , are those at . The electrical length of may also be found by (4). To validate the design formulas in (23) and (24), an example with and was taken for the solutions to and in Fig. 15(a). With varying from 40 to 110 , the complex impedances of and normalized to are expressed as different colored points (in online version) in Fig. 16(a). As varies in Fig. 16(a), the normalized complex impedances of are moving along a constant circle, and

2082

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 17. Characteristic impedance

and electrical length

with

varied.

TABLE VI DESIGN DATA FOR TERMINATED IN

Fig. 16. Two complex impedances normalized to and renormalized to the varying from characteristic impedances of the impedance transformers with . (a) and and characteristic impedances of the impedance 40 to and . transformers, denoted as . (b)

the distance from the origin of the Smith chart to is gradually reduced, when increases. In the case of , the distance from the origin of the Smith chart to is also reduced [see Fig. 16(a)]. The centers of the circles including and are moving from left to right with the increment of . Using (23) and (24), the characteristic impedance of in Fig. 15(a), , , the electrical length (Fig. 15) were calculated as the real impedance varies from 40 to 110 . The calculated results are plotted in Fig. 17 and the data are given in Table VI. The data for and in Fig. 17 and Table VI are also verified by (2) and (4). Even though the centers of circles including and move from right to left in Fig. 16(a), the characteristic impedances of gradually increase with increase in since the Smith chart is normalized to . When , the center of the circle including and is located on the positive real axis of the reflection coefficient, and the ratio of to is greater than unity. When , the ratio of to is still greater than unity. With , is almost unity and the center of the circle is therefore located very close to the origin of the Smith chart [see Fig. 16(a)]. When , the center of the circle is already on the left axis of the real reflection coefficient, and is less than unity [see Fig. 16(a)]. When , the center of the circle is located slightly left to that with . The characteristic impedances are

THE IMPEDANCE TRANSFORMERS AND

therefore very similar to each other, and 84.26 and 83.07 are for and , respectively. When , the center of circle is , or its real reflection coefficient is . Thus, its characteristic impedance of is 62.45 , which is less than that with . This is quite different from those in Fig. 6 where the characteristic impedances of the impedance transformers are proportional to the real impedances. Thus, the characteristic impedance of is the maximum with and gradually decreases with further increase in . The electrical distance from to toward the generator is gradually decreased with increase in [see Fig. 16(b)], and its required lengths of [see Fig. 15(a)] are therefore gradually decreased. When , the required length of is only 12.02 (Table VI) since and are located very close to each other in Fig. 16(b). Based on the data given in Fig. 17 and Table VI, several impedance transformers terminated in and were simulated at a design center frequency of 1 GHz with varying. The simulation results are plotted in Fig. 18 where a transmission scattering parameter of and matching performance of are in Fig. 18(a) and (b), respectively. At the design center frequency of 1 GHz, perfect matching and no insertion loss can be achieved. The effective bandwidths are gradually increased with increase in . When , the return loss of more than 20 dB can be obtained in the entire frequency region of interest. V. MEASUREMENTS The design example of normalized complex impedances located in allowed region IV was employed for the measurements and three microstrip impedance transformers terminated in and and were designed at a center frequency of 2 GHz, fabricated on a substrate (RT

AHN: COMPLEX IMPEDANCE TRANSFORMERS CONSISTING OF ONLY TRANSMISSION-LINE SECTIONS

Fig. 18. Simulation results of impedance transformers. (a) . (b)

.

2083

Fig. 19. Fabricated impedance transformers with varied. (a) . (b) . (c)

and .

TABLE VII FABRICATION DATA

FOR IMPEDANCE TRANSFORMERS WITH AND AT A DESIGN CENTER FREQUENCY OF

2 GHz

6202 with , mm and ) and measured. To realize the complex impedance of , one lumped-element resistor of 100 and one open stub with characteristic impedance of 60 and electrical length of 51.96 are connected in series. Fabrication data for the microstrip impedance transformers are listed in Table VII where additional quarter-wave impedance transformers are needed for and . The fabricated circuits are displayed in Fig. 19 where the real termination impedances of and are indicated in Fig. 19(a), (b) and (c), respectively. The measured results are plotted in Fig. 20 where the measured center frequencies with and are about same as those predicted, while the one with 80 is slightly lower. In general, measured results show quite good agreement with prediction (Fig. 20) and the measured scattering parameters

Fig. 20. Results measured and predicted are compared. (a) . (c) . (b)

of are all from 30 to frequency of 2 GHz.

.

38 dB around the design center

VI. CONCLUSIONS In this paper, complex impedance transformers consisting of only transmission-line sections were proposed. To derive design

2084

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

formulas, the impedance transformers terminated in one real and one complex impedances were first considered. Depending on where the complex impedance normalized to the real one is located in a Smith chart, allowed and forbidden regions were defined. It was also demonstrated that all the normalized complex impedances in the allowed regions could be transformed into the origin of the Smith chart by only one transmission-line section, whereas those in the forbidden regions could not. For the impedance transformers terminated in both complex impedances, design formulas were also derived mathematically. One case of and was designed with varying from 40 to 110 . When , the impedance transformer was only one transmission-line section with the characteristic impedance of 83.07 and the electrical length of 12 , but the simulation results demonstrated that the impedance transformer could be utilized in entire frequencies of interest with the return loss of more than 20 dB. Since the impedance transformers suggested in this paper can be implemented by only one or two transmission-line sections, they are considered more useful, more reliable, and of less loss than any other conventional one. They can, therefore, be expected to be applied for various applications such as power dividers, baluns, power combiners, and antenna feeding lines. ACKNOWLEDGMENT The author would like to thank Prof. T. Itoh, University of California at Los Angeles (UCLA), for his help to refine this paper. REFERENCES [1] H.-R. Ahn and I. Wolff, “General design equations, small-sized impedance transformers, and their applications to small-sized three-port 3-dB power dividers,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 7, pp. 1277–1288, Jul. 2001. [2] L. Wu, Z. Sun, H. Yilmaz, and M. Berroth, “A dual-frequency Wilkinson power divider,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 278–284, Jan. 2006. [3] Y. Wu, Y. Liu, Y. Zhang, J. Gao, and H. Zhou, “A dual band unequal Wilkinson power divider without reactive components,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 1, pp. 216–222, Jan. 2009. [4] W. R. Deal, V. Radisic, Y. Qian, and T. Itoh, “Integrated-antenna push–pull power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 8, pp. 1418–1425, Aug. 1999. [5] V. Radisic, Y. Qian, and T. Itoh, “Novel architectures for high-efficiency amplifiers for wireless applications,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 11, pp. 1901–1909, Nov. 1998. [6] J. Henry, Antenna Engineering Handbook, 1st ed. New York: McGraw-Hill, 1961, ch. 31.

[7] L. T. Hall, H. J. Hansen, B. R. Davis, and D. Abbott, “Performance analysis of a series transformer for complex impedance matching,” Microw. Opt. Technol. Lett., vol. 45, no. 6, pp. 491–494, Jun. 2005. [8] T. Jensen, V. Zhurbenko, V. Krozer, and P. Meincke, “Coupled transmission lines as impedance transformer,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 12, pp. 2957–2965, Dec. 2007. [9] A.-L. Perrier, P. Ferrari, J.-M. Duchamp, and D. Vincent, “A varactor tunable complex impedance transformer,” in Eur. Microw. Conf. Dig, 2004, pp. 301–30. [10] M. A. Hamid and M. M. Yunik, “On the design of stepped transmissionline transformers,” IEEE Trans. Microw. Theory Tech., vol. MTT-15, no. 9, pp. 528–529, Sep. 1967. [11] G. N. French and E. H. Fooks, “The design of stepped transmission line transformers,” IEEE Trans. Microw. Theory Tech., vol. MTT-16, no. 10, pp. 885–886, Oct. 1968. [12] H.-R Ahn, Asymmetric Passive Components in Microwave Integrated Circuits. New York: Wiley, 2006, ch. 11. [13] H.-R. Ahn and B. Kim, “Modified small asymmetric impedance transformers,” in Proc. IEEE MTT-S IMWS Art of Miniaturizing RF Microw. Passive Compon., 2008, pp. 71–74. [14] H. R. Ahn and B. Kim, “Left-handed small impedance transformers and their application to arbitrary phase differentiated lumped baluns for impedance transforming,” in Asia–Pacific Microw. Conf. Dig, 2001, pp. 1–4. [15] H.-R. Ahn and I. Wolff, “Asymmetric three-port 45 power dividers composed of CVT’s and CCT’s,” in Eur. Microw. Conf. Dig, 2001, pp. 165–168. [16] H.-R. Ahn, I. Wolff, and N.-H. Myung, “Small-sized wideband CVTand CCT-ring filters,” in IEEE MTT-S. Int. Microw. Symp. Dig., 2003, pp. 1607–1610. [17] H.-R. Ahn and B. Kim, “Left-handed lumped transmission lines and left-handed small impedance transformers,” Microw. Opt. Technol. Lett., vol. 50, no. 9, pp. 2269–2271, Sep. 2008. [18] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998. Hee-Ran Ahn (S’90–M’95–SM’99) received the B.S., M.S., and Ph.D. degrees in electronic engineering from Sogang University, Seoul, Korea, in 1988, 1990, and 1994, respectively. Since April 2011, she has been with the School of Electrical Engineering and Computer Science, Seoul National University, Seoul, Korea. From August 2009 to December 2010, she was with the Department of Electrical Engineering, University of California at Los Angeles (UCLA). From July 2005 to August 2009, she was with the Department of Electronics and Electrical Engineering, Pohang University of Science and Technology, Pohang, Korea. From 2003 to 2005, she was with the Department of Electrical Engineering and Computer Science, Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea. From 1996 to 2002, she was with the Department of Electrical Engineering, Duisburg–Essen University, Duisburg, Germany, where she was involved with the Habilitation dealing with asymmetric passive components in microwave circuits. She authored Asymmetric Passive Component in Microwave Integrated Circuits (Wiley, 2006). Her interests include high-frequency and microwave circuit designs and biomedical applications using microwave theory and techniques.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

2085

A Rigorous Design Methodology for Compact Planar Branch-Line and Rat-Race Couplers With Asymmetrical T-Structures Chao-Hsiung Tseng, Member, IEEE, and Chih-Lin Chang

Abstract—In this paper, a rigorous design methodology is developed to design compact planar branch-line and rat-race couplers using asymmetrical T-structures. The quarter-wave transmission line, namely the basic element for realizing the coupler, can be replaced by the asymmetrical T-structure, which is composed of a low-impedance shunt stub and two series high-impedance lines with unequal electrical lengths. As compared with the use of the conventional symmetrical T-structure, employing the asymmetrical one to implement the coupler not only has the advantage of flexibly interleaving the shunt stubs to achieve a more compact circuit size, but also provides a wider return loss bandwidth. Based on the proposed designed methodology, the asymmetrical T-structure can be exactly synthesized and then applied to implement the compact planar couplers. The developed planar branch-line coupler occupies 12.2% of the conventional structure and has a 35.5% 10-dB return loss bandwidth. On the other hand, the rat-race coupler is miniaturized to a 5% circuit size and developed with a 29.5% 20-dB return loss bandwidth. Index Terms—Branch-line couplers, hybrid coupler, microstrips, microwave circuits, miniaturization, rat-race coupler.

I. INTRODUCTION

C

ONVENTIONALLY, the microwave branch-line and ratrace couplers [1] are mainly formed by several sections of quarter-wavelength transmission lines (TLs). Since these TLs encircle a blank circuit area, the conventional coupler occupies a large circuit size, which increases the fabrication cost. In order to satisfy the compact requirement of a modern wireless communication system, a variety of miniaturized techniques [2]–[20] have been proposed to miniaturize the circuit sizes of the branch-line and rat-race couplers. In microwave regime, these two couplers are key components for developing a wireless communication or radar system. It can find the applications on balanced mixers, balanced or push-pull amplifiers, and antenna feeding networks. By using the high-impedance T-equivalent structures to replace the conventional TLs, the miniaturized branch-line [4] and rat-race [17] couplers can be implemented with only 23.4% and Manuscript received September 18, 2011; revised March 29, 2012; accepted April 05, 2012. Date of publication May 14, 2012; date of current version June 26, 2012. This work was supported by the National Science Council of Taiwan under Grant NSC 100-2628-E-011-005. The authors are with the Department of Electronic Engineering, National Taiwan University of Science and Technology, Taipei 10607, Taiwan (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2195019

TABLE I PERFORMANCE COMPARISONS OF PUBLISHED COMPACT BRANCH-LINE COUPLERS USING SYMMETRICAL AND ASYMMETRICAL T-STRUCTURES

3.9% circuit sizes of the conventional structures [1], respectively. Since the high-impedance lines can be flexibly folded and optimally filled in the blank area of the coupler, this design approach can achieve a significant circuit size reduction. However, it leads to the obvious degradation of the return loss (RL) and phase-difference bandwidths. Referring to Tables I and II, using the asymmetrical T-shaped structure [2], [3] can significantly improve the RL bandwidth as compared with the approach using the symmetrical T-structure [4]. The asymmetrical T-structure is shown in Fig. 1(a), which consists of two series-connected high-impedance lines with unequal lengths, namely , and a shunt low-impedance stub. The advantage of this structure is the capability of optimally interleaving the shunt stubs to fill in the blank area of the conventional branch-line and rat-race couplers as described in [2], [3], and [16]. However, since the asymmetrical T-structure is not a symmetrical microwave component, it cannot be directly equivalent to a uniform transmission line like the symmetrical one [4], [15], [17]. The improper equivalence assumption between an asymmetrical T-structure and a uniform TL in [2], [3], [16] makes the operating frequency of the branch-line or rat-race coupler shift to the lower frequency band from the specified design frequency. In Section III, this problem will be demonstrated by repeating the design work using formulas in [2] and [3] and compared with results using the proposed methodology. In consequence, by using the method in [2] and [3] to synthesize the asymmetrical T-structure, the designer should spend much layout tuning efforts to obtain the reasonable characteristics at the design frequency. In this paper, a rigorous design methodology is proposed to design the compact planar branch-line and rat-race couplers

0018-9480/$31.00 © 2012 IEEE

2086

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

TABLE II PERFORMANCE COMPARISONS OF PUBLISHED COMPACT RAT-RACE COUPLERS USING SYMMETRICAL AND ASYMMETRICAL T-STRUCTURES

35.35, 50, and 70.7 will be synthesized and then applied to implement compact branch-line and rat-race couplers. The circuit performances of the developed compact couplers using asymmetrical T-structures are presented in Tables I and II. It reveals that the developed branch-line and rat-race couplers in this paper can significantly miniaturize the circuit size and simultaneously retain the almost the same RL bandwidths as the conventional structures. II. PROPOSED DESIGN METHODOLOGY ASYMMETRICAL T-STRUCTURE

OF

A. Asymmetrical T-Structure Equivalent to a High-Low Impedance Section The asymmetrical T-structure shown in Fig. 1(a) can be equivalent to an HLI section, which is composed of a high-impedance line with the characteristic impedance and the electrical length , and a low-impedance and the line with the characteristic impedance electrical length . The synthesized impedance and phase angle of the HLI structure are notated as and , respectively. To completely consider the impedance mismatch effects of the HLI section, in the following, the design formulas will be directly derived based on -parameters. Shown in Fig. 2(a) are the HLI section terminated with the reference impedance and its -parameter signal flow graph. Here, with the terminations at the bilateral ends of each transmission line, namely the high-impedance line or low-impedance line, as shown in Fig. 2(b), the -parameters or are obtained as

(1) and the electrical length The characteristic impedance of the TL indicated in Fig. 2(b) can be related to ABCD parameters as [1] Fig. 1. (a) Asymmetrical T-structure, (b) its separated counterpart, and (c) its equivalent HLI section.

using asymmetrical T-structures. First, as shown in Fig. 1(a), the asymmetrical T-structure can be separated into two parts, namely a high-impedance line and a symmetrical T-structure as illustrated in Fig. 1(b). The symmetrical T-structure can be further equivalent to a low-impedance-line TL . By cascading with a high-impedance-line TL , the asymmetrical T-structure can be simplified to a high–low-impedance (HLI) section as illustrated in Fig. 1(c). To consider the complete mismatch effects, the scattering parameters are employed to derive the design formulas of the high-low impedance section with the equivalent impedance and a 90 phase angle. As the low-impedance-line TL of the HLI section is transferred back to a symmetrical T-structure, and then connected with the high-impedance-line TL , one can form a 90 asymmetrical T-structure with the equivalent impedance . In Sections II–V, the 90 asymmetrical T-structures with

(2) Transferring (2) to -parameters by conversion formulas in [1], each -parameter in (1) can be expressed as

(3) (4) (5)

(6)

2087

TSENG AND CHANG: DESIGN METHODOLOGY FOR BRANCH-LINE AND RAT-RACE COUPLERS WITH ASYMMETRICAL T-STRUCTURES

Fig. 3. Relationship between and 30 .

and

and the resultant

as

Fig. 2. (a) HLI section with its -parameter signal flow graph. (b) Schematic for achieving -parameters of high- or low-impedance line.

(12) (13)

By applying the signal flow graph shown in Fig. 2(a), the reflection and transmission coefficients and of the HLI structure can be determined as

(14)

(7) (8) of the HLI structure Therefore, the synthesized phase angle in can be determined by calculating the phase angle of the (8). Substituting (3), (5) and (6) into (8), can be solved as

90

(15) As the synthesized phase angle of the HLI structure is set to 90 , the denominator of (9) should be zero. Equation (9) can be further simplified as

(16)

(9) As the HLI section is treated as a microwave network illustrated , as Fig. 2(a), the equivalent impedance of the HLI section, can be extracted from the simulated -parameters by [21]

(10) To simultaneously satisfy the synthesized impedance equal to , one can substitute(3)–(8) into (10) to obtain

(11)

Hence, as the impedances and of the HLI structure are chosen as 90 and 30 , the relationship between and is determined by (16) and then plotted as shown in Fig. 3. Substituting , and each set of and into (11), one can numerically solve the equivalent impedance of the HLI structure as illustrated in Fig. 3. Therefore, as is set to a designated value, by using Fig. 3, one can easily determine the values of and . B. Transferring an HLI Section Back to an Asymmetrical T-Structure After determining the circuit parameters , , , based on the design formulas in Section II-A, the and low-impedance line, namely TL , of the HLI section given in Fig. 4 can be further equivalent to a symmetrical T-structure that is realized by two series high-impedance lines with the

2088

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 4. Asymmetrical T-structure transferred from the HLI section.

characteristic impedance and electrical length and a shunt low-impedance line with the characteristic impedance and electrical length . By using ABCD parameters, the TL and T-equivalent structure can be related by

(17) Expanding (17) and ignoring the redundant equation, one can achieve

As shown in Fig. 4, in order to merge the TL with the symmetrical T-structure, the impedance should be selected as the . According to the circuit layout optimization, the same as designer can properly designate the value of . Substituting and into (22) and (23), the and can be determined. By integrating this symmetrical T-equivalent structure with the TL as shown in Fig. 4, it can then form an asymmetrical T-structure. To have the same notation as Fig. 1, one can set and . For example, as the designer would like to synthesize a 90 asymmetrical T-structure with , the corresponding HLI section should be first designed based on the formulas in Section II-A and then evolved into an asymmetrical T-structure using procedures in Section II-B. When the impedances of the HLI structure are selected as and , by numerically solving (11)–(16), one can plot Fig. 3 and then obtain and . and substituting By selecting and into (22) and (23), and can be determined as 7.486 and 16.871 , respectively. Therefore, . Based on the derived design formulas in Section II, the design procedures of the asymmetrical T- structure are summarized as follows. 1) Designate the synthesized impedance of the 90 asymmetrical T-structure and set it equal to . and of high2) Select the characteristic impedances and low-impedance TLs of the HLI section shown in Fig. 2(a) and then determine the relationship between and by using (16). 3) Substitute , , , and into (11) for calculating and then plot the graphical design figure as shown in Fig. 3. 4) Use Fig. 3 to determine and by designating . 5) Replace the low-impedance line, namely TL shown in Fig. 4, by a symmetrical T-structure. 6) Select impedances and of the symmetrical T-structure and substitute into (22) and (23) to obtain and .

(18) (19) (20) Equation (18) can be rewritten as (21) Hence, the electrical length of the low-impedance shunt stub can be determined as (22) Substituting (21) into (19), one can obtain (23)

III. BRANCH-LINE COUPLER USING ASYMMETRICAL T-STRUCTURES Based on the design procedures in Section II, the 50- and 35.35- asymmetrical T-structures are designed at the center frequency 0.9 GHz, and their calculated circuit parameters are clearly illustrated in the inset table of Fig. 5(a). The branch-line coupler is formed by integrating two pairs of 50- and 35.35asymmetrical T-structures as shown in Fig. 5(a). For comparison, the circuit parameters of the asymmetrical T-structure calculated by formulas in [2] and [3] at 0.9 GHz are also listed in the inset table. Shown in Fig. 5(b) are the -parameters simulated by the Agilent ADS. Here, the ideal TL component “TLIN” in the “TLine-Ideal” category is utilized to construct the schematic of Fig. 5(a) without considering the discontinuity effects. By using the developed formulas, the dip of the reflection coefficient is exactly located at the design frequency 0.9 GHz. However, the operating frequency of the coupler designed by the formulas in [2] and [3] is located at about 0.68 GHz, namely,

TSENG AND CHANG: DESIGN METHODOLOGY FOR BRANCH-LINE AND RAT-RACE COUPLERS WITH ASYMMETRICAL T-STRUCTURES

Fig. 6. Extracted impedances and phase angles of the 35.35- and 50metrical T-structures.

2089

asym-

Fig. 7. Circuit layout and photograph of the developed branch-line coupler.

Fig. 5. (a) Circuit topology and parameters of the branch-line coupler using asymmetrical T-structures and its (b) simulated -parameters.

a 24.4% frequency shift. It demonstrates that the design methodology developed in this paper is more rigorous than that in [2] and [3]. Fig. 6 shows the extracted impedances and phase angle of the 35.35- and 50- asymmetrical T-structures adopted in Fig. 5(a). It reveals that using the proposed design formulas in this paper can exactly synthesize the 35.35- and 50- asymmetrical T-structures with 90 phase angles at the design frequency. The branch-line coupler designed in Fig. 5(a) is then realized on a RO4003 substrate with a thickness of 0.508 mm, a dielectric constant of 3.38, and a loss tangent of 0.0027. The calculated circuit parameters given in the inset table of Fig. 5(a) are directly transferred to the corresponding microstrip lines. As the circuit layout depicted in Fig. 7, the low-impedance shunt stubs are connected with two series high-impedance lines by very short high-impedance lines. Moreover, the series high-impedance lines of the asymmetrical T-structure are folded to obtain a further size reduction. To consider the electromagnetic coupling effects of the dense layout, the low-impedance shunt stubs are fine tuned about 0.36 mm to achieve a precise quadrature phase difference. Shown in Fig. 7 is the circuit photograph of the developed branch-line coupler with a circuit size of 14.68 mm 22.7 mm. It only occupies a

12.24% circuit size of the conventional structure [1], namely an 87.76% circuit size reduction. As compared with the published compact planar branch-line couplers [2]–[11], the coupler developed in this paper not only has a smaller circuit size, but also provides a wider return loss bandwidth. The measured results of the developed coupler are acquired by the Agilent E5071C four-port vector network analyzer and shown in Fig. 8. The full-wave electromagnetic (EM) simulated results using the Agilent Momentum are also given for comparison. The simulated results agree with the measured results very well. In addition, to demonstrate the effectiveness of the developed design methodology, the circuit simulated results using calculated parameters listed in Fig. 5(a) are plotted in Fig. 8. Here, the ideal transmission line components in Agilent ADS are utilized to construct the branch-line coupler. The results reveal that the discontinuity and electromagnetic coupling effects of the developed branch-line coupler only introduce a little frequency shift. For comparison, the conventional branch-line coupler [1] was fabricated on the RO4003 substrate, and its measured characteristics were listed in Table I. The measured is better than 10 dB from 0.783 to 1.122 GHz with a 35.5% relative bandwidth, while the isolation is larger than 10 dB over the frequency range of 0.76–1.095 GHz. As compared with the conventional structure given in Table I, the RL bandwidth of the developed coupler only has a 2.3% degradation. At 0.9 GHz, the measured and

2090

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 9. Simulated -parameters of the branch-line couplers using the full-distributed (inset figure on the left-hand side) and distributed-lumped (inset figure on the right-hand side) asymmetrical T-structures.

Fig. 10. Circuit topology and parameters of the rat-race coupler using asymmetrical T-structures.

Fig. 11. Extracted impedances and phase angles of the 70.7T-structures.

Fig. 8. Simulated and measured (a) , , (b) , and phase difference tude imbalance developed branch-line coupler.

,

, (c) ampliof the

are 3.36 dB and 3.25 dB, respectively. It reveals that, except for the conductor and dielectric losses, the miniaturized technique proposed in this paper does not lead to the unreasonable insertion loss. Shown in Fig. 8(c) are the amplitude imbalance and phase difference of the branch-line coupler outputs. Considering phase difference, the frequency range covers from 0.813 to 1.143 GHz, while the amplitude imbalance varies from 1.711 to 0.658 dB within the quadrature phase bandwidth. Note that, for low-frequency applications, the

asymmetrical

low-impedance shunt stubs of the 35.35- and 50- asymmetrical T-structures shown in Fig. 5(a) can be further replaced by equivalent lumped capacitors 4.677 pF and 3.575 pF, respectively. Fig. 9 shows the simulated -parameters of the branch-line couplers using the distributed and distributed-lumped asymmetrical T-structures. Two sets of fourport -parameters are almost identical over the entire interesting frequency band. It demonstrates the equivalence of two circuit schematics shown in the inset figures of Fig. 9. IV. RAT-RACE COUPLER USING ASYMMETRICAL T-STRUCTURES The conventional rat-race coupler [1] is mainly composed of six sections of the 90 and 70.7- transmission lines. Hence, to

TSENG AND CHANG: DESIGN METHODOLOGY FOR BRANCH-LINE AND RAT-RACE COUPLERS WITH ASYMMETRICAL T-STRUCTURES

Fig. 12. Circuit layout and photograph of the developed rat-race coupler.

2091

realize this coupler, the 90 asymmetrical T-structure should be first synthesized with the equivalent impedance 70.7 based on the design formulas in Section II. By integrating six sets of asymmetrical T-structures and setting the design frequency to 0.9 GHz, the rat-race coupler can be designed as shown in Fig. 10, and its circuit parameters are also given in the inset table. As shown in Fig. 11, the equivalent impedance and phase angle of the designed asymmetrical T-structure are 70.67 and 90.12 , respectively. They approach the expected values of 70.7 and 90 . The rat-race coupler is implemented according to the calculated parameters in the inset table of Fig. 10. To further reduce the circuit size, the high-impedance lines of the asymmetrical structures are meandered with the line spacing of the triple line widths. One can fine tune the length of the low-impedance shunt stub about 0.1 mm to correct the variation of the in-phase and out-of-phase difference caused by the electromagnetic coupling. The circuit layout and photograph of the developed rat-race coupler are shown in Fig. 12. This coupler has a circuit size of 14.90 mm 26.27 mm, which is 5% of the conventional coupler size [1], namely a 95% circuit size reduction. Shown in Fig. 13 are the circuit, EM, and measured simulated results. In the range of 0.762–1.022 GHz, the measured is better than 20 dB with a 29.1% relative bandwidth. The measured isolation is less than 20 dB from 0.754 to 1.043 GHz. At 0.9 GHz, the measured and are 3.29 and 3.24 dB, respectively. Shown in Fig. 13(c) are the in-phase and 180 out-of-phase responses of the developed rat-race coupler. Considering phase errors, the frequency ranges of the in-phase and 180 out-of-phase responses are 0.769–1.047 and 0.793–1.04 GHz, respectively. Table II summarizes the performances of the published rat-race couplers using symmetrical and asymmetrical T-structures. Although the circuit size of the developed rat-race coupler is a little larger than that of the previous work in [17], the bandwidth and amplitude level of the RL performance are significantly improved. V. CONCLUSION In this paper, a rigorous design methodology is proposed to synthesize the asymmetrical T-structure, and then applied to design compact branch-line and rat-race couplers. It also overcomes the serious frequency-shift problems in [2], [3], [16] due to the improper equivalent assumption. The circuit design results of the couplers in Sections III and IV demonstrate that the couplers can be exactly operated at the specified design frequency without a troublesome frequency shift. Hence, the designer does not take the heavy circuit layout tuning effects to achieve the reasonable characteristics. Based on the proposed design method, the compact branch-line and rat-race couplers are experimentally verified to achieve a significant circuit size reduction and a retainable RL bandwidth as compared with the conventional couplers. In the future, the miniaturized technique adopted in this paper can be further applied to develop compact passive microwave components.

Fig. 13. Simulated and measured (a) , , (b) , and phase difference tude imbalance developed rat-race coupler.

,

, (c) ampliof the

REFERENCES [1] D. M. Pozar, Microwave Engineering, 3rd ed. 2005.

New York: Wiley,

2092

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

[2] S.-S. Liao, P.-T. Sun, N.-C. Chin, and J.-T. Peng, “A novel compactsize branch-line coupler,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 9, pp. 588–590, Sep. 2005. [3] S.-S. Liao and J.-T. Peng, “Compact planar microstrip branch-line couplers using the quasi-lumped elements approach with nonsymmetrical and symmetrical T-shaped structure,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 9, pp. 3508–3514, Sep. 2006. [4] C.-W. Tang and M.-G. Chen, “Synthesizing microstrip branch-line couplers with predetermined compact size and bandwidth,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 9, pp. 1926–1934, Sep. 2007. [5] K. W. Eccleston and S. H. M. Ong, “Compact planar microstripline branch-line and rat-race coupler,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 10, pp. 2119–2125, Oct. 2003. [6] K.-O. Sun, S.-J. Ho, C.-C. Yen, and D. Weide, “A compact branch-line coupler using discontinuous microstrip lines,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 8, pp. 519–520, Aug. 2005. [7] S.-C. Jung, R. Negra, and F. M. Ghannouchi, “A design methodology for miniaturized 3-dB branch-line hybrid couplers using distributed capacitors printed in the inner area,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 12, pp. 2950–2953, Dec. 2008. [8] C.-W. Tang, M.-G. Chen, and C.-H. Tsai, “Miniaturization of microstrip branch-line coupler with dual transmission lines,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 3, pp. 185–187, Mar. 2008. [9] J. Wang, B. Z. Wang, Y.-X. Guo, L.-C. Ong, and S. Xiao, “A compact slow-wave microstrip branch-line coupler with high performance,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 7, pp. 501–503, Jul. 2007. [10] C.-W. Wang, T.-G. Ma, and C.-F. Yang, “A new planar artificial transmission line and its applications to a miniaturized Butler matrix,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 12, pp. 2792–2801, Dec. 2007. [11] H. Ghali and T. A. Moselhy, “Miniaturized fractal rat-race, branch-line, and coupled-line hybrids,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 11, pp. 2513–2520, Nov. 2004. [12] H. Okabe, C. Caloz, and T. Itoh, “A compact enhanced-bandwidth hybrid ring using an artificial lumped-element left-handed transmission-line section,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 798–804, Mar. 2004. [13] J.-T. Kuo, J.-S. Wu, and Y.-C. Chiou, “Miniaturized rat race coupler with suppression of spurious passband,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 1, pp. 46–48, Jan. 2007. [14] R. K. Settaluri, G. S. A. Weisshaar, and V. K. Tripathi, “Compact folded line rat-race hybrid couplers,” IEEE Microw. Guided Wave Lett., vol. 10, no. 1, pp. 61–63, Feb. 2000. [15] V. K. Velidi, M. K. Mandal, and A. Bhattacharya, “Uniplanar harmonic suppressed compact rat-race couplers,” Microw. Opt. Technol. Lett., vol. 50, pp. 2812–2814, Nov. 2008. [16] V. K. Velidi, S. Shrivastava, and S. Sanyal, “Improved compact spurious passband suppression rat-race coupler using asymmetric T-shaped units,” Microw. Opt. Technol. Lett., vol. 53, pp. 2248–2251, Oct. 2011.

[17] C.-H. Tseng and H.-J. Chen, “Compact rat-race coupler using shunt-stub-based artificial transmission lines,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 11, pp. 734–736, Nov. 2008. [18] R. W. Vogel, “Analysis and design of lumped- and lumped-distributedelement directional couplers for MIC and MMIC applications,” IEEE Trans. Microw. Theory Tech., vol. 40, no. , pp. 253–262, Feb. 1992. [19] D. Nesic, “Slow-wave EBG microstrip rat-race hybrid ring,” Electron. Lett., vol. 41, pp. 1181–1183, Oct. 2005. [20] J. Wang, B.-Z. Wang, Y.-X. Guo, L. C. Ong, and S. Xiao, “Compact slow-wave microstrip rat-race ring coupler,” Electron. Lett., vol. 43, pp. 111–113, Jan. 2007. [21] W. R. Eisenstadt and Y. Eo, “S-parameter-based IC interconnect transmission line characterization,” IEEE Trans. Compon., Hybrids, Manufact. Technol., vol. 15, no. 3, pp. 483–490, Aug. 1992. Chao-Hsiung Tseng (S’03–M’05) was born in Miaoli, Taiwan, in 1974. He graduated in electrical engineering from National Taipei Institute of Technology, Taipei, Taiwan, in 1994, and received the M.S. and Ph.D. degrees in communication engineering from National Taiwan University, Taipei, Taiwan in 1999 and 2004, respectively. From November 1999 to August 2000, he was an Associate Microwave Researcher with the Center for Measurement Standards, Industrial Technology Research Institute, Hsinchu, Taiwan. From August 2001 to July 2002, he was a Teaching Assistant with the Department of Electrical Engineering, National Taiwan University, Taipei, Taiwan, where he was a Postdoctoral Research Fellow from February 2004 to July 2005. From August 2005 to July 2006, he was with the Department of Electrical Engineering, University of California at Los Angeles (UCLA) as a Visiting Scholar. In August 2006, he joined the faculty of the Department of Electronic Engineering, National Taiwan University of Science and Technology, Taipei, where he is now an Associate Professor. His research interests include microwave circuits and modules, microwave and millimeter-wave integrated circuits, left-handed metamaterials, microwave measurement and calibration techniques, and microwave-imaging systems and techniques.

Chih-Lin Chang was born in Chiayi, Taiwan, in 1983. He received the B.S. degree in electrical engineering from Da-Yeh University, Changhua, Taiwan, in 2006, and the M.S. degree in electronic engineering from National Taiwan University of Science and Technology, Taipei, Taiwan, in 2008, where he is currently working toward the Ph.D. degree. His research interests include microwave/millimeter-wave integrated circuits, left-handed metamaterials, and microwave active and passive circuits.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

2093

Prediction of Multipactor Breakdown for Multicarrier Applications: The Quasi-Stationary Method Sergio Anza, Carlos Vicente, Member, IEEE, Jordi Gil, Member, IEEE, Michael Mattes, Member, IEEE, Dieter Wolk, Ulrich Wochner, Vicente E. Boria, Senior Member, IEEE, Benito Gimeno, Member, IEEE, and David Raboso

Abstract—A new prediction algorithm for multipactor breakdown determination in multicarrier signals is presented. This new algorithm assumes a quasi-stationary (QS) model based on the nonstationary theory for single-carrier signals. It determines the worst case, i.e., the combination of signal phases that yields the lowest breakdown level per carrier, using multipactor electron growth models. It considers the secondary emission yield properties of the material and the time-varying value of the multicarrier signal envelope. Several test samples have been designed and manufactured in order to assess the precision of the proposed method. The experimental results show excellent agreement with the predicted results. The QS prediction technique yields, in general, better accuracy and more relaxed breakdown levels than the existing methods. Index Terms—Passive circuits, RF signals, vacuum breakdown.

I. INTRODUCTION

M

ULTIPACTOR, also known as multipactoring or multipaction, is an electron avalanche-like discharge occurring in microwave devices operating at high power levels and in vacuum or near vacuum condition [1]–[3]. When initially discovered, it was studied as a beneficial effect for signal amplification in cold-cathode tube for TV applications by Farnsworth

Manuscript received December 23, 2011; revised April 12, 2012; accepted April 16, 2012. Date of publication May 30, 2012; date of current version June 26, 2012. This work was supported by the European Space Agency (ESA)/ European Space Research and Technology Centre (ESTEC) under RF Breakdown in Multicarrier Systems Contract 1-9918/06/NL/GLC, by the Ministerio de Ciencia e Innovacion under Programa Torres Quevedo PTQ06-2-0693, and under Research Project TEC2010-21520-C04-01. S. Anza, C. Vicente, and J. Gil are with Edificio de Desarrollo Empresarial 9B, Aurora Software and Testing S.L., Universidad Politécnica de Valencia, 46022 Valencia, Spain (e-mail: [email protected]; [email protected]; [email protected]). M. Mattes is with the Laboratory of Electromagnetics and Acoustics (LEMA), Ecole Polytechnique Fédérale de Lausanne (EPFL), CH-1015 Lausanne, Switzerland (e-mail: [email protected]). D. Wolk and U. Wochner are with TESAT Spacecom GmbH & Co. KG, D-71522 Backnang, Germany (e-mail: [email protected]; [email protected]). V. E. Boria is with the Departamento de Comunicaciones, Instituto de Telecomunicaciones y Aplicaciones Multimedia (iTEAM), Universidad Politécnica de Valencia Camino de Vera s/n, 46022 Valencia, Spain (e-mail: vboria@dcom. upv.es). B. Gimeno is with the Departamento de Física Aplicada y Electromagnetismo, Instituto de Ciencia de Materiales (ICMUV), Universitat de València, 46100 Valencia, Spain (e-mail: [email protected]). D. Raboso is with the Payloads Systems Division, European Space Agency (ESA), 2200-AG, Noordwijk, The Netherlands (e-mail: David.Raboso@esa. int). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2197021

[4], who originally coined the name “multipactor.” Today, multipactor is considered as a dangerous collateral effect in highpower vacuum applications, which must be avoided. The phenomenon occurs when initial free electrons (primary) are accelerated by the RF fields, and impact against the device walls with enough energy to extract more electrons (secondary) from the surface. If the resulting electronic bunch enters in resonance with the field, this process repeats itself until the electron density reaches a certain level to produce noticeable disturbance of the signal, such as distortion, additive noise, or reflection, and ultimately produces a destructive discharge that can even damage the device. In operation, primary electrons come from different sources such as field emission or electron cascades produced by cosmic rays [5]. In the laboratory, in order to induce the discharge for multipaction testing purposes, different electron seeding techniques are available, such as radioactive sources, controlled electron beams, or photoelectric effect [6]. Multipactor may appear in many types of components, such as passive or active high-power devices in guided or microstrip technologies and antennas. Thus, it affects different industry sectors such as satellite communications [7] or particle accelerators [8]. The biggest effort of the multipactor research lines is devoted to the study and characterization of the phenomenon in order to predict under which conditions it will appear, and thus design multipactor-free components. Traditionally, multipactor has been studied for single-carrier signals. The single-carrier prediction techniques are usually based on the multipactor theory, for which there are abundant references (see, e.g., [1]–[3]), and 2-D or 3-D numerical particle-in-cell (PIC) codes [9]–[12], which combine electromagnetic (EM) solvers and electron trackers. Given some input parameters, such as the frequency of operation, device dimensions, and material secondary emission yield (SEY) properties, these single-carrier prediction methods provide the threshold for the multipactor breakdown power. The predicted thresholds are used by the industry to design and assess the margins of operated power in the device to be multipactor free. Nevertheless, realistic satellite communication systems combine more than one channel in a single output, what is called a multicarrier signal. The multicarrier signal combines the transmission power of the individual channels. Its amplitude is time varying and depends on the relative amplitudes and phases of the channel carriers. Therefore, in the multicarrier path of the spacecraft (after multiplexing the channels), extremely high peak power levels may be attained, thus increasing the risk of a multipactor discharge [13], [14].

0018-9480/$31.00 © 2012 IEEE

2094

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

By the time of speaking, the theory for multipactor and multicarrier signals is rather scarce. To the authors’ knowledge, the only existing full theory for multicarrier operation is provided in [15]. Numerical solvers capable of handling multicarrier signals exist as well [10], [16], [17]. However, in the multicarrier case there are many more parameters involved in the multipactor discharge than for the single-carrier case, which include the carrier frequency spacing, the relative phases among the carriers, and the amplitude (or power) per carrier. Therefore, the current multipactor theory and numerical software tools for multicarrier signals are able to determine if there is multipactor discharge for a fixed configuration. However, they do not provide the worst case, which is the combination of all the variables of the problem that produces a multipactor discharge with the minimum power per carrier. Thus, the current multicarrier theory/software tools do not predict the lowest multipactor breakdown level. The design rules that are currently being applied by the space industry are based on simplifications that allow applying the single-carrier predictions to the multicarrier case. The most restrictive one is , being the number of carriers, which takes the peak power of the multicarrier signal as the continuous wave (CW) power of an equivalent single-carrier signal. The multipactor breakdown is then equal to per carrier, where is the single-carrier breakdown that can be calculated with single-carrier predictors [6]. This design rule is known to be very conservative and typically gives much lower breakdown power predictions than measured ones. This imposes unnecessary constraints on the design, and usually forces to carry out cumbersome test campaigns to validate the components. The first attempt for trying to reduce the margins is the 20-gap-crossing rule (20GCR) [6], [18], which establishes a more relaxed criterion of multipactor. It can only appear when the multicarrier signal envelope is above the single-carrier threshold for a time such that an electron crosses the gap 20 times. In other words, the 20GCR rule allows the multicarrier signal to be above the threshold for a short time, assuming that the electron buildup will not be enough to produce a discharge. Equivalently, the above rule would be the zero-gap-crossing rule, i.e., it does not allow any electron crossing (impact) above the threshold. With respect to the rule, the 20GCR predicts higher multipactor thresholds and reduces the design constraints. However, the 20GCR rule is based only on the study of numerical simulations and measurements, and does not have a solid physical basis. The question that naturally arises is why 20 and not another value, and why 20 should be a universal value valid for all kind of signals and devices. This uncertainty on the prediction rule implies large safety margins that are imposed to the predicted values [6]. As a consequence, the 20GCR, although being more relaxed than the rule, still yields very conservative predictions in most cases. This work proposes a novel quasi-stationary (QS) prediction technique for multipactor in multicarrier signals, with the aim of giving more accurate predictions in order to reduce the safety margins, avoid unnecessary design constraints, and reduce the test campaigns as much as possible. Even if a full multicarrier theory is already available [15], the new technique presented in this paper is still based on the single-carrier theory, following a

similar approach as the previous ones. However, it takes more sophisticated simplifications on the multicarrier signal and employs a new electron growth model (presented in Section III). By applying the single-carrier theory, the number of parameters of the problem reduces significantly and allows for more simple and intuitive solutions. The QS prediction method is based on the nonstationary theory for single-carrier signals [19], which belongs to the family of statistical theories that introduce the randomness of electron emission velocity and angle. In spite of their complexity, the statistical theories have the advantage of matching better the experimental results [19], [20]. Among the statistical theories, the nonstationary one is able to model both the electron growth and absorption, above and below the multipactor threshold, and it considers both single- and double-surface interactions. In addition, it gives analytical expressions for the instantaneous SEY and multipactor order. Therefore, the nonstationary theory becomes the most suitable one for multipactor prediction with multicarrier signals. Within this work, a number of samples in waveguide technology has been manufactured and tested in order to assess the prediction accuracy of the new QS tool and the current 20GCR. In Section II, some background on multipactor is given, including a review of the 20GCR. The QS model is presented in Section III. Section IV gives some details on the manufactured samples and the test setup. The predictions and experimental results are presented in Section VI. Finally, Section VII offers some conclusions. II. BACKGROUND A. Multipactor in Multicarrier Signal A multicarrier signal , composed of carriers with amplitudes , angular frequencies , and phases , has the form (1) According to [15], the expression above can be alternatively expressed as a modulated signal with envelope

(2) The envelope is periodic, and its period can be computed by finding the greatest common divisor (gcd) of the differences between the signal frequencies and the lowest one (3) For a multicarrier signal with a specific set of frequencies, its envelope will have a fixed period, but its shape will vary in accordance with the choice of the phase and amplitude of each carrier. The shape may be seen as a set of periodic lobes. In general, the height of such lobes is related to its width in such a way that the higher the envelope is, the narrower the lobes

ANZA et al.: MULTIPACTOR BREAKDOWN FOR MULTICARRIER APPLICATIONS

Fig. 1. Multicarrier signal envelopes for different phase schemes with and with a uniform frequency spacing MHz. Angevain et al.’s and Wolk et al.’s boundary functions are also plotted. and limits are represented by dotted horizontal lines.

are. Theoretically, for equal amplitude, , the multicarrier signal envelope is comprised between two limit values, corresponding to the in-phase scheme (all carriers have the same relative phase), and for a totally uncorrelated phase scheme (where the lobes spread and overlap to form a flatter envelope). There are different boundary models that relate the height and the width of the envelope, such as Wolk et al. [21] or Angevain et al. [22] boundary functions. These provide the voltage factor , which relates the boundary level and the level per carrier, for each envelope width, (4) Fig. 1 shows an example for different phase schemes for a signal with and with a uniform frequency spacing MHz . As is explained in [15], the instantaneous frequency is also periodic with the same period of the envelope and with an oscillating value around the mean frequency of all carriers. Therefore, the frequency of the multicarrier signal can be approximated as a constant value equal to the mean frequency of all carriers, i.e., . The study of the multipactor phenomenon in multicarrier signals is rather more complicated than for the single-carrier case. Conceptually, the process can be described as follows. When the multicarrier signal envelope, , surpasses a certain level, the electrons are accelerated with enough energy to initiate a multipactor discharge, and thus, the electron population increases. The value of such a threshold is not well known. However, there are evidences that indicate that it must be close to the breakdown threshold in the single-carrier case, , for a frequency equal to the mean frequency of all carriers, , as [19] suggests. On the other hand, when is below , the electrons impact on the device walls with low energies, implying a SEY below 1, and the electrons being therefore absorbed. The intervals in which is above are called “on” intervals, and those where it is below are known as “off” intervals [23]. Since the envelope is periodic, “on” and “off” intervals are alternated indefinitely in time. Hence, there will be a multipactor discharge in two cases. Either the “on” interval is long enough to make the electron population grow to a detectable level in the first period of the envelope, which is called a single-event discharge, or the electron growth during the “on”

2095

Fig. 2. Example of electron growth in a long-term multipactor discharge excarrier signal with equal amplitracted from [15], corresponding to a MHz. tudes, zero phase (in-phase), and a uniform frequency spacing is Shaded areas correspond to “on” intervals. The single-carrier threshold, marked with a horizontal dotted line.

interval is higher than the electron absorption during the “off” interval. This makes the electron population grow slowly, period after period, culminating in a long-term multipactor discharge [23], [24]. Fig. 2 shows an example of a long-term multipactor discharge with an in-phase multicarrier signal, extracted from [15]. The long-term discharge buildup is typically in the range of few nanoseconds and the multipactor discharges are, in general, not self-sustained. Therefore, in practice, both kinds of discharges are indistinguishable in the laboratory. Nevertheless, each of them have different implications for the multipactor breakdown level. Long-term discharges are thought to be more restrictive than single-event ones [16], [24]. There are infinite combinations of amplitude and phases that lead to a multipactor discharge. Assuming that all carriers have equal amplitude, the worst case is defined as the combination of phases that causes a multipactor discharge with the minimum amplitude (or power) per carrier. This worst case must be the goal of any multipactor prediction method for multicarrier signals. B. 20GCR The 20GCR is very simple. It simplifies the multicarrier envelope as a pulsed signal, which can only be above (“on”) or below (“off”) the single-carrier threshold . As its own name indicates, it establishes that there will be a multipactor discharge when the “on” interval is long enough to ensure at least 20 electron impacts [6]. In order to provide a larger margin, the 20GCR takes the lowest frequency of the train of carriers, (instead of ), as the reference frequency for the calculation of the single-carrier breakdown threshold. For a multipactor discharge of order (the order of the multipactor discharge sets the number of cycles between consecutive impacts for a single electron), the “on” time is (5) The rule does not give any value for the worst case phases or RF breakdown power. It just gives the length of the “on” interval. In order to find such a combination of phases and power, it is necessary to conform the envelope to the desired shape through numerical optimizers, such as simulated annealing [25]

2096

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

or genetic algorithms [26], which search the right combination of phase and amplitude for each carrier ensuring . Another possibility is to use boundary functions for the envelope amplitude such as [21], [22], which only estimates the breakdown power. The main advantage of this rule, i.e., its simplicity, is at the same time its main drawback. It is an empirical rule and it is not clear why the criterion that leads to a number of 20 gap crossings is applicable to all situations. This is, it neither takes into account how high the envelope with respect to the singlecarrier threshold is, nor the dependence of the multipactor order with voltage, or the kind of material in terms of the SEY curve. For amplitudes close to the breakdown level, the higher the envelope amplitude, the higher the impact energy is, and thus, the higher the SEY [19]. Therefore, it seems logical that for higher amplitudes, the number of necessary impacts to cause a detectable discharge gets lower. For instance, for an amplitude equal to the single carrier threshold, the SEY is nearly 1, which implies no electron growth (and no discharge) at all, no matter how many electron impacts occur. On the other hand, it also seems logical that the number of gap crossings to create a discharge is different for materials with different SEY curves. For example, it would be expectable that the number of gap crossings for gold would be higher than for aluminium since gold is known to typically have a much lower SEY [6]. Furthermore, the 20GCR only takes into account single-event discharges and completely disregards long-term discharges.

III. QS MODEL PREDICTION The QS model follows a completely different approach than the 20GCR. It does not simplify the multicarrier envelope as a pulsed signal, but models the electron growth considering its real time-varying shape . The worst case is computed searching the envelope that triggers the multipactor discharge with the lowest breakdown power per carrier among all possible shapes. Therefore, the QS model does not employ the concept of “gap-crossings” any more since it uses a more general and powerful definition of the envelope. Moreover, the QS model is based on the single carrier nonstationary theory [19]. Therefore, contrarily to the classical multipactor theory, the QS model considers random electron emission velocity and nonresonant electron trajectories. Hence, the electrons follow different paths and impact at different times, with different energies. This scenario is valid for voltages above and below the multipactor threshold, which implies electron growth and absorption, respectively. The solutions given by this theory considerably depend on the secondary emission velocity distribution. In this work, a Maxwellian energy distribution with a spread of 3 eV has been used as in [19]. A. Theory For any arbitrary multicarrier signal, the electron growth can be approximated by [23] (7) where and average SEY from to

C. Parallel-Plate Geometry Although theories for more sophisticated geometries are available in the literature, the parallel-plate geometry is the simplest and most representative case for all of them, and will be used for the present analysis. The parallel-plate model assumes a homogeneous RF electric field between the plates, which allows for equivalent voltage definition, but in real microwave applications, the circuit and signal specifications are given in terms of signal power, the fields along the structure varying strongly depending on the particular geometry of the device. In order to translate between both definitions, one may isolate the critical part of the circuit in which multipactor is expected to occur and compute the voltage at , , by means of network theory (for simple structures) or by numerical integration of the electric field along the gap employing full-wave field solvers such as [10], [12], and [27]. Therefore, the voltage at the gap , given an input power , is given by (6) Of course, this is an approximation that assumes that the electric field is homogeneous along the gap, which does not occur for most practical situations. However, this is the worst case and it is still a valid and commonly used approximation for a wide range of waveguide and coaxial structures [6], [9], [18], [28].

are the average impact rate and defined as (8)

and (9) respectively. Here, and are the instantaneous values of the multipactor order and the SEY of discharge of time-varying amplitude at time . The approximation given by (7) is valid in the limit of small , i.e., . By reordering some terms and setting the limit , it is possible to express (7) in a differential equation form as (10) and , for In order to solve (10), one needs to know which there is not analytical direct expression, and can be obtained only by solving a system of Volterra integral equations for the multicarrier case, as shown in [15]. At this point, the QS model assumes that the multicarrier envelope, , varies slowly enough to consider that, at a certain time , the multicarrier discharge is equivalent to a single-carrier one with operation frequency and fixed amplitude

ANZA et al.: MULTIPACTOR BREAKDOWN FOR MULTICARRIER APPLICATIONS

Fig. 3. Fitting of multipactor order. Frequency GHz. V( around 361 V). The result is silver plating, and and .

2097

mm,

. This allows for using the single-carrier theory, considerably reducing the number of parameters of the problem. A reasonable limit for the validity of this assumption can be given by , where is the nominal multipactor order at breakdown level and is the maximum frequency component of the envelope spectrum, whose inverse sets the minimum variation period. The above limit imposes that at least a number of RF cycles (equivalent to two electron impacts) are contained in such a period. The QS assumption allows the following approximations. First, according to [19], the instantaneous SEY of a single-carrier discharge is stable in time for every amplitude, , with a value of . Therefore, can be approximated by

Fig. 4. Multipactor map for

for ECSS silver [6].

Fig. 5. Multipactor map for

for ECSS silver [6].

Fig. 6. Multipactor map for

for ECSS silver[6].

(11) On the other hand, the value of the instantaneous multipactor order of a single-carrier discharge is stable for voltages above the breakdown level, but not for voltages below breakdown since the multipactor order diverges, increasing indefinitely in time [19]. Since an analytical expression is necessary to derive the rest of the theory, in this work we propose a simple parabolic shape approximation of the form (12) which provides a reasonable resemblance with the observed beand can be obtained straighthavior. The values of forwardly by numerical fitting of the curve for each value of . The term is the time elapsed since the beginning of a particular “off” interval. For an arbitrary multipactor envelope with “off” intervals where is the starting time, and is the ending time for each of them , is defined as (13) The expression given by (12) is also valid for the region above breakdown (“on” interval), setting . Fig. 3 shows an example of such fitting process. Figs. 4–6 show detailed maps of the , , and parameters, respectively, for ECSS silver [6], and computed with the theory of [19].

By using the QS approximations (11), (12) and (13), (10) then becomes (14) Note that is a function of . The first-order ordinary differential equation given by (14) can be solved numerically with any standard method such as the Runge–Kutta method. Its solution provides the electron temporal evolution for a timevarying amplitude . Finally, the criterion of multipactor is based on a long-term discharge where the electron multiplication , for an envelope of period , must be greater than unity (15)

2098

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig.

Fig. 7. QS model prediction flowchart.

Fig. 8. Curve of SEY versus envelope amplitude for

The QS model can compute envelope.

9. Curve of and GHz mm.

versus

envelope

amplitude

for

GHz mm.

for any arbitrary multicarrier

B. Procedure for Threshold Determination A specific example has been chosen to illustrate the procedure for the threshold determination using the QS model. The example is based on a six-carrier signal centered at 3.82 GHz with a frequency spacing of MHz and a gap of mm. The SEY parameters of Vaughan’s model [9] have been chosen as eV, eV, , and for the first cross-over energy, energy of maximum SEY, maximum SEY, and SEY for low electron impact energies, respectively. Fig. 7 shows a diagram with the workflow of this procedure. For the specific mean frequency of the multicarrier signal and sample gap size of the problem, of operation is derived, for which the SEY, and curves, versus signal amplitude are obtained. These curves can be interpolated from precomputed single-carrier maps for the specific SEY parameters of the sample (such as those of Figs. 4–6) or can be ad hoc computed using the single-carrier nonstationary theory. Figs. 8 and 9 show the and curves for this example. See that above the breakdown level (located at 371 V). With this input data, the QS model is able to approximate the electron growth for any phase distribution and amplitude of the signal carriers. For example, in Fig. 10, the electron growth for a triangular phase scheme and three different values of the amplitude per carrier (equal for all carriers) is shown. These curves are computed with (14) and it is clear that there is electron accumulation , and therefore multipactor discharge, for

Fig. 10. Electron growth (bottom) according to QS model for different amplitude envelopes of a multicarrier signal (top). The single-carrier threshold is marked with a horizontal dotted line.

V, no discharge for V. The breakdown limit is obtained for V. The QS prediction technique uses a global optimizer to search the combination of phases and amplitudes in order to minimize a goal function. Such a goal function must ensure that its minimum corresponds to the worst case. In this example, the goal function is (16) where equal amplitude of carriers, , has been assumed (this method would be also valid for unequal amplitudes). is given by (15), and (17) defines a constraint on the solution, penalizing regions where there is no multipactor . No universal values can be provided for the weight , which can be tuned to speed up the optimizer or to improve the accuracy of the solution. The solution of the optimizer (minimizing ) is already the worst case for this signal, consisting of the combination of phases that ensures a multipactor discharge for the lowest carrier amplitude. However, keep in mind that the QS model is able to compute other breakdown levels than the lowest one, for any phase and amplitude distribution.

ANZA et al.: MULTIPACTOR BREAKDOWN FOR MULTICARRIER APPLICATIONS

2099

TABLE I -BAND FREQUENCY SCHEME

TABLE II -BAND TESTS SAMPLES FOR

IV. TESTING The objective of the test campaign is to provide meaningful data for different types of situations, such as different gap sizes, number of carriers, and carrier phasing, with the aim of demonstrating the correct behavior of the new prediction tool. In order to do so, specific hardware has been manufactured. A. Frequency Plans and Tests The frequency plan has been chosen to be in the -band. In order to use different frequency schemes, four different signals have been tested: six carriers and eight carriers with contiguous and noncontiguous schemes. A 15-channel -band manifold output multiplexer has been selected for this purpose. The frequency plan of this multiplexer is given in Table I. Eight power amplifiers were available, providing an equivalent peak power level of about 19 000 W. B. Sample Design A total number of seven -band waveguide samples have been designed and manufactured with WR 75 interfaces (see Table II). The gaps of the samples have been selected in order to guarantee that the multipactor mode order is relatively low, and that

Fig. 11. Sample structure and electric field distribution for the three types of samples. (from left to right) Transformer: 0.14-mm gap, low-pass filter: 0.14-mm gap, bandpass filter: 1.31-mm gap. Reference grid lines separated 10 mm are included for dimensional reference. The magnitude of the maximum electric field is represented. Fields have been computed with FEST3D [10].

no change of mode order will occur over the bandwidth of the sample. The samples have been designed to be as simple as possible, and to avoid effects that may lead to a distortion of the results (e.g., no screws have been used for filter tuning and fringing field effects have been minimized). Three different kinds of samples have been selected: • Waveguide with reduced height and transformers on both ends (TF). • Corrugated low-pass filter (LP). • Narrowband bandpass filter with inductive irises and reduced height (BP). All samples have been built up in a two-shell configuration. The units are provided with silver-plated surfaces. Fig. 11 shows the structure and electric field distribution for one example of each of the three types of samples (other samples with different gap size are very similar). Fields have been computed with FEST3D [10]. C. Description of the Test Bed The schematic of the test setup is shown in Fig. 12. The tests have been performed at ambient temperature C . The chamber pressure was below 1 mPa before starting the multipactor tests. For the multicarrier test signal generation, the power of 6–8 amplified channels have been combined with a multiplexer. For a steady multicarrier signal, the phase relation between the single channels has been monitored and adjusted by a phase control unit (computer-controlled unit). Different techniques have been used to detect the occurrence of multipaction, i.e.: 1) input reflection nulling; 2) near band noise; 3) fast diode detector for near band noise; 4) third harmonic; and 5) fast diode detector for third harmonic. An electron gun and a remote-controlled radioactive source have been used to provide a sufficient amount of free electrons to start the multipacting discharge. A photograph of the vaccum chamber and the test setup for a -band sample is shown in Fig. 13.

2100

Fig. 12. Schematic for

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

-band test setup.

TABLE III -BAND SAMPLES. THE FIGURES , SEY PARAMETERS FOR THE , AND STAND FOR THE FIRST CROSS-OVER ENERGY, THE ENERGY FOR MAXIMUM SEY, THE MAXIMUM SEY, AND THE SEY FOR LOW ELECTRON IMPACT ENERGIES, RESPECTIVELY

,

Fig. 13. -band test setup: Gap sample (a), radioactive source (b), electron gun (c), and coupler for detection of the phase and envelope (d) installed inside the thermal vacuum chamber.

D. SEY Measurements In order to properly characterize the coating material and obtain good multipactor predictions, it was also necessary to measure the SEY of the different devices. Since the dimensions of the devices were too large to fit inside the vacuum chamber of the SEY test, the measurements were done for silver-plated aluminium alloy samples of 50 20 1 mm, which were plated in the same bath used with each of the -band manufactured devices. The measured SEY parameters are given in Table III. The SEY measurement is done over a spot of only 2-mm diameter and two measurements have been done for each sample. The manufacturing and plating process is identical for all of them, but significant differences can be appreciated. This is probably due to inhomogeneities in the surface, which causes local SEY variations, thus implying a relative dependence of the results

Fig. 14. Comparison between measurements and Vaughan model for transformer of 0.42-mm gap sample.

on the measurement point. Fig. 14 shows as an example the SEY curve measured for one of the samples compared with the Vaughan model used in this work. V. SIMULATION SOFTWARE The 20GCR and QS prediction techniques described in Sections II-B and III, respectively, have been used to calcu-

ANZA et al.: MULTIPACTOR BREAKDOWN FOR MULTICARRIER APPLICATIONS

late the worst cases of the samples detailed in Section IV-B. The procedure for the threshold determination described in Section III-B has been followed. No extra margins have been applied to any of the prediction methods. The differential evolution algorithm [26] has been employed for the QS optimization and for the 20GCR signal phase conforming. All the worst case signals, predicted by the QS method, have been simulated with FEST3D [10], a software for full-wave EM analysis and design of passive microwave circuits in waveguide technology, which also includes an RF high-power module for multipactor analysis under multicarrier operation.

2101

TABLE IV -BAND SAMPLES. WORST CASE PREDICTION FOR BREAKDOWN LEVELS ARE GIVEN IN POWER PER CARRIER

VI. PREDICTIONS AND TEST RESULTS A. Error Definition In our case, the output of the prediction, for a certain multicarrier signal, is the couple consisting of phase distribution and power per carrier. The purpose of the prediction is to find the worst case, i.e., the combination of phases with the lowest breakdown power. We define the following. • Local error: It is defined as the difference between the simulated breakdown power and the experimental one measured when applying the computed phase distribution. This error gives an idea of the accuracy of the predictor to correlate the carrier phases and the breakdown power. • Global error: The global prediction error is defined as the difference between the simulated breakdown power and the lowest breakdown power of all the tests made with the available phase conditions. This error measures the capability of predicting the lowest breakdown power. A predictor that yields a low local error and a high global error means that it is good to predict the breakdown power of a particular phase distribution, but the optimization of the phases fails to find the lowest breakdown power. A low global error and high local error means that the predictor apparently is able to find the lowest breakdown power, but the worst case phase distribution does not correspond to the predicted one. This may happen for some specific cases, but it is unlikely that such a predictor is able to find the lowest breakdown power in a general case. Finally, a good predictor is one that keeps both errors low. B. Phase Configurations Three phase configurations have been used for each test, belonging to the following list. • In phase (IP): All phases are set to zero. • QS model (QS): The phases are optimized using the QS method of Section III-B. • 20GCR (20 g): The phases are optimized to comply with the 20GCR worst case phasing, following Section II-B. The IP phasing has been tested in all samples. In the case that any of the predictions (QS or 20GCR) were equal to the IP phasing, such phase configuration was changed to “free running” (FR) phases. In this situation, the local oscillators of the amplifiers are left unlocked to the common reference, and hence, their relative phases change randomly. According to the authors’ experience, this kind of test usually yields the

lowest breakdown power. Therefore, even if it does not give any information on the envelope or phases, it may be a good reference for computing the global error (see Section VI-B). Sometimes, not only one, but both prediction methods, QS and 20GCR, yielded a solution similar to the IP case. In this case, the two phase configurations were changed to an FR condition and a nonoptimum QS (QSn) prediction, i.e., another phase configuration, which is not the worst case, but for which the QS model can compute a breakdown prediction. This is useful in order to check the QS model prediction local error. C. RF Breakdown Prediction and Measurements Table IV shows the predicted worst cases for the two different analytical methods. Labels “c” and “n” stand for contiguous and noncontiguous frequency schemes. Breakdown levels are given in power per carrier. For the 20GCR prediction, a phase optimization has been run to make the envelope match with the desired number of gap crossings. The QS method has been used in order to find the phases that ensures a multipactor discharge with the minimum input power (worst case). The QS method does not employ the number of gap crossings in the optimization procedure. It directly gives the phase distribution. However, the number of gap crossings has been also computed and included in order to compare with the 20GCR. The predicted breakdown power may be better seen in Fig. 15, where the boundaries for the breakdown powers are also plotted according to the and rules, and , respectively, where is the number of carriers and is the single-carrier breakdown power determined by the

2102

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 15. Summary of breakdown threshold predictions and test results for -band samples. FEST3D simulations are labeled with the “Num” tag. Labels “c” and “n” stand for contiguous and noncontiguous frequency schemes. Breakdown levels are given in power per carrier. The minimum breakdown power of all tested phase configurations has been plotted with the label “Meas.” The maximum applied power for the cases where no multipactor has been observed has been also included with label “Meas .”

single-carrier nonstationary theory of [19]. FEST3D simulations are also included and labeled with the “Num” tag. As it can be seen, the predicted breakdown power levels computed by the 20GCR are significantly lower (around 3 dB) than the QS method, which is closer to the power curve. The number of gap crossings is shown in Fig. 16. Whereas the number of gap crossings is obviously fixed to 20 for the 20GCR, the QS method yields a number of gap crossings that is different for each particular sample and signal having a great variation between cases. This is because each sample has a different SEY curve and work at a different product, and it is also expected that a different number of gap crossings is needed to produce a discharge. The number of gap crossings predicted by the QS method start at a high value (100–200) for the 0.14-mm gap samples, and follow a decreasing trend as the gap increases, finishing below 20 for the largest gaps, i.e., for 0.64 and 1.31 mm. Please note that a number of gap crossings of 100–200 for some of the results corresponding to the 0.14-mm gap samples, is not as excessive as it may appear. The frequency spacing yields a period of the envelope of 26.11 and 13.05 ns for the contiguous and noncontiguous channel frequency schemes, respectively. For a center frequency of around 12 GHz, this implies a number of cycles of 627 and 313, in one period of the envelope, for each of the two frequency schemes. Therefore, the 0.14-mm

Fig. 16. Summary of the number of gap crossings of predictions for samples.

-band

gap samples, working at a nominal multipactor order of , have a total of 627 and 313 gap crossings within a period of the envelope. In this case, a number of gap crossings of 100–200 implies only a of around a 16%–32% and 32%–64% of the total period , respectively, for the two frequency schemes. Contrarily, 20 gap crossings yield a of only 3%–6% of the total envelope (approximately), which does, in fact, seem unrealistically low. On the other hand, the 0.64- and 1.31-mm gap samples work at a nominal multipactor order of 5 and 7, respectively. In this case, a number of gap crossings of ten, for instance, implies around a 8%–22% of the total envelope. Table V and Fig. 15 show the experimental results for some of the samples and frequency schemes of the project. Breakdown levels are given in power per carrier. Two measurements have been carried out for every phase condition. Numerical results computed with FEST3D (marked as F3 in the table) have been also included. There is missing data for some of the samples, either because they have not been tested yet or because no multipactor has been detected up to the maximum available power (around 300 W per channel) in the set up (marked as “ND” in the table). More testing is envisaged in the future in order to have more comparison data. In Fig. 15, the minimum breakdown power of all tested phase configurations has been plotted with label “Meas” (corresponding to the definition of global error of Section VI-A). The maximum applied power for the cases where no multipactor has been observed has been also included with label “Meas .” It is worth noting that the experimental results are much closer to the QS predictions and to the FEST3D calculations

ANZA et al.: MULTIPACTOR BREAKDOWN FOR MULTICARRIER APPLICATIONS

TABLE V -BAND SAMPLES. EXPERIMENTAL RESULTS FOR THE BREAKDOWN LEVELS ARE GIVEN IN POWER PER CARRIER

2103

TABLE VI AVERAGE PREDICTION ERROR FOR THE PREDICTION METHODS ON THE -BAND SAMPLES

fact, this may also be the reason why, in the laboratory, some of the samples did not exhibit a multipactor discharge up to the maximum available power, even if the bound lay below such maximum power. D. Analysis of Results The average prediction error of all samples is presented in Table VI. The local error of the FEST3D numerical tool is also included for comparison. The analysis of the errors indicates that the 20GCR shows a considerable higher local and global error with respect to the QS method. The latter shows very good figures for both of them. This indicates that the QS method shows the best prediction performance, and again, that the 20GCR is very conservative (more than 4 dB). The local error of the FEST3D simulations show similar values than for the QS method. This is because the designed samples are waveguides with long irises in order to resemble the parallel-plate case as much as possible. With other more complicated geometries involving fringing field effects, numerical full-wave EM solvers such as FEST3D are expected to give more realistic results. VII. CONCLUSIONS

than to the 20GCR predictions. The latter are in all cases much lower than the experimental results (around 3 dB). As stated before, no extra margins have been applied to the predictions. This reveals that, in fact, the 20GCR seems to be very conservative, at least in the cases tested in this work. Especially for large gaps, some FEST3D simulations yield a prediction above the theoretical rule bound. This, in principle, may seem unrealistic, but remember that both the and bounds are computed assuming parallel plates, which is indeed the worst case. In real structures, the effect of the nonhomogeneous fields, finite geometry, and high-order modes contribute to increase the breakdown power with respect to the parallel-plate approximation [29]. FEST3D takes into account all the previous effects, and that is the reason why some of the predictions for large gaps lie above the theoretical upper bound. In

It is clear from the experimental results that the new QS prediction technique offers better predictions than the 20GCR. This fact was expected since the former uses a more sophisticated theoretical background based on multipactor physics. Moreover, for the prediction, the QS method considers the frequency scheme and the SEY curve of the coating material for each specific case, offering more accuracy and versatility with respect to the 20GCR. Besides being more precise, the predicted breakdown power levels of the QS technique are significantly higher than the ones provided by the 20GCR. This is an important factor for the industry because this would allow increasing the operating power of the devices, thus reducing the designs constraints and increasing the margins. Finally, the QS method may use precalculated multipactor maps in order to predict the worst case without having to implement any multipactor theory or use any multipactor numerical software. ACKNOWLEDGMENT The authors would like to thank I. Montero, Consejo Superior de Investigaciones Cientificas (CSIC), Madrid, Spain, and L. Galan, Universidad Autonoma de Madrid (UAM), Madrid, Spain, for conducting the SEY measurements reported in Section IV-D.

2104

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

REFERENCES [1] E. W. B. Gill and A. von Engel, “Starting potentials of high-frequency gas discharges at low pressure,” Proc. R. Soc. Lond. A, Math. Phys. Sci., vol. 192, no. 1030, pp. 446–463, Feb. 1948. [2] A. Hatch and H. Williams, “The secondary electron resonance mechanism of low-pressure high-frequency gas breakdown,” J. Appl. Phys., vol. 25, no. 4, pp. 417–423, Apr. 1954. [3] J. Vaughan, “Multipactor,” IEEE Trans. Electron Devices, vol. 35, no. 7, pp. 1172–1180, Jul. 1988. [4] P. Farnsworth, “Television by electron image scanning,” J. Franklin Inst., vol. 218, no. 4, pp. 411–444, Oct. 1934. [5] E. J. Sternglass, “Theory of secondary electron emission by high-speed ions,” Phys. Rev., vol. 108, no. 1, pp. 1–12, Oct. 1957. [6] Space Engineering: Multipacting Design and Test. Noordwijk, The Netherlands: ESA, May 2003, vol. ECSS-20-01A. [7] R. J. Cameron, R. Mansour, and C. M. Kudsia, Microwave Filters for Communication Systems: Fundamentals, Design and Applications. New York: Wiley, 2007. [8] R. Geng, P. Goudket, R. Carter, S. Belomestnykh, H. Padamsee, and D. Dykes, “Dynamical aspects of multipacting induced discharge in a rectangular waveguide,” Nucl. Instrum. Methods Phys. Res. A, Accel., Spectrom., Detect. Assoc. Equip., vol. 538, no. 1–3, pp. 189–205, 2005. [9] C. Vicente, M. Mattes, D. Wolk, H. L. Hartnagel, J. R. Mosig, and D. Raboso, “FEST3D: A simulation tool for multipactor prediction,” in Proc. 5th Int. ESTEC Multipactor, RF, DC Corona, Passive Intermodulation in Space RF Hardware Workshop, Noordwijk, The Netherlands, Sep. 12–14, 2005, pp. 11–17. [10] FEST3D Full-Wave Electromagnetic Simulation Tool. Univ. Politec. Valencia, Valencia, Spain, 2012. [Online]. Available: http://www.fest3d.com [11] SPARK3D Multi-Format High Power Simulation Tool. Univ. Politec. Valencia, Valencia, Spain, 2012. [Online]. Available: http://www.fest3d.com [12] CST. Computer Simulation Technology (CST ) Center, Framingham, MA, 2012. [Online]. Available: http://www.cst.de [13] K. H. Geisser and D. Wolk, “Multipactor testing of multiplexer and waveguide components exposed to multiple carrier loading,” in 25th Eur. Microw. Conf., Sep. 4, 1995, vol. 1, pp. 194–198. [14] N. Rozario, H. F. Lenzing, F. Reardon, M. S. Zarro, and C. G. Baran, -band and -band antenna “Investigation of Telstar 4 spacecraft components for multipactor breakdown,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 4, pp. 558–564, Apr. 1994. [15] S. Anza, M. Mattes, C. Vicente, J. Gil, D. Raboso, V. E. Boria, and B. Gimeno, “Multipactor theory for multicarrier signals,” Phys. Plasmas, vol. 18, no. 3, p. 032105, 2011. [16] S. Anza, C. Vicente, D. Raboso, J. Gil, B. Gimeno, and V. E. Boria, “Enhanced prediction of multipaction breakdown in passive waveguide components including space charge effects,” in IEEE MTT-S Int. Microw. Symp. Dig., Atlanta, GA, Jun. 2008, pp. 1095–1098. [17] ECSS, Multipactor Tool. Aurora Softw. Testing, Valencia, Spain, 2012. [Online]. Available: http://www.aurorasat.es/multipactortool.php [18] A. Woode and J. Petit, “Diagnostic investigations into the multipactor effect, susceptibility zone measurements and parameters affecting a discharge,” ESTEC , Noordwijk, The Netherlands, Working Paper 1556, Nov. 1989. [19] S. Anza, C. Vicente, J. Gil, V. E. Boria, B. Gimeno, and D. Raboso, “Nonstationary statistical theory for multipactor,” Phys. Plasmas, vol. 17, no. 6, Jun. 2010, Art. ID 062110. [20] A. G. Sazontov, V. A. Sazontov, and N. K. Vdovicheva, “Multipactor breakdown prediction in a rectangular waveguide: Statistical theory and simulation results,” Contrib. Plasma Phys., vol. 48, no. 4, pp. 331–346, May 2008. [21] D. Wolk, D. Schmitt, and T. Schlipf, “A novel approach for calculating the multipaction threshold in multicarrier operation,” in Proc. 3rd Int. ESTEC Multipactor, RF, DC Corona, Passive Intermodulation in Space RF Hardware Workshop, Noordwijk, The Netherlands, Sep. 4–6, 2000, pp. 85–91. [22] J.-C. Angevain, L. Drioli, P. Delgado, and C. Mangenot, “A boundary function for multicarrier multipaction analysis,” in 3rd Eur. Antennas Propag. Conf., Mar. 2009, pp. 2158–2161. [23] S. Anza, C. Vicente, B. Gimeno, V. E. Boria, and J. Armendariz, “Long-term multipactor discharge in multicarrier systems,” Phys. Plasmas, vol. 14, no. 8, pp. 082112-1–082112-8, Aug. 2007.

[24] S. Anza, M. Mattes, J. Armendariz, J. Gil, C. Vicente, B. Gimeno, V. Boria, and D. Raboso, “RF breakdown prediction for microwave passive components in multi-carrier operation,” in Ultra-Wideband, Short Pulse Electromagnetics 9, F. Sabath, D. Giri, F. Rachidi, and A. Kaelin, Eds. New York: Springer, 2010, pp. 375–381. [25] S. Kirkpatrick, C. D. Gelatt, and M. P. Vecchi, “Optimization by simulated annealing,” Science, vol. 220, no. 4598, pp. 671–680, 1983. [26] K. Price, R. M. Storn, and J. A. Lampinen, Differential Evolution: A Practical Approach to Global Optimization. Berlin, Germany: Springer, 2005. [27] ANSYS HFSS. ANSYS Inc., Canonsburg, PA, 2012. [Online]. Available: http://www.ansys.com [28] K. S. Parikh, D. K. Singh, A. P. Kumar, M. S. Rusia, and M. K. Sangeetha, “Multi-carrier multipactor analysis of high power antenna Tx–Tx diplexer for satcom applications,” in Proc. 4th Int. ESTEC Multipactor, RF, DC Corona, Passive Intermodulation in Space RF Hardware Workshop, Noordwijk, The Netherlands, Sep. 8–11, 2003, pp. 435–441. [29] V. E. Semenov, E. I. Rakova, D. Anderson, M. Lisak, and J. Puech, “Multipactor in rectangular waveguides,” Phys. Plasmas, vol. 14, no. 3, 2007, Art. ID 033501.

Sergio Anza was born in Madrid, Spain, in 1978. He received the B.S. degree in telecommunications engineering from the Universidad Politécnica de Valencia, Valencia, Spain, in 2002, the M.S degree in aerospace science and technology from the Universitat Politecnica de Catalunya, Barcelona, Spain, in 2006, and is currently working toward the Ph.D. degree in the communications at the Universidad Politécnica de Valencia. From 2003 to 2006, he was with the Consejo Superior de Investigaciones Cientificas (CSIC), Spanish Ministry of Science. Since 2007, he has been with Aurora Software and Testing S.L., Universidad Politécnica de Valencia. His current research interests include the areas of theory and numerical techniques for the modeling and prediction of nonlinear phenomena in RF high-power devices for space applications, with a special emphasis on the study of the multipactor effect appearing in power microwave subsystems.

Carlos Vicente (M’08) was born in Elche, Spain, in 1976. He received the Dipl. degree in physics from the Universidad de Valencia, Valencia, Spain, in 1999, and the Dr.-Ing degree in engineering from the Technical University of Darmstadt, Darmstadt, Germany, in 2005. From 1999 to the beginning of 2001, he was a Research Assistant with the Department of Theoretical Physics, Universidad de Valencia. From 2001 to 2005, he was a Professor Assistant with the Institute of Microwave Engineering, Technical University of Darmstadt. Since 2005, he has been with the Microwave Applications Group, Universidad Politécnica de Valencia, Valencia, Spain. In 2006, he cofounded Aurora Software and Testing S.L., Universidad Politécnica de Valencia, which is devoted to the telecommunications sector. He is currently the Technical Director of the company. His research concerns the analysis and design of passive components for communications satellites with a special emphasis on high-power practical aspects such as passive intermodulation, corona discharge, and multipaction.

Jordi Gil (M’08) was born in Valencia, Spain, in 1977. He received the Licenciado degree in physics from the Universidad de Valencia, Valencia, Spain, in 2000, and the Ph.D. degree in telecommunications engineering from the Universidad Politécnica de Valencia, Valencia, Spain, in 2010. From 2001 to 2004, he was Researcher with the Aerospatiale Italian Company, Ingegneria Dei Sistemi-S.p.A., under the frame of the V European Framework Programme. From 2004 to 2006, he joined the Microwave Applications Group, Univer-

ANZA et al.: MULTIPACTOR BREAKDOWN FOR MULTICARRIER APPLICATIONS

sidad Politecnica de Valencia, under the frame of a European reintegration grant funded by the VI European Framework Programme. In 2006, he cofounded the company Aurora Software and Testing S.L., Universidad Politécnica de Valencia, which is devoted to the space sector. He is currently the Managing Director of the company, where he also continues his research activities. His current research interests include numerical methods in computer-aided techniques for the analysis of microwave and millimeter passive components based on waveguide technology, and nonlinear phenomena appearing in power microwave subsystems for space applications.

Michael Mattes (M’08) received the Diplom-Ingenieur degree from the University of Ulm, Ulm, Germany, in 1996, and the Ph.D. degree from the Ecole Polytechnique Federale de Lausanne (EPFL), Lausanne, Switzerland, in 2003. Following one year as a Research Fellow with the Department of Microwave Techniques, University of Ulm, in September 1997, he joined the Laboratory of Electromagnetism and Acoustics (LEMA), EPFL. He was responsible for the development and implementation of the Full-wave Electromagnetic Simulation Tool (FEST), version 3.0, within the framework of the European Space Agency (ESA) project Integrated computer-aided design (CAD) tool for waveguide components (ESA/European Space Research and Technology Centre (ESTEC) 12 465/97/NL/NB). He was and is involved in many European Union (EU), ESA, and Swiss research projects. His research interests include electromagnetic theory in a multidisciplinary framework, related numerical techniques, and microwave filters.

Dieter Wolk was born in Wilhelmshaven, Germany, in August 1948. He received the Dipl. Ing. degree in electrical engineering (RF technique) from the Technical University of Hannover, Hannover, Germany, in 1975. He then joined the Radio Link Division, AEG-Telefunken, Backnang, Germany, where he was involved in the development of solid-state power amplifiers [Gunn, IMPATT, field-effect transistors (FETs)]. Since 1979, he has been with the Space Communications System Division, AEG-Telefunken (now TESAT Spacecom GmbH & Co. KG), Backnang, Germany, where he is currently a Senior Engineer involved with the Passive RF Equipment Division and engaged in research and development of advanced filters, multiplexers, and passive components for space application. His special research interest includes nonlinear effects in passive high-power devices (multipactor, corona, and passive intermodulation).

Ulrich Wochner was born in Balingen, Baden–Württember, Germany, in 1968. He received the Diplom-Physicist degree from Eberhard Karls University Tübingen, Tübingen, Germany, in 1997. In 2001, he joined TESAT Spacecom GmbH & Co. KG, Backnang, Germany, as an RF Engineer with the Tesat Test Facility, where he was focused on highpower testing. Since 2004, he has been responsible for the RF—Components at the RF-Engineer Development Department, TESAT Spacecom GmbH & Co. KG.

2105

Vicente E. Boria (S’91–A’99–SM’02) was born in Valencia, Spain, on May 18, 1970. He received the Ingeniero de Telecomunicacin degree (with first-class honors) and Doctor Ingeniero de Telecomunicacion degree from the Universidad Politécnica de Valencia, Valencia, Spain, in 1993 and 1997, respectively. In 1993, he joined the Departamento de Comunicaciones, Universidad Politécnica de Valencia, where he has been Full Professor since 2003. In 1995 and 1996, he held a Spanish Trainee position with the European Space Research and Technology Centre, European Space Agency (ESTEC–ESA), Noordwijk, The Netherlands, where he was involved in the area of electromagnetic (EM) analysis and design of passive waveguide devices. He has authored or coauthored seven chapters in technical textbooks, 75 papers in refereed international technical journals, and over 150 papers in international conference proceedings. He is a member of the Editorial Board of the Proceeding of the IET (Microwaves, Antennas and Propagation), IET Electronics Letters, and Radio Science. His current research interests are focused on the analysis and automated design of passive components, left-handed and periodic structures, as well as on the simulation and measurement of power effects in passive waveguide systems. Dr. Boria has been a member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) and the IEEE Antennas and Propagation Society (IEEE AP-S) since 1992. He is member of the Editorial Boards of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. He is also a member of the Technical Committees of the IEEE MTT-S International Microwave Symposium (IMS) and the European Microwave Conference.

Benito Gimeno (M’01) was born in Valencia, Spain, on January 29, 1964. He received the Licenciado degree in physics and Ph.D. degree from the Universidad de Valencia, Valencia, Spain, in 1987 and 1992, respectively. From 1987 to 1990, he was a Fellow with the Universidad de Valencia. Since 1990, he has been an Assistant Professor with the Departamento de Física Aplicada y Electromagnetismo and Instituto de Ciencia de Materiales (ICMUV), Universidad de Valencia, where he became an Associate Professor in 1997 and Full Professor in 2010. During 1994 and 1995, he was with the European Space Agency/European Space Research and Technology Centre (ESA/ESTEC), as a Research Fellow. In 2003, he worked under a fellowship from the Spanish Government for a short stay with the Universita degli Studi di Pavia, Pavia, Italy, as a Visiting Scientific. His current research interests include the areas of computer-aided techniques for analysis of microwave and millimeter-wave passive components for space applications, waveguides and cavities structures including dielectric objects, electromagnetic-bandgap structures, frequency-selective surfaces, and nonlinear phenomena appearing in power microwave subsystems and particle accelerators (multipactor effect, corona effect, and passive intermodulation phenomena).

David Raboso was born in Alcazar de San Juan, Spain, in 1967. He received the Masters degree in physics from the University Autonoma of Madrid, Madrid, Spain, in 1992, and the Masters degree in space engineering from the University of Delft, Delft, The Netherlands, in 2001. In 1992, he joined the European Space Agency (ESA), where he was involved in the field of RF breakdown and passive intermodulation. He is currently Chairman of the European Networks and ECSS Working Groups in multipactor effect, Corona, RF high power, and PIM. He is also responsible for the research and development and testing services area in RF breakdown with ESA. Since 2010, he has been the Manager of the European High Power RF Laboratory, Valencia, Spain. Mr. Raboso has participated in the organization of every MULCOPIM Workshop since 1993, and in 2003, he became organizer chairman of the event.

2106

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Application of Stepped-Impedance Technique for Bandwidth Control of Dual-Band Filters Jungje Ha, Seungku Lee, Student Member, IEEE, Byung-Wook Min, Member, IEEE, and Yongshik Lee, Senior Member, IEEE

Abstract—This paper demonstrates application of the popular stepped-impedance technique to control the bandwidths of dual-band filters independently. Unlike previous dual-band stepped-impedance filters, the role of the stepped-impedance technique is to control the bandwidths of the two bands rather than to provide dual-band performance. The exact relationship between stepped-impedance ratios and the ratio of the two absolute bandwidths is obtained through rigorous analysis. Moreover, since the proposed filters can be synthesized with popular transmission lines and coupled lines that are very-well characterized, time-consuming full-wave simulation can be minimized. Along with the complete set of design equations, experimental results for transmission-line and coupled-line dual-band filters are provided that verify the proposed approach. Index Terms—Bandpass filter, bandwidth, bandwidth control, coupled line, dual-band filter, stepped impedance, transmission line.

I. INTRODUCTION

S

INCE ITS first introduction in [1], the stepped-impedance technique has been a popular method to achieve size reduction of planar circuits [2]–[4], dual-band operation [5]–[11], or improvement of stopband response for filters [11]–[15]. Interestingly, it has been observed that stepped-impedance ratios have an effect on the relationship between the two bandwidths of a dual-band circuit, [5], [16]–[19]. However, little work has been reported that rigorously analyze the relationship between the stepped-impedance ratios and the two bandwidths of a dualband circuit. This paper demonstrates application of the relatively simple stepped-impedance technique to control the bandwidths of dualband filters independently. Since the technique is applied to filters that already have dual-band performance [20], [21], its role is in controlling the two bandwidths independently rather than Manuscript received November 04, 2011; revised February 15, 2012; accepted February 21, 2012. Date of publication May 08, 2012; date of current version June 26, 2012. This work was supported by the Korean Government Ministry of Education, Science and Technology (MEST) under the National Research Foundation of Korea (NRF) Grant 2011-0016802, and by the Korea Communications Commission (KCC), Korea, under the Research and Development Program supervised by the Korea Communications Agency (KCA) (KCA2012-12-911-04-004). J. Ha, B.-W. Min, and Y. Lee are with the Department of Electrical and Electronic Engineering, Yonsei University, Seoul 120-749, Korea (e-mail: [email protected]). S. Lee is with the Department of Electrical Engineering and Computer Science, The University of Michigan at Ann Arbor, Ann Arbor, MI 48109 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2193412

Fig. 1. Basic elements of proposed filters (upper) and their equivalent circuits (lower). (a) Transmission-line filter. (b) Coupled-line filter.

in achieving dual-band operation. The technique in our work is applied to all parts of a filter structure, therefore allowing a much larger range of bandwidth control than previous filters for which the stepped-impedance technique is applied to stubs only [17], [18]. Moreover, the simple structure makes circuit simulation provide very accurate results. This is a great advantage especially over the filters that utilize new coupling structures [7]–[11], [22]–[24] since iterative full-wave simulation is not required as much. Finally, and most importantly, bandwidth control is easy: simply by changing the stepped-impedance ratios, the two bandwidths can be controlled independently, with the exact relationship derived in this work. Various design examples verify the proposed approach experimentally. II. DUAL-BAND CONDITIONS FOR STEPPED-IMPEDANCE INVERTER AND RESONATOR Fig. 1 shows the basic elements of the two types of filters demonstrated in this paper, along with their equivalent circuits based on -inverters and resonators. The popular stepped-impedance technique is applied to the basic elements of conventional dual-band filter structures based on transmission lines [20] or coupled lines [21], both of which are not capable of having different bandwidths. When all lines are uniform, the conditions

0018-9480/$31.00 © 2012 IEEE

HA et al.: APPLICATION OF STEPPED-IMPEDANCE TECHNIQUE FOR BANDWIDTH CONTROL OF DUAL-BAND FILTERS

at guarantee dual-band performance at and for both structures [2], [3]. Applying the stepped-impedance technique alters these conditions for dual-band operation, which is derived in this section. For mathematical convenience, the electrical lengths in Fig. 1 are assumed to be and . The two grounded shunt stubs in Fig. 1(a) are also assumed to have the same stepped-impedance ratios as the transmission-line section, i.e., . Now the stepped-impedance ratios (1a) (1b)

at

of the resonator The -inverter value and the susceptance , obtained from the even- and odd-mode analysis, are

dual-band performance at and , regardless of characteristic admittances. At this point, the remaining design parameters are characteristic admittances, which are determined by the specification of the filter as discussed in Section III. III. DESIGN METHOD By cascading the basic element in Fig. 1, a dual-band filter can be developed. Assuming that stepped-impedance ratios ( and ) are predetermined, the design procedure is as follows. First, a conventional single-band filter centered at is designed as in Fig. 2(a) [25] or Fig. 3(a) [26]. The filter is then transformed to a dual-band filter in Fig. 2(b) or Fig. 3(b), by equating the -inverter value of each section while the susceptance slope parameter maintains a certain ratio before and after the transformation at (7a) (7b)

(2) (3) where

2107

where the subscript and denote proposed dual band and conventional single band, respectively. In (7b), is the ratio of the slope parameters before and after transformation, which can be chosen arbitrarily. This leads to the following relationship between the fractional bandwidths of the two filters before and after the transformation [27]: (8) where

and (4a) (4b) for the structure in Fig. 1(a) and (5a) (5b) for the structure in Fig. 1(b). , the -inverter values For dual-band operation at and and the susceptance must satisfy the following conditions:

is the fractional bandwidth. Equation (8) indicates that is also the ratio of fractional bandwidths at before and after the transformation. For instance, when is chosen, a conventional single-band filter with will be transformed into a dual-band stepped-impedance filter with . Meanwhile, can be chosen so that the design parameters of the dual-band filter are at practical levels [27]. The complete design equation sets of both types of dual-band filters are derived in Sections III-A and III-B. As will be seen, a major difference between the two filters is that for an th-order filter, sections are required for a transmission-line filter, while sections are required for its coupled-line counterpart. Thus, for the same filter specification, transmission-line filters are generally more compact. Another major difference is in their spurious responses. Although the two filters have ideally the same performance, the different even- and odd-mode phase velocities of practical coupled lines lead to a different out-of-band response. A. Dual-Band Stepped-Impedance Transmission-Line Filter

(6a) and

(6b)

First, the electrical length is determined by solving (6a) numerically for given impedance ratios ( and ). Then can be calculated by solving (6b) numerically. These electrical lengths guarantee the basic filter structures in Fig. 1 to show

The proposed filter structure in Fig. 1(a) can be cascaded to develop a bandpass filter shown in Fig. 2(b). The -inverter value for each section of proposed filter can be calculated by (2), while the susceptance slope parameter can be calculated as (9)

2108

Fig. 2. Schematics of: (a) conventional single-band filter based on impedance transmission lines.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

transmission lines with grounded stubs and (b) proposed dual-band filter based on stepped-

From (4), (6b), and (10), the following complete design equation set can be derived for an th-order dual-band filter in Fig. 2(b):

where

(12a) (12b) and

(12c) (13a) (13b) (13c) (14a) (14b) (14c) where perscript/subscript

unless otherwise specified. The suis either (grounded) or (open ended).

B. Dual-Band Stepped-Impedance Coupled-Line Filter and are the electrical lengths that satisfy the dual-band conditions in (6). From (7), the following are obtained: (10a) (10b)

In a very similar way, the dual-band coupled-line filter in Fig. 3(b) can be developed. The -inverter value and the susceptance slope parameter of each section are the same as those in (2) and (9). From (7), and can be calculated as (10), where the -inverter values and slope parameters of each sections of the conventional single-band filter in Fig. 3(a) are (15a)

where the -inverter values and slope parameters of each section of the conventional single-band filter in Fig. 2(a) are (11a) (11b) In (11),

(15b) and of the even- and odd-mode admittances are the th coupled-line section for the structure in Fig. 3(a), respectively. From (5), (6b), and (10), the following complete design equation set can be derived for an th-order dual-band filter in Fig. 3(b): (16a)

and of the characteristic admittances are the th transmission-line section and shunt stub, respectively, for the filter in Fig. 2(a).

(16b) (16c)

HA et al.: APPLICATION OF STEPPED-IMPEDANCE TECHNIQUE FOR BANDWIDTH CONTROL OF DUAL-BAND FILTERS

Fig. 3. Schematics of: (a) conventional single-band filter based on coupled lines.

2109

grounded coupled lines and (b) proposed dual-band filter based on stepped-impedance

(17a) (17b) (17c) (18a) (18b) (18c) where

unless otherwise specified. IV. BANDWIDTH CONTROL

The proposed dual-band stepped-impedance filters have the same -inverter values at the two center frequencies and , i.e., , where and . However, the slope parameters at the two center frequencies, as seen in (9), are not the same. With , the following relationship between the fractional bandwidths and the slope parameters can be derived: (19) This indicates that the ratio of the two absolute bandwidths is inversely proportional to the ratio of the two slope parameters normalized by the center frequencies, i.e., (20) This can be simplified further as (21) is given in (9). Although the slope parameter may where be different for each section, the ratio of the slope parameters at the two center frequencies is maintained. Hence, the ratio of the two bandwidths can be tuned simply by changing the stepped-impedance ratios and/or . This relatively simple fashion of bandwidth control is a great advantage of the proposed filter. Finally, the relationship in (21) applies to

Fig. 4. Absolute bandwidth ratio with respect to stepped-impedance , (b) , and (c) . ratios: (a)

filters of any type with any number of stages, regardless of the bandwidth of the first passband. Fig. 4 shows calculated with respect to and , for and . The results indicate that with and , the second bandwidth can be designed to be larger than the first, i.e., . Inversely, the second bandwidth can be designed to be smaller than the first by choosing and . When and , all sections become uniform, and the resulting is the filter in [20] or in [21] for which the second passband is simply a replica of the first passband .

2110

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 6. Bandwidth error with respect to center frequency ratio for 0.1-dB Chebyshev filters with 10% and 30% fractional bandwidths in first band.

Fig. 5. Ideal-circuit simulated transmission for proposed dual-band steppedimpedance transmission-line filter: Chebyshev filter with 0.1-dB ripple level with first center frequency at 2 GHz and second center frequency at: (a) 1.5 GHz , (b) 2.5 GHz , and (c) 3.5 GHz .

The proposed filters are not restricted to have a center frequency ratio of 1:3, as some of the previous dual-band filters [17], [28]. However, for the same and , becomes closer to unity as increases. That is, the ability of bandwidth control is reduced as increases. This sets a limitation on the degree of bandwidth control of the proposed method. In fact, this is a limitation that most dual-band filters with different bandwidths suffer as increases [17], [18], [28]–[30]. Also, the two stepped-impedance ratios must be at practical levels for implementation. This may be relieved somewhat by allowing each section to be of noncommensurate lengths and/or by allowing each section to have different stepped-impedance ratios, at the cost of increased mathematical complexity. Fig. 5 shows ideal circuit simulation results for Chebyshev-type dual-band stepped-impedance transmission-line filters with 0.1-dB passband ripple level. For the given stepped-impedance ratios, while the first passband at 1 GHz, for instance, with a 100-MHz bandwidth remains nearly intact, the second bandwidth can be varied from as narrow as 49 MHz ( , ) to as wide as 228 MHz ( , ) for GHz, as narrow as 45 MHz ( , ) to as wide as 206 MHz ( , ) for GHz, and as narrow as 59 MHz ( , ) to as wide as 165 MHz ( , ) for GHz. The small change in the ripple level of the passband for all cases is due to the frequency

dependence of -inverter values in (2). Except for filters with extremely wide bandwidths, the effect is negligible. Although not shown, the dual-band stepped-impedance coupled-line filter also shows the same bandwidth control property. The dual-band filter in [5] also utilizes the stepped-impedance technique to control the bandwidths. However, the effect of the stepped-impedance ratio on the bandwidths is insignificant. Instead, the bandwidths are controlled mainly by the ratio of the lengths of a stepped-impedance resonator, while the two center frequencies, or , are controlled by the stepped-impedance ratios. The second passband always has a larger bandwidth than the first, and the two cannot be controlled when . The following is an example of design procedure for a third-order 0.2-dB Chebyshev-type dual-band filter with 200and 308-MHz bandwidths at 2 and 5 GHz . Although the procedure is provided for a coupled-line filter, the exact same procedure can be applied for transmission-line filters. 1) For and , the stepped-impedance ratios and are chosen from the design curves in Fig. 4. This can be done regardless of the filter type. Although there is no unique solution, the steppedimpedance ratios should be chosen within practical ranges. 2) With the impedance ratios determined in 1), and are calculated from (6a) and (6b), respectively. This automatically determines other electrical lengths since and . With these lengths, appropriateness of predetermined stepped impedances is verified by calculating as 1.54 with (21). 3) A conventional 0.2-dB Chebyshev-type coupled-line filter is designed with the center frequency at 2 GHz. By trial and error, is chosen so that the design parameters of the dual-band filter are at practical levels. This requires the conventional filter to be designed to have a fractional bandwidth. The calculated and are 66.59 and 29.58 , respectively. 4) With the design parameters of the conventional filter, the admittances and are calculated by (10). Based on these, , , and are obtained. The remaining impedances can be calculated with the predetermined stepped-impedance ratios of and

HA et al.: APPLICATION OF STEPPED-IMPEDANCE TECHNIQUE FOR BANDWIDTH CONTROL OF DUAL-BAND FILTERS

2111

TABLE I DESIGN PARAMETERS OF DEMONSTRATED FILTERS

:

, , and . The design will be validated with Filter D in Section VI. Compared with other dual-band filter synthesis methods, this systematic design procedure is a great advantage, with no necessity to solve nonlinear equations simultaneously. V. BANDWIDTH ERROR The proposed filter synthesis method utilizes narrowband approximation in [25], which, in general, restricts its mathematical validity to filters with less than 20% bandwidths. For wider bandwidths, the performance may deviate somewhat from the specification because of the frequency-dependent properties of inverters and resonators. In fact, this is common in many previous filters [17], [18], [20], [21], [27]–[30] for which the designs are also based on narrowband approximation in [25]. For instance, the -inverter value in (2) varies with respect to the frequency, which must remain constant in the passband ideally. When it is below the ideal -inverter value, the bandwidth decreases and vice versa. Since the -inverter value varies asymmetrically around the center frequency, a frequency shift may be seen when this type of error is relatively large, as seen in Fig. 5(a) for . The nonconstant -inverter value within the passband is also the cause for nonconstant ripple levels that become more noticeable for wider bandwidths. Another important factor that contributes to bandwidth errors is the susceptance of the resonator, which varies linearly with respect to frequency in an ideal filter. In practical filters, however, this is satisfied within a limited frequency range. The effect may be negligible for narrow bandwidths, but for wider bandwidths,

Fig. 7. Photograph of fabricated filters. (a) Filter A. (b) Filter B. (c) Filter C. (d) Filter D.

the error may become too large to neglect. To the authors’ experience, the errors can be compensated through layout optimization unless they are excessively large. Fig. 6 compares the theoretical errors in bandwidths of 0.1-dB Chebyshev filters with 10% and 30% bandwidths in the first band that are designed by the proposed method. For each case, filters are designed for and , both with . As can be seen, the errors in the two bandwidths are negligible when the first bandwidth is 10%, regardless of that of the second. On the other hand, for a relatively large first bandwidth of 30%, error becomes relatively large. However, the errors decrease as increases. This is because the difference between the -inverter values of ideal and proposed filters decreases as increases.

2112

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 8. Measured (thickest line), full-wave simulated, and ideal-circuit simulated results (thinnest line) in passbands; (lower). (a) Transmission-line filters. (b) Coupled-line filters.

-parameters (upper) and group delay

Fig. 9. Measured (thickest line), full-wave simulated, and ideal-circuit (thinnest line) transmission in wideband. (a) Transmission-line filters. (b) Coupled-line filters.

VI. EXPERIMENTAL RESULTS For experimental verification, four filters are disigned: Filter A and B are fourth-order Chebyshev-type transmission-line filters with a 0.01-dB passband ripple level and center frequencies at 2 and 3.5 GHz. The first and the second absolute bandwidths for Filter A are 360 and 240 MHz, respectively, while those for Filter B are 240 and 480 MHz, respectively. Filter C and D are third-order Chebyshev-type coupled-line filters with a 0.2-dB passband ripple level and center frequencies at 2 and 5 GHz. While the first absolute bandwidth is 200 MHz for both filters, the stepped-impedance ratios are chosen differently so that the second bandwidth of Filter C is 140 MHz and that of Filter D is 308 MHz. For all filters, is chosen so that all impedance levels are maintained at practical levels. Calculated design parameters are summarized in Table I. The superscripts denote the equation number utilized to calculate the parameters.

Fig. 7 shows a photograph of filters fabricated in RF-35 substrates from Taconic, Petersburgh, NY, with a relative permittivity of 3.5 and loss tangent of 0.0018. The substrate thickness is 0.5 mm for transmission-line filters and 0.76 mm for coupled-line filters. A thicker substrate is chosen for coupled-line filters since it is more suitable for high-impedance lines often required in this type of filter. Measurement is performed from 1 to 9 GHz with an MS4624D vector network analyzer and a 3680-20 test fixture from Anritsu. Line-reflect-line (LRL) calibration is achieved with a 36804B calibration kit. Fig. 8 compares the measured, full-wave simulated, and ideal-circuit simulated -parameters and group delay for all demonstrated filters. The great advantage of using a simple structure is evidenced by the great agreement between the measured and the ideal-circuit simulated results. Furthermore, the different bandwidth relationship of all filters verify the ability of the proposed method to control

HA et al.: APPLICATION OF STEPPED-IMPEDANCE TECHNIQUE FOR BANDWIDTH CONTROL OF DUAL-BAND FILTERS

the bandwidths of a dual-band stepped-impedance filter independently. The minimum insertion loss in the first passband is 0.6, 0.8, 1.1, and 1.1 dB for Filter A–D, respectively. In the second passband, the minimum insertion loss is 1.5, 0.9, 3.4, and 1.9 dB for Filter A–D, respectively. The relatively high insertion loss in the second passband of Filter C is due to its narrow bandwidth of 2.8% [31]. Fig. 9 shows the measured and full-wave simulated wideband transmission property. For coupled-line filters, spurious responses are generated between 6–8 GHz that do not appear until around 9 GHz in ideal circuit simulation results. This is due to the unequal even- and odd-mode phase velocities of practical coupled lines. Fig. 9 shows that the stopband responses of the transmission-line filter are generally better than those of the coupled-line filters. The spurious response of proposed filters can be improved by choosing a different set of and , or by neglecting the condition in (1a) to allow each section to have different stepped-impedance ratios at the cost of increased mathematical complexity. VII. CONCLUSION Application of the popular stepped-impedance technique to dual-band filters has been demonstrated for independent control of the bandwidths. Through rigorous analysis, the exact relationship between the two bandwidths and the stepped impedance ratios is derived. Not only a very simple yet effective method of bandwidth control, but also dual-band filters with superiorly simple structures are demonstrated that consist of transmission lines and/or coupled lines. These very well-characterized components allow circuit simulation results to provide very accurate results, and therefore minimize the necessity of time-consuming full-wave simulation. Experimental results for filters with various bandwidth relationships verify the effectiveness of the proposed method for bandwidth control of dual-band filters. REFERENCES [1] M. Makimoto and S. Yamashita, “Bandpass filters using parallel coupled stripline stepped-impedance resonators,” IEEE Trans. Microw. Theory and Tech., vol. MTT-28, no. 12, pp. 1413–1417, Dec. 1980. [2] C.-L. Hsu, C.-W. Chang, and J.-T. Kuo, “Design of dual-band microstrip rat race coupler with circuit miniaturization,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 177–180. [3] C.-L. Hsu, J.-T. Kuo, and C.-W. Chang, “Miniaturized dual-band hybrid couplers with arbitrary power division ratios,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 1, pp. 149–156, Jan. 2009. [4] P. K. Singh, S. Basu, and Y.-H. Wang, “Miniature dual-band filter using quarter wavelength stepped impedance resonators,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 2, pp. 88–90, Feb. 2008. [5] W.-S. Chang and C.-Y. Chang, “Analytical design of microstrip shortcircuit terminated stepped-impedance resonator dual-band filters,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 7, pp. 1730–1739, Jul. 2011. [6] S.-F. Chang, Y.-H. Jeng, and J.-L. Chen, “Dual-band step-impedance bandpass filter for multimode wireless LANs,” Electron. Lett., vol. 40, no. 1, pp. 38–39, Jan. 2004. [7] S. Sun and L. Zhu, “Coupling dispersion of parallel-coupled microstrip lines for dual-band filters with controllable fractional pass bandwidths,” IEEE MTT-S Int. Microw. Symp. Dig., pp. 12–17, Jun. 2005.

2113

[8] S. Sun and L. Zhu, “Compact dual-band microstrip bandpass filter without external feeds,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 10, pp. 644–646, Oct. 2005. [9] Y. P. Zhang and M. Sun, “Dual-band microstrip bandpass filter using stepped-impedance resonators with new coupling schemes,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 10, pp. 3779–3785, Oct. 2006. [10] J.-T. Kuo, T.-H. Yeh, and C.-C. Yeh, “Design of microstrip bandpass filters with a dual-passband response,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1331–1337, Apr. 2005. [11] J.-T. Kuo and H.-P. Lin, “Dual-band bandpass filter with improved performance in extended upper rejection band,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 4, pp. 824–829, Apr. 2009. [12] J.-T. Kuo and E. Shih, “Microstrip stepped impedance resonator bandpass filter with an extended optimal rejection bandwidth,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 5, pp. 1554–1559, May 2003. [13] C.-F. Chen, T.-H. Yeh, and R.-B. Wu, “Design of microstrip bandpass filters with multioder spurious-mode suppression,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 12, pp. 3788–3793, Dec. 2005. [14] W. M. Fathelbab and M. B. Steer, “Parallel-coupled line filters with enhanced stopband performance,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 12, pp. 3774–3781, Dec. 2005. [15] C. Quendo, E. Rius, and C. Person, “Narrow bandpass filters using dual-behavior resonators,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 1157–1161, Mar. 2003. [16] K.-S. Chin, K.-M. Lin, Y.-H. Wei, T.-H. Tseng, and Y.-J. Yang, “Compact dual-band branch-line and rat-race couplers with stepped-impedancestub lines,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 5, pp. 1213–1221, May 2010. [17] C.-W. Tang and H.-C. Hsu, “Multi-passband bandpass filters with lowtemperature co-fired ceramic technology,” IET Microw., Antennas, Propag., pp. 547–556, Jun. 2009. [18] H.-Y. A. Yim, F.-L. Wong, and K.-K. M. Cheng, “A new synthesis method for dual-band microwave filter design with controllable bandwidth,” in Proc. Asia–Pacific Microw. Conf., Bangkok, Thailand, Dec. 2007, pp. 1–4. [19] K.-S. Chin and J.-H. Yeh, “Dual-wideband bandpass filter using short-circuited stepped-impedance resonators,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 3, pp. 155–157, Mar. 2009. [20] H.-Y. Yim and K.-K. M. Cheng, “Novel dual-band planar resonator and admittance inverter for filter design and applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 2188–2190. [21] S. Lee and Y. Lee, “A planar dual-band filter based on reduced-length parallel coupled lines,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 1, pp. 16–18, Jan. 2010. [22] M. Zhou, X. Tang, and F. Xiao, “Compact dual band bandpass filter using novel E-type resonators with controllable bandwidths,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 12, pp. 779–781, Dec. 2008. [23] X. Y. Zhang, C. H. Chan, Q. Xue, and B.-J. Hu, “Dual-band bandpass filter with controllable bandwidths using two coupling paths,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 11, pp. 616–618, Nov. 2010. [24] Z. Ma, T. Shimizu, Y. Kobayashi, T. Anada, and G. Hagiwara, “Novel compact dual-band bandpass filters using composite resonators to obtain separately controllable passbands,” in Proc. Asia–Pacific Microw. Conf., Yokohama, Japan, Dec. 2006, pp. 12–15. [25] G. L. Matthaei, L. Young, and E. M. Jones, Microwave Filters, Impedance-Matching Network, and Coupling Structures. Dedham, MA: Artech House, 1980. [26] D. M. Pozar, Microwave Engineering, 3rd ed. Amherst, MA: Wiley, 2005. [27] S. Lee and Y. Lee, “Generalized minaturization method for coupled line bandpass filters by reactive loading,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 8, pp. 2383–2389, Aug. 2010. [28] C.-M. Tsai, H.-M. Lee, and C.-C. Tsai, “Planar filter design with fully controllable second passband,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 11, pp. 3429–3439, Nov. 2005. [29] S. Lee and Y. Lee, “A uniform coupled-line dual-band filter with different bandwidths,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 10, pp. 545–547, Oct. 2010. [30] H.-M. Lee and C.-M. Tsai, “Dual-band filter design with flexible passband frequency and bandwidth selections,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 5, pp. 1002–1009, May 2007. [31] S. B. Cohn, “Dissipation loss in multiple-coupled-resonator filters,” IRE Trans. Microwave Theroy Tech., vol. MTT-7, no. 8, pp. 1342–1348, Jul. 1959.

2114

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Jungje Ha was born in Jinju, Korea, in 1985. He received the B.S. and M.S. degrees from Yonsei University, Seoul, Korea, in 2009 and 2011, respectively, and is currently working toward the Ph.D. degree in electrical and electronic engineering at Yonsei University. His current research interests include multiband planar circuits for microwave applications.

Seungku Lee (S’12) was born in Seoul, Korea, in 1982. He received the B.S. and M.S. degrees from Yonsei University, Seoul, Korea, in 2008 and 2010, respectively, and is currently working toward the Ph.D. degree at The University of Michigan at Ann Arbor. From 2010 to 2011, he was with the Radio Communication Research Center, Yonsei University. His current research interests include various planar circuits for microwave applications and frequency-agile microwave circuits. Mr. Lee was the recipient of the 2008 Bronze Award of the Samsung HumanTech Paper Competition and the 2010 Silver Award.

Byung-Wook Min (S’03–M’08) was born in Seoul, Korea. He received the B.S. degree from Seoul National University, Seoul, Korea, in 2002, and the M.S. and Ph.D. degrees in electrical engineering and computer science from The University of Michigan at Ann Arbor, in 2004 and 2007, respectively. In 2006 and 2007, he was a Visiting Scholar with the University of California at San Diego, La Jolla. From 2008 to 2010, he was a Senior Engineer with Qualcomm Inc. In 2011, he joined the School of Electrical and Electronic Engineering, Yonsei University, Seoul, Korea, as an Assistant Professor. His research interests include Si/SiGe RF integrated circuits (RFICs), microwave packaging, and RF microelectromechanical systems (MEMS) for microwave and millimeter-wave applications. He was a recipient of the Samsung Scholarship (2002–2007).

Yongshik Lee (S’00–M’04–SM’12) was born in Seoul, Korea. He received the B.S. degree from Yonsei University, Seoul, Korea, in 1998, and the M.S. and Ph.D. degrees in electrical engineering from The University of Michigan at Ann Arbor, in 2001 and 2004, respectively. In 2004, he was a Postdoctoral Research Associate with Purdue University, West Lafayette, IN. From 2004 to 2005, he was with EMAG Technologies Inc., Ann Arbor, MI, as a Research Engineer. In September 2005, he joined Yonsei University, Seoul, Korea, as an Assistant Professor, and is currently an associate Professor. His current research interests include passive and active circuitry for microwave and millimeter-wave applications, and electromagnetic metamaterials.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

2115

Harmonic Suppressed Dual-Band Bandpass Filters With Tunable Passbands Girdhari Chaudhary, Student Member, IEEE, Yongchae Jeong, Senior Member, IEEE, and Jongsik Lim, Senior Member, IEEE

Abstract—This paper presents a novel approach to the design of tunable dual-band bandpass filter with broadband harmonic suppression characteristics. The proposed filter structure offers the possibility of two tunable passbands, as well as a fixed first passband and controllable second passband. The tunable passband frequency usually causes a shift of the harmonics, which need to be suppressed to improve out-of the passband characteristics. In order to suppress the harmonics over a broad bandwidth, defected ground structures are used at input and output feeding lines without degrading the passbands characteristics. Both theory and experiment are provided to validate the proposed filter. From the experimental results, it is found that the proposed filter exhibits a first passband center frequency tunable range of 34.14% from 0.85 to 1.2 GHz with the almost constant 3-dB fractional bandwidth (FBW) of 13% and second passband center frequency tunable range of 41.81% from 1.40 to 2.14 GHz with the 3-dB FBW of 11%. The measured results of the proposed filters show a rejection level of 20 dB up to more than ten times of second passband frequency can be obtained, thereby ensuring broad harmonics rejection characteristics without degradation of passbands. The measurement data have good agreement with the simulation. Index Terms—Defected ground structure (DGS), dual band, harmonics suppression, tunable bandpass filter (BPF), varactor diode.

I. INTRODUCTION

E

LECTRICALLY tunable multiband microwave bandpass filters (BPFs) are essential components for the multiband wireless communication and radar systems due to their potential to significantly reduce system size and complexity. In order to meet these requirements, various approaches have been applied to design tunable BPFs using different kinds of tuning devices. Today, microelectromechanical system (MEMS) devices are widely used to design tunable filters due to their high and high linearity [1]–[4]. However, the high cost and maturity of RF-MEMS technology limits their application in design of tunable devices. The tunable and reconfigurable BPFs can also be implemented using ferroelectric devices [5], [6], piezoelectric transducer [7], and p-i-n diodes [8]. Manuscript received December 19, 2011; revised March 22, 2012; accepted March 25, 2012. Date of publication May 24, 2012; date of current version June 26, 2012. G. Chaudhary and Y. Jeong are with the Department of Electronics and Information Engineering, Information Technology Convergence Research Center, Chonbuk National University, Jollabuk-do 561-756, Korea (email: [email protected]). J. Lim is with the Department of Electrical and Communication Engineering, Soonchunhyang University, Chungcheongnam-do 336-745, Korea. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2197020

Semiconductor varactors are also widely used in designing tunable BPFs due to high tuning speed and reliability. Hunter and Rhodes [9] and Kim and Yun [10] demonstrated a varactor tuned combline filter. Brown and Rebeiz [11] reported a four-pole varactor tuned interdigital filter. Park and Rebeiz [12] demonstrated two-pole tunable filters with the predefined bandwidth characteristics. Tang and Hong [13] designed the tunable BPF using dual-mode resonators. In order to improve the selectivity of BPFs, the filter with transmission zeros was presented in [14] and [15]. In [16], ferroelectric capacitors were used to design tunable BPFs for - and -band applications. In [17], a substrate integrated cavity filter with wide tuning range is presented. However, none of the above work addressed the design of two tunable passbands. There have been some attempts to design the tunable dualpassband filters [18]–[21]. However, these works focused on the design of fixed first passband and controllable second passband. None of the above referenced works focused on design of two tunable passbands. Djoumessi et al. [22] demonstrated the varactor-tuned quarter-wavelength dual-band BPF, which had a huge circuit size, large number of varactor diodes, and biasing circuits. With tunable passband frequency characteristic in the tunable filters, the harmonic bands, which degrade the out-of passband characteristics, are also tuned. Thus, the suppression of harmonics is one of the critical issues for the tunable filters. This issue has rarely been addressed in the design of tunable dual-band filters. However, some attempts have been made to solve this problem in the single-band tunable filters [23]–[25]. There have been few dual-band filters having fixed passbands incorporated with extension of the upper stopband rejection characteristics [26]–[28]. In [26], the distances among the higher order resonances of the hairpin resonators are limited so that the stopband performances need improving. The end-coupled stepped impedance resonators are used in [27] to achieve excellent stopband rejection. In [28], multiple transmission zeros are incorporated in order to obtain broadband harmonic suppression. In this paper, tunable dual-band BPFs with broad harmonic suppressed characteristics are presented. From theoretical evenand odd-mode analysis, it is found that the first passband depends on an odd-mode capacitance, while the second passband depends on the even- and odd-mode capacitances. Therefore, the proposed filter structure offers the controllable two passbands, as well as a fixed first passband and controllable second passband. To suppress the harmonics, a defected ground structure (DGS) is used at input/output feeding lines. The band-rejec-

0018-9480/$31.00 © 2012 IEEE

2116

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

, the odd-mode resonant frequency can be determined as follows:

(2) where is the phase velocity. The fundamental odd-mode resonant frequency can be used as the first passband frequency. From (2), it is concluded that the odd-mode resonant frequency fully depends on the capacitance of the varactor diode connected at the ends of the transmission line. Therefore, the change of the bias voltage on both end varactor diodes will result in the change of passband frequencies, enabling the tunability of the first passband frequency. Moreover, the odd-mode resonant frequencies are not affected by the varactor diode connected at the center of the transmission line. B. Even-Mode Excitation Analysis Fig. 1. (a) Basic structure of the proposed resonator. (b) Odd-mode excitation equivalent circuit. (c) Even-mode excitation equivalent circuit.

tion characteristics of the DGS are utilized to suppress the harmonics. This paper is organized as follows. Firstly, the characteristics of proposed tunable resonators are discussed in Section II. Secondly, the design and implementation of the filters along with the simulation and measurement results are described in Section III, followed by a conclusion in Section IV.

For the even-mode excitation, there is no current flowing through the center of the transmission line. Under the evenmode condition, the proposed resonator can be represented by the equivalent half circuit shown in Fig. 1(c). The even-mode input admittance is given as follows: (3) is the capacitance of the varactor diode connected at where the center of the transmission line. For the resonance condition, the even-mode resonant frequency can be determined as

II. CHARACTERISTICS OF PROPOSED RESONATOR Fig. 1(a) shows the basic structure of the proposed resonator. It consists of a transmission line and three varactor diodes. For the theoretical analysis, it is assumed to be a lossless transmission line of characteristic admittance and physical length . Two varactor diodes are attached at the ends of the transmission line and one varactor diode is placed at a center point of the transmission line. For simplicity, the parasitic elements of varactor diodes are ignored. Since the structure is symmetrical, the even- and odd-mode analysis method is applicable to obtain the resonant frequencies [25]. A. Odd-Mode Excitation Analysis When the odd-mode excitation is applied to the ends of the proposed resonator shown in Fig. 1(a), there is a voltage null along the symmetry plane. Under the odd-mode excitation, it can be represented by the half circuit, as given in Fig. 1(b). The odd-mode input admittance is given as follows: (1) where is the capacitance of the varactor diode connected at the end of the line and is the propagation constant of the transmission line. From the resonance condition of

(4) The fundamental even-mode resonant frequency can be utilized as the second passband frequency. From (4), it is observed that the even-mode resonant frequency depends on and . Thus, the change of the bias voltages applied to all of the varactor diodes will also result in the change of passband frequencies, enabling the tunability of the second passband frequency. Moreover, when is fixed, the even-mode resonant frequency can tune with the help of alone. This characteristic of the proposed resonator can be used to design a dual-band BPF with controllable second passband (even-mode resonant frequency) and fixed first passband (odd-mode resonant frequency). To verify the above theoretical analysis, a full-wave electromagnetic (EM) simulation was carried out by using Ansoft’s HFSS v11. Two microstrip lines with a characteristic impedance of 50 are utilized to feed the proposed resonator using loose coupling to investigate its resonant behavior. The length of resonator is fixed at 40 mm. Fig. 2(a) shows the simulated -magnitude of weak coupling resonator circuit according to different capacitances of varactor diodes. As capacitances are varied, the odd- and even-

CHAUDHARY et al.: HARMONIC SUPPRESSED DUAL-BAND BANDPASS FILTERS WITH TUNABLE PASSBANDS

2117

Fig. 3. Resonator with input coupling network.

Fig. 4. Layout for examining the coupling between resonators: physical dimen, , , , , , sions: pF, and pF. (Physical unit: millimeters).

The overall input admittance input port is given as follows:

of resonator seen from the

Fig. 2. Resonant frequencies according to capacitances. (a) Tunable odd and even mode. (b) Fixed odd-mode and tunable even-mode frequencies with fixed pF.

mode resonant frequencies are tuned simultaneously. This characteristic of the proposed resonator can be utilized to design a dual-band BPF with two controllable passbands. Fig. 2(b) shows the simulated -magnitude of the resonator circuit in the case where the capacitances of the varactor diodes connected at the end of the transmission line are fixed. Under this condition, it is obvious that the odd-mode resonant frequency is fixed and the even-mode resonant frequency can be tuned by varying the capacitance of the varactor diode connected at the center of the line. By varying the value of from 3.5 to 0.3 pF, the even-mode resonant frequency can be varied from 1.5 to 2.3 GHz. This characteristic of proposed resonator can be utilized to design a dual-band BPF with a fixed first passband and controllable second passband. C. External Quality Factor In order to find the external quality factor, the configuration of the tapped resonator is shown in Fig. 3. To facilitate the analysis, the effects of line discontinuity are ignored. The input admittance of the resonator seen from the center of the line is given as follows: (5)

(6) The external quality factor [24]:

is then determined as follows

(7) It can be seen that the lengths of the transmission line and will affect . By properly choosing these parameters, the desired can be obtained within the frequency tuning range. D. Coupling Coefficient Fig. 4 shows the arrangement of resonators for EM simulation to analyze the coupling coefficient between resonators according to two parameters, and , which are gap between the resonators and coupling length of two resonators, respectively. The other parameters used in the simulation are shown in Fig. 4. To decrease the effect of input/output line on the coupling coefficient between resonators, a weak input/output feed is used in this filter. In this simulation, the ideal capacitors were used. The coupling characteristic between resonators are simulated and drawn with respect to in Fig. 5. As seen from Fig. 5, the separation of resonant frequencies can be controlled with .

2118

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 7. Configuration of filter I with physical dimensions and varactor diode , , , , equivalent circuit model: , , , , pF, pF, pF, , nH. (Physical unit: millimeters). Fig. 5. Coupling characteristic between resonators with respect to mm, pF, and pF. of

in case

Fig. 8. Measured capacitance and series resistance SMV 1233-079LF. Fig. 6. Calculated coupling coefficient with respect to pF and pF.

and

in case of

For sake of estimating and designing the two passbands, the coupling amounts of two bands can be calculated by the following common formulas [29]: (8a) (8b) where and , , are coupling-coefficient, odd- and even-mode resonant frequencies at first and second passbands, respectively. With combined adjustments of , , , and , the first and second passbands are established and determined. Therefore, bandwidth of the two passbands can be controlled by changing their coupling coefficients. For a design graph chart, the coupling behaviors between resonators are simulated and estimated coupling amounts are drawn in Fig. 6 as a function of and . III. FILTER IMPLEMENTATION AND VERIFICATION To verify the analytical analysis of the proposed resonators, two types of tunable dual-band BPFs were designed, simulated, and measured. The used substrate is an RT/Duriod 5880 made

of the varactor diode

by the Rogers Corporation with a dielectric constant and thickness of 31 mil.

of 2.2

A. Filter I: Tunable Dual-Band BPF Without Harmonic Suppression Fig. 7 depicts the configuration of the second-order microstrip tunable dual-band filter I. In the proposed filter, four varactors are attached at the ends of the two microstrip lines and two varactor diodes are attached at the center of the two microstrip lines. The resonators are folded in order to reduce the size, forming open loops. The varactor diodes are SMV1233–079LF from Skyworks Solutions Inc. The input/output lines are tapped at the resonators. Two capacitors are attached in the feeding lines, functioning as a dc block. Another two dc block capacitors are attached at the center of line. The simulation was accomplished by using Agilent Technologies’ 2011 Advanced Design System (ADS). In this simulation, the SPICE model of the varactor diode provided by the manufacturer was used, as shown in Fig. 7. After the simulation, the physical parameters and component values of the filter are determined as shown in Fig. 7. The measured capacitance and series resistance of the varactor diode is shown in Fig. 8. Fig. 9 shows the simulation and measurement results of filter I for several typical bias voltages. The measurement results agree well with the simulation results. The measurement results show that the first passband frequency can be tuned from 0.85 to 1.2 GHz with almost constant 3-dB FBW of 13% and the second

CHAUDHARY et al.: HARMONIC SUPPRESSED DUAL-BAND BANDPASS FILTERS WITH TUNABLE PASSBANDS

2119

Fig. 10. Simulation and measurement results of filter I with fixed first passband and tunable second passband. (a) Return-loss and (b) insertion-loss characterisV and 15 V. tics. Reverse-bias voltage variation: Fig. 9. Simulation and measurement results of filter I with tunable both passbands. (a) Return loss. (b) Insertion loss. Reverse bias voltage variation: 15 V and 15 V.

passband frequency can be tuned from 1.40 to 2.14 GHz with a 3-dB FBW of 11%. The return loss is better than 12 dB in the overall tuning range of both passbands, as shown in Fig. 9(a). The insertion loss varies from 0.85 to 2.42 dB at the first passband frequency, whereas it varied 1.20 to 3.30 dB at the second passband frequencies, as shown in Fig. 9(b). As the passbands are tuned toward lower frequencies, the insertion loss becomes higher because the microstrip line becomes electrically shorter as a result, decreasing the overall resonator value. For each bias voltage, the proposed filter provides three transmission zeros near the passbands in order to improve the selectivity of the filter. The two transmission zeros generated by two arms (from the tapping position to two ends of open loop, as shown in Fig. 7) corresponds to an electrical length of 90 at the frequencies of transmission zeros. The remaining transmission zero located on the higher side of the second passband is generated as result of the input impedance viewed from the tapping position toward center loaded varactor diode, and approaches zeros at the frequency of a transmission zero. These transmission zeros move along the passbands. Fig. 10 shows the simulation and measurement results of filter I with the fixed first passband and controllable second passband frequency. As seen from this figure, the first passband frequency is constant at 1.070 GHz with 3-dB FBWs of 13% by keeping the

Fig. 11. Photograph of fabricated filter I.

bias voltage of varactor diodes connected at the line ends fixed. The second passband is controlled with the bias voltage of the varactor diode loaded at the center of the line. From the measurement result, it is found that the second passband frequency can be tuned from 1.70 to 1.98 GHz with almost constant 3-dB FBWs of 11%. The return loss is better than 15 dB for entire tuning range of the second passband, as shown in Fig. 10(a). The insertion loss varies from 1.15 to 2.76 dB, as shown in Fig. 10(b). Fig. 11 shows a photograph of the fabricated filter I.

2120

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 12. Configuration of filter II and physical dimensions: , , , , , , , , , , pF, pF. (Physical unit: millimeters).

B. Filter II: Tunable Dual-Band BPF With Harmonic Suppression From the simulation and measurement results described in Section III-A, it is found that there are several harmonics of the two passbands and these harmonics are also tuned by varying the passband frequencies. These unwanted harmonics generated by the filter must be suppressed. A simple method of suppressing the harmonic is to introduce a transmission zero at the harmonic frequency [30]. However, this method will be inefficient because it can only suppress the harmonics around a specific frequency. In the case of the tunable dual-band BPF, the range of variation of the harmonic frequency variation is too broad, which are not sufficient to cancel harmonics by the simple transmission zero circuit. The DGS of the microstrip line is implemented by making artificial defects on the ground plane and provides band-rejection characteristics at a certain resonance frequency band corresponding to the size of defect and its shape on the ground plane. The DGS also provides an additional effective inductance of the transmission line, which enables the slow-wave factor of line to be increased. These band-rejection properties and slow-wave effect of the DGS have been applied in the design of various microwave circuits such as filters, dividers, and amplifiers [31]–[33]. In microwave circuits, the band-rejection property of the DGS can also be utilized in the suppression of harmonics [34]–[37]. Fig. 12 shows the proposed configuration of the harmonic suppressed tunable dual-band filter II. In this structure, DGS is used at the input/output feeding lines for inducting coupling and acts as a broad band-rejection resonator to suppress the harmonics of filter I. The methods for finding the equivalent circuits of DGS are detailed in [33]. The EM simulation was performed using Ansoft’s HFSS v11 while considering ideal capacitors. After simulation, the physical parameters and component values of the filter are determined as shown in Fig. 12. Fig. 13 shows the simulation and measurement results of filter II. The passband frequencies are controlled with the help of the bias voltages of the varactor diodes. From the

Fig. 13. Simulation and measurement results of filter II with tunable both passbands. (a) Return-loss and (b) insertion-loss characteristics. Reverse-bias 15 V and 15 V. voltage variation:

measurement results, the first passband frequency can be tuned from 0.85 to 1.2 GHz with 3-dB FBW of 13% and the second passband frequency can be tuned from 1.45 to 2.08 GHz with a 3-dB FBW of 11%. The return loss is better than 13 dB over the entire tuning range of the passbands, as shown in Fig. 13(a). The insertion loss varies from 1.32 to 3.4 dB at the first passband and 1.8 to 3.80 dB at the second passband, as shown in Fig. 13(b). The differences in insertion loss between the simulation and measurement results are due to use of ideal capacitances in EM simulation. These measurement results for filter II are almost similar with filter I results provided in the previous section, except for the suppression of the harmonic characteristics. Fig. 14 shows the simulation and measurement results of filter II with a fixed first passband and controllable second passband frequency. The first passband frequency is held constant at 1.070 GHz by fixing the bias voltage of varactor diodes connected to the ends of the line. The second passband frequency is tuned from 1.75 to 1.97 GHz. The return-loss variation is better than 15 dB throughout the tuning range of the passbands. Similarly, the variation of the insertion loss is 1.87 to 3.2 dB over the entire tuning range. In order to verify the harmonic suppression characteristics of filter II, the broadband harmonic suppression characteristics are shown in Fig. 15. The stopband characteristics for fabricated filter II are better than 20 dB up to 18 GHz for the

CHAUDHARY et al.: HARMONIC SUPPRESSED DUAL-BAND BANDPASS FILTERS WITH TUNABLE PASSBANDS

2121

Fig. 14. Simulation and measurement result of filter II with fixed first passband and tunable second passband. (a) Return-loss and (b) insertion-loss characterisV and 15 V. tics. Reverse-bias voltage variation:

Fig. 16. Photograph of fabricated filter II. (a) Top side. (b) Bottom side.

Fig. 15. Measured broadband harmonic suppression characteristics of filter II in overall tuning range passbands.

entire tuning range of the passbands. This means that the proposed structure can suppress more than tenth-order harmonics of the second passands due to band-rejection characteristics of DGS. This confirmed that the proposed method can achieve broadband harmonic suppression without degrading its passband’s performances. Fig. 16 shows photographs of the fabricated filter II. Fig. 17 presents the measured power handling capability of the proposed filter. From the measurement, it was found that the proposed filter can handle from 7- to 12-dBm power without any distortion in passbands. The input third-order intermodulation

Fig. 17. Measured V and

with different input powers at bias voltages: V.

intercept point (IIP3) is measured around 1 and 2.14 GHz with 1-MHz spacing. The measured IIP3 is around 24 dBm and 29 dBm at 1 and 2.14 GHz, respectively. Performance comparisons of the proposed tunable filter with other tunable filters reported in the literature are summarized in Table I. The proposed filter can provide the tunable dual-band passbands characteristics with broader frequency tunability

2122

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

TABLE I PERFORMANCE COMPARISON AMONG TUNABLE FILERS

than previously proposed filters. The simultaneous dual-band frequency tuning and the only second band frequency tuning are also obtainable in addition to broadband harmonic rejection characteristics. IV. CONCLUSION In this paper, designs for harmonic suppressed tunable dual-band BPFs have been demonstrated. Both the theoretical analysis and experiments are described to validate the proposed structure. The DGSs are utilized to reject harmonics. The experimental results are in good agreement with the theoretical predictions. The experimental results showed that the first passband can be tuned within 34.1% frequency tunability range from 0.85 to 1.2 GHz and the second passband within 41.8% frequency tunability from 1.40 to 2.14 GHz with the constant fractional bandwidth and passband shape. The proposed method can suppress more than tenth-order harmonics of second passbands, thereby ensuring broadband rejection characteristics without any degradation of passband characteristics. For all the tuning states, transmission zeros are realized near the passband frequencies, which provides the high selectivity of the filter. The proposed filter design method can be applicable to selectable multimode or multiband applications. REFERENCES [1] K. Entesari and G. M. Rebeiz, “A differential 4-bit 6.5–10 GHz RF MEMS tunable filter,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 1103–1110, Mar. 2005.

[2] S. Park, M. A. El-Tanani, I. Reines, and G. M. Rebez, “Low-loss 4–6 GHz tunable filter with 3-bit high -orthogonal bias RF-MEMS capacitance network,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 10, pp. 2348–2355, Oct. 2008. [3] A. Pothier, J. C. Orlianges, G. Zheng, C. Champeaux, A. Catherinot, P. B. D. Cros, and J. Papaplymerou, “Low-loss 2-bit tunable bandpass filters using MEMS DC contact switches,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 354–360, Jan. 2005. [4] K. Entersari and G. M. Rebeiz, “A 12–18 GHz three-pole RF MEMS tunable filter,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 8, pp. 2566–2571, Aug. 2005. [5] J. Nath, D. Ghosh, J. P. Maria, A. I. Kingon, W. Fathelbab, P. D. Franzon, and M. B. Steer, “An electronically tunable microstrip bandpass filter using thin-film barium–strontium–titante (BST) varactors,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2707–2712, Sep. 2005. [6] I. Vendik, O. Vendik, V. Pleskachev, A. Svishchev, and R. Wordenweber, “Design of tunable ferroelectric filters with a constant fractional bandwidth,” in IEEE MTT-S Int. Microw. Symp. Dig., 2001, pp. 1461–1464. [7] L. H. Hsieh and K. Chang, “Tunable microstrip bandpass filters with two transmission zeros,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 520–525, Feb. 2003. [8] B. Liu, F. Wei, and X. Shi, “Reconfigurable bandpass filter based on net-type stepped impedance resonator,” Electron. Lett., vol. 46, no. 22, pp. 1506–1507, Feb. 2010. [9] I. C. Hunter and J. D. Rhodes, “Electronically tunable microwave band pass filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-30, no. 9, pp. 1354–1360, Sep. 1982. [10] B. W. Kim and S. W. Yun, “Varactor tuned combline bandpass filter using step-impedance microstrip lines,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1279–1283, Apr. 2004. [11] A. R. Brown and G. M. Rebeiz, “A varactor tuned RF filter,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 7, pp. 1157–1160, Jul. 2000. [12] S. J. Park and G. M. Rebeiz, “Low loss two pole tunable filters with three different predefined bandwidth characteristics,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 5, pp. 1137–1148, May 2008. [13] W. Tang and J. Hong, “Varactor-tuned dual-mode bandpass fitlers,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 8, pp. 2213–2219, Aug. 2010. [14] J. Long, C. Li, W. Cui, J. Huangfu, and L. Ran, “A tunable microstrip bandpass filter with two independently adjustable transmission zeros,” IEEE Microw. Wireless Compon. Lett., vol. 21, no. 2, pp. 74–76, Feb. 2011. [15] Y. Chiou and G. M. Rebeiz, “A tunable three-pole 1.5–2.2 GHz bandpass filter with bandwidth and transmission zero control,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 11, pp. 2872–2878, Nov. 2011. [16] H. Jiang, B. Lacroxi, K. Choi, Y. Wang, A. T. Hunt, and J. Papapoly- and -band tunable bandpass filters using ferroelectric merou, “ capacitors,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 12, pp. 3068–3074, Dec. 2011. [17] S. Moon, H. H. Sigmarsson, H. Joshi, and W. J. Chappell, “Substrate integrated evanescent-mode cavity filter with a 3.5 to 1 tuning ratio,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 8, pp. 450–452, Aug. 2010. [18] X. Y. Zhang and Q. Xue, “Novel centrally loaded resonators and their applications to bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 4, pp. 913–921, Apr. 2008. [19] D. Girbau, A. Lazaro, E. Martinez, D. Masone, and L. Pradell, “Tunable dual-band bandpass filter for WLAN applications,” Microw. Opt. Technol. Lett., vol. 51, no. 9, pp. 2025–2028, Sep. 2009. [20] D. Girbau, A. Lazaro, A. Parez, E. Martinez, L. Pradell, and R. Villarino, “Tunable dual-band filters based on capacitive loaded stepped impedance resonators,” in Proc. 39th Eur. Microw. Conf., 2009, pp. 113–116. [21] G. Chaudhary, H. Choi, Y. Jeong, J. Lim, D. Kim, and J.-C. Kim, “Design of dual-band bandpass filter using DGS with controllable second passband,” IEEE Microw. Wireless Compon. Lett., vol. 21, no. 11, pp. 589–891, Nov. 2011. [22] E. E. Djoumessi, M. Chaker, and K. Wu, “Varactor-tuned quarter-wavelength dual-bandpass filter,” IET Microw. Antenna, Propag., vol. 3, no. 1, pp. 117–124, Feb. 2009. [23] H. J. Park, J. Y. Park, J. C. Lee, J. H. Kim, B. Lee, N. Y. Kim, and U. S. Hong, “A new varator tuned microstrip ring bandpass filter with harmonic suppression,” in Proc. Asia–Pacific Microw. Conf., 2000, pp. 1127–1130.

CHAUDHARY et al.: HARMONIC SUPPRESSED DUAL-BAND BANDPASS FILTERS WITH TUNABLE PASSBANDS

[24] X. Y. Zhang, Q. Xue, C. H. Chan, and B. J. Hu, “Low-loss frequency agile bandpass filters with controllable bandwidth and suppressed second harmonic,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 6, pp. 1557–1564, Jun. 2010. [25] X. Y. Zhang and Q. Xue, “High selectivity tunable bandpass filters with harmonic suppression,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 4, pp. 964–969, Apr. 2010. [26] M. Jiang, H. P. Lin, and J. T. Kuo, “Design of quasi-elliptic function filters with dual-passband responses with multi-spurious suppression,” in Proc. Asia–Pacific Microw. Conf., 2007, pp. 2365–2368. [27] M. Mokhtaari, K. Rambabu, J. Bornemann, and S. Amari, “Advanced stepped-impedance dual-band filters with wide second stopbands,” in Proc. Asia–Pacific Microw. Conf., 2007, pp. 2285–2288. [28] J. T. Kuo and H. P. Lin, “Dual-band bandpass filter with improved performances in extended upper rejection band,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 4, pp. 824–829, Apr. 2009. [29] J. Hong and M. J. Lancaster, Microstrip fitlers for RF/Microwave Applications. New York: Wiley, 2001. [30] S. Sun and L. Zhu, “Periodically non-uniform coupled microstrip-line filter with harmonic suppression using transmission zero reallocation,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 5, pp. 1817–1822, May 2005. [31] D. Ahn, J. S. Park, C. S. Kim, J. N. Kim, Y. Qian, and T. Itoh, “A design of low-pass filter using the novel microstrip defected ground structure,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 1, pp. 86–93, Jan. 2001. [32] J. S. Lim, S. W. Lee, C. S. Kim, J. S. Park, D. Ahn, and S. Nam, “A 4:1 unequal Wilkinson power divider,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 3, pp. 124–126, Mar. 2001. [33] Y. Jeong, S. Jeong, J. Lim, and S. Nam, “A new method to suppress bias line combined by defected ground structure harmonics using in power amplifier,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 12, pp. 538–540, Dec. 2001. [34] J. Park, J. Kim, and S. Nam, “Design of a novel harmonic suppressed microstrip low-pass filter,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 6, pp. 424–426, Jun. 2007. [35] D. Woo and T. Lee, “Suppression of harmonics in Wilkinson power divider using dual-band rejection by asymmetric DGS,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 6, pp. 2139–2144, Jun. 2005. [36] C. Kim, D. Kim, I. Song, K. Leong, T. Itoh, and D. Ahn, “A design of a ring bandpass filters with wide rejection band using DGS and spur-line coupling structures,” in IEEE MTT-S Int. Microw. Symp. Dig., 2005, pp. 2183–2186. [37] J. Lim, C. Kim, D. Ahn, Y. Jeong, and S. Nam, “Design of low-pass filters using defected ground structure,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 8, pp. 2539–2545, Aug. 2005. Girdhari Chaudhary (S’10) received the B.E. degree in electronics and communication engineering from the Nepal Engineering College (NEC), Kathmandu, Nepal, in 2004, the M.Tech degree in electronics and communication engineering from the Malaviya National Institute of Technology (MNIT), Jaipur, India, in 2007, and is currently working toward the Ph.D. degree at Chonbuk National University, Jeonju, Korea. His research interests include multiband passive circuits, negative group-delay filters, and high-efficiency power amplifiers.

2123

Yongchae Jeong (M’99–SM’10) received the BSEE, MSEE, and Ph.D. degrees in electronics engineering from Sogang University, Seoul, Korea, in 1989, 1991, and 1996, respectively. From 1991 to 1998, he was a Senior Engineer with Samsung Electronics. Since 1998, he has been with the Division of Electronic Engineering, Chonbuk National University, Jeonju, Korea. From July 2006 to December 2007, he was with the Georgia Institute of Technology, as a Visiting Professor. He is currently a Professor and Associate Dean of the College of Engineering, and a member of the Information Technolgoy Convergence Research Center, Chonbuk National Univeristy. He currently teaches and conducts research in the area of microwave devices, base-station amplifiers, nonlinear devices, system linearizing technology, and RF integrated circuit (RFIC) design. He has authored and coauthored over 100 papers in international journals and conference proceedings. Dr. Jeong is a member of the Korea Institute of Electromagnetic Engineering and Science (KIEES).

Jongsik Lim (S’90–M’93–SM’05) received the B.S. and M.S. degrees in electronic engineering from Sogang University, Seoul, Korea, in 1991 and 1993, respectively, and the Ph.D. degree from the School of Electrical Engineering and Computer Science, Seoul National University, Seoul, Korea, in 2003. In 1993, he joined ETRI, Daejeon, Korea, where he remained for six years as part of the Satellite Communication Division as a Senior Member of Research Staff as was one of the key members who developed monolithic microwave integrated circuit (MMIC) low-noise amplifiers (LNAs) and solid-state power amplifiers (SSPAs) for 20/30-GHz satellite transponders. From March to July 2003, he was with the Division of Information Technology, Seoul National University (under the Brain Korea 21 Project), a Post-Doctoral Fellow, during which time he gave lectures at the graduate schools of Soonchunhyang University and Soongsil University. From July 2003 to September 2004, he was a Patent Examiner with the Korean Intellectual Property Office (KIPO). In September 2004, he rejoined ETRI as a Senior Research Member with the Antenna Technology Research Team/Radio Technology Group. Since March 2005, he has been with the Department of Electrical and Communication Engineering, Soonchunhyang University, Chungcheongnam-do, Korea, as a faculty member. His current research interests include design of the passive and active circuits for RF/microwave and millimeter-wave with microwave integrated circuit (MIC)/MMIC technology, modeling of active device, design of high-power amplifiers for mobile communications, applications of periodic structure to RF/microwave circuits, and modeling of passive structure having periodic structures. Dr. Lim is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan, and the Korea Institute of Electromagnetic Engineering and Science (KIEES).

2124

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Design of High-Order Wideband Planar Balun Filter in -Plane Bandpass Prototype Yun-Wei Lin, Jhe-Ching Lu, and Chi-Yang Chang, Member, IEEE

Abstract—A new high-order wideband planar balun filter is proposed in this paper. The balun filter is exact synthesized based on -domain bandpass prototype using Richards’ Theorem to fit the user-defined specifications. By analyzing the redundant element in the equivalent circuit, the output impedance of the balun filter can be arbitrarily assigned. To use an -plane bandpass prototype other than a high-pass prototype can shrink the circuit area and improve the stopband performance. The lumped capacitor approximation suppresses the spurious response in the stopband and further reduces the circuit size. A high-order balun filter with a bandwidth of 100% and a reflection coefficient of 15 dB is implemented by combining of microstrip lines, slotlines, and coplanar striplines. The simulation and measurement results match well. Index Terms—Balun filter, bandpass prototype, impedance transformation, planar structure, synthesis.

I. INTRODUCTION

T

HE BALUN is an important component in microwave circuit design for transforming signals between unbalanced and balanced circuits [1]–[4]. In many applications of RF front-end modules, the balun is accompanied with a bandpass filter and matching network. To reduce the size of the circuit, the function of the filter, balun, and matching network can be combined, and the balun filter is then proposed [5]. Recently, there has been much literature written concerning the balun filters [6]–[8]. Among various balun structures [1]–[16], the Marchand balun is popular because it is easy to implement in planar form. Furthermore, it has a relatively wide bandwidth of amplitude and phase balance. The Marchand balun was proposed in 1944 [10], and it was later extended to fourth order by adding a unit element (UE) at both the balanced and unbalanced ports [11]. The circuit can be synthesized with a Chebyshev response by nonredundant synthesis [12], which is based on the -plane high-pass prototype using Richards’ transformation , where is the center frequency of the passband.

Manuscript received August 16, 2011; revised February 28, 2012; accepted March 05, 2012. Date of publication May 01, 2012; date of current version June 26, 2012. This work was supported in part by the National Science Council under Grant NSC98-2221-E-009-034-MY3 and Grant NSC99-2221-E-009-050-MY3. Y.-W. Lin and C.-Y. Chang are with the Department of Communication Engineering, National Chiao Tung University, Hsinchu 300, Taiwan (e-mail: weiga. [email protected]; [email protected]). J.-C. Lu is with the RF Modeling Program, Taiwan Semiconductor Manufacture Company Ltd. (TSMC), Hsinchu 300, Taiwan (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2193137

In [13], the planar coupled-line Marchand balun is also synthesized based on the -plane high-pass prototype. However, the nonredundant synthesis lacks flexibility in bandwidth and impedance-transforming ratio, and it is constrained by the possibility of realizing extreme values of even- and odd-mode impedance of the coupled lines. In [14], the authors synthesized a high-order Marchand balun and proposed a planar structure suitable for implementing the synthesized values. It performs a wideband response and flexible impedance transforming ratio. However, the spurious response occurs at a third of the center frequency due to the characteristic of the -plane high-pass prototype. The poor upper stopband performance limits the filter application of the balun. Recently, Fathelbab and Steer applied an -plane bandpass prototype to synthesize many passive devices [15]–[17]. The Richards’ transformation then becomes , where is the commensurate frequency at which all the stubs and transmission lines are a quarter-wavelength long. The spurious response can be designed higher than a third of the center frequency. Moreover, the circuit size can be further miniaturized by the lumped capacitors approximation. However, the working bandwidth is still constrained by the evenand odd-mode impedance values of coupled lines. In this paper, we propose a wideband planar balun filter based on the -plane bandpass prototype. The balun filter can be exactly synthesized. Section II analyzes the proposed balun and gives the equivalent two-port network form. Section III synthesizes the equivalent circuit. Section IV considers the impedancetransforming problem. Section V approximates the open-circuit stubs by the lumped capacitors, which further suppress the stopband spurious up to a fifth of the center frequency. Finally, some simulation and experimental results are presented. II. ANALYSIS OF THE PROPOSED BALUN FILTER In theory, any order higher than two of the proposed balun filter could be synthesized. Here, a fifth-order balun filter is chosen as an example. The distributed circuit model of the proposed fifth-order balun filter is shown in Fig. 1(a), which consists of four open-circuit stubs, five short-circuit stubs and four interconnecting uniform transmission lines. The two-port network form can be obtained by combining port 2 and port 3 in series connection, as shown in Fig. 1(b). All the stubs and uniform transmission lines are a quarter-wavelength long at a designate frequency. Using the well-known Richards’ transformation defined as

0018-9480/$31.00 © 2012 IEEE

(1)

LIN et al.: DESIGN OF HIGH-ORDER WIDEBAND PLANAR BALUN FILTER IN

-PLANE BANDPASS PROTOTYPE

2125

Fig. 1. (a) Structure of the proposed fifth-order balun filter. (b) Two-port network derived from the balun filter circuit in Fig. 1(a).

where is the frequency variable in Richards’ domain ( -domain), is then the real frequency variable and is the commensurate frequency at which all the stubs and transmission lines are a quarter-wavelength long. The -domain equivalent circuit is shown in Fig. 2(a). It is a bandpass prototype in the -plane, and obviously, there are redundant elements in the proposed network. However, the nonredundant prototype is needed for synthesizing the whole circuit. To simplify the circuit into nonredundant network, the Kuroda’s identities, as shown in Fig. 2(b), are used. Applying the Kuroda’s identities to and , we get the transformed circuit in Fig. 2(c) with the following equation: (2) The transformer can then be absorbed by scaling the elements at the right-hand side of the transformer, as shown in Fig. 2(d). The equivalent circuit is shown in Fig. 2(e) with the following equation: (3)

Fig. 2. (a) -plane equivalent circuit of Fig. 1(b). (b)–(g) Procedure of circuit transformations to the nonredundant fifth-order -plane bandpass prototype.

finally applying the Kuroda’s identities to and with (10) and absorbing the transformer again, we obtain the nonredundant -plane bandpass prototype, as shown in Fig. 2(g). The transformed elements , , , and are obtained by scaling the elements in the previous step with the variable as follows:

(4)

(10)

(5) Following the previous procedure, we also apply the Kuroda’s identities to and with (6), and absorb the transformer to the right side. The transformed circuit is shown in Fig. 2(f). The values of the transformed elements , , , , , , and are obtained by scaling the element values in (3)–(5) with the variable as follows: (6) (7) (8) (9)

(11) (12) (13) Once the nonredundant -plane bandpass prototype is achieved, a classical synthesis technique can be utilized to get the ideal element values [18]–[20]. III. SYNTHESIS OF -PLANE BANDPASS PROTOTYPE A. Synthesis Procedure The classical synthesis technique is well documented in much of the literature [18]–[20]. By mapping the -plane to the -plane with the following equation, the polynomial of

2126

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

the Chebyshev response of the bandpass prototype can be calculated easily: (14)

or shunt ), There are four low-pass elements (series two high-pass elements (series or shunt ) and four UEs in Fig. 2(g). Substituting the four zeros at , two zeros at 0, and four zeros at into (14) and (15), we get the following polynomial shown in (19):

where and are the band-edge frequencies of the bandpass filter, which can be decided by (1). The next step is to form the following polynomial: (15) (19) and are even polynomials in , and is where the number of transmission zeros, which is also the number of nonredundant elements in the network. is the value of the transmission zero that is corresponding to the transmission zero in the -plane. For the low- and high-pass element in the -plane, the transmission zeros are at and 0, respectively. For the UE, the transmission zero is at . Using (14), can then be calculated. The square of the magnitude characteristic function is then given by

Using the even and odd polynomial in (19), the square of the magnitude characteristic function can be calculated by (16). Transforming back to the -plane and using (17) and (18), the input impedance of the network in Fig. 2(g) with source impedance normalized to 1- is then (20), shown at the bottom of this page. Applying the pole-removing technique and Richards’ theorem, the value of each circuit element in Fig. 2(g) with normalized source impedance of 1- can then be obtained as

(16) and odd function , which where the even function is , are calculated in (15). Transforming the characteristic function back to the -plane by (14), the square of the magnitude of the reflection transfer function can be derived from (17) where is the equal-ripple value in the passband. The normalized input impedance of the network is given by

(21) The synthesized response is shown in Fig. 3. The response exactly matches the specification in the passband. Due to the characteristic of the Richards’ transformation, the spurious response is periodical in the upper stopband. IV. IMPEDANCE TRANSFORMING

(18) Finally, using the pole-removing technique [21]–[24] and the Richards’ theorem [25], all the values of and and the UE of the bandpass prototype can be synthesized. B. Design Example The fifth-order nonredundant bandpass prototype is given in Fig. 2(g). The resonant frequency is set to be 2.5 GHz, the center frequency is 1 GHz, and the bandwidth is 100%, which leads and to be 0.5 and 1.5 GHz. Substituting and into (1), and are given as and . is calculated to be 0.1807 for the passband return level of 15 dB.

The -plane nonredundant bandpass prototype is synthesized in (21). It should be point out that, while simplifying the proposed redundant circuit in Fig. 2(a) to the nonredundant circuit in Fig. 2(g), there are three redundant inductors combined into the inductors , , and . Thus, there are three variables that can be decided by the designer when substituting the synthesized element values back into (2)–(13). Thus, the output port impedance of the proposed balun filter can be specified arbitrarily, and all the impedances in Fig. 1(b) can be calculated exactly. For example, if in Fig. 1(a) is assigned to be equal to the source port impedance , the output load impedance in Fig. 2(a) with a normalized source impedance of 1- would be

(20)

LIN et al.: DESIGN OF HIGH-ORDER WIDEBAND PLANAR BALUN FILTER IN

-PLANE BANDPASS PROTOTYPE

2127

Fig. 3. Frequency response of the synthesized fifth-order bandpass prototype.

TABLE I THEORETICAL CIRCUIT PARAMETERS OF BALUN FILTER

2. There are then still two scaling factors and that can scale the impedances of the circuit to reasonable values that can be controlled by designer. Here, and are set to be 1.69 and 2.01, respectively. Table I gives the theoretical impedance values of the proposed balun filter shown in Fig. 1(a) in a 50system.

Fig. 4. (a) Final equivalent circuit of the balun filter. (b) Synthesized response. (c) Synthesized amplitude imbalance and phase difference of the balun filter.

upper stopband in Fig. 3 can then be suppressed well. The calculated capacitor values are pF

V. PHYSICAL IMPLEMENTATION AND RESULTS The impedance values of the proposed balun filter in Fig. 1(a) are synthesized as listed Table I. However, it is difficult to implement the series or parallel open- and short-circuit stub pair in the planar circuit. To simply the circuit, the lumped-element approximation is applied. As described in [15], because we use the -plane bandpass prototype, the open-circuit stubs can be approximated by the lumped capacitors through the following equation: (22) is the synthesized ideal impedance value in Table I, where and is the approximated capacitor value. The above equation only approximates the characteristic of the open-circuit stubs at the vicinity of the designate center frequency. However, at the center frequency of each spurious passband, the value of each lumped capacitor will not fit the relation in (22). Using the advantage of this property, all the open-circuit stubs are substituted with lumped capacitors. The spurious response in the

pF pF pF

(23)

and the final equivalent circuit of the balun filter is shown in section is omitted because the impedance Fig. 4(a). The synthesized in Table I is much larger than the intrinsic impedance in free space, which is equal to 377 . The synthesized results are shown in Fig. 4(b) and (c). The balun filter is implemented on an RT/Duroid 6010 board with a dielectric constant of 10.2 and a thickness of 0.635 mm (25 mil). The specification is given in the design example in Section III. Fig. 5 shows the schematic layout of the balun filter in Fig. 4(a). The physical dimensions are shown in Table II. The short-circuit stub , UE , and the 50- de-embedded section are implemented by microstrip lines. The short-circuit stub and UE are formed by coplanar striplines. To implement the whole circuit easily, the UE and use one section of coplanar stripline and one section of slotline. The short-circuit stubs and are implemented by offset microstrip lines,

2128

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 5. Layouts show: (a) top and (b) bottom layers of the proposed balun filter.

TABLE II PHYSICAL DIMENSIONS OF THE PROPOSED BALUN FILTER

Fig. 7. Simulated and measured return loss, insertion loss, amplitude imbalance, and phase difference of the balun filter.

Fig. 6. (a) Top and (b) bottom views of the fabricated balun filter.

which are used to increase the characteristic impedance of the transmission lines. The commercial electromagnetic simulator HFSS is used to simulate and get the dimension of each transmission-line element. Combining all the transmission-line elements, as shown in Fig. 5, and adding internal ports at the places where the lumped capacitors should be soldered, the simulated result is then extracted into the circuit simulator ADS and optimized with the lumped capacitor values. Finally, the lumped capacitors – are chosen to be 4.4, 2.5, 4.4, and 4 pF, respectively. The top and bottom view of the fabricated circuit is

Fig. 8. Simulated and measured wideband response of the balun filter.

shown in Fig. 6. The capacitor is on the top layer, and the capacitors – are on the bottom layer. The simulated and measured results are shown in Fig. 7. The return loss is almost under 15 dB in the passband, as we expected. The measured minimum insertion loss in the passband is 3 1.07 dB, and the simulated minimum insertion loss is 3 0.147 dB. The phase difference is within 180 2 in the passband, and the amplitude difference is within 0.4 dB in the passband. The measured responses match well with the simulated results.

LIN et al.: DESIGN OF HIGH-ORDER WIDEBAND PLANAR BALUN FILTER IN

-PLANE BANDPASS PROTOTYPE

TABLE III COMPARISONS AMONG THE PUBLISHED AND PROPOSED BALUN FILTER

Fig. 8 shows the wideband response of the proposed balun filter. It is obvious that the spurious of the theoretical response in Fig. 3 is periodically at 4, 6, and 9 GHz. Since all the open-circuit stubs are substituted by the lumped capacitors using (22), and it only approximates well at the designate center frequency of 1 GHz, the measured response shows better stopband rejection than the theoretical response. The upper stopband insertion loss is better than 23 dB up to 5.25 GHz, which is five times the center frequency. Table III compares the measured minimum insertion loss, 3-dB bandwidths, phase balance, amplitude balance, and the stopband suppressions for the published and proposed balun filter.

VI. CONCLUSION A new wideband planar balun filter and its equivalent circuit model have been proposed. The exact synthesis method based on an -plane bandpass prototype is used to calculate the circuit element values. By introducing the redundant elements, the impedance transforming balun filter could be easily obtained and the synthesized impedance value of each transmission-line section is suitable for practical implementation. Applying the lumped capacitors to substitute open-circuit stubs can suppress the spurious response of the filter in the upper stopband. A fifth-order balun filter has been implemented to verify the feasibility of the proposed filter.

REFERENCES [1] S. C. Tseng, C. C. Meng, C. H. Chang, C. K. Wu, and G. W. Huang, “Monolithic broadband gilbert micromixer with an integrated Marchand balun using standard silicon IC process,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 12, pp. 4362–4371, Dec. 2006. [2] C. S. Lin, P. S. Wu, M. C. Yeh, J. S. Fu, H. Y. Chang, K. Y. Lin, and H. Wang, “Analysis of multiconductor coupled-line Marchand baluns for miniature MMIC design,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 6, pp. 1190–1199, Jun. 2007. [3] M. Chongcheawchamnan, K. S. Ang, J. N. H. Wong, and I. D. Robertson, “A push–pull power amplifier using novel impedance-transforming baluns,” in Proc. 30th Eur. Microw. Conf., Oct. 2000, pp. 1–4. [4] H. K. Chiou and T. Y. Yang, “Low-loss and broadband asymmetric broadside-coupled balun for mixer design in 0.18- m CMOS technology,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 4, pp. 835–848, Apr. 2008.

2129

[5] L. K. Yeung and K. L. Wu, “An LTCC balanced-to-unbalanced extracted-pole bandpass filter with complex load,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 4, pp. 1512–1518, Apr. 2006. [6] P. cheong, T. H. Lv, W. W. Choi, and K. W. Tam, “A compact microstrip square-loop dual-mode balun-bandpass filter with simultaneous spurious response suppression and differential performance improvement,” IEEE Microw. Wireless Compon. Lett., vol. 21, no. 2, pp. 77–79, Feb. 2011. [7] T. Yang, M. Tamura, and T. Itoh, “Compact hybrid resonator with series and shunt resonances used in miniaturized filters and balun filters,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 2, pp. 390–402, Feb. 2010. [8] S. Sun and W. Menzel, “Novel dual-mode balun bandpass filters using single cross-slotted patch resonator,” IEEE Microw. Wireless Compon. Lett., vol. 21, no. 8, pp. 415–417, Aug. 2011. [9] D. Kuylenstierna and P. Linner, “Design of broadband lumped-element baluns with inherent impedance transformation,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 12, pp. 2739–2745, Dec. 2004. [10] N. Marchand, “Transmission line conversion transformers,” Electron, vol. 17, no. 12, pp. 142–145, Dec. 1944. [11] J. Cloete, “Exact design of the Marchand balun,” in Proc. 9th Eur. Microw. Conf., Sep. 1979, pp. 480–484. [12] M. C. Horton and R. J. Wenzel, “General theory and design of optimum quarter-wave TEM filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-13, no. 3, pp. 316–327, May 1965. [13] C. L. Goldsmith, A. Kikel, and N. L. Wilkens, “Synthesis of Marchand baluns using multilayer microstrip structures,” Int. J. Microw. Millimeter-Wave Comput.-Aided Eng., vol. 2, no. 3, pp. 179–188, 1992. [14] J.-C. Lu, C.-C. Lin, and C.-Y. Chang, “Exact synthesis and implementation of new high-order wideband Marchand balun,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 1, pp. 80–86, Jan. 2011. [15] W. M. Fathelbab and M. B. Steer, “New classes of miniaturized planar marchand baluns,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1211–1220, Apr. 2005. [16] W. M. Fathelbab and M. B. Steer, “Tapped Marchand baluns for matching applications,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 6, pp. 2543–2551, Jun. 2006. [17] W. M. Fathelbab, “The synthesis of a class of branch-line directional couplers,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 8, pp. 1985–1994, Aug. 2008. [18] H. J. Orchard and G. C. Temes, “Filter design using transformed variable,” IEEE Trans. Circuit Theory, vol. CT-15, no. 4, pp. 385–408, Dec. 1968. [19] R. J. Wenzel, “Synthesis of combline and capacitively loaded interdigital bandpass filters of arbitrary bandwidth,” IEEE Trans. Microw. Theory Tech., vol. MTT-19, pp. 678–686, Aug. 1971. [20] J. A. G. Malherbe, Microwave Transmission Line Filters. Norwell, MA: Artech House, 1979. [21] W. M. Max, Microwave and RF Circuits: Analysis, Synthesis and Design. Boston, MA: Artech House, 1992. [22] L. Weinberg, Network Analysis and Synthesis. New York: McGrawHill, 1962. [23] E. A. Guillemin, Synthesis of Passive Networks. New York: Wiley, 1957. [24] N. Balabanian, Network Synthesis. Englewood Cliffs, NJ: PrenticeHall, 1958. [25] P. I. Richards, “General impedance-function theory,” Quart. Appl. Math, vol. 6, pp. 21–29, 1948.

Yun-Wei Lin was born in Taipei, Taiwan, on May 3, 1985. He received the B.S. degree in communication engineering from National Chiao Tung University, Hsinchu, Taiwan, in 2007, and is currently working toward the Ph.D. degree in communication engineering at National Chiao-Tung University. His research interests include the design and analysis of microwave circuits.

2130

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Jhe-Ching Lu was born in Kaohsiung, Taiwan, on May 18, 1982. He received the B.S. degree in electrical engineering from National Sun Yat-Sen University, Kaohsiung, Taiwan, in 2004, and the M.S. and Ph.D. degrees in communication engineering from National Chiao-Tung University, Hsinchu, Taiwan, in 2006 and 2009, respectively. In 2009, he joined the Taiwan Semiconductor Manufacture Company Ltd. (TSMC), Hsinchu, Taiwan. He is currently with the RF Modeling Program, TSMC. His research interests include the analysis and design of microwave and millimeter-wave circuits and RF device characterization and modeling.

Chi-Yang Chang (S’88–M’95) was born in Taipei, Taiwan, on December 20, 1954. He received the B.S. degree in physics and M.S. degree in electrical engineering from the National Taiwan University, Taipei, Taiwan, in 1977 and 1982, respectively, and the Ph.D. degree in electrical engineering from The University of Texas at Austin, in 1990. From 1979 to 1980, he was with the Department of Physics, National Taiwan University, as a Teaching Assistant. From 1982 to 1988, he was with the Chung-Shan Institute of Science and Technology (CSIST), as an Associate Researcher, where he was in charge of development of microwave integrated circuits (MICs), microwave subsystems, and millimeter-wave waveguide -plane circuits. In 1990, he returned to CSIST, where until 1995, he was an Associate Researcher in charge of development of uniplanar circuits, ultra-broadband circuits, and millimeter-wave planar circuits. In 1995, he joined the faculty of the Department of Electrical Engineering, National Chiao-Tung University, Hsinchu, Taiwan, as an Associate Professor and became a Professor in 2002. His research interests include microwave and millimeter-wave passive and active circuit design, planar miniaturized filter design, and monolithic-microwave integrated-circuit (MMIC) design.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

2131

On the Relation Between Stored Energy and Fabrication Tolerances in Microwave Filters Monica Martinez-Mendoza, Member, IEEE, Christoph Ernst, Member, IEEE, Jose Antonio Lorente, Alejandro Alvarez-Melcon, Senior Member, IEEE, and Fabien Seyfert

Abstract—In this paper, a new approach for the sensitivity analysis of microwave filter networks is presented. It is shown that the standard method of sensitivity calculation based on a tuned filter is only valid for infinitesimal geometry changes and not meaningful for practical tolerance values. However, when the sensitivity calculation is expanded to also include sensitivities of detuned filters, it is shown that accurate tolerance predictions can be made even for large geometry variations. It is found that sensitivities can be related to the stored energy distribution in the filter. Transversal and ladder network-type topologies are examined, and it is demonstrated for the first time that, for in-line topologies, sensitivity can be predicted directly from the group delay of the filter in Chebyshev filters. In order to demonstrate the usefulness of the results obtained, the maximum degradation of the in-band performance has been directly obtained from the group delay for different inline filters. Index Terms—Bandpass filters, resonator filters, sensitivity, tolerance analysis.

I. INTRODUCTION

T

HE sensitivity of a microwave filter depends on several factors. On the one hand, the filter sensitivity will vary depending on the transfer function selected for the filter synthesis [1]–[4]. In [1], a new family of filter transfer functions called chained function filters was presented. These chained function filters [2] have the property of having a reduced sensitivity to manufacturing errors. The reduction is achieved by controlling the position of the return loss zeros while maintaining a given maximum ripple in the in-band response. Furthermore, a different category of filtering functions with the reflection zeros redistributed to provide higher attenuation lobes near the band edge compared with the lobes near the center frequency was proposed in [3], allowing for transfer functions with reduced sensitivity. Manuscript received December 11, 2011; accepted March 07, 2012. Date of publication May 17, 2012; date of current version June 26, 2012. This work was supported in part by the Spanish Ministry through Research Project TEC201021520-C04-04, by the European FEDER funds, and by the European Space Agency under Networking Partnering through Initiative 22736/09/NL/GLC. M. Martinez-Mendoza is with the Instituto de Telecomunicaciones y Aplicaciones Multimedia (iTEAM), Universidad Politecnica de Valencia, 46022 Valencia, Spain (e-mail: [email protected]). C. Ernst is with the TEC-ETM ESA/ESTEC, 2202 AZ Noordwijk ZH, The Netherlands (e-mail: [email protected]). J. A. Lorente and A. Alvarez-Melcon are with the Technical University of Cartagena, Campus Muralla del Mar s/n, Cartagena E-30202, Spain (e-mail: [email protected], [email protected]). F. Seyfert is with the INRIA, BP 93, 06902 Sophia Antipolis, France (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2195023

On the other hand, the sensitivity will depend on the selected coupling scheme to implement the filter transfer function. A specific filtering response can be realized by different networks [5], [6]. The study of the sensitivity of these different networks is important to find the least sensitive coupling scheme to improve fabrication yield but also to evaluate the influence of temperature variations [7], [8]. In [7], it was shown that the sensitivity of a specific filter topology can be evaluated by studying the components of the gradient of the reflection and transmission coefficients with respect to the entries of the coupling matrix. Different topologies were compared taking into account all the possible errors, in order to consider the worst case scenario. In [9], the individual components of the gradients of the reflection coefficient with respect to the diagonal entries of the coupling matrix were evaluated. In this way, the effect on the in-band performance due to small errors in the resonant frequencies of the individual resonators were assessed, since the resonator lengths variations are directly translated into variations of the diagonal coupling elements. The reflection coefficient was selected, because it is the most sensitive parameter for the in-band performance of a filter, and only the diagonal elements were studied because the resonators are much more sensitive than the couplings elements themselves. In a practical situation, the goal is to determine the relation between the maximum variation of the reflection coefficient for a given shift in the resonant frequency due to fabrication tolerances. Then, it is possible to predict a priori the in-band degradation due to mechanical tolerances or thermal variations. with reIn contrast to [7] and [9], where the sensitivity spect to the diagonal coupling terms is defined, in this work a new sensitivity for de-tuned filters is presented, for the first time, to calculate the maximum variation of the reflection coefficient. It will be shown that, only using this new expanded sensitivity, accurate tolerance predictions can be made. In fact, the calculation of the maximum variation in the reflection parameter from the standard sensitivity is only accurate for very small variations of , which is not very useful considering practical manufacturing tolerances. Furthermore, the sensitivity in the in-line topology [see Fig. 1(b)] is deeply investigated in this paper. It has been already shown in [9] and [10] that there is a strong relation between sensitivity and stored energy. However, from [11], [12], it follows that there must also be a relation with respect to group delay. Indeed, it is found that, for in-line networks, the maximum variation of the reflection parameter can be precisely approximated by considering just the group delay of the filter. Nevertheless, this finding cannot be directly

0018-9480/$31.00 © 2012 IEEE

2132

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

to be defined. The idea is to find a new parameter equal to or at least larger than the ratio between the variation of the reflection parameter and the variation of the diagonal coupling term as follows: (4)

Fig. 1. (a) Transversal

th-order topology. (b) Inline

th-order topology.

By doing this, it will be possible to calculate a maximum level of the error in the reflection parameter given a manufacturing tolerance as (5)

generalized to any topology, and the transversal topology [see Fig. 1(a)] is considered in this paper as a counter example. Finally, the reasons for the different behaviors between in-line and transversal topologies will be outlined. Note that the theory presented in this paper improves the sensitivity predictions in microwave filters with respect to other empirical approaches used in the past [13].

Hence,

is chosen as (6)

with (7) (8)

II. EXPANDED SENSITIVITY In [9], the individual components of the gradients of the rewith respect to the diagonal entries of flection coefficient the coupling matrix were evaluated as (1) where is the diagonal term associated to resonator “ ” in the coupling matrix, and stands for , which means that the diagonal coupling term is the one directly obtained from the synthesis process without any variation. For very small variations of the coupling matrix terms , the variation of the reflection parameter corresponding to small errors in the resonant frequencies of the resonators may be calculated by using the standard sensitivity defined in (1) as follows: (2) This is possible since the errors in the resonator lengths are directly translated into variations of the diagonal coupling elements, and, consequently, the value can be calculated from the tolerance value of the manufacturing technology, i.e.,

In this way, rather than finding the standard sensitivity of the designed filter, the sensitivities of several detuned filters are calculated. The detuned filter responses are obtained by simply shifting the diagonal coupling term from the nominal value within the interval . Then, the maximum value of these different sensitivities at each frequency point is taken to calculate . The limits of the interval are defined by the maximum deviation that can be calculated from the tolerance value of the manufacturing technology. Finally, to calculate the maximum level of the deviation in the reflection parameter taking into account the effects of the errors in all of the resonators of an th-order filter simultaneously, the following expression applies (see [14]): (9) Several examples will be shown in the following to illustrate the standard sensitivity limitations and the ability of the to overcome these limitanew expanded sensitivity tions. The relationship between the expanded sensitivity and the group delay will also be explored for the inline and the tranversal topologies.

(3) Note that the first factor may be easily calculated from the low-pass to bandpass transformation, and the second factor from the relationship between the resonant frequency of the resonator and the variation of the physical dimension . The tolerance . value of the manufacturing technology is However, as will be shown in the examples, (2) is accurate only for infinitesimal changes in due to the intrinsic defi. For larger values of correnition of the derivative sponding to larger tolerances, a new parameter needs

III. INLINE TOPOLOGY The inline topology with only transmission zeros at infinity is composed of resonators coupled, as shown in Fig. 1(b). It is a well-known topology used for many decades in a large variety of applications. A.

Prediction

Equation (9) gives the maximum deviation of the reflection parameter when fabrication errors in the resonators are considered. This expression may be simplified for realizations where

MARTINEZ-MENDOZA et al.: ON THE RELATION BETWEEN STORED ENERGY AND FABRICATION TOLERANCES IN MICROWAVE FILTERS

Fig. 2. Three-dimensional expanded sensitivity in the first resonator of a second-order inline filter in the interval . The plot for the second resonator is identical.

identical resonators are used. Then, becomes

2133

Fig. 3. Level curves of the expanded sensitivity shown in Fig. 2.

and (9)

(10)

B. Example 1: Second-Order Chebyshev Filter As a first example, consider the expanded sensitivity in a second-order Chebyshev filter with 25-dB return loss and the following coupling matrix obtained as detailed in [15]: (11)

The expression for the maximum sensitivity in (6) depends simultaneously on the frequency point and on the value. Therefore, it can be represented in a three dimensional graphic. This is shown for the first resonator for in Fig. 2. In addition, the level curves of the three-dimensional (3-D) expanded sensitivity shown in Fig. 2 are shown in Fig. 3. Note that the plot for the second resonator has been omitted since it is identical. Fig. 2 shows that the variation of the reflection parameter with respect to the diagonal coupling term ( -axis) is strongly dependent not only on the frequency but also on the specific value itconsidered of the diagonal coupling term self. This can also be observed in Fig. 4. This figure shows the expanded sensitivity (maximum variation of the reflection parameter with respect to the diagonal coupling term for values between and ), together with the standard sensitivity (variation of the reflection parameter with respect to the diagonal coupling term when ). It is observed that the expanded sensitivity has two peak values at the frequencies of the reflection poles, which are missing for the standard sensitivity . Also, the standard sensitivity indicates that the filter

Fig. 4. Expanded sensitivity in resonator 1 of a second order inline , as compared to the standard sensitivity . The filter and . plot for resonator 2 is similar

presents zero sensitivity at the center frequency, whereas the expanded sensitivity shows that this is not the case. Just a small detuning results in a significant change of sensitivity. It can be concluded that the expanded sensitivity allows the calculation of a maximum level of deviation in the reflection parameter (10) and overcomes the limitations of the standard sensitivity calculation. These limitations are specially significant for large values of corresponding to large manufacturing tolerances. C. Relationship With Stored Energy and Applications The time-average stored energy (t.a.s.e) in conventional Chebychev low-pass prototype filters has been investigated in the past [16], and the peak values of the stored energy in the resonators of different networks up to high order were recorded in tables [17]. In addition, it was shown in [11] and [12] that the total stored energy of any passive lossless network may be calculated by directly multiplying the group delay and the available power from the generator.

2134

Fig. 5. Expanded sensitivity ergy in individual resonators. Inline topology of fourth order.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

and stored en-

On the other hand, the existence of a close relationship between the t.a.s.e in conventional Chebyshev low-pass prototype filters and the standard sensitivity was recently reported in [9]. These two parameters are closely related, in such a way that the stored energy is always larger than the standard sensitivity at any frequency point. We now proceed and consider the relationship between the expanded sensitivity and the stored energy for inline networks. The stored energy of a low-pass prototype filter is obtained by adding the stored energy of the individual circuit elements of the prototype [16]. Thereby, the total stored energy of a specific topology can directly be obtained from the value of the capacitors and the voltages forming each resonator, as (12) (13) In Fig. 5, the expanded sensitivity together with the tase for the resonators of a fourth-order network with 25-dB return losses are shown. The coupling matrix of this filter, obtained as detailed in [15], results in

(14) Note that the dimensional information has been omitted in the graphic. The sensitivity is given as a change of over change of , whereas the tase is given in Joules. The resonators are ordered by proximity to the source, in such a way that the first resonator is the closest one to the source, and the last resonator is the closest one to the load. It can be observed in Fig. 5 that the stored energy of each resonator is symmetrical with respect to the center frequency,

Fig. 6. Expanded sensitivity ergy in individual resonators. Inline topology of fifth order.

and stored en-

and the total stored energy is spread among the four resonators. Note that a similar behavior is observed for the expanded sensitivity , as with the standard sensitivity in [9]. Furthermore, it is noticed from the same figure that the expanded sensitivity agrees with the individual stored energy in those points where presents a local maximum. Howis never larger than the stored energy . The ever, local maximums of the expanded sensitivity are located at the frequencies where the reflection poles occur. Both the expanded sensitivity and the stored energy for an odd degree case with 25-dB return losses and composed of five resonators are shown in Fig. 6. Note that, in this case, the local maximums of the sensitivity are five since this is the order of the network. Note also that, in the case of odd degree, the expanded sensitivity is equal to the stored energy in the central resonator at all frequencies inside the passband. The total stored energy of a low-pass prototype filter is obtained by adding the stored energy in the individual elements of the prototype (12). On the other hand, the sum of the individual expanded sensitivities is needed in order to calculate the maximum level of deviation in the reflection parameter of inline topologies, as indicated by (10). Both the total stored energy and the sum of the expanded sensitivities are shown in Figs. 7 and 8 for the fourth- and fifth-order Chebychev filters under study. As expected, the total stored energy is larger than the sum of the expanded sensitivities . Furthermore, the local maximums of the expanded sensitivity are located at the frequencies where the reflection poles occur. This behavior may be verified for filters up to any order of odd and even degrees. In all cases, the expanded sensitivity is enveloped by the stored energy. This occurs independently of the considered, i.e., independently of the manufacturing tolerance. A 15th-order example with a high value has been considered to show that this is indeed the case. Its total stored energy as well as the sum of the expanded sensitivities are shown in Fig. 9. When the fact that the total stored energy is larger than the sum of the expanded sensitivities is combined with the fact that the total stored energy of any passive lossless network may be calculated by directly multiplying

MARTINEZ-MENDOZA et al.: ON THE RELATION BETWEEN STORED ENERGY AND FABRICATION TOLERANCES IN MICROWAVE FILTERS

Fig. 7. Total expanded sensitivity stored energy. Inline topology of fourth order.

2135

and total Fig. 10. Effect in the reflection parameter of errors in each individual resonator of a fourth-order inline network, calculated from the expanded sensitivity and from the stored energy.

available power 1 W , the group delay larger than the total expanded sensitivity

is always : (15)

Note that, in (15), is the phase of the transmission parameter and is the normalized frequency in (rad/s). This leads to a new and interesting conclusion. A maximum level of the deviation in the reflection parameter taking into account the effect of the errors in all the resonators of an th-order inline filter can be obtained directly from the group delay of the response, without any additional calculation, as follows: Fig. 8. Total expanded sensitivity stored energy. Inline topology of fifth order.

Fig. 9. Total expanded sensitivity stored energy. Inline topology of 15th order.

and total

and total

the group delay and the available power from the generator [11], [12], it follows that, for lossless Chebyshev filters

(16) The effect in the reflection parameter due to errors in the resonators of the network can be easily calculated by adding the maximum deviation calculated to the ideal value . As exof the reflection parameter in the designed filter plained, this maximum deviation can be obtained by means of the expanded sensitivity (10) or by means of the group delay (16), which can be directly translated into the stored energy if the available power from the generator is known. In addition, the maximum deviation can be studied for errors in each individual resonator (see Fig. 10 for a fourth-order example and Fig. 11 for a fifth-order example) or for errors in all of the resonators at the same time (see Fig. 12 for a fourth-order example and Fig. 13 for a fifth-order example). Figs. 10 and 11 show how the effect of an error in one of the resonators is not concentrated in just a small portion of the passband, but in different parts of it, as typically occurs in inline networks. Note also that the minimum value of the sensitivity is due to the resonators located closer to the source and the load, whereas the resonators located in the center of the network produce the maximum values for the sensitivity. In order to better study this behavior, the peak values of the expanded sensitivity inside the passband have been plotted for each resonator of several Chebyshev filters up to order 16 together with the stored energy, in Fig. 14. In this

2136

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 11. Effect in the reflection parameter of errors in each individual resonator of a fifth-order inline network, calculated from the expanded sensitivity and from the stored energy.

Fig. 12. Effect in the reflection parameter of errors in all the resonators of a fourth order inline network at the same time, calculated from the expanded sensitivity and from the stored energy.

Fig. 13. Effect in the reflection parameter of errors in all the resonators of a fifth order inline network at the same time, calculated from the expanded sensitivity and from the stored energy.

Fig. 14. Peak values of the expanded sensitivity as compared to the peak values of stored energy inside the passband for filters of , , , and . order

figure, it is shown again that the peak stored energy is always larger and close to the expanded sensitivity. We clearly observe that, as indicated, larger sensitivities occur in the central resonators of the network. D. Validation As validation, a maximum level of deviation in the reflection parameter has been calculated for four different filters by means of the two alternatives presented in this paper, namely the expanded sensitivity and the group delay of the response . In addition, the actual deviation occurred in the filter for a given manufacturing tolerance has been obtained by performing yield analysis of the four designed filters in Wave Wizard WW . The filters have designed employing a WR-75 waveguide, in inline configuration. The yield analysis is performed by applying random errors—uniform distribution—to the resonator lengths up to the maximum indicated by the considered manufacturing tolerance in each case. The results of the yield analysis performed with

Fig. 15. Yield analysis performed with Wave Wizard for the first design of Table I. Tolerance is a uniform random variables distributed between 0.0038 and 0.0038 mm.

Wave Wizard for the first design are shown in Fig. 15 for illustration. The designed filter prototype is shown in Fig. 16.

MARTINEZ-MENDOZA et al.: ON THE RELATION BETWEEN STORED ENERGY AND FABRICATION TOLERANCES IN MICROWAVE FILTERS

Fig. 16. Filter prototype for the first design of Table I. Dimensions: 4.18 mm, 3.72 mm, and 1 mm.

10 mm,

17.68 mm,

18.92 mm,

18.99 mm,

2137

7.98 mm,

TABLE I PREDICTION BY USING THREE DIFFERENT METHODS: THE METHOD PRESENTED IN [13], EXPANDED SENSITIVITY, AND GROUP DELAY. FOR VALIDATION, THE DEGRADATIONS OBTAINED WITH A YIELD ANALYSIS OF THE FOUR FILTERS DESIGNED IN WAVE WIZARD ARE ALSO INCLUDED WW . CHEBYSHEV NETWORK, 11 GHz, 25 dB. INLINE TOPOLOGY

The

three

aforementioned values ( , , WW ) are compared in Table I with the predictions obtained from the method presented in [13]. It can be observed that the value predicted using [13] is lower than the actual error variation in any case. On the contrary the expanded sensitivity and the group delay allows the prediction of a maximum limit for the , with good accuracy. Note that the expanded sensitivity and the group delay methods allow to assure that the predicted degradation of the filter response will never exceed a specified maximum limit which is not far from the actual degradation. It can be noticed that the difference between the maximum limit predicted by the expanded sensitivity and the actual WW is larger for higher order filters since deviation the probability to reach the worst case (i.e., to have errors in all the resonators simultaneously) is lower. IV. TRANSVERSAL TOPOLOGY The transversal topology is composed of resonators coupled in parallel configuration, as shown in Fig. 1(a). This topology is the opposite of the inline in the sense that in the transversal topology all the resonators are coupled to the source and the load with no coupling between resonators. The sensitivity study of this canonical network will be presented in the following. It will also be shown that in general the group delay is not larger than the expanded sensitivity, being the transversal a counter example of the inline topology studied in the previous section. A.

Prediction

The maximum level of the deviation in the reflection parameter taking into account simultaneously the effects of the errors in all the resonators of an th-order filter may be calculated by means of (9). Note that this expression cannot be simplified as in inline network since, in transversal topologies, all of the resonators are tuned at different frequencies. This means that the

Fig. 17. Three-dimensional expanded sensitivity in resonators of a second 0.0528 and 0.0573). order transversal filter (

variation in diagonal coupling terms is different in different resonators for the same manufacturing tolerance. The expanded sensitivity of a second-order transfer function of 25-dB return losses, implemented in transversal configuration, is investigated here. The transversal coupling matrix of this filter, obtained as detailed in [15], results in

(17)

The 3-D plot of the expanded sensitivity is shown in Fig. 17 for the two resonators of the network. In addition, the level curves of the 3-D expanded sensitivity shown in Fig. 17 are shown in Fig. 18. A tolerance of 0.01 mm has been consid0.0528 and 0.0573. ered, leading to Fig. 17 shows that the expanded sensitivity in the first and the second resonators of a second-order transversal network are different, contrary to what was observed in the resonators of a second-order inline network. In addition, it can be observed in

2138

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 18. Level curves of the expanded sensitivity shown in Fig. 17.

Fig. 20. Peak values of the expanded sensitivity for two different tolerances compared with the peak values of stored energy inside the passband. Filters of , , , , and are considered. order Fig. 19. Expanded sensitivity onators. Transversal topology.

and stored energy in individual res-

Fig. 17 that, in the transversal topology, the expanded sensitivity does not vary a lot for small variations in the diagonal ). However, if coupling terms (small changes in reaches large values, the expanded sensitivity can be very different from the standard sensitivity (given by at ). B. Relationship With Stored Energy and Applications The practical applications of the novel expanded sensitivity as well as its relationship with the stored energy in transversal topologies are detailed in the following. The expanded sensitivity together with the tase for a fourth-order transversal network with 25-dB return losses is shown in Fig. 19. The transversal coupling matrix of this filter, obtained as detailed in [15], results in

(18)

The resonators are ordered by their resonant frequencies, in such a way that resonators 1 and 4 are the resonators tuned at the highest and the lowest frequency, respectively. Fig. 19 shows that the expanded sensitivity is not enveloped by the stored energy of the original designed filter as in inline topologies. Therefore, the group delay cannot be employed in transversal networks to predict a maximum level of variation in the reflection parameter. However, for the maximum value of the tolerance considered in this example, the maximum variation of the diagonal coupling terms is not very large, and both the expanded sensitivity and the stored energy inside the passband results to be very similar in all resonators (see Fig. 19). The idea was to point out that, although the expanded sensitivity and the stored energy can be quite different in the resonators of a transversal network when is large, in this value case they do not differ a great deal because the is not very high. The peak values of the expanded sensitivity for two different tolerances are compared with the peak values of stored energy inside the passband in Fig. 20. Filters of order , , , , and are considered. It is observed that the minimum values of the expanded sensitivity and minimum value of the stored energy are in the resonators tuned in the proximity of the center frequency of the filter, whereas the maximum values are in the resonators tuned closer to the band edges. Symmetry with respect to the resonator/s tuned in the

MARTINEZ-MENDOZA et al.: ON THE RELATION BETWEEN STORED ENERGY AND FABRICATION TOLERANCES IN MICROWAVE FILTERS

Fig. 21. Effect in the reflection parameter of errors in each individual resonator of a fourth-order transversal network, calculated from the expanded sensitivity.

center of the passband is observed, both in peak stored energy and peak sensitivity. Finally, note that the differences between the peak stored energy of the original designed filter and the peak expanded sensitivity are larger as the considered tolerance value is increased. This is related to the fact that, in transversal networks, large values of tolerances produce swapping in the resonant frequencies of the resonators (i.e., the original order in the position of the resonant frequencies of the resonators might be altered). The swapping provoke the appearance of transmission zeros inside or close to the passband, and thereby the sensitivity drastically increases. This behavior is in contrast to what occurred in inline networks, where the sensitivity was always smaller (or equal) than the stored energy of the original designed filter for any tolerance value. In Fig. 20, we observe that peak stored energy and peak sensitivity are very similar for resonators tuned at frequencies inside the passband. Only for the resonators tuned at the edges of the passband the peak sensitivity can be considerably larger than the peak stored energy. This is because the extra transmission zeros that appear in the response have a stronger influence on these resonators due to their proximity in frequency. In this context, the tolerance corresponding to values provoking swapping in the resonant frequencies should be considered as a limiting factor. If the tolerance of the manufacturing technology is larger than this value, it might not be worth to build the filter in transversal configuration since it will present a very high sensitivity. In order to assure that swapping in the resonant frequencies will not occur, the value has to be lower than the difference between the diagonal coupling term of the resonator and the diagonal coupling term of the resonator tuned next to it. On the other hand, the individual expanded sensitivities may be used to calculate the maximum level of deviation in the reflection parameter of transversal networks by using (9). This level may be calculated taking into account the errors produced in each resonator individually or all of them at the same time. Fig. 21 shows the maximum level of deviation predicted by the expanded sensitivity due to each individual resonator. Note that the error in the reflection parameter is more significant in one side of the passband than in the other

2139

Fig. 22. Effect in the reflection parameter of errors in all the resonators of a fourth-order transversal network at the same time, calculated from the expanded sensitivity.

as indicated by the sensitivities of Fig. 19. Also, it can be noticed that the maximum deviation in the reflection parameter is predicted for errors in the resonators tuned at the lowest or the highest frequencies, and its value is larger than the maximum deviation predicted for the same transfer function implemented in inline configuration (see Fig. 5), indicating that errors in the individual resonators of a transversal network can affect the transfer function worst than the same errors in the individual resonators of an inline network. The maximum level of deviation in the reflection parameter, taking simultaneously into account the errors in all of the resonators, is shown in Fig. 22. Finally, it is worth highlighting two interesting facts. First, it is well known that the transversal topology is a canonical configuration which allows the implementation of any filtering transfer function. Thus, it is a more versatile topology than the inline. However, when implementing a pure Chebyshev filter (with no transmission zeros at finite frequencies), it makes no sense to implement it through a transversal topology if we cannot impose constraints which maintain the transfer function as an all-pole. The imposition of these constraints is usually not easy since manufacturing errors are random. Thereby, in general, it will be better to implement an all-pole Chebyshev filter in inline configuration than in transversal configuration, since undesired transmission zeros will not appear unless new couplings between the elements of the network appear during the manufacturing process. Second, this observation leads to another interesting appreciation. A transversal network is a system with a large number of degrees of freedom. Thus, it allows to design a wider range of transfer function than other networks with lower degrees of freedom such as the inline. However, this also implies that, unless constraints are imposed on this large number of degrees of freedom, it becomes difficult to maintain the filter response within a subset of transfer functions. C. Validation As validation, a maximum level of deviation in the reflection parameter has been calculated for the same four different filters as studied in the inline topology. The expanded

2140

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

TABLE II PREDICTION BY USING THE EXPANDED SENSITIVITY. CHEBYCHEV NETWORK,

sensitivity is employed to calculate the maximum level of deviation. In addition, the actual deviation occurred in the filter for a given manufacturing tolerance has been defined as (Matrix), and it has been obtained by applying random errors—uniform distribution—to the diagonal coupling matrix terms up to the maximum indicated by the considered manufacturing tolerance in each case. These two values are compared in Table II. It can be observed that the predicted value using the expanded sensitivity is similar to the actual deviation , validating the analysis. This shows that the new expanded sensitivity can be used to predict the degradation in practical filters due to real mechanical tolerances. V. CONCLUSION In this paper, a novel approach for the sensitivity analysis of microwave filter networks has been presented. This new methodology has allowed to obtain an expanded sensitivity function useful not just for infinitesimal changes in the coupling terms but also for larger variations corresponding to real tolerances used in practice. The possibilities to establish a maximum level of deviation in the reflection parameter given a manufacturing tolerance for two useful topologies have been explored. In addition, for the particular case of the inline network, it has been shown that the group delay of the filter is sufficient to predict how sensitive the network will be. It is shown for the first time that maximum degradation of the in-band performance can be obtained simply from the group delay. This possibility of establishing a maximum degradation value that will not be exceeded was not possible with previous sensitivity analysis methods [8], [13]. The novel concepts presented in this paper provides a better insight into the sensitivity analysis of microwave filter networks. REFERENCES [1] M. Guglielmi and G. Connor, “Chained function filters,” IEEE Microw. Guided Wave Lett., vol. 7, no. 12, pp. 390–392, Jul. 1997. [2] C. E. Chrisostomidis and S. Lucyszyn, “On the theory of chained-function filters,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 10, pp. 3142–3151, Oct. 2005. [3] A. B. Jayyousi, M. J. Lancaster, and F. Huang, “Filtering functions with reduced fabrication sensitivity,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 5, pp. 360–362, May 2005. [4] C. A. Corral and C. S. Lindquist, “Sensitivity of classical filter transfer functions,” in Proc. 44th IEEE Midwest Symp. Circuits Symp., 2001, vol. 1, pp. 45–48. [5] A. Atia and A. Williams, “Narrow-bandpass waveguide filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-20, no. 4, pp. 258–265, Apr. 1972. [6] R. Cameron, J. C. Faugere, and F. Seyfert, “Coupling matrix synthesis for a new class of microwave filters,” in IEEE Int. MTT-S Microw. Symp. Dig., 2005, pp. 1–4.

,

dB. TRANSVERSAL TOPOLOGY

[7] S. Amari and U. Rosenberg, “On the sensitivity of coupled resonator filters without some direct couplings,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 6, pp. 1767–1773, Jun. 2003. [8] S. Amari, “Sensitivity of coupled resonator filters,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 47, no. , pp. 1017–1022, Oct. 2000. [9] M. Martínez-Mendoza, A. Álvarez-Melcón, and C. Ersnt, “Investigation of the relationship between sensitivity and stored energy,” in Proc. 40th IEEE Eur. Microw. Conf., Paris, France, Sep.–Oct. 1–26, 2010, pp. 970–973. [10] G. Kishi and T. Kida, “Energy theory of sensitivity in LCR networks,” IEEE Trans. Circuit Theory, vol. CT-14, no. 4, pp. 380–386, Dec. 1967. [11] G. Kishi and K. Nakazawa, “Relations between reactive energy and group delay in lumped-constant networks,” IEEE Trans. Circuit Theory, vol. CT-10, no. 1, pp. 67–71, Mar. 1963. [12] C. Ernst, V. Postoyalko, and N. Khan, “Relationship between group delay and stored energy in microwave filters,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 1, pp. 192–196, Jan. 2001. [13] M. Guglielmi and G. Connor, “Industrial implementation of tuning-less microwave filters,” Microw. Eng. Europe, vol. 70, pp. 39–40, Dec./Jan. 1996. [14] W. Kaplan, Advanced Calculus. Chapter 2. Total Differential, Fundamental Lemma. Reading, MA: Addison-Wesley, 2002. [15] R. J. Cameron, “Advanced coupling matrix synthesis techniques for microwave filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 1–10, Jan. 2003. [16] C. Ernst, “Energy storage in microwave cavity filter networks,” Ph.D. dissertation, Sch. Electron. Electr. Eng., Univ. of Leeds, Leeds, U.K., 2000. [17] C. Ernst and V. Postoyalko, “Prediction of peak internal fields in directcoupled-cavity filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 64–73, Jan. 2003.

Monica Martinez Mendoza (M’06) was born in Cartagena, Murcia, Spain, in 1983. She received the Telecommunications Engineer degree and Ph.D. degree from the Technical University of Cartagena, Cartagena, Spain, in 2006 and 2011, respectively. In 2007, she joined the Telecommunication and Electromagnetic Group, Technical University of Cartagena, Cartagena, Spain, as a Research Assistant, where she was involved with the development of novel transversal filtering structures for satellite systems. From September 2008 to August 2009, she was a Young Graduate Trainee (YGT) with the European Space Agency (ESA), European Space Research and Technology Centre (ESTEC, The Netherlands), during which time her research was focused on microwave filter theory. She is currently with the Institute of Telecommunications and Multimedia Applications (iTEAM), Technical University of Valencia (UPV), Valencia, Spain, as a Postdoctoral Researcher. Her current scientific interests include the analysis and design of microwave filters and multiplexers with innovative technologies.

Christoph Ernst (M’96) received the Dipl.-Ing. degree in electrical engineering from the University of Dortmund, Dortmund, Germany, in 1996, and the Ph.D. degree from the Institute of Microwaves and Photonics, University of Leeds, Leeds, U.K. in 2001. He is a Member of Staff with the European Space Agency, ESTEC, Holland. His current field of interest is novel techniques, technologies, and the design of microwave passive products for satellite-based systems.

MARTINEZ-MENDOZA et al.: ON THE RELATION BETWEEN STORED ENERGY AND FABRICATION TOLERANCES IN MICROWAVE FILTERS

2141

Jose Antonio Lorente was born in Murcia, Spain, in 1984. He received the Telecommunications Engineer degree from the Technical University of Cartagena, Cartagena, Spain, in 2008, where he is currently working toward the Ph.D. In 2007, he joined the Telecommunication and Electromagnetic Group, Technical University of Cartagena, Cartagena, Spain, as a Research Assistant, where he was involved with the study and development of new waveguide resonator geometries with reduced loss. From 2008 to 2011, he was with the European Space Agency (ESA/ESTEC) under the Networking Partnering Initiative (NPI) Program where he developed new techniques for loss reduction in waveguide filters.

lines, and microwave filters. From 1993 to 1995, he was with the Space Division, Industry Alcatel Espacio, Madrid, Spain, and was also with the ESA, where he collaborated in several ESA/European Space Research and Technology Centre (ESTEC) contracts. From 1995 to 1999, he was with the Swiss Federal Institute of Technology, École Polytechnique Fédérale de Lausanne (EPFL), Lausanne, Switzerland, where he was involved with the field of microstrip antennas and printed circuits for space applications. In 2000, he joined the Technical University of Cartagena, Cartagena, Spain, where he is currently developing his teaching and research activities. Dr. Alvarez Melcón was de recipient of the Journée Internationales de Nice Sur les Antennes (JINA) Best Paper Award for the best contribution to the JINA’98 International Symposium on Antennas, and the Colegio Oficial de Ingenieros de Telecomunicación (COIT/AEIT) Award to the best Ph.D. thesis in basic information and communication technologies.

Alejandro Alvarez Melcon (M’99–SM’07) was born in Madrid, Spain, in 1965. He received the Telecommunications Engineer degree from the Technical University of Madrid, Madrid, Spain, in 1991, and the Ph.D. degree in electrical engineering from the Swiss Federal Institute of Technology, Lausanne, Switzerland, in 1998. In 1988, he joined the Signal, Systems and Radiocommunications Department, Technical University of Madrid, Madrid, Spain, as a Research Student, where he was involved with the design, testing, and measurement of broadband spiral antennas for electromagnetic measurements support (EMS) equipment. From 1991 to 1993, he was with the Radio Frequency Systems Division, European Space Agency (ESA/ESTEC), Noordwijk, The Netherlands, where he was involved with the development of analytical and numerical tools for the study of waveguide discontinuities, planar transmission

Fabien Seyfert received the Engineering degree from the Ecole Superieure des Mines de St. Etienne, St. Etienne, France, in 1993, and the Ph.D. degree in mathematics from the Ecole Superierure des Mines de Paris, Paris, France, in 1998. From 1998 to 2001, he was with Siemens, Munich, Germany, as a Researcher specializing in discrete and continuous optimization methods. Since 2002, he has been a Researcher with the Institut National de Recherche en Informatique et en Automatique (INRIA), Sophia-Antipolis, France. His research interests are focused on the development of efficient mathematical procedures and associated software for signal processing including computer-aided techniques for the design and tuning of microwave devices.

2142

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

A Novel Compact Printable Dual-Polarized Chipless RFID System Md. Aminul Islam, Student Member, IEEE, and Nemai Chandra Karmakar, Senior Member, IEEE

Abstract—A novel compact design of an ultralow-cost fully printable slot-loaded dual-polarized chipless radio frequency identication tag is presented with four near- and far-field reading techniques. The tag consists of four rectangular metallic patches loaded with multiple slot resonators. Slots with the same polarization for adjacent frequencies are placed alternately into two patches to reduce the mutual coupling between the slots. Then two similar sets are placed in horizontal and vertical polarizations to double the number of bits within the same frequency bandwidth. The tag can be detected using dual-polarized waveguide(s) or dual-polarized antennas. This single-sided compact chipless tag has higher data capacity and lower cost compared with the existing printable chipless tags and can be used in personal ID or credit cards and banknotes and can be directly printed on paper or plastic packets for item-level tagging. Index Terms—Frequency-selective surfaces, radar cross section, radio frequency identification (RFID), RFID tags.

I. INTRODUCTION

R

ADIO frequency identification (RFID) is a wireless data capturing technology that uses radio frequency (RF) waves for extracting the encoded data from remotely placed tags. This system consists of two main elements, the RFID tag, where data is encoded, and the RFID reader, which is used for extracting the encoded data from the tags [1]. A block diagram of a typical RFID system is shown in Fig. 1. Here, the reader transmits the RF signal and when an RFIDtagged item comes inside the interrogation zone, it modulates the signal according to the encoded data stored inside the tag and the reader decodes the ID of the tagged item from the modulated signal and updates it in a shared database for further processing. The RFID tag has the potential to replace the barcode on account of its numerous advantages, including its long reading range, non-line-of-sight (NLOS) reading, and automated identification and tracking. The scope of application of this technology is expanding day by day, but it is still not used in low-cost applications because of its higher price compared with the barcode. The cost of the widely used passive tags Manuscript received November 27, 2011; revised March 23, 2012; accepted March 25, 2012. Date of publication May 17, 2012; date of current version June 26, 2012. This work was supported in part by the Australian Research Council Linkage Project under Grant LP0991435 (Back-scatter based RFID system capable of reading multiple chipless tags for regional and suburban libraries) and by the Department of Electrical and Computer Systems Engineering, Monash University. The authors are with the Department of Electrical and Computer Systems Engineering, Monash University, Victoria 3800, Australia (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2195021

Fig. 1. Block diagram of a RFID system.

depends mainly on the application-specific integrated circuit (ASIC) used in them [2]. Therefore, research has been focused on developing chipless printable RFID tags, which can be used like barcodes. However, the removal of the chip from the tag makes it inflexible for the encoding of higher numbers of bits within a small tag. A number of printable chipless RFID tags have been reported in the research literature using time-domain, frequency-domain, phase-domain, and image-based encoding techniques. A fully printable chipless RFID tag on paper substrate is presented in [3], where every bit is encoded by adding a shunt capacitor to the microstrip line, which increases the size of the tag linearly with the increase in the number of bits. A low-cost chipless RFID system for secure near-field data transfer is presented in [4] with a 9-b tag having an area of a conventional ID card. It uses frequency shifting principle by length variation of the dipoles and complex higher order mode detection techniques. Another printable chipless tag was proposed in [5]. A multiresonant dipole antenna (MRDA) was attached to a monopole antenna to encode bits here. However, it requires dual-side printing alignment and number of dipoles increases linearly with the number of bits. A chipless tag using spiral resonators has been reported in [6], but the size of the tag increases with the increment in the number of bits. A chipless tag using capacitively tuned dipoles in [7] has problems with size and parasitic mutual coupling. Another printable tag using a space-filling curve [8] has difficulties in encoding data. The chipless tag using stub-loaded multiple patch antennas (SLMPAs) is presented in [9], where data is encoded in the cross-polarized backscattered phase. However, if the backscattered phase is varied due to multipath, then it may cause bit-encoding errors [10]. Electromagnetic code is implemented in [11], where two split ring resonators (SRRs) are used to encode each bit. It also has problem with size. A compact tag is proposed in [12], where frequency-shifting technique is used by varying the length of coplanar strips. However, a compact fully printable tag with 64-b data capacity has not yet been proposed. If a low-cost compact, printable chipless tag with the required data capacity can be developed, it will gain wide acceptance in many passive RFID tag applications. The above literature is summarized in Table I with some other chipless RFID

0018-9480/$31.00 © 2012 IEEE

ISLAM AND KARMAKAR: NOVEL COMPACT PRINTABLE DUAL-POLARIZED CHIPLESS RFID SYSTEM

TABLE I COMPARISON OF DIFFERENT CHIPLESS RFID TAGS

2143

bandwidth limitation for encoding bits in the frequency domain using bandstop resonators. To overcome the above-mentioned limitations, a novel dualpolarized slot-loaded chipless RFID tag has been proposed in this paper. The tag consists of four patches loaded with vertically ( ) and horizontally ( ) polarized slot resonators. Initially, slots of the same polarization with adjacent frequencies are put alternately into two patches to reduce the mutual coupling between the slots [25]. Two similar sets are then placed in horizontal and vertical polarizations to double the encoding capacity of the frequency-domain-based tags within a fixed bandwidth [24]. The chipless RFID tag reader with dual-polarized antennas or waveguides can be modified to read the tag. Like most other designs, the size of our tag will not increase linearly with the number of bits as the bit representing slots will be cut inside the patches. This tag can be used in ID or credit cards, tickets, banknotes, and library books and can be directly printed on paper or plastic packets for item-level tagging. The remainder of this paper is organized as follows. Section II presents the related theory and operating principle of the proposed chipless RFID tag. Section III presents the design of the dual-polarized tag. Section IV presents the simulation and measurement results of the tag, followed by a conclusion in Section V. II. THEORY OF OPERATION A. Slot Resonators

tags. The table provides a detailed comparison of data density, printability, 64-b tag size, and increment in size with number of bits. The table indicates that, of the time-domain-based tags, only surface-acoustic-wave (SAW)-based tags have the required data density to accommodate 64 b [13] within a credit-card-sized area (85.60 mm 53.98 mm). However, the main drawbacks of this SAW-based tag are that it uses costly piezoelectric substrate and needs submicrometer photolithographic tools for its manufacture. These costly materials and manufacturing process keep the cost of SAW tags close to chipped tags. Moreover, using other printable TDR techniques in microstrip lines, it is not feasible to encode 64 b within a credit card. Therefore, time-domain-based tags could not be used for high-data-density tag manufacturing. In phase-domain-based tags, only the SLMPA is printable; however, as of yet insufficient reliable phase resolution has been achieved to encode 64 b within a credit card. Image-based tags are still in the experimental phase and need costly submicrometer-level printing. For the frequency-domain-based tags , it is observed that they have higher data density than time-domain-based tags, and a 35-b tag using spiral resonators and cross-polarized monopole antennas in the frequency domain has already been presented by Preradovic et al. [6]. However, to date, none can encode 64 b within a credit-card-sized area for different reasons. For most of the designs, the size of the tag increases linearly with the number of bits because of the addition of extra resonators. There is also a

The resonant frequency ( ) of a half-wave slot-line resonator with a physical length of can be approximated in [26] (1) where is the speed of light in free space and is the relative permittivity of the substrate. If a half-wave slot-line resonator is placed in a metallic patch, it will give signature at a frequency determined by (1). If slots of different lengths are placed inside the metallic patch, then it will give signatures at different frequencies. The asymmetric second-order resonant frequency for this slot resonator can be suppressed by exciting it with a symmetrical feed, as shown in Fig. 2 [26]. These frequency signatures due to the slots are independent of each other and can be removed by just removing or shorting the slots. Therefore, we can encode data using the frequency signatures of the slot resonators. No extra circuitry is required for encoding each bit. The second harmonic of the 3.2-GHz resonant slot will not appear at 6.4 GHz, but the third harmonic will appear at 9.6 GHz, therefore we can use resonant slots within 3.2–9.6 GHz of the ultra-wideband (UWB) band for encoding data. Moreover, if we illuminate a slot with an orthogonally polarized plane wave, no surface current will be induced around the slot [27]. This polarization property of the slot resonator is applied here to double the encoding capacity using slots with two orthogonal polarities. The reason could be explained using the frequency-selective surface (FSS) theory. When the plane wave illuminates a thin dipole, it induces a surface current around the dipole at its resonant frequency. From

2144

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 3. Working principle of the proposed slot-loaded chipless RFID tag.

Fig. 2. -polarized (Co) and -polarized (Cross) backscattered response of an -slot resonator inside a metal patch. (a) Patch with -slot in -pol. plane wave. (b) Frequency response. (c) Surface current at 6.9 GHz. (d) Patch with -slot in -polarized plane wave. (e) Frequency response. (f) Surface current at 6.9 GHz.

which can be derived for a thin slot from available entire domain basis functions using direct matrix inversion approach via Gaussian elimination as [27] (6) (7)

that surface current, the scattered field at point at can be calculated as [28]

due to source

, , where length of the slot, respectively, and

and

are the width and

(2) otherwise where

is the magnetic vector potential, , is the wave number, is the induced surface current, and is the free-space Green’s function. For a planar surface, only the and components of surface currents exist. Therefore, (2) can be expressed as (3) where and . The partial derivatives are , in the Fourier domain. replaced as After taking the inverse Fourier transform, the spatial-domain expression can be obtained as

(4) , for and , otherwise ( is an identity tensor). For aperture-type FSS, the concept of duality can be applied in (4) to obtain a relation between the magnetic field and magnetic surface current of an FSS aperture array as [27]

where

(5) is the equivalent magnetic surface current at the aperHere, ture. The solution of (5) yields the magnetic surface current,

otherwise It is seen that no magnetic surface current is induced across the shorter dimension of the slot. Therefore, the plane wave orthogonal to the longer dimension induces a magnetic surface current around the length of the slot at its resonant frequency, but the plane wave orthogonal to the shorter dimension induces no surface current. Hence, the slots of one polarity will not respond to the other polarity. We can therefore use slots with both polarities inside the same patch and illuminate it with a dual-polarized wave. The vertically polarized slots will respond only to the vertically polarized transmitted wave and the horizontally polarized slots will respond only to the horizontally polarized slots and this polarization theory for I-shaped slot resonators also works for the U-shaped slot resonators. B. Working Principle of the Proposed Chipless RFID Tag The working principle is shown in Fig. 3. The tag is excited by a dual-polarized transmitter antenna (Tx) and the frequency-encoded backscattered signal from the tag is received by another dual-polarized receiver antenna (Rx). The -polarized receiver ( ) will receive the frequency encoded signal from the -slots of the tag, since they show frequency response only to the -polarized transmitted signal ( ). Similarly the -polarized receiver ( ) will receive the frequency encoded signal from the -slots, which respond only to the -polarized transmitted signal ( ). The responses from and slots are independent of each other and can be changed without affecting the same frequency of the other. III. CHIPLESS RFID TAG DESIGN The proposed tag was designed and simulated in CST Microwave Studio 2011, and the simulation setup is shown in

ISLAM AND KARMAKAR: NOVEL COMPACT PRINTABLE DUAL-POLARIZED CHIPLESS RFID SYSTEM

Fig. 4. Simulation setup for the slot-loaded chipless tag.

Fig. 5. Layout of different slotted tags. (a) -bit slotted tag. (b) -polarization slotted tag. (c) -bit -polarization slotted tag. (d) dual-polarization slotted tag.

2145

Fig. 6. Patch loaded with four slots. (a) P1. (b) P2. (c) Simulation results. .

-bit -bit

Fig. 4. A dual-polarized plane wave is used for the excitation of the tag and - and -polarized -field (far-field) probes and RCS probes are placed 50 mm away from the tag to receive the far-field backscattered signal from the tag. The layout of an -bit slotted patch is shown in Fig. 5(a) with design parameters. Where and are the width and length of the patch, and are the width and length of the largest slot, is the separation between the slots and is the width of the slots. For keeping the design simple and easily printable, we have used the rectangular U-slot resonators inside the rectangular patch and kept and same for every slot. The slots are numbered according to their lengths and the slot number 1 is the longest slot and gives resonance at the lowest frequency, which is denoted as most significant bit (MSB). Slot number with highest frequency is denoted as least significant bit (LSB). The dimensions of the slot resonators are calculated using (1) to get the expected resonant frequencies. When a plane wave excites this slotted patch, it shows a frequency-selective behavior with deep notches at the resonant frequencies of the slots. The resonant frequency of the rectangular patch itself is much higher than the slots and it falls outside the desired frequency band. We can increase the number of bits by increasing the number of slots within the patch. However, if we place them very close to each other, it will increase the mutual coupling between the slots. Due to the increment in mutual coupling, removal or shorting of one slot will cause higher shifting in the resonant frequencies of the adjacent slots.

If we put slots in a new patch at the position of metal gap between the slots of the old patch, then the lengths and resonant frequencies of the new slot-loaded patch will automatically fit in between the old ones. The slots can be numbered as in Fig. 5(b) according to their lengths. As the lengths are different, we will obtain different frequency signatures for all of them. By using this method we can obtain double number of bits within the same bandwidth with less mutual coupling. We can change the logic state of a bit simply by shorting the slot at the corner point, which will take the resonant frequencies of the slots out of the frequency band of interest. The removal of notch frequency is denoted as logic “0” where the appearance of a notch is denoted as logic “1.” In the previous simulation, we have used -polarized plane wave as a transmitter antenna and -polarized probe as a receiver antenna to get the co-polar backscattered RCS for the -polarized tag. Similarly, -polarized plane wave and RCS probe can be used to get the backscattered response from the -polarized tag of Fig. 5(c). Since the -polarized slots will not respond to the -polarized plane wave and vice versa; we can use both - and -polarized slots in a single tag as shown in Fig. 5(d) and interrogate it with dual-polarized waves. It will overcome the bandwidth limitations in a single polarity and the data encoding capacity will be doubled for the frequency-domain-based tags. IV. RESULTS A. 8-b Vertically Polarized Tags To prove the design concept, we started with two rectangular metallic patches, each loaded with four slot resonators as shown in Fig. 6(a) and (b). The dimensions of the patch P1 are chosen as 0.2 mm, 0.3 mm, mm, mm, 6.7 mm, and 5.3 mm. The patches were simulated and fabricated on Taconic TLX-0 ( , 0.5 mm, ). To facilitate in-house fabrication and near-field measurement, we chose the minimum dimension as 0.2 mm and operating frequency band as 7–12 GHz, respectively. Four frequency signatures were present in the backscattered signal from patch P1, which was used to encode four bits and they were present within the frequency band of 7–12 GHz as shown in Fig. 6(c) with a dotted line. The second patch (P2) had different L1 and W1 ( 6.4 mm and 5.1 mm), which made the slot lengths unequal to patch P1, and, in the backscattered signal, we obtained four frequency signatures within the same bandwidth, but not overlapped with patch P1. The simulation result is shown in Fig. 6(c) with a solid line.

2146

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 7. Tag with IDs. (a) “11111111.” (b) “10101010.” (c) Backscattered received power. (d) Phase for tag with IDs “11111111” and “011110111.”

Fig. 8. (a) 8-b -polarized tag with ID “11111111” with (b) Frequency response.

-polarized Tx/Rx. Fig. 10. (a) 16-b dual-polarized tag with all 1’s and (b) frequency response. (c) 16-b dual-polarized tag with two 0’s in -polarization and (d) frequency response. (e) 16-b dual-polarized tag with two 0’s in polarization and (f) frequency response.

From the RCS response of Fig. 9(b), we can see that the -polarized received power does not show any frequency notches for the -polarized slotted tag. This means that the slots show responses to only one polarity. Fig. 9. (a) 8-b -polarized tag with ID “11111111” with dual-polarized Tx/Rx. (b) Frequency response.

C. 16-b Dual-Polarized Tags

By putting these two patches side by side on the same substrate as shown in Fig. 7(a), we can obtain eight frequency notches in the backscattered signal received by the RCS probes as shown in Fig. 7(c) with a dotted line, which mean 8 b. As the frequency notches are accompanied by a phase jump, we can also use the phase signature as shown in Fig. 7(d) to double check the bits at the same frequency. Four slots are shorted at corner points as shown in Fig. 7(b), and, from the simulation result of Fig. 7(c) and (d), we can see that four corresponding signatures are removed. Changes in the adjacent notch frequencies are very small because they are placed in different patches. Thus, we can encode bits using the combined resonances of slot resonators in two separate metallic patches and use them as a tag. If we illuminate the -polarized tag with a -polarized wave [Fig. 8(a)], it will not show any frequency signature in the backscattered signal, which is shown in Fig. 8(b). This ensures the robustness of the design.

We have used dual-polarized orthogonal plane waves instead of one linearly polarized plane wave to stimulate the tag and placed receiver probes in both -and -polarities. These phenomena are shown Fig. 10 for three different tag combinations with simulation results. In Fig. 10(a), the top two patches hold the -polarized eight slots and their responses for -polarized wave are seen in the -probe receiver by eight notches. Similarly, the bottom two patches hold the -polarized 8 slots and their responses are seen in the -probe receiver by another eight notches. The -probe notches are independent of the -probe notches as shown in the results of Fig. 10(c) and (e). In Fig. 10(c), two slots of -polarization are shorted. From the probe result Fig. 10(d), it is seen that the corresponding two bits of the -probe are changed from 1 to 0 only. Similarly, in Fig. 10(e) two bits of the -probe are changed from “1” to “0” by shorting the corresponding two slots of -polarity which is shown in the simulation result of Fig. 10(f). We can therefore change the notches of both polarities independently and reuse the same slot frequency in orthogonal polarity to double the number of bits.

B. 8-b Horizontally Polarized Tag

D. Effect of Tag Rotation on Performance

The -polarized slots of Fig. 9(a) are being excited by both -and -polarized plane waves and received in both - and -polarities.

In all previous simulation setups, we placed the dual-polarized tag in perfect alignment with the dual-polarized plane wave. If the tag (slot) is rotated by an angle , the incident

ISLAM AND KARMAKAR: NOVEL COMPACT PRINTABLE DUAL-POLARIZED CHIPLESS RFID SYSTEM

2147

Fig. 11. (a) Simulation setup for one receiving antenna in front of the tag and another receiving antenna behind the tag. (b) Their simulation results.

-polarized -field will serve a small component for the -slots to respond. As a result, -slots will respond to the -polarized wave and the -probe receiver will receive the combined response from both - and -slots and vice versa. If the rotation angle is small, the cross-polar response will also be small. This problem can be overcome by adding some threshold conditions (such as 5 dB difference) in the reader detection algorithm. However, if the rotation angle increases beyond a certain limit, the cross-polar response cannot be overcome. Hence, dual-polarization can be used up to a certain degree (such as 20 ) of tag rotation.

Fig. 12. Photograph of some fabricated tags with different IDs on Taconic , 0.5 mm, ). (a) Tag ID TLX-0 ( “V-11111111.” (b) Tag ID “V-00000000.” (c) Tag ID “V-10101010.” (d) Tag ID “V-01010101.” (e) Tag ID “H-11111111.” (f) Tag ID “H-00000000.” (g) Tag ID “V-11111111+H-11111111.”

E. Received Signal Strength In Front of and Behind the Tag Since the tag has no ground plane, it reradiates in both front and back directions. Therefore, we will receive the same frequency signature both in front of and behind the tag [29]. This means that this single-sided tag can be measured by putting the transmitting antenna in front of the tag and the receiving antenna either in front of or behind the tag. The simulation setup and result are shown in Fig. 11. F. Antenna Measurement Techniques

Fig. 13. Measurement setup for same side tag testing ( 50 mm).

30 mm,

The tag can be read by putting two dual-polarized antennas on the same side of the tag, one as a transmitter and the other one as a receiver, and measuring the and . The tag can also be measured by putting the transmitter antenna in front of the tag and the receiver antenna behind the tag as it has no ground plane. Measurement was done in both setups with linearly polarized antennas. However, the design concept of applying dual-polarization in tag design was proved by cross-polar measurements. Tags with different IDs as shown in Fig. 12 have been fabricated to obtain measured result for proving the design concept used in simulation. G. Tag Measurement by Putting Tx and Rx on the Same Side First, we placed the -polarized transmitting and receiving monopole antennas 50 mm in front of the -polarized tag with 30-mm separation and measured for different tags. The measurement setup is shown in Fig. 13. The Agilent PNA E8361A network analyzer was used for the tag measurement. Fig. 14(a) and (b) show the received amplitude and phase of the tag backscattered signal with ID “111111111” with eight dips and ID “00000000 (all slots are shorted)” with no dips. Later. we put the -polarized tag with ID “11111111” in the -polarized antenna setup and took cross-polar measurements. The results are shown in Fig. 14(c) and (d) , which shows no

Fig. 14. Measured (a) magnitude and (b) phase for copolarized response for different tags. Measured (c) magnitude and (d) phase for cross-polarized backscattered response for different tags.

frequency or phase signature and the received signal is very similar when measured without any tag. From these measured results, we can infer that the -polarized tag shows response to only the -polarized plane wave and vice versa. This indicates that our designed dual-polarized tag will work simultaneously with dual-polarized antennas.

2148

Fig. 15. Measurement setup for opposite side tag testing (

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

50mm).

Fig. 16. Measured magnitude response for different tags. (a) Co-polarized. (b) Cross-polarized. Fig. 18. Measured and simulated magnitude for the tags with (a) ID magnitudes for the tags 00000000 and (b) ID 11111111. (c) Measured phase for the tags with with ID 01010101 and 10101010. Measured (d) ID 11111111 and ID 00000000 and (e) ID 10101010 and ID 01010101. magnitude for the tag with ID (f) Cross-polar measured and simulated 11111111.

Fig. 17. (a) Proximity and (b) slot card waveguide measurement setups.

obtaining combined responses of multiple slots in two patches with less mutual coupling and no response for cross-polar measurement is shown below with a linearly polarized waveguide in both proximity and slot card waveguide measurement setups.

H. Tag Measurement by Putting Tx and Rx on Opposite Side

J. Proximity Waveguide Measurement Results

We placed the transmitting monopole antenna 50 mm in front of the tag and the receiver monopole antenna 50 mm behind the tag (Fig. 15) and measured for co- and cross-polar tags. We obtained the frequency signature for the co-polar tags [Fig. 16(a)] and but no frequency signature for the cross-polar tag [Fig. 16(b)], which proves the concept of both dual-polarization and opposite side measurement. In the backscattered signal, the higher two frequency signatures were less distinct due to the very low gain of the monopole antennas at those frequencies.

The measurement setup is similar to Fig. 17(a). Here the linearly polarized waveguide with fundamental mode bandwidth of 6–13 GHz is used instead of the dual-polarized waveguide. The -polarized tag is placed in front of the -polarized waveguide and is measured using the Agilent PNA E8361A network analyzer. The measured and simulated magnitude for the tags with ID 00000000 and 11111111 is shown in Fig. 18(a) and (b). Eight dips are seen in the magnitude response for eight 1’s of the tag with ID 11111111, but no dips for the eight 0’s in the magnitude response for the tag with ID “00000000.” Eight phase jumps are seen in the measured phase response for the tag with ID 11111111, but no phase jumps for the tag ID 00000000 as shown in Fig. 18(d). The measured magnitudes and phase for the tags with IDs 10101010 and 01010101 are shown in Fig. 18(c) and (e). Here, we can see four dips for each tag at the corresponding positions of four 1’s in their IDs. Later we placed the -polarized tag 11111111 in front of the -polarized waveguide setup and took cross-polar measurement, which is shown in Fig. 18(f) with the cross-polar simulation result. It can be seen that no frequency signature is present.

I. Near-Field Measurement Techniques Our proposed chipless RFID tag can be used in many paper or plastic-based items, such as different types of public transport fare cards, ID cards, banknotes, DVDs, tickets, and security documents. In these applications proximity detection can also be used, i.e., the data will be read by direct contact. In some proximity reading applications, far-field reading is risky (e.g., credit cards and banknotes) and in some applications far-field reading is not necessary (e.g., access cards and travel cards). These types of tagged items can be read at close proximity by putting them in front of a dual-polarized waveguide [Fig. 17(a)] or in a slot made inside a dual-polarized waveguide [Fig. 17(b)] and measuring return losses ( and ) or insertion losses ( and ) respectively as shown in [11]. The design concept for

K. Slot Card Waveguide Measurement Results The measurement setup is similar to that shown in Fig. 17(b), where the tag is placed inside a slot of the linearly polarized

ISLAM AND KARMAKAR: NOVEL COMPACT PRINTABLE DUAL-POLARIZED CHIPLESS RFID SYSTEM

2149

Fig. 19. Measured and simulated magnitude for the tags with (a) ID 00000000 and (b) ID 11111111. (c) Measured magnitudes for the tags with ID phase for the tags with (d) ID 11111111 and ID 00000000 and (e) ID 10101010 and ID 01010101. (f) Cross-polar measured 01010101 and 10101010. Measured magnitude for the tag with ID 11111111. and simulated

waveguide and insertion loss ( ) is measured using the network analyzer. The measured and simulated magnitudes for different tags are shown in Fig. 19. From these measured results, we can infer that the -polarized tag shows response to only the -polarized plane wave and vice versa. This proves that our designed dual-polarized tag will work simultaneously with dual-polarized waveguide(s). L. Capacity Calculation Although we have used only eight slots to encode 8 b in our design, we can put more slots in the patches and adjust their lengths to obtain more frequency signatures between 3.2–9.6 GHz of the FCC-approved UWB band (3.1–10.6 GHz). For this design concept, the maximum number of bits is limited by the bandwidth of the slot resonators. The measured results indicate that the average bandwidth of the slot resonators is around 200 MHz. If we use the full 6.4-GHz (9.6 3.2 GHz 6.4 GHz) band, we can encode 6.4 GHz 200 MHz 32 b in one polarity using this method. If we use slot width and gap 0.2 mm for printing, we can easily print 16 slots inside a rectangular patch of size 16 mm 16 mm. We can print 16 slots of different lengths in another patch and obtain their combined response of 32 b by placing them side by side. Then, by placing another set in orthogonal polarity, we can double (to 64 b) the number of bits within the same bandwidth. We can measure them by using

dual-polarized antennas and waveguide(s) with bandwidth 3.2–9.6 GHz. Therefore, a 64-b electronic product code (EPC) tag can be achieved within an area of 33 mm 33 mm, which is less than half the size of a credit card. M. Performance of Conductive Ink Printed Tags Our proposed tag is planned to be printed on plastic or paper with conductive ink. But the conductivity ( ) of ink is much lower than pure copper ( 5.8 10 S/m) or silver. As a result, the frequency notches become less distinct and bandwidth also increases. To check the effect of conductive ink on tag performance, it was simulated using ink conductivity, 1 10 S/m and ink thickness, 0.0005 mm, which is found in [3] and compared with the Taconic tag. From the simulation result shown in Fig. 20(c), distinct frequency notches are still seen in the backscattered signal received from the tag, but the notch depth decreased by approximately 7 dBsm. N. Effect of Slot-Width (

) and Inter-Slot Gap (

) Variation

Slot lengths will be fixed by their resonant frequencies, but slot width and gap between the slots can be changed according to our requirements. To have the idea about the minimum usable slot width and gap, we varied the width from 0.005 to 0.4 mm and gap from 0.02 to 0.3 mm. The simulation results are shown in Fig. 21. The result shows that we will obtain a sharper notch

2150

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 20. Comparison between Taconic tag and ink printed tag performance. (a) Taconic tag. (b) Ink-jet printed tag. (c) Simulation results.

Fig. 21. Effect of (a) slot width and (b) inter-slot gap variation.

Fig. 23. ID card layers.

From the results shown above, we see that the resonant frequencies of our designed tag changes with the substrate. Hence, after the characterization of the substrate, we can modify our design for that particular item type to obtain resonance at a particular frequency, which can be read by a single reader worldwide. On the other hand, we can customize our tags with specified frequencies for particular items to be read by itemized reader. Thus, our design can be used like a barcode for itemlevel tagging.

Fig. 22. Effect of (a) permittivity and (b) substrate thickness variation.

with smaller bandwidth for smaller slot width and we will obtain the expected notch even with an inter-slot gap of 0.02 mm. Therefore, the size problem for the reported tags in Table I can be overcome by printing slot resonators with the lowest achievable slot width and inter-slot gap using conductive ink. O. Effect of Permittivity ( ) and Substrate Height ( ) Our proposed tag can be printed directly on paper or plastic like a barcode and also can be inserted inside ID/access cards. But different products use different packets with different thickness ( ) and permittivity ( ), which will vary the resonant frequencies ( ) of the slot resonators. The effect of change in the substrate thickness and permittivity are shown in Fig. 22. It shows that the resonant frequency decreases with the increment in the substrate thickness and permittivity according to (1). In case of ID cards, the tag needs to be put inside the plastic material of the card as shown in Fig. 23. In that case, the resonant frequencies and the effective permittivity will be determined by [30] (8) (9)

V. CONCLUSION A novel dual-polarized compact chipless RFID transponder is presented in this article which is based on the concept of 1) obtaining combined response of multiple slots in two patches of same polarity with less mutual coupling and 2) obtaining twice the number of bits within a fixed frequency band using dual polarization to overcome the bandwidth limitation for frequency domain based tags to achieve 64-b EPC. The concept has been proved from the simulation and measurement results for a 16-b prototype. Four different measurement techniques using antennas and waveguides have been presented. The encoding capacity of this design, conductive ink printed tag performance, effect of different slot parameter variation, direct printability of the tag on plastic packets for item level tagging and its usability in ID cards are also analyzed. This single sided compact tag has a great potential to be printed directly on many items like barcode using conductive ink and can also be used in many products like ID or credit cards, banknotes, and paper documents for proximity measurement with higher security. In future research, dual-polarized UWB waveguides and dual-polarized UWB low-profile high-gain antennas will be developed for the reader and field trials will be carried out on the dual-polarized tag.

ISLAM AND KARMAKAR: NOVEL COMPACT PRINTABLE DUAL-POLARIZED CHIPLESS RFID SYSTEM

REFERENCES [1] K. Finkenzeller, RFID Handbook: Fundamentals and Applications in Contactless Smart Cards and Identification, 2nd ed. Chichester, U.K.: Wiley, 2003, p. 7. [2] U. Kaiser and W. Steinhagen, “A low-power transponder IC for highperformance identification systems,” IEEE J. Solid-State Circuits, vol. 30, no. 3, pp. 306–10, Mar. 1995. [3] S. Botao, C. Qiang, Y. Amin, D. S. Mendoza, L. Ran, and Z. Li-Rong, “An ultra-low-cost RFID tag with 1.67 Gbps data rate by ink-jet printing on paper substrate,” in Proc. IEEE Asian Solid State Circuits Conf., 2010, pp. 1–4. [4] V. Deepu, A. Vena, E. Perret, and S. Tedjini, “New RF identification technology for secure applications,” in Proc. IEEE Int. Conf. RFIDTechnol. Applications, Jun. 17–19, 2010, pp. 159–63. [5] I. Balbin and N. Karmakar, “Novel chipless RFID tag for conveyor belt tracking using multi-resonant dipole antenna,” in Proc. Eur. Microw. Conf., Sep.-Oct. 29–1, 2009, pp. 1109–1112. [6] S. Preradovic and N. C. Karmakar, “Design of fully printable planar chipless RFID transponder with 35-bit data capacity,” in Proc. Eur. Microw. Conf., Sep.-Oct. 2009, pp. 013–016. [7] I. Jalaly and I. D. Robertson, “Capacitively-tuned split microstrip resonators for RFID barcodes,” in Proc. Eur. Microw. Conf., Oct. 4–6, 2005, vol. 2, p. 4. [8] J. McVay, A. Hoorfar, and N. Engheta, “Space-filling curve RFID tags,” in Proc. IEEE Radio Wireless Symp., Jan. 17–19, 2006, pp. 199–202. [9] I. Balbin and N. C. Karmakar, “Phase-encoded chipless RFID transponder for large-scale low-cost applications,” IEEE Microw. Wireless Compon. Lett., vol. 19, pp. 509–511, 2009. [10] S. Mukherjee, “Chipless radio frequency identification by remote measurement of complex impedance,” in Proc. Eur. Conf. Wireless Technol., Oct. 8–10, 2007, pp. 249–252. [11] J. Hyeong-Seok, L. Won-Gyu, O. Kyoung-Sub, M. Seong-Mo, and Y. Jong-Won, “Design of low-cost chipless system using printable chipless tag with electromagnetic code,” IEEE Microw. Wireless Compon. Lett., vol. 20, pp. 640–642, 2010. [12] A. Vena, E. Perret, and S. Tedjini, “Novel compact RFID chipless tag,” in Progr. Electromagn. Res. Symp. Proc., Morocco, Mar. 20–23, 2011, pp. 1062–1066. [13] C. S. Hartmann, “A global SAW ID tag with large data capacity,” in Proc. IEEE Ultrasonics Symp., 2002, pp. 65–69. [14] I. Jalaly and I. Robertson, “RF barcodes using multiple frequency bands,” in IEEE MTT-S Int. Microw. Symp. Dig., 2005, vol. 1–4, pp. 139–142. [15] T. Singh, S. Tedjini, E. Perret, and A. Vena, “A frequency signature based method for the RF identification of letters,” in Proc. IEEE Int. Conf. RFID, Apr. 12–14, 2011, pp. 1–5. [16] I. Balbin, “Multi-bit fully printable chipless radio frequency identification transponders,” Ph.D. dissertation, Dept. Electr. Comput. Syst. Eng., Monash Univ., Clayton, Australia, 2010. [17] I. Balbin and N. Karmakar, “Radio Frequency Transponder System,” Australian Provisional Patent, DCC, Ref: 30684143/DBW, Oct. 20, 2008. [18] S. Preradovic, “Chipless RFID system for barcode replacement,” Ph.D. dissertation, Dept. Electr. Comput. Syst. Eng., Monash Univ., Clayton, Australia, 2009. [19] L. Namsoo, K. Jaeyoung, L. Soojin, K. Namyoung, and C. Gyoujin, “Screen printed resonant tags for electronic article surveillance tags,” IEEE Trans. Adv. Packaging, vol. 32, pp. 72–76, 2009. [20] M. Manteghi, “A novel approach to improve noise reduction in the Matrix Pencil Algorithm for chipless RFID tag detection,” in Proc. IEEE Antennas and Propagation Soc. Int. Symp., Jul. 11–17, 2010, pp. 1–4.

2151

[21] S. Mukherjee and G. Chakraborty, “Chipless RFID using stacked multilayer patches,” in Proc. Appl. Electromagn. Conf., Dec. 14–16, 2009, pp. 1–4. [22] P. Harrop and R. Das, Printed and Chipless RFID Forecasts, Technologies & Players 2009–2029 2011 [Online]. Available: http://media2. idtechex.com/pdfs/en/R9034K8915.pdf [23] R. M. Mays and A. M. Grishin, “Microwave Readable Dielectric Barcode,” U.S. Patent 2 006 012 5491, Jun. 15, 2006. [24] A. Islam and N. Karmakar, “Design of a 16-bit ultra-low cost fully-printable slot-loaded dual-polarized chipless RFID tag,” in Proc. Asia–Pacific Microw. Conf., Melbourne, Australia, Dec. 5–8, 2011, pp. 1482–1485. [25] A. Islam, S. Bhuiyan, and N. Karmakar, “A novel compact chipless RFID tag and near-field reader,” in Proc. Asia–Pacific Microw. Conf., Melbourne, Australia, Dec. 5–8, 2011, pp. 1518–1521. [26] T. Dissanayake and K. P. Esselle, “Prediction of the notch frequency of slot loaded printed UWB antennas,” IEEE Trans. Antennas Propag., vol. 55, no. 12, pp. 3320–3325, Dec. 2007. [27] R. Mittra, C. Chan, and T. Cwik, “Techniques for analyzing frequency selective surfaces-a review,” Proc. IEEE, vol. 76, no. 6, pp. 1593–1615, Jun. 1988. [28] Time-Harmonic Electromagnetic Fields, R. F. Harrington, Ed. New York: McGraw-Hill, 1961. [29] K. V. S. Rao, “An overview of backscattered radio frequency identification system (RFID),” in Proc. Asia–Pacific Microw. Conf., 1999, vol. 3, pp. 746–749. [30] N. C. Karmakar, “Investigations into a cavity-backed circular-patch antenna,” IEEE Trans. Antennas Propag., vol. 50, no. 9, pp. 1706–1715, Sep. 2002.

Md. Aminul Islam (S’11) received the B.Sc.Eng. degree in electrical and electronic engineering from Bangladesh University of Engineering and Technology (BUET), Dhaka, Bangladesh, in 2009. He is currently working toward the Ph.D. degree at Monash University, Melbourne, Australia. He was a Radio Network Planning Engineer with Axiata, Bangladesh. He has research interest in chipless RFID tags and reader and antenna design.

Nemai Chandra Karmakar (S’91–M’91–SM’99) received the M.Sc. degree in electrical engineering from the University of Saskatchewan, SK, Canada, in 1991, and the Ph.D. degree from the University of Queensland, Brisbane, Australia in 1999. He is an Associate Professor with the Department of Electrical and Computer Systems Engineering, Monash University, Clayton, Australia. He possesses approximately 20 years of teaching, design, and development experience in antennas, microwave active and passive circuits, and RFIDs in Canada, Australia, and Singapore. He has authored or coauthored over 220 referred journal and conference papers, 24 book chapters, and five books. He has two international patent applications on chipless RFID.

2152

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Self-Biased Nonreciprocal Microstrip Phase Shifter on Magnetic Nanowired Substrate Suitable for Gyrator Applications Gaël Hamoir, Joaquin De La Torre Medina, Luc Piraux, and Isabelle Huynen, Senior Member, IEEE

Abstract—Magnetic nanowired substrates (MNWS) have been used for the fabrication of a planar nonreciprocal microstrip device. It shows a differential phase shift of 300 degrees cm at -band without requiring the application of a dc bias magnetic field, and making it suitable for miniaturized gyrator applications. The nonreciprocal operation is achieved by loading the device with nanowires of different ferromagnetic materials. This allows to control the phase velocity of the microwave signal passing through the device by virtue of the spatial variation of the MNWS permeability. The measured microwave performances of the device have been reproduced with excellent accuracy using a proposed analytical model based on an effective medium theory and useful for the prediction of further tunable capabilities. Index Terms—Alumina, ferromagnetic nanowires, gyrator, integrated, microstrip, nonreciprocal, phase shifter, self-biased.

I. INTRODUCTION

C

URRENT passive nonreciprocal microwave devices based on ferrite materials [1]–[3] have received great attention in the last decades and are nowadays employed in a wide spectrum of applications. However, classical devices present limitations since their frequency of operation is usually limited to the -band due to the low saturation magnetization value of ferrites, and they must be biased by an external magnetic field, which represents a limitation of size reduction. Self-biased hexaferrites may be used for nonreciprocal millimeter-wave devices [2], [4]. They usually require hybrid topology, for example,the hexaferrite disc is mechanically inserted in a circular cavity drilled in a low-loss insulating substrate supporting RF access lines, requiring bonding wires to make the contact between hexaferrite cavity and planar accesses. As an alternative to overcome such limitations, novel nanocomposite

Manuscript received August 29, 2011; revised March 21, 2012; accepted March 25, 2012. Date of publication June 04, 2012; date of current version June 26, 2012. This work was supported in part by the Interuniversity Attraction Poles Program (P6/42)-Belgian State-Belgian Science Policy. The work of J. De La Torre was supported by PROMEP and CONACYT under Grant PROMEP/103.5/11/2159 and Grant 166089, respectively, as well as UCL. G. Hamoir and L. Piraux are with the Institute of Condensed Matter and Nanosciences (ICMN), Université Catholique de Louvain, B-1348, Louvain-laNeuve, Belgium. J. De La Torre Medina is with the Facultad de Ciencias Físico-Matemáticas, Universidad Michoacana de San Nicolás de Hidalgo, 58030 Morelia, Mexico. I. Huynen is with the Institute of Information and Communications Technologies, Electronics and Applied Mathematics (ICTEAM), Université Catholique de Louvain, B-1348 Louvain-la-Neuve, Belgium. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2195016

materials based on arrays of magnetic nanowires (NWs) grown into nanoporous membranes have been proposed [5]–[9]: nanowired areas in the alumina substrate can easily be delimited by masking techniques during electrodeposition, enabling the coexistence in the same planar substrate of self-biased ferromagnetic and dielectric (insulating) zones, fully compatible with microstrip or coplanar waveguide technology. The high length-to-diameter aspect ratio of low-diameter NWs makes them self-biased magnetically along their axis due to shape anisotropy, and their frequency of operation lies above the -band, up to 30 GHz in CoFe alloyed NW arrays. Nonreciprocal microwave devices based on MNWS have been the subject of recent works and include circulators [10]–[13], isolators [14], [15], and differential phase shifters [16], [17]. In microstrip-line topologies lying on a ferromagnetic or ferrite material, the microwave electric and magnetic field patterns are nonuniform by virtue of the edge-guided mode, since they are preferably concentrated near one long edge of the microstrip due to the coupling between the microwave signal traversing the microstrip and the gyromagnetic properties of the magnetized magnetic material [18]. This principle combined with an asymmetrical loading of the microstrip results in a nonreciprocal behavior, which has recently been applied to obtain differential phase-shift performances in MNWS [16], [17]. In this paper, we propose a nonreciprocal microstrip line (NRML) based on MNWS that have a large differential phase shift observed in absence of dc magnetic field and induced by a ferromagnetic resonance (FMR) transverse field displacement principle. The proposed device consists of a microstrip-line geometry on a single planar substrate filled with NWs of different magnetic alloys, namely, NiFe and CoFe. The nonreciprocal behavior of the present device is achieved by controlling the phase velocity of the microwave signal guided on the microstrip line via a variation of the MNWS permeability. As a result, a nonreciprocal differential phase shift up to 300 degrees cm is obtained at zero applied dc magnetic field, making the present device suitable for gyrator applications [19] and electronically scanned arrays antennas [20]. The simulated absorption and differential phase shift are in excellent agreement with the experimental results, and the proposed model allows to predict further tunable capabilities of the NRML presented in this work. II. DEVICE TOPOLOGY AND FABRICATION The MNWS is fabricated by three-probe electrodeposition of NiFe and CoFe NWs into 100- m-thick commercial porous

0018-9480/$31.00 © 2012 IEEE

2153

HAMOIR et al.: SELF-BIASED NONRECIPROCAL MICROSTRIP PHASE SHIFTER ON MNWS SUITABLE FOR GYRATOR APPLICATIONS

0.5 mm of the NiFe area as seen schematically in Fig. 1(b). Therefore, the microstrip line is 4.5 mm wide over the zones with NWs and tapers to a width 0.2 mm at their two ports, as shown schematically in the corresponding 2-D [see Fig. 1(b)] and 3-D [see Fig. 1(c)] views of the NRML shown in Fig. 1(a). The taper sections are added in order to facilitate the contact with the connectors of the test fixture used for the measurement and improve the matching of the wide NRML section to the 50- reference impedance of the measuring equipment. A large width of the NRML is needed over the nanowired area to induce the field-displacement mechanism that will be detailed in Section III. The total length of the microstrip device is 12 mm with the addition of the taper length 2 mm. Fig. 1. (a) Photograph of the top view of the fabricated NRML. (b) Schematic 2-D view of the NRML showing the spatial arrangement of the areas with NWs and the microstrip line (dotted line) which is divided in two zones with widths 4 mm and 0.5 mm and length 8 mm over the zones with CoFe and NiFe grown at heights 0.68 and 0.84 of the membrane thickness, 4.5 mm respectively. The width of the microstrip line is 0.2 mm at their two ports and its total length is and tapers to a width 12 mm where 2 mm is the taper length. (c) Corresponding 3-D schematic view.

anodic alumina (PAA) membranes from Synkera [12] with pores diameter 35 nm and membrane porosity or NWs packing factor 12%. A Cr(20 nm)/Au(600 nm) layer is evaporated onto one side of the membranes to serve as a cathode for electrodeposition and as a ground plane for the microwave measurements. NiFe and CoFe NWs are grown from the Cr/Au layer at the bottom of the porous membrane using the following electrolytes: 131.42 g l NiSO 5.56 g l FeSO 24.73 g l H BO with the pH adjusted to 3; and 80 g l CoSO 40 g l FeSO 30 g l H BO with the pH adjusted to 4, respectively. Electrodeposition of the NWs is done in potentiostatic mode at room temperature by applying potentials of 1 V for NiFe and 0.9 V for CoFe NWs. The filling of the membranes with NWs is carried out in two steps with the purpose of obtaining two adjacent rectangular zones where CoFe and NiFe NWs are grown. In step one, electrodeposition of CoFe NWs takes place in a limited area with width greater than 4 mm and length 8 mm and NiFe NWs are subsequently electrodeposited in an area with width greater than 0.5 mm and length 8 mm just besides the one for the CoFe NWs. For the growth of NWs, a rubber mask with a rectangular hole through which the electrolytic solution is in contact with the porous membrane is placed into the electrolytic cell in order to accurately define the area where NiFe NWs are deposited into the membrane and to prevent their growth over the previously deposited CoFe NWs. The NWs height is determined from the time necessary to completely fill the porous membrane. Finally, a 4.5-mm-wide and 12-mm-long microstrip line is evaporated onto the side of the membrane opposite to the one with the previously evaporated cathode as seen in the NRML photograph of Fig. 1(a). The microstrip line is such that its long dimension is placed parallel to the junction of both zones of NWs and covers a width 4 mm of the CoFe area and

III. THEORETICAL PREDICTIONS A. Field-Displacement Mechanism The nonreciprocal operation of the device of Fig. 1 is based on a transverse field displacement along the microstrip line, which is caused by the ferromagnetic materials beneath the microstrip. Contrary to previously reported NRML, where the nonreciprocal behavior depends on an asymmetrical filling with NWs of the same material [16], [17], the present device is nonreciprocal even if the MNWS is symmetrically filled with NWs. The principle of operation of the former depends on the strong variation of height profile of the NW array, which can be stairway-like [16] or gradient-like [17], and then on the resulting asymmetry of the substrate permittivity across the microstrip line. In the latter, the principle of operation arises from the asymmetry on the permeability tensor in each zone, with for CoFe NWs or for NiFe NWs. In other words, in the present device, the permeability of the NWs is varied in order to control the phase velocity of the microwave signal passing through the microstrip line. As a consequence of the presence of ferromagnetic material, the -component of the electric field and the -component of the magnetic field with , are nonuniform across the position of the transverse section of the microstrip line, which can be rewritten from Hines formalism [18] as for

(1) for

(2)

, where is the propagation In (1) and (2), constant and and are the off-diagonal and diagonal components of the permeability tensors, respectively, for zones with CoFe and NiFe NWs and are given by [10] (3) (4) In the previous equations is the FMR frequency, is the normalized magnetization along the axis of the NWs, is the damping factor, is the static field applied parallel to the -axis of the NWs which in our case is set to zero, is the

2154

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

on the sense of propagation (or magnetization), making the device nonreciprocal. B. Quasi-TEM Nonreciprocal Transmission-Line Formalism Using the effective medium approach, an analytical homogeneization formula is proposed for the effective permeability experienced by the signal for each direction of propagation (or magnetization), along positive or negative (or ) axis:

(5)

Fig. 2. 3-D view of the calculated electric field pattern as a function of the -position and the excitation frequency at zero external bias field for (a) positive and (b) negative direction of propagation (or magnetization). Log magnitude of field is plotted for sake of clarity, after normalization for each frequency . As magnetic field is proto its value at side edge of CoFe NW area by the factor , its pattern looks identical to the E-field portional to pattern after normalization.

is effective permeabilities where the expression associated with quasi-TEM propagation in each ferromagnetic zone [21]. The use of inverted quantities is required in (5) because inductive contributions under the microstrip have to be considered in parallel and not in series [22]. Superscripts in the field variables indicate that (1)–(2) are used either with positive/negative values of propagation coefficient for positive value of or with positive/negative values of for positive values of . From expression (5), nonreciprocal quasi-TEM complex propagation constants depending on direction of propagation (or magnetization), and associated characteristic impedances can be calculated as (6)

microwave operation frequency, is the saturation magnetization, 3 GHz kOe is the gyromagnetic ratio, while is the volumetric fraction of nanowires in the porous template. It is worth mentioning that the difference between the permeability tensors for the CoFe and NiFe NW zones arises from the differences between and and, more precisely, from and , which have values 1850 emu cm 825 emu cm , and . Equations (1)–(2) enable us to predict that the decay of field along the positive -direction is different in the two zones because of the different permeabilities of the material, but also that this decay is reversed, i.e., occurring along the negative -direction or, equivalently, causing amplification in the positive -direction, when the direction of either propagation (fixing the sign of , hence of ) or magnetization (fixing the sign of ) are inverted. The FMR mechanism implies that the sign of component is different below and above FMR frequency, meaning that the decay of the field is reversed from below-resonance to above-resonance frequency ranges. These predictions are illustrated and confirmed at Fig. 2, showing the field patterns simulated for the device presented in this paper, using (1)–(2). The nonreciprocal behavior is achieved by combining this field-displacement mechanism with the change of permeability across the transverse section induced by the change of ferromagnetic material: as the field concentration in each zone depends on the propagation (or magnetization) direction, so will the effective permeability experienced by the microwave signal guided also depend on the microstrip line. Hence, its quasi-TEM propagation constant and characteristic impedance will depend

(7) where is the equivalent effective permittivity of the nanowired substrate, function of the filling factor [23], is the width of the microstrip over the nanowired area, and is the thickness of the alumina substrate. IV. EXPERIMENTAL VALIDATION The transmission coefficient in the forward and backward propagation directions has been simulated using the formalism summarized in the previous section at zero applied dc bias magnetic field . The -matrix of the NRML section lying on the nanowired part of the substrate was calculated from and using the formalism proposed in [26] for nonreciprocal transmission lines. It was then transposed to the -matrix referenced to the 50 of the measuring equipment using classical - to chain-matrix conversion formulas, and making a cascaded product of chain matrices of input taper, NRML nanowired section, and output taper, respectively. Microwave measurements are carried out in two-port configuration using a vector network analyzer (VNA) (Anritsu 37297C). The propagation directions in experiments correspond to propagation from port 1 to port 2 and from port 2 to port 1, respectively, as shown in Fig. 1(c). Fig. 3(a) shows, in both directions of propagation, the magnitude of transmission -parameters normalized per unit length, expressed in dB cm . A significant isolation between forward

HAMOIR et al.: SELF-BIASED NONRECIPROCAL MICROSTRIP PHASE SHIFTER ON MNWS SUITABLE FOR GYRATOR APPLICATIONS

Fig. 3. (a) Measured (thick lines) and calculated (thin lines) (dashed (continuous lines) for the NRML of Fig. 1(b) at zero dc biasing lines) and at zero magnetic field. (b) Measured (symbols) and calculated (lines) field for the NRML of (a).

and reverse -parameters is observed around the resonance frequency for CoFe at 22.32 GHz, in both experimental and simulated curves. In simulations, the loss tangent factor is set to , corresponding to the commercial Synkera substrate, which explains the high insertion losses over the whole frequency range. Such a high value for dielectric losses in alumina is explained by the imperfect purity of the commercial membrane and its amorphous and not crystalline structure resulting from the anodization fabrication procedure, which might also allow the presence of residual bound water molecules in its nanoporous structure [24], [25]. A more significant FMR absorption is present in both propagation directions around the frequency and not at 9.95 GHz, which may be ascribed to the fact that and then the absorption due to NiFe NWs is much lower than that for the CoFe NWs. Furthermore, the isolation of about 18 dB observed around this frequency between forward and reverse transmission clearly indicates a nonreciprocal behavior of the device. It is worth mentioning that resonant transmission peaks observed below 15 GHz are due to unperfect matching offered by tapered edges of the microstrip line at low frequency: because of their small length ( mm) with respect to corresponding wavelengths, quarter-wavelength matching to 50- reference impedance is not ensured below 15 GHz. Interestingly, the measured differential phase shift per unit length of the NRML of Fig. 3(b) (squares), where is the phase of the parameter, is negligible for but suddenly increases for up to the value 300 degrees cm . Furthermore this value is higher than those reported for devices based on ferrites in the range 38–130 degrees cm at -band and 300 K [19], [20], [27]–[29]. It is worth mentioning that the high value obtained without the application of a dc magnetic field

2155

Fig. 4. (a) Measured (continuous line) and calculated (dotted line) FOM at zero field after saturating the NRML of Fig. 1(a) in a positive magnetic field. (b) (dotted line, same as dotted line of Fig. Calculated FOM for with perfect matching of NRML 4(a) for actual device) and for (dashed line).

in our device is suitable for the realization of a gyrator element ( degrees) operating in the frequency range 30–50 GHz, which can be obtained with a shorter microstrip line having a length of 0.72 cm. The calculated from simulated -parameters (continuous line) predicts negative values close below that are not observed experimentally, however, it agrees well with the measured one above this frequency value. As explained before, either if the sign of the propagation constant is reversed by inverting the propagation direction, or the sign of the off-diagonal term of the permeability tensor is changed by reversing the magnetization direction, an inversion of the field patterns occurs. Particularly, inverting the sign of by virtue of a change of sign in [see (4)] leads to an inversion of as seen by the dashed line in Fig. 3(b). Experimentally, (circles) is inverted by magnetizing the NRML via the application of a saturating dc field in the opposite direction which is subsequently relaxed to zero. As seen in Fig. 3(b), the most striking feature is that remains practically constant above where insertion losses are reduced with respect to those at the FMR absorption for CoFe NWs. This means that, in that frequency range, the present device has improved performances as a nonreciprocal phase shifter. As seen in Fig. 4(a), the figure of merit (FOM) reaches values of about degrees dB in the frequency range 30–50 GHz, and both the experimental (continuous line) and calculated (dotted line) values are in good agreement. V. PARAMETRIC STUDY AND OPTIMIZATION Simulations in Figs. 3 and 4(a) take into account the ohmic losses of microstrip and ground metallizations as well as the conductivity of the nanowires. We have however demonstrated

2156

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 5. Calculated as a function of frequency and width of the NiFe mm, with the width of the CoFe NWs zone such that NWs zone. (a) 2-D view. (b) 3-D view. Numbers in (a) correspond to values of in millimeters.

previously in [23] that the loss tangent factor of nanowired substrate does not increase with the presence of conductive metallic nanowires far away from the ferromagnetic resonance. Improving the matching (i.e., optimizing the geometry of tapers to ensure a perfect matching to 50 ) will also reduce insertion losses. High dielectric loss reported in the literature for porous alumina is thus the remaining issue to solve, for example, by increasing alumina purity and performing some annealing to avoid residual moisture. FOM performances can be further improved by reducing insertion losses on that are mainly due to dielectric losses of the PAA membrane, with a residual part induced by mismatch. Indeed, higher FOM of up to 480 degrees dB can be obtained using a high-purity PAA membrane with , together with a perfect matching of the NRML section to 50 [Fig. 4(b)]. Therefore, improved performances are expected for lower values in the frequency range above the main FMR absorption, which in this case corresponds to the one for the CoFe NWs. On the other hand, the good agreement between experimental and calculated insertion losses and differential phase shift observed in Fig. 3 suggests that the proposed model allows to predict with good accuracy the microwave properties of our NRML. As an example, our model also shows that these properties can be tuned just by moving the position of the microstrip line in the -direction perpendicular to the propagation direction or equivalently by changing the widths of the NiFe and CoFe NWs zones beneath the microstrip. The tunable feature of the NRML of Fig. 1 is observed in Fig. 5(a) from the variation of with the width (numbers at the right vertical axis) of the NiFe NWs zone via the relation with mm. As observed in this figure, increases in the frequency range as increases below 2.3 mm (continuous lines) and decreases above this value (dashed lines). The maximum attainable value is predicted to be about

500 degrees cm at 2.3 mm, which can be reached just by adjusting during the design the position of the microstrip line along the -axis of the structure instead of adjusting its length . A 3-D view of the variation of on and is shown in Fig. 5(b), where it is seen that introducing a magnetic material beneath the microstrip line with different magnetization than the one already present leads to significant changes in for , where insertion losses are lower. Keeping constant the height of both the NiFe and CoFe NWs allows fabricating a tunable phase shifter where can be varied using the same device, which can be achieved just by moving the microstrip line as mentioned above. Finally, the performances of our device are comparable to those reported for ferrite-superconductor devices [30], [31] for which is as high as 1000 degrees in a 2.5-cm-long meaderline leading to as high as 400 degrees cm at -band. Even if the FOM of our device is still low, due to the loss tangent factor of the commercial alumina membrane and its not yet optimized matching, our device has the advantage that its frequency of operation lies at -band, suitable for high-frequency microwave applications. VI. CONCLUSION We have proposed a novel planar nonreciprocal microwave device fabricated using a magnetic nanowired substrate and based on a field-displacement mechanism. The nonreciprocal operation of the proposed device results in improved differential phase-shift performances of up to 300 degrees cm at zero applied bias field, which makes it suitable for compact gyrators. This is achieved by controlling the phase velocity of the microwave signal passing through the device via a variation of the MNWS permeability. Finally, the microwave performances simulated using the proposed transmission line model agree with very good accuracy with the experimental results. Further tuning of our device capabilities, like the dependence of the differential phase shift on the relative width of both NW zones, have been predicted. REFERENCES [1] E. Schloemann, “Advances in ferrite microwave materials and devices,” J. Magn. Magn. Mater., vol. 209, pp. 15–20, Jan. 2000. [2] V. G. Harris, A. Geiler, Y. Chen, S. D. Yoon, M. Wu, A. Yang, Z. Chen, P. He, P. V. Parimi, X. Zuo, C. E. Patton, M. Abe, O. Acher, and C. Vittoria, “Recent advances in processing and applications of microwave ferrites,” J. Magn. Magn. Mater., vol. 321, pp. 2035–2047, Jan. 2009. [3] J. D. Adam, L. E. Davis, G. F. Dionne, E. F. Schloemann, and S. N. Stitzer, “Ferrite devices and materials,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 721–737, Mar. 2002. [4] S. A. Oliver, P. Shi, W. Hu, H. How, S. W. McKnight, N. E. McGruer, P. M. Zavracky, and C. Vittoria, “Integrated self-biased hexaferrite microstrip circulators for millimeter-wavelength applications,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 2, pp. 385–387, Feb. 2001. [5] G. Goglio, S. Pignard, A. Radulescu, L. Piraux, I. Huynen, D. Vanhoenacker, and A. Vander Vorst, “Microwave properties of metallic nanowires,” Appl. Phys. Lett., vol. 75, pp. 1769–1771, Jul. 1999. [6] A. Encinas-Oropesa, M. Demand, L. Piraux, I. Huynen, and U. Ebels, “Dipolar interactions in arrays of nickel nanowires studied by ferromagnetic resonance,” Phys. Rev. B, vol. 63, Feb. 2001, Art. ID 104415. [7] J. De La Torre Medina, L. Piraux, J. M. O. Govea, and A. Encinas, “Double ferromagnetic resonance and configuration-dependent dipolar coupling in unsaturated arrays of bistable magnetic nanowires,” Phys. Rev. B, vol. 81, Apr. 2010, Art. ID 144411.

HAMOIR et al.: SELF-BIASED NONRECIPROCAL MICROSTRIP PHASE SHIFTER ON MNWS SUITABLE FOR GYRATOR APPLICATIONS

[8] A. Sklyuyev, M. Ciureanu, C. Akyel, P. Ciureanu, and A. Yelon, “Microwave studies of magnetic anisotropy of Co nanowire arrays,” J. Appl. Phys., vol. 105, Jan. 2009, Art. ID 023914. [9] R. L. Marson, B. K. Kuanr, S. R. Mishra, R. E. Camley, and Z. Celinski, “Nickel nanowires for planer microwave circuit applications and characterization,” J. Vac. Sci. Tech. B, vol. 25, pp. 2619–2623, Nov. 2007. [10] A. Saib, M. Darques, L. Piraux, D. Vanhoenacker-Janvier, and I. Huynen, “Unbiased microwave circulator based on ferromagnetic nanowires arrays of tunable magnetization state,” J. Phys. D: Appl. Phys., vol. 38, pp. 2759–2763, Aug. 2005. [11] A. Saib, M. Darques, L. Piraux, D. Vanhoenacker-Janvier, and I. Huynen, “An unbiased integrated microstrip circulator based on magnetic nanowired substrate,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 6, pp. 2043–2049, Jun. 2005. [12] M. Darques, J. De la Torre Medina, L. Piraux, L. Cagnon, and I. Huynen, “Microwave circulator based on ferromagnetic nanowires in an alumina template,” Nanotech., vol. 12, Mar. 2010, Art. ID 145208. [13] J.-F. Allaeys and J.-C. Mage, “Numerical modelling of unbiased microstrip circulators based on magnetic nanowired substrate: Use of a ferrite-equivalent model,” in IEEE MTT-S Int. Microw. Symp. Dig., Jul. 2007, pp. 703–706. [14] B. K. Kuanr, V. Veerakumar, R. Marson, S. R. Mishra, R. E. Camley, and Z. J. Celinski, “Nonreciprocal microwave devices based on magnetic nanowires,” Appl. Phys. Lett., vol. 94, May 2009, Art. ID 202505. [15] L.-P. Carignan, C. Caloz, and D. Menard, “Dual-band integrated selfbiased edge-mode isolator based on the double ferromagnetic resonance of a bistable nanowire substrate,” in IEEE MTT-S Int. Microw. Symp. Dig., Jul. 2010, pp. 1336–1339. [16] J. De La Torre Medina, J. Spiegel, M. Darques, L. Piraux, and I. Huynen, “Differential phase shift in nonreciprocal microstrip lines on magnetic nanowired substrates,” Appl. Phys. Lett., vol. 96, Feb. 2010, Art. ID 072508. [17] C. E. C. González, J. De La Torre Medina, A. Encinas, and L. Piraux, “Electrodeposition growth of nanowire arrays with height gradient profiles for microwave device applications,” Nano Lett., vol. 11, pp. 2023–2027, Apr. 2011. [18] M. E. Hines, “Reciprocal and nonreciprocal modes of propagation in ferrite stripline and microstrip devices,” IEEE Trans. Microw. Theory Tech., vol. MMT-19, no. 5, pp. 442–451, May 1971. [19] J. Zafar, A. A. P. Gibson, and H. R. Zafar, “High power ferrite phase shifter for beam steering applications,” in Proc. 3rd Eur. Conf. Antennas Propagation, 2009, p. 3029. [20] J. Mielewski and A. Buda, “Analysis of the nonreciprocal ferrite phase shifter with nonuniform cross-section,” in Proc. 12th Int. Conf. Microw. Radar, 1998, vol. 2, pp. 509–513. [21] B. Lax and K. J. Button, Microwave Ferrites and Ferrimagnetics, 1st ed. New York: McGraw-Hill, 1962. [22] R. Marqués, F. Mesa, and F. Medina, “Theory of magnetoelectric multiconductor transmission lines with application to chiral and gyrotropic lines,” Microw. Opt. Technol. Lett., vol. 38, pp. 3–9, Jul. 2003. [23] J. Spiegel, J. De La Torre Medina, M. Darques, L. Piraux, and I. Huynen, “Permittivity model for ferromagnetic nanowired substrates,” IEEE Microw. Wireless Comp. Lett., vol. 17, no. 7, pp. 492–494, Jul. 2007. [24] S. J. Perm, N. McN. ALford, A. Templeton, X. Wang, M. Xu, M. Reece, and K. Schrape, “Effect of porosity and grain size on the microwave dielectric properties of sintered alumina,” J. Amer. Ceram. Soc., vol. 80, pp. 1185–1188, 1997. [25] J. Mollá, M. González, R. Vila, and A. Ibara, “Effect of humidity on microwave dielectric losses of porous alumina,” J. Appl. Phys., vol. 85, pp. 1727–1730, Feb. 1999. [26] P. Quéffélec, S. Mallégol, and M. Le Floc’h, “Automatic measurement of complex tensorial permeability of magnetized materials in a wide microwave frequency range,” IEEE Trans. Microw. Theory Tech., vol. MTT-50, no. 9, pp. 2128–2134, Sep. 2002. [27] C. P. Wen, “Coplanar waveguide: A surface strip transmission line suitable for nonreciprocal gyromagnetic device applications,” IEEE Trans. Microw. Theory Tech., vol. MTT–17, no. 12, pp. 1087–1090, Dec. 1969. [28] W. Junding, Y.-Z. Xiong, M.-J. Shi, G.-F. Chen, and M.-D. Yu, “Analysis of twin ferrite toroidal phase shifter in grooved waveguide,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 4, pp. 616–621, Apr. 1994. [29] W. Che, E. K.-N. Yung, S. Chen, and J. Wen, “Improved analysis of nonreciprocal remanence ferrite phase shifter in grooved waveguide,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 8, pp. 1912–1918, Aug. 2002.

2157

[30] G. F. Dionne, D. E. Oates, D. H. Temme, and J. A. Weiss, “Ferritesuperconductor devices for advanced microwave applications,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 7, pp. 1361–1368, Jul. 1996. [31] G. F. Dionne, D. E. Oates, D. H. Temme, and J. A. Weiss, “Superconductor ferrite phase shifters and circulators,” IEEE Trans. Appl. Superconduct., vol. 7, no. 6, pp. 2347–2350, Jun. 1995. Gaël Hamoir was born in Liège, Belgium, in 1985. He received the Civil Engineering degree from the École Polytechnique de Louvain, Louvain-la-Neuve, Belgium, in 2009. He is currently working toward the Ph.D. degree in engineering at the Université Catholique de Louvain, Louvain-la-Neuve. Since 2009, he has been with the Bio- and Soft-Matter Group, Institute of Condensed Matter and Nanosciences, Université Catholique de Louvain, Louvain-la-Neuve, Belgium. His main research concerns the modeling, conception, and characterization of devices based on ferromagnetic nanowire arrays.

Joaquin De La Torre Medina was born in Aguascalientes, Mexico, in 1979. He received the degree in applied mathematics from the Universidad Autónoma de San Luis Potosi, San Luis Potosi, Mexico, and the Ph.D. degree in applied sciences from the Université Catholique de Louvain, Louvain-la-Neuve, Belgium, in 2009. He was with the Université Catholique de Louvain, Louvain-la-Neuve, Belgium, and with the Universidad Autonoma de San Luis Potosi, San Luis Potosi, Mexico, in postdoctoral positions in 2010 and 2011, respectively. In 2012, he joined the Physics and Mathematics Faculty, Universidad Michoacana de San Nicolás de Hidalgo, Morelia, Mexico, as a Full Professor. His research concerns magnetism of nanocomposite materials and microwave devices based on arrays of magnetic nanowires.

Luc Piraux received the Ph.D. degree from the University of Louvain, Louvan-la-Neuve, Belgium, in 1987. He was a Research Associate with the National Fund for Scientific Research, Belgium, during 1989–2001. Currently with the Institute of Condensed Matter and Nanosciences (ICMN), Université Catholique de Louvain, Louvain-la-Neuve, Belgium, his research activity deals with basic experimental research in the field of nanostructured materials and low-dimensional systems. His research team has expertise in the fabrication of magnetic and superconducting nanowires as well as in the fabrication of highly ordered nanoporous alumina templates. His current research activities are in the field of spintronics, nanomagnetism, low-dimensional superconductivity, vortex dynamics, and development of microwave devices. He has authored and coauthored more than 200 publications in peer-reviewed journals and holds three patents.

Isabella Huynen (S’90–A’95–M’96–SM’06) received the Electrical Engineer degree and Ph.D. degree in applied sciences from the Université Catholique de Louvain, Louvain-la-Neuve, Belgium, in 1989 and 1994, respectively. In 1989, she joined the Microwave Laboratory, Université Catholique de Louvain, Louvain-la-Neuve, Belgium, where she is currently a part-time Professor. She is also a Research Director with the Research Science Foundation, Brussels, Belgium. She has authored or coauthored one book and over 250 papers in journals and conference proceedings. She holds three patents. Her particular research interests in the development of devices based on nanoscaled materials and topologies for applications at microwave, millimeter-wave, and optical wavelengths.

2158

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Microwave and RF p-i-n Diode Model for Time-Domain Simulation Robert H. Caverly, Senior Member, IEEE

Abstract—A dynamic time-domain model suitable for simulating the behavior of high-speed high-power p-i-n diodes is presented. This time-domain model accurately describes not only the charge storage behavior in the p-i-n diode in forward bias, but also the reverse-bias capacitance and resistance as a function of reverse voltage. A SPICE implementation of the time-domain model is fully described and a spreadsheet is being made available to the microwave community. The time-domain model is verified with experimental data and good agreement was obtained in both diode bias states. Three applications describing the linear, nonlinear, and transient behavior of the p-i-n diode simulated using the time-domain model are also presented. This improved time-domain model and associated SPICE implementation allows full modeling of high-speed high-frequency p-i-n diodes. Index Terms—Microwave circuit modeling, microwave circuits, microwave device modeling, p-i-n diodes, semiconductor devices.

I. INTRODUCTION

S

OLID-STATE RF switches have been used for decades in a wide variety of microwave and RF circuits. For communications and radar applications, they are found in high-speed high-power reconfigurable systems, in transmit/receive (T/R) switches, and as high-speed power limiters. For the power levels, switching speeds and frequencies involved in a number of these microwave and RF systems, p-i-n diodes are the control device of choice for high-speed switching. For designers of these control circuits, some of the main p-i-n diode design parameters are the forward and reverse-bias impedances. There have been many studies of these impedances over the years using a variety of methods: analytic, numerical, and lumped-element equivalents [1]–[5]. The major drawbacks to these analytic solutions are their limited use in any application other than the ones derived and the lack of a formulation that allows easy integration with microwave and RF circuit time-domain simulators. Numerical modeling, while extremely accurate, is computationally intensive. While design engineers can use simple lumped-element-only models, these models do a poor job modeling such important effects as forward-bias -region charge storage, which is the dominant mechanism in governing such p-i-n diode behavior as the impedance-frequency characteristic or the current-dependent carrier lifetime, or the off-state capacitance’s voltage variation. Manuscript received December 21, 2011; revised March 22, 2012; accepted March 25, 2012. Date of publication May 10, 2012; date of current version June 26, 2012. The author is with the Department of Electrical and Computer Engineering, Villanova University, Villanova, PA 19085 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2195024

In spite of the widespread use of p-i-n diodes in microwave and RF circuits and systems, there has been slow progress toward developing a time-domain model that adequately simulates the p-i-n diode. Several research efforts have been published describing SPICE-compatible time-domain models of the p-i-n diode, and there has been some success in modeling the forward-bias on-state resistance of the device [6], [7]. In these models, however, the reverse-bias modeling performance has been less robust than the forward-bias case. This paper presents a time-domain model for the p-i-n diode that provides the capability of looking at the linear, nonlinear, and transient behavior of the p-i-n diode in its on-state, off-state, and during the transition between the two states. The paper begins with the theoretical background of the model and provides details of both forward and reverse-bias modeling regimes. A discussion of the model with measured data obtained from commercially available p-i-n diodes verifies the model in both device states (forward and reverse bias). The final section shows the utility of the model in a number of microwave and RF applications. A full SPICE subcircuit implementation of the p-i-n diode time-domain model is being made available so that microwave and RF circuit and system designers can rapidly use the model in their own applications. II. p-i-n DIODE CHARGE CONTROL MODEL A. Forward Bias When the p-i-n diode is forward biased, charges are injected from the heavily doped end regions into the highly resistive intrinsic (or I) layer, reducing the effective p-i-n diode RF resistance in the forward-bias state. The P-I and I-N junctions are modeled using the time-domain simulator default PN junction diode model. The more difficult modeling task is modeling the -region charge storage effect that governs the high-frequency behavior of the p-i-n diode and coupling this -region phenomenon to the junction regions in the time-domain simulator. The charge storage phenomenon and - relationships are derived from the charge configuration in the -region, which is mathematically described by the differential equation

(1) is the 1-D carrier density in the -region, is where is the ambipolar the -region ambipolar carrier lifetime, diffusion constant, and and are time and position variables, respectively. Several analytical models for the impedance-frequency relationship of the p-i-n diode have been developed based on solutions of the charge continuity expression given

0018-9480/$31.00 © 2012 IEEE

CAVERLY: MICROWAVE AND RF p-i-n DIODE MODEL FOR TIME-DOMAIN SIMULATION

2159

in (1) [1]–[4]. The translation of these analytic models to a form more suitable for simulation using time-domain simulator elements requires that the boundary conditions for (1) be altered from those typically used in the above closed-form analytical solutions [1]–[4]. Assuming an time variation for all quantities, the boundary conditions for solving (1) are described in (2) as follows: (2) , is where is the electron to hole mobility ratio the p-i-n diode cross section, is the electron charge, and is the location of the minimum -region charge density computed from the transcendental equation . For equal hole and electron mobilities , the minimum -region charge density occurs at . A novel solution for (2) suitable for p-i-n diodes used in low-frequency applications such as in power supply rectifiers has been advanced [6]. Using this solution technique, the Laplace transform for the charge density in the -region may be written as

(3)

and is the Laplace transwhere form variable. The -region dc charge density is supported by a dc bias current whereas the -region ac charge density in the -region is supported by the RF current . These two currents also flow through the two end regions, with the junction voltage drops described using traditional PN junction simulator elements. By rearranging the variables in this relationship and noting the temporal variation, the -region - relationship in the -domain, , may be written in the form of a transfer function as (4) and are the current and -region stored charge where in the -domain, respectively. This form for the - relationship is not suitable for direct implementation in time-domain circuit simulators such as SPICE. However, using a Padé expansion for the general function [6] allows the - relationship to be written as (5)

and . This form for the where transfer function can be used in a lumped-element equivalent-circuit implementation by noting that the current is the response to the stored charge . The form for and suggests that these variables may be used to model using a re-

Fig. 1. Fourth-order approximation to the transfer function . This equivalent circuit is coupled to the controlled current source “Gmod” in the main time-domain model.

sistive and reactive ladder-type network relating the excitation to the response. As an example of the network structure from (5), an RC ladder network that approximates the relationship for the charge storage region in the p-i-n diode to fourth order, is shown in Fig. 1. The source is governed by current continuity through the PN junctions, which also flows through the -region; is implemented in SPICE as a controlled source directly dependent on this PN junction current. The resulting current and related -region modulated conductivity is coupled to the main time-domain model via the controlled current source “Gmod.” Additional accuracy in describing the transfer function in (4) can be obtained by using higher order terms in (5) and increasing the corresponding number of SPICE circuit elements. Extensive modeling using this model has shown that little additional accuracy can be achieved by using expansion of (5) above eighth order. B. Reverse Bias To turn the p-i-n diode off, charge stored in the p-i-n diode during forward bias must be extracted, impacting the overall diode turn-off time and reverse recovery. Once turned off, any reverse-bias shunting resistance must be modeled since this represents a dissipative loss and could impact the overall circuit quality factor ( , not to be confused with the stored charge ). Modeling of the reverse-bias characteristics of p-i-n diodes is important in applications such as high power limiting, for example, where the charge stored in the p-i-n diode during forward bias (receiver protection state) must be extracted during the turn-off phase (receive state), impacting the overall turn-off time and receiver recovery. Under p-i-n diode reverse bias, two regions in the intrinsic region of the device emerge; one completely free of mobile charge carriers (“swept”), the other (“unswept”) containing some charge carriers (Fig. 2) [8]. As the reverse voltage increases in the negative direction, the depletion boundary (dotted line in Fig. 2) moves so that at the so-called punch-through voltage, the entire intrinsic region is “swept” clean of charges, leaving only a single capacitance value remaining, termed the punch-through capacitance. Once punch-through has been achieved, the reverse-bias capacitance is constant with increasing reverse bias with a shunt equivalent resistance (of typically high value). The two capacitances shown in Fig. 2 are derived from the derivative of the

2160

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 2. (a) Reverse-bias lumped-element equivalent circuit for p-i-n diode showing the physical origin of the various components. (b) Equivalent circuit for the reverse-bias p-i-n diode impedance (from 9).

charge-voltage expression; the unswept resistance value may be modeled as a voltage-controlled resistance dependent on the moving depletion boundary [9]. Classical semiconductor theory shows that the depletion boundary width is inversely related to square root of the applied voltage; this effect was used in deriving the voltage dependence of the lumped-element reverse-bias equivalent circuit. The charge control equations yielding and are based on knowledge of the punch through capacitance and voltage ( and , respectively), as well as the two built-in junction potentials (PI and IN, ). The charge control expressions that yield the capacitances and , along with the “unswept” resistance can be written as

(6a)

(6b)

where is the applied voltage, is the -region punchthrough voltage, is the junction voltage, is the punchthrough capacitance, is the depleted layer resistance, and is the voltage-dependence coefficient of the depletion region . The charge control expressions in (6) can be incorporated into the forward-bias p-i-n diode model (1) as a set of charge control equations. The resistance ( ) can be modeled using the standard dependent current source circuit element. C. SPICE Model The full p-i-n diode time-domain model can be easily implemented in SPICE [10]. The SPICE model combines the above ladder network describing the -region characteristics, the two PN junction elements, and the reverse-bias charge control equations (Fig. 3). The SPICE model elements and their descriptions are provided in Table I. Additional parameters needed for the SPICE model (above those for the PN junction diodes) are the

Fig. 3. RF equivalent circuit of the p-i-n diode model showing the model passive and controlled elements (not shown are additional resistances used for simulator convergence).

-region width , the ambipolar carrier lifetime , and the limiting resistance values (minimum resistance at high current), (zero bias resistance) [6] and package parasitics ( , and ) D. SPICE Simulations in Forward Bias p-i-n diode characteristics vary widely depending on the application. For high-speed switching, for example, thin p-i-n diodes (a few micrometers) with low carrier lifetimes (tens of nanoseconds) are used since the stored charge that must be removed during the switch-off process is low. For high-power switching, thick p-i-n diodes with long carrier lifetimes are used since the wider diodes can withstand more significant reverse voltage swings. A good test of any model is to simulate the device with a wide range of p-i-n diode parameters. A series of SPICE [10] simulations was performed using the proposed model on p-i-n diodes exhibiting a variety of physical and electrical characteristics. Table II shows some of the model parameters for these devices; a wide range of -region thicknesses and carrier lifetimes is indicated. Fig. 4 shows the results of the SPICE-based modeling by simulating the forward-bias resistance as a function of dc forward current. For all cases, the classic behavior of the p-i-n diode RF resistance for medium currents is indicated. Also note, however, that for the larger dc forward currents, there is a change in slope indicative of the reduction in carrier lifetime, a widely observed phenomenon in p-i-n diodes, and one that is adequately simulated by the model. Fig. 5 shows simulations of forward-bias resistance as a function of frequency with dc current as a parameter using the model for a single p-i-n diode (Diode 4) (no device or package parasitics added). The modeling results show that even for modest diode dc biases of 10 mA, the resistance is clearly a function of frequency for all dc-bias levels. The device modeling shows the classic behavior and the flattening of the resistance characteristic for frequencies above approximately 50 MHz. This phenomenon is a direct result of the low carrier lifetime in these

CAVERLY: MICROWAVE AND RF p-i-n DIODE MODEL FOR TIME-DOMAIN SIMULATION

2161

TABLE I SPICE p-i-n DIODE CIRCUIT ELEMENTS

Fig. 5. Thin -region, short carrier lifetime (Diode 4) resistance as a function of current and frequency.

E. Time-Domain Simulations in Reverse Bias

TABLE II SELECT DIODE PARAMETERS FOR MODELED p-i-n DIODES

Time-domain simulations using SPICE [10] of a thin and thick p-i-n diode (Diode 2 and 4 in Table II) with various punch-through voltages (10, 20, 30 V) using this improved charge-control model are shown in Fig. 6 where reverse-bias shunt equivalent resistance and capacitance at 1.0 MHz are shown as a function of dc reverse-bias voltage. During simulation, the RF excitation was kept at 10% of the applied reverse-bias voltage to ensure the device remained in the reverse-bias state during the complete RF cycle. From the 1.0-MHz simulations, the punch-through effect is clearly noted. The reverse capacitance decreases to its punch-through value above , whereas the shunt resistance increases until reaching a constant value well above . For frequencies above the dielectric relaxation frequency [8], the capacitance is constant and equal to for all reverse voltages. However, the shunt resistance is dependent on frequency, showing a marked decrease in value at frequencies above when compared with lower frequencies. III. MODEL VERIFICATION A. Model Verification Using Discrete p-i-n Diodes in Forward Bias As a test of the proposed p-i-n diode SPICE model, forwardbias measurements on a series of commercial p-i-n diodes were performed (Table II). Fig. 7 shows the results of both measurements and simulations on the forward-bias resistance as a function of dc forward current. The frequency of the measurement was 100 MHz, significantly above the frequency where significant junction effects still occur [3]. As shown in Fig. 7, there is good agreement between the measured forward resistance and SPICE simulations. B. Model Verification Using Discrete p-i-n Diodes in Reverse Bias

Fig. 4. SPICE modeling results on Table I p-i-n diodes as a function of current at 100 MHz.

devices, a necessary requirement for rapid switching speed for receiver protection or tank circuit detuning.

As a test of the proposed p-i-n diode charge control model, reverse-bias measurements on a commercial p-i-n diode for use as a receiver protector were performed (Diode 4 in Table II). Fig. 8 shows the results of both measurements and simulations on the reverse-bias capacitance and resistance as a function of voltage and frequency. An Agilent HP 4291 impedance analyzer was used in the measurement with dc bias supplied through

2162

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 7. Curves show SPICE modeling results (s) with associated measured data (m) on studied diodes from Table II.

Fig. 8. (a) Measured (continuous curves) and simulated (data points) reversebias capacitance for the p-i-n diode described in Table II. (b) Measured (continuous curves) and simulated (data points) reverse-bias resistance for the p-i-n diode described in Table II.

Fig. 6. p-i-n diode reverse-bias 1.0-MHz shunt capacitance and shunt resistance as a function of reverse-bias voltage. (a) 200- m 10-ns p-i-n diode with punch through voltage set at 10, 20, and 30 V. (b) 5- m 10-ns p-i-n diode with punch through voltage set at 2, 5, and 10 V.

the analyzer. Industry-standard 1.0-MHz capacitance measurements and simulations show good agreement, showing that at 1.0 MHz, the high zero-bias capacitance reduces to the punchthrough capacitance of approximately 1.4 pF at high dc reverse

voltages. At 100 MHz, the zero bias capacitance is close to the punch-through value and only a few volts of reverse bias are required for complete diode punch-through. The reverse-bias resistance shows a high value at 1.0 MHz, dropping dramatically into the k region at 100 MHz. IV. APPLICATIONS The p-i-n diode time-domain model will be applied to three different application scenarios: a single-diode SPST microwave switch, a multiple diode attenuator and a high-power microwave limiter application. These applications will show the versatility of the time-domain model and its SPICE implementation in linear, nonlinear, and transient p-i-n diode applications.

CAVERLY: MICROWAVE AND RF p-i-n DIODE MODEL FOR TIME-DOMAIN SIMULATION

2163

TABLE III COMPARISON OF MODEL AND (7) RESULTS

Fig. 9. Comparison of harmonic-balance simulations using the new nonlinear p-i-n diode model (filled triangles: IP2, filled squares: IP3) with analytic results from (7) (solid lines) and experimental data (filled circles: IP2, empty circles: IP3).

must also present constant impedance and provide the attenuation at low values of distortion. In p-i-n diode attenuators, it has been shown that the factors governing distortion in these circuits are the level of attenuation ( ), -region thickness ( ), and frequency. Second- and third-order distortion intercept point prediction relationships for the PI-attenuator have been derived to show these relationships and for closely spaced frequencies are [4]

(8) A. Single p-i-n Diode SPST Switch The first verification of the proposed time-domain p-i-n diode model is based on the series connected SPST p-i-n diode switch. The series-connected SPST switch circuit has been carefully analyzed with measurements verifying the result. The results in this paper show that the stored charge frequency to resistance ratio is a good predictor of distortion intercept point in these circuits [4] dBm dBm

(7)

is the stored charge in nanocoulombs, is the where fundamental frequency in megahertz, and is the forwardbias series resistance. In order to verify the proposed p-i-n diode modeling approach, a single series-connected p-i-n diode single-pole single-throw (SPST) switch was simulated and second- and third-order distortion intercept points were derived as a function of the ratio. The simulation was run with different diode types ( -region thickness and carrier lifetime), different dc bias currents, and different excitation frequencies. The results of these simulations are shown in Fig. 9 along with the theoretical IP2 and IP3 relationships described in (7). The results shown in Fig. 9 illustrate that the simulator model correctly predicts the level of distortion (load referenced) for both second- and third-order intermodulation distortion (IMD), as well as the difference in slope of these two parameters. B. p-i-n Diode PI-Attenuator p-i-n diodes are often used in AGC circuits to keep the incoming signal at a constant level with another circuit controlling the bias applied to each diode in the attenuator. In addition to specifying the levels of attenuation, these attenuator circuits

To test the model against the analytical expression above, a PI-connected p-i-n diode attenuator was simulated using the proposed model. This multiple p-i-n diode circuit is a good test for the nonlinear model since the various diodes in the circuit (being at different biases for different resistances) contribute different distortion current amplitudes and phases at the load. Four 175- m low-distortion p-i-n diodes were used in the circuit. Two diodes were used in the series branch to more accurately describe the configuration used in a typical attenuator (the two series diodes make the circuit more balanced for biasing purposes). Two attenuation levels were tested: 3 and 10 dB using a two-tone test at 0.9 and 1.0 GHz. The results shown in the table (Table III) are in good agreement with the analytical expressions that were verified with experimental data. C. SPICE Modeling of p-i-n Diode Limiters p-i-n diodes are often used in applications that limit the level of microwave voltage on sensitive receiver components [11], [12]. A major difficulty in limiter modeling is describing spike and flat leakage during the turn-on, as well as the turn-off transient. The level of flat leakage in p-i-n diode limiters is known to be a function of the -region thickness of the diodes used. Leenov [11] showed that the level of self-generated resistance of a passive p-i-n diode limiter is proportional to -region thickness . The general guideline used by microwave limiter designers is that thin diodes provide better flat leakage than thick diodes, whereas higher power can be withstood with thicker diodes. To test the utility of the proposed time-domain model in a transient microwave application, a two-diode passive p-i-n diode limiter was chosen for study (Fig. 10). Commercial PIN limiter diode parameters were used in this simulation (D2: m, nS; D1: m, nS; D1 and D2: 0.1-pF package capacitance, 0.05-nH bond-wire inductance). The thinner faster diode (lower carrier lifetime) D1 is placed closer to the load than the thicker device (D2).

2164

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

design engineers at SourceForge.net (Public Domain License): http://sourceforge.net/projects/pindiodemodel/files/ REFERENCES Fig. 10. Schematic diagram of the 9.1-GHz dual p-i-n diode limiter circuit.

Fig. 11. Response of the 9.1-GHz dual p-i-n diode limiter circuit using the proposed time-domain model.

The dc return path is through the 10-nH inductor, and the p-i-n diode spacing is . Fig. 11 shows the time-domain response of this circuit to a 40-V peak (36 dBm) 9.1-GHz microwave pulse, with the simulation showing spike leakage lasting for approximately 10 nS. After the initial turn-on transient and reduction of the spike leakage, the two-diode combination provides approximately 24 dB of limiting at this power level, similar to the data sheet limiting response. V. CONCLUSION A new charge control model for SPICE simulations of the p-i-n diode in the on-state, off-state, and the transition between these states has been presented. This model allows full modeling of high-speed p-i-n diodes in time-domain simulators. The model offers numerous advantages over earlier models in that full forward and reverse-bias behavior is modeled directly rather than with lumped-element equivalents. The model was also verified by experiments on discrete diodes and good agreement was obtained. Several system applications were also modeled, with good agreement noted. An Excel spreadsheet that calculates the SPICE subcircuit model based on electrical and physical parameters is available for immediate use by microwave and RF

[1] R. Varshney, D. Roulston, and S. Chamberlain, “Some properties concerning the a.c. impedance of P-I-N and P-N-N diodes,” Solid State Electron., vol. 17, no. 7, pp. 699–709, Jul. 1974. [2] W. Reiss, “Nonlinear distortion analysis of p-i-n diode attenuators using Volterra series representation,” IEEE Trans. Circuits Syst., vol. CAS-31, no. 6, pp. 535–542, Jun. 1984. [3] R. Caverly and G. Hiller, “The frequency dependent impedance of p-i-n diodes,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 4, pp. 787–790, Apr. 1989. [4] R. Caverly and G. Hiller, ““Distortion in p-i-n diode control circuits” with Gerald Hiller,” IEEE Trans. Microw. Theory Tech., vol. MTT-35, no. 5, pp. 492–501, May 1987. [5] J. Walston, “SPICE circuit yields recipe for PIN diode,” Microw. RF, vol. 31, no. 11, pp. 78–89, Nov. 1992. [6] A. Strollo, “A new SPICE model of power P-I-N diode based on asymptotic waveform evaluation,” IEEE Trans. Power Electron., vol. 12, no. 1, pp. 12–20, Jan. 1997. [7] R. Caverly and M. Quinn, “A SPICE model for simulating the impedance-frequency characteristics of high frequency PIN switching diodes,” in Proc. IEEE ISCAS, Orlando, FL, May 1999, vol. 6, pp. 282–285. [8] J. White, Microwave Semiconductor Engineering. New York: Van Nostrand, 1982. [9] R. Caverly, W. E. Doherty, Jr., and R. Watkins, “Modeling high speed MRI coil switching using PIN diodes,” in IEEE MTT-S Int. Microw. Symp. Dig., Baltimore, MD, May 2011, pp. 1–4. [10] LT-SPICE. ver. 4.08y, Linear Technology Corporation, Milpitas, CA, 2010,. [Online]. Available: http://www.linear.com [11] D. Leenov, “The silicon PIN diode as a microwave radar protector at megawatt levels,” IEEE Trans. Electron Devices, vol. ED-11, no. 3, pp. 53–61, Feb. 1964. [12] A. Ward, R. Tan, and R. Kaul, “Spike leakage of thin Si p-i-n limiters,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 10, pp. 1879–1885, Oct. 1994. Robert H. Caverly (S’80–M’82–SM’91) received the Ph.D. degree in electrical engineering from The Johns Hopkins University, Baltimore, MD, in 1983. He is currently a Professor with the Department of Electrical and Computer Engineering, Villanova University, Villanova, PA. Prior to 1997, he was a Professor with the Department of Electrical and Computer Engineering, University of Massachusetts at Dartmouth, where he began his academic career in 1983. In 1990, he was a Visiting Research Fellow with the Microwave Solid-State Group, The University of Leeds, Leeds, U.K. He has authored over 90 technical papers on a variety of topics ranging from microwave control devices (primarily p-i-n diodes and MESFETs) to microwave and microelectronics education. He authored CMOS RFIC Design Principles (Artech House, 2007). Dr. Caverly is an associate editor of the IEEE Microwave Magazine. He is the co-chair of the 2012 and 2013 PAWR Conferences. He is an Editorial Board member for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. He has also been a reviewer for other IEEE publications.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

2165

A Dual-Resonant Mode 10/22-GHz VCO With a Novel Inductive Switching Approach Szu-Ling Liu, Kuan-Han Chen, and Albert Chin, Fellow, IEEE

Abstract—This paper presents a novel dual-band voltage-controlled oscillator (VCO) in a standard 0.18- m CMOS technology. With special design in the LC tank, the circuit exhibits two oscillation modes in different frequency bands. The frequency band selection is achieved by a switched coupled inductor with the tunable inductance and quality factor. This VCO can operate in a 10-GHz band with 7.6% tuning range and a 22-GHz band with 8% tuning range, while the core circuit draws a dc current of 8.44 mA from a 1.8-V supply voltage. The figures-of-merit at 10- and 22-GHz bands are 184.63 and 181.81 dBc/Hz, respectively. These performances are comparable with state-of-the-art dual-band LC-VCOs. Index Terms—Dual-band voltage-controlled oscillator (VCO), dual resonance, self-resonance, switched LC-VCO, quality factor.

I. INTRODUCTION

D

UE TO the ever-increasing demand of wire and wireless markets, various communication standards about 1-GHz and higher frequencies are rapidly developing. Therefore, the realization of multistandard operations with the minimal circuit implementations has become a crucial focus for circuit designers. In a tunable/programmable microwave transceiver covering multiple frequency standards, a wideband or a multiband voltage-controlled oscillator (VCO) is an essential component. An intuitive strategy of building this circuit block is to combine several VCOs that work at different frequency ranges [1], [2]; however, the increasing of chip area, power dissipation, and circuit complexity reduce its suitability for portable applications. In contrast, a more attractive solution is to design a single VCO with a wide frequency range or the ability of operating in different frequencies. To achieve this goal, switched capacitor arrays [3]–[6] and switched inductors [7]–[10] have been incorporated in RF oscillators. In such switched LC-VCOs, the equivalent tank reactance is typically changed by the operation states of a switching transistor, and it subsequently selects the oscillation frequency of the circuit. However, traditional switched LC-resonators often exhibit inferior quality factors due to the parasitic effects of the switching transistor, resulting Manuscript received March 21, 2012; accepted April 04, 2012. Date of publication May 22, 2012; date of current version June 26, 2012. This work was supported in part by the National Science Council of Taiwan. S.-L. Liu and A. Chin are with the Department of Electronic Engineering and Institute of Electronics, National Chiao Tung University, Hsinchu 30010, Taiwan (e-mail: [email protected]; [email protected]). K.-H. Chen is with Orise Technology, Hsinchu 30078, Taiwan (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2195674

in stricter startup conditions and poor phase noise, especially in high-frequency operations above 10 GHz. An alternative inductive switching can be achieved by using magnetic coupling, where the equivalent inductance of the primary coil is changed by utilizing the tunable driving current in the secondary coil through magnetic coupling [11], [12]. This method can avoid using a switching transistor with significant parasitic effects, and it achieves a wide tuning range; however, the overall power consumption inevitably increases due to the additional driving current and therefore struggles to reach the energy efficient target. On the other hand, dual-band or multiband VCOs with the fundamental tone and the even-order harmonics can be realized by using the push–push technique [13], [14]. One advantage of such VCOs is to achieve a large tuning range in the high-order band, but the circuit also requires a high power supply to increase the weak high-order harmonic signals in general. Recently, dual-band LC-VCOs with fourth-order resonators have been proposed [15], [16]. Though these circuits can provide two resonant modes with the common LC tank, an effective band-switching method with less parasitic effects is still a critical consideration. In this paper, we present a new type of dual-band LC-VCO that can generate two distinct resonant modes inherently. The operation principle significantly differs from the above techniques. The band-switching manner of this design is performed by a novel inductive switching approach utilizing the self-resonance mechanism, which avoids the drawbacks of the conventional switched LC-VCOs and does not require additional driving current. This paper is organized as follows. Section II discusses the major concerns of common switched LC-resonators, in particular, the conventional switched inductor for high-frequency operations. Section III introduces the architecture of the proposed VCO and its dual-resonant behavior, the principle of the bandswitching approach is also presented. Section IV describes the simulation results and circuit implementation details. Section V exhibits the measurement results and the circuit performances in comparison with state-of-the-art multiband VCOs. Finally, a conclusion is provided in Section VI. II. DESIGN ISSUES OF A SWITCHED LC TANK A switched LC-resonator can be realized by inductive or capacitive switching methods. This section will investigate the major concerns of both strategies, according to two important considerations: frequency-switching range and quality factor. A. Frequency-Switching Range In a capacitive switching LC-VCO, if we temporarily ignore all capacitance contribution, except for the switched capacitor,

0018-9480/$31.00 © 2012 IEEE

2166

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

foundry’s device models. The simulation reveals that the maximum achievable of the capacitive switching method is about 4; besides, the frequency-switching range increases with increasing the ratio between the capacitance of the metal–insulator–metal (MIM) capacitor and the parasitic capacitance of the MOS switch , which relies on either reducing the transistor width or increasing the size of the MIM capacitor. However, both reducing and increasing can significantly degrade the on-state capacitor quality factor at high frequency, as shown in Fig. 1(c). In addition to the inferior on-state at high frequency, the actual reachable of the capacitive switching manner will be further decreased due to the capacitance exterior of the switched capacitor, such as the parasitic capacitance from metal connections and active devices. For example, the oscillation frequencies of a capacitive switched dual-band LC-VCO can be expressed as (2) represents the parasitic capacitance. To simplify the where analysis, here we assume that the parasitic capacitance remains unchanged while the switched capacitor operates at the different states. Thus, if is times of in the circuit and the target is two times of , the required will be . In an LC tank with significant parasitic capacitance, the needful capacitance ratio will become very large, increasing the difficulty of the switched capacitor design. Similarly, the two oscillation frequencies of an inductive switched dual-band VCO are (3)

Fig. 1. (a) Commonly used switched capacitor. (b) Simulated (a) of this topology. (c) on-state

and

the ratio between the highest and lowest oscillation frequencies can be expressed as (1)

is the tank inductance; and are the maxwhere imum and minimum capacitances of the switched capacitor, respectively, and . Fig. 1(a) shows the commonly used switched capacitor; according to (1), the simulated ’s of this configuration are displayed in Fig. 1(b), where k and other component settings were based on

is the tank capacitance; is the nonswitched parIn (3), asitic capacitance, as defined in (2), and represent the maximum and minimum inductances of the switched inductor, respectively. For the design target of , the required is 4. Though the oscillation frequencies in both switching methods are lowered by the parasitic capacitance, the switched inductor is still preferable to achieve a large frequency-switching range in dual-band LC-VCOs. Therefore, we adopted the inductive switching means to realize the major band-switching in this design. The capacitive tuning is only used for the finer tuning within each major band. B. Inductor Quality Factor If the operation frequency is above 10 GHz, inductive switching methods become the commonly used strategies of designing a switched LC-VCO rather than capacitive switching means due to the consideration of quality factor. For a spiral inductor in advanced CMOS processes, the inductor quality factor increases with increasing frequency and reaches the peak value at the frequency that ranges from 10 to 20 GHz, while only follows a decreasing trend as the frequency increases. However, for the typical switched inductor using a MOS switch, as shown in Fig. 2(a), the actual characteristics in high frequency will be strongly affected by the parasitic effects

LIU et al.: DUAL-RESONANT MODE 10/22-GHz VCO

2167

TABLE I INDUCTOR PARAMETERS USED FOR THE SWITCHED INDUCTOR SIMULATION

Fig. 3. Off-state parasitic RC effects in the switching transistor with large bias resistors at the gate and body terminals.

Fig. 2. Typical switched inductor of the: (a) circuit scheme, (b) simplified circuit , and (d) simulated off-state . model, (c) simulated on-state

of the switching transistor, . To investigate the influence of the parasitic effects, the simplified circuit model of this switched inductor is illustrated in Fig. 2(b), where and are the series resistances of and , respectively. The on-state channel impedance of is approximated as the resistance , and the capacitance is used to model the equivalent drain-to-source impedance when the transistor turns off. By using the device models of a standard 0.18- m CMOS process, the simulated ’s with the different channelwidth-to-channel-length-ratios ( ’s) of are exhibited in Fig. 2(c) and (d). Here, and are the large resistors of 10 k and chose as approximately four times of at 15 GHz as the simulation condition; the detailed inductor parameters are listed in Table I. According to the simulated results, the on-state ’s are only slightly improved by increasing of , while the off-state ’s suffer from significant degradation when the frequency is above 10 GHz. In the conditions of the same , the on-state

’s are very close due to the similar ’s, but the off-state ’s of using the large MOS switch show the more rapid decreasing rate as frequency increases. These phenomena are mainly because the parasitic capacitance of increases with increasing . The parasitic capacitance not only limits the improvement of the on-state ’s when increases, but also causes the decreased off-state ’s due to the reduced self-resonance frequency. In this simulation, although the gate and body terminals of are biased via large resistors to decrease the equivalent gate capacitance and junction capacitance, the simulated self-resonance frequency is still very limited. The main reason can be explained by the cross-section view of the MOS switch, as shown in Fig. 3, where and represent the small-signal resistances of the gate and P-well regions, respectively. If the gate and body terminals are isolated by large resistors, the equivalent and are suppressed, but the capacitive effects still transfers to since and become low-impedance paths, which restricts the improvement of the self-resonance frequency. Therefore, designing a high-frequency dual-band VCO with the conventional switched inductor still encounters the significant degradation issue. Thus, in the proposed dual-band VCO, a novel inductive switching technique with the different switching mechanism is utilized to select the operation frequency band. III. DESIGN CONCEPTS OF THE PROPOSED DUAL-BAND VCO A. Basic Circuit Architecture Fig. 4 shows the basic architecture of the proposed dual-band VCO. In this design, the core circuit is cascoded by two subVCOs driven by the same dc current, and , is a cross-coupled LC-VCO with pMOS transistor pairs, as shown in Fig. 5(a), where and represent the tank

2168

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 4. Basic architecture of the proposed VCO.

Fig. 6.

Fig. 5.

. (a) Prototype circuit. (b) Simplified half-circuit.

inductance and tank capacitance, respectively. The simplified half-circuit model of is displayed in Fig. 5(b), where the transistor is treated as a voltage-controlled current source and represents the overall loss of the tank. Therefore, the transfer function between and can be provided by

. (a) Prototype circuit. (b) Simplified half-circuit.

architecture was used here due to its excellent cyclo-stationary noise properties, which can possibly improve phase-noise performance of the circuit [17]. The tail-current source in a traditional Colpitts oscillator is replaced by the on-chip inductor to improve the voltage headroom and to avoid the additional transistor noise contribution. [18]. To derive the oscillation frequency and the start-up condition for this topology, Fig. 6(b) shows the simplified half-circuit of , where represents the stimulus current in the circuit; and represent the equivalent resistive loss at the source and drain terminals, respectively. Since the parasitic capacitances of the core transistor are much smaller than and , the transistor is approximated as a single voltage-controlled current source, , by neglecting its parasitic capacitances and channel length modulation effect. From the small-signal analysis, the transfers function between and is provided by

(7) (4) where Equation (4) indicates that the circuit can resonate at the , while the transfer function specific angular frequency as . With the proper arrangement in (4), the angular frequency and the required transistor trans-conductance for oscillation can be derived as (5) (6) is constructed by two modified On the other hand, nMOS Colpitts oscillators, as shown in Fig. 6(a). The Colpitts

The circuit oscillates if the transfer function infinite. If this condition can be met when

goes to , both the

LIU et al.: DUAL-RESONANT MODE 10/22-GHz VCO

2169

real and the imaginary parts of the denominator in (7) will be zero at the angular frequency . In other words,

(8) and

(9) since designs, (8) yields

in typical

(10) With the proper design such that , the resonant angular frequency in (10) can be approximated by (11) is introduced to In (11), the equivalent tank capacitance simplify the analysis result, where . Based on (9) and (11), the required transistor trans-conductance to satisfy the startup condition is given as (12) B. Mechanism of Dual-Frequency Oscillation The preceding analysis is based on two LC-VCOs with separate tank inductances. In this design, alternatively, the tank inductances of and are not defined by individual components, but by the shared inductor , therefore, (13) To establish a stable oscillation, and should resonate at the same frequency. Here, the shared inductor plays the critical role to synchronize these two sub-VCOs since the two oscillators can lock each other’s oscillation frequency by the consistent injection current through , as shown in Fig. 7(a), where and represent the equivalent tank capacitances of and , as provided in (5) and (11), respectively. When and generate the synchronous oscillation at the angular frequency , the equivalent tank inductances of these two sub-VCOs should satisfy (14) From (13) and (14),

and

can be derived as (15)

Fig. 7. Current flows in the: (a) half-circuit of the cascoded and (b) half-circuit of the modified topology.

and

Based on Miller theorem [19], one can assume there is a virtual ground node within , which divides into and , as provided in (15) and (16), respectively. Therefore, the analysis in Section III-A can still be applied to this architecture. However, while and are defined, the values of and are uniquely decided through (15) and (16), respectively, so that the topology in Fig. 6(a) can only force the two sub-VCOs to oscillate at one specific frequency. For a dual-frequency operation, the circuit still requires the additional degree-of-freedom in the LC-tank design. Fig. 7(b) exhibits the modified architecture from the half-circuit in Fig. 7(a). This is the prototype used for the proposed dual-band LC-VCO, where the parallel inductor is added into the tank of . In this case, the synchronous conditions of the two sub-VCOs will be given by (13) and (17) (17) In fact, (14) is a special case of the infinite in (17). By solving (13) and (17) with the finite and , the equivalent inductances of and can be expressed as the functions of and , as provided in (18) and (19)

(16)

(18)

The oscillation behavior of the circuit in Fig. 7(a) is similar to the two independent VCOs that resonate at the same frequency.

(19)

2170

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

where is the inductance ratio between and and is the capacitance ratio between and . is always larger than unity since and are both positive capacitances. As approaches 1, the corresponding value will be close to 0, which is equivalent to the oscillation not occurring in . On the other hand, the other solution set of and from (13) and (17) can be expressed as (20) (21) Though (20) gives the negative inductance for , in any practical design with the positive inductance value of , the equivalent tank inductance of , is still a positive inductance if , i.e.,

(22) Equation (22) is held if , which is true for all practical and as mentioned above, and therefore indicates that (20) and (21) are the acceptable solution set of the other oscillation mode. From the different products of and , the two oscillation modes apparently lead to distinct resonant frequencies. To further investigate the behaviors of both modes, the preliminary simulation for the topology in Fig. 7(b) was also performed by using an ADS simulator, as shown in Fig. 8. The mode of antiphase and corresponded with the solution set from (18) and (19), and the mode of in-phase and corresponds to the other condition from (20) and (21), which confirm that the dual-oscillation behavior can be realized by the above design methodology. Theoretically, the frequency ratio between the high- and lowband carriers of the proposed dual-resonance LC-tank can be derived as

Fig. 8. Simulated voltage waveforms of the circuit topology in Fig. 5(b), as pF, pF, and nH. the exterior (a) Antiphase mode. (b) In-phase mode.

(23) . Although (23) has the where similar form as (1), there is a major difference between these two equations that in (23) is not only controlled by the tank capacitance, but also by the tank inductance; thus, there are two degrees-of-freedom ( and ) that can be chosen for the required frequency-switching range. Fig. 9 exhibits the simulated versus , where from 1.75 to 5 can be obtained from different selections in the applicable and ranges.) C. Frequency-Switching Approach In addition to generating carrier signals with different frequencies, an effective method to select the oscillation modes is also required in the proposed dual-band VCO. To achieve this goal, in Fig. 7(b) was implemented by a two-port transformer with switchable input impedance at the primary

Fig. 9. Simulated

of the proposed dual-resonance LC-tank.

port . Its primary and secondary coils are connected to the tank of and the switching varactor, respectively, as shown in Fig. 10(a). Here, represents the capacitance of the switching varactor, which is governed by the controlled voltage through the large resistor . There are two apparent advantages of using transformer-based switched inductor: First, a transformer composed by the stacked inductor

LIU et al.: DUAL-RESONANT MODE 10/22-GHz VCO

2171

where

(25)

(26)

Fig. 10. (a) Circuit scheme and (b) equivalent T-model of the two-port trans. former and the switching varactor used for the switched

Fig. 11. Cross-section view of an accumulation mode MOS varactor used for the switching varactor.

windings generally consumes the smaller area than the conventional switched inductor using two separate inductors. Second, in the transformer-based switched inductor, the bias levels of the switching device are separated from the LC tank, therefore alleviating the possible concern of the device breakdown under large-signal operation. To comprehend the coupling effects between the primary and secondary coils, the equivalent T-model, as in [20], is adopted in the following analysis, as shown in Fig. 10(b), where and represent the self-inductances of the primary and secondary coils, respectively; is the mutual inductance between and represents the ohmic loss of the primary coil; and represents the ohmic loss of both the secondary coil and switching varactor. When is set to the high and low states, the varactor capacitances are switched to and , respectively. In this design, all varactors were implemented by accumulation-mode MOS varactors, as shown in Fig. 11. Here, the switching varactor only operates in the strong accumulation (at the high state) and the inversion (at the low state) modes to ensure the disturbance of due to voltage variation across the varactor can be neglected. According to the T-model transformation, at the high and low states of , can be derived by

(24)

If the secondary coil and the switching varactor are properly designed so that is small, can be effectively reduced and is mainly dominated by , while the angular frequency is far from and . The actual resistance contribution from the switching device to the LC tank can be effectively suppressed through impedance transformation; which is another important merit of the transformer-based switched inductor. In contrast, when the excitation is near to the resonant frequency of the secondary coil and the switching varactor, will increase rapidly due to small in the dominator of (25), leading to a severely decreased quality factor and preventing oscillation. Self-resonance generally is an adverse effect to the conventional switched inductor; however, in the proposed dual-band VCO, we utilized these properties to realize the band-switching from the two oscillation modes. With the proper design of the resonant frequency of and , the frequencies of the minimum ’s of and are set near the carrier frequencies of the two oscillation modes, respectively. While the circuit operates at the one of oscillation modes, the other mode can be forbidden due to the corresponding being significantly suppressed, and vice versa. This capability of the undesired mode rejection is difficult to achieve by using the switching transistor. Though the switched inductor with the switching transistor can provide two inductance states while the equivalent impedance of the MOS switch is changed to the resistive (at the on-state) and the capacitive (at the off-state) types. The on-state channel resistance uniformly deteriorates at all frequencies, while the off-state parasitic capacitance mainly decreases when approaching the self-resonance frequency; these dissimilar characteristics are unfavorable to optimize ’s of the two inductance states simultaneously. Besides, (25) and (26) indicate that the real and imaginary parts of will be close to and at high frequency, respectively. In other words, while the angular frequency is above the self-resonance frequencies of and , the corresponding ’s can rise again with increasing frequency. Hence, this band-switching approach is more conducive to high-frequency oscillator designs than using conventional switched LC-resonators. The predictions from (25) and (26) are based on the equivalent T-model of a two-port transformer that ignores the small parasitic capacitance between the two inductor windings. At very high frequencies, ’s will decreases due to the secondary self-resonance induced by the parasitic capacitance between and . With the proper design of the structure and the turn numbers for the two coils, such parasitic capacitance can be effectively reduced and the secondary self-resonance

2172

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 12. Full schematic of the proposed dual-band VCO.

frequency is significantly higher than the 10/22-GHz frequency bands of this circuit, which will be verified by the simulation in Section IV. Therefore, the preceding analysis from the T-model in Fig. 10(b) is still reliable for the operation frequency range of this VCO. IV. FABRICATION DETAILS AND MODELING The full circuit architecture of the proposed dual-band VCO is shown in Fig. 12. The major band switching is realized by the switched coupled inductor . The capacitances of in Fig. 5(a) and in Fig. 6(a) were implemented by the varactor array and the fine-tuning varactor , respectively. The tuning range of both major bands is further divided into four overlapping sub-bands by three digital varactors in . The continuous tuning is performed by , while retaining small VCO gain in each sub-band to obtain better phasenoise performance. The inductor used for was fabricated by the top metal layer (metal-6) in a standard 0.18- m CMOS technology. It is a halfturn winding with a radius of 120 m and a linewidth of 15 m. The half-turn structure can minimize the parasitic inter-winding capacitance, ensuring the current continuity of in Fig. 7(b). The inductance and quality factor of were obtained via the electromagnetic simulation by using ADS Momentum, as shown in Fig. 13. The structure of the switched coupled inductor is shown in Fig. 14. The primary coil is a differential inductor with a linewidth of 9 m, which was fabricated by the top metal layer with a bottom jumper built by metal-5. A double-turn

Fig. 13. Simulated inductance and quality factor of the inductor

.

structure was used in the primary coil to acquire a balance between sufficient inductance and small parasitic resistance. The secondary coil is a single-turn differential inductor with a linewidth of 9 m. It was placed underneath the outer winding of the primary coil. To reduce the series resistance and the parasitic capacitance between the two coils, the secondary coil was constructed by stacking metal-4 and metal-3 with via connections. Two switching varactors were connected to the secondary coil and used to select the oscillation mode, as described in Section III. The switching varactor size is mainly determined by the required frequency-switching range since the resonant frequencies of the secondary coil and the switching

LIU et al.: DUAL-RESONANT MODE 10/22-GHz VCO

2173

Fig. 14. Layout structure of the: (a) primary coil and (b) secondary coil of the . designed transformer used for

Fig. 16. Simulated

versus different radii of the transformer.

Fig. 15. Simulated series resistance of the primary coil , the parasitic re, and the reflected resistance sistance of the switching varactor from the secondary coil to the primary coil.

varactor should be designed near the carrier frequencies of the two oscillation mode in the circuit, respectively. In this design, the switching varactor has a gate length of 0.5 m and a total width of 250 m. Fig. 15 shows the simulated series resistance of the primary coil , the parasitic resistance of the switching varactor , and the reflected resistance from the secondary coil to the primary coil. The advantage of using the transformer-based switched inductor is revealed in this simulation, where is smaller than 2 in all operation frequency ranges, and the actual resistance contribution can be further suppressed through the impedance transformation. In the low-frequency oscillation mode ( V), and is obviously lower than the series resistance of the primary coil; therefore is mainly governed by . In the high-frequency oscillation mode ( V), 1.5 , which is compatible to . Hence, in both frequency bands of the proposed VCO, the influence of can be controlled in the acceptable level and does not significantly affect . Fig. 16 shows the simulated for the different inner radii of the transformer. According to this simulation, the optimized inner radius range is between 30–40 m. Adopting a larger radius will result in the decreased ’s in both oscillation modes, leading to stricter startup conditions and worse phase-noise performances. On the other hand, though

Fig. 17. Simulated: (a) quality factor and (b) inductance of the designed

.

continued shrinking of the transformer radius can increase the high-band , this approach also reduces the low-band when the radius is below 30 m due to the over-reduced inductance of the primary coil. Here, we adopted a radius of 32 m for the designed transformer. The corresponding quality factors and inductances were simulated and are displayed in Fig. 17. In Fig. 17(a), the designate frequencies of the local

2174

Fig. 18. Frequency sensitivity to: (a)

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

and (b)

. Fig. 20. Measured tuning characteristics at the: (a) low- and (b) high-band operations.

Fig. 19. Microphotograph of the fabricated 10/22-GHz dual-band VCO.

minimum ’s are 10.7 and 18.1 GHz, which can be used to eliminate the undesired oscillation mode while keeping the desired mode. As the frequency continued increases, both ’s

can rise again and reach the local maximum value around 35 GHz, as the prediction from (25) and (26) in Section III. At higher frequencies, ’s will decrease due to the secondary self-resonance around 60 GHz, which is much higher than the self-resonance frequency of conventional switched inductors using a large MOS switch. Since the secondary self-resonance is caused by the parasitic capacitance between the primary and secondary coils and is uncorrelated with the varactor capacitance, the two secondary self-resonance frequencies of different oscillation modes are almost the same, as shown in Fig. 17(b). Although the parasitic capacitance between the two coils can affect the accuracy of the T-model in Fig. 10(b) at very high frequency, the corresponding secondary self-resonance around 60 GHz apparently exceeds the typical frequency range of LC-VCOs in a 0.18- m CMOS technology. Therefore, we can still ignore this effect in the proposed switched inductor design. Here we define that and are the partial derivative of the oscillation frequency to and the partial derivative of the oscillation frequency to , respectively. For the given and and can be derived as (27)

LIU et al.: DUAL-RESONANT MODE 10/22-GHz VCO

2175

Fig. 23. Measured phase noise performance at 10.58 GHz (in the low-frequency band) and 22.32 GHz (in the high-frequency band).

Fig. 21. Measured spectrum at the: (a) low- and (b) high-band operations.

Fig. 24. Measured phase noise across the entire tuning range.

Fig. 22. Measured output power across the entire tuning range.

(28) and . Since where the expressions are too complicated to obtain direct design information, the simulated and are plotted in Fig. 18. In Fig. 18(a), the simulation shows that the low-band ’s

decrease with increasing , while the high-band ’s are nearly invariant. Since the digital inductive tuning is only used to achieve the major band switching in the proposed VCO, adopting low ’s may be preferable to increase the frequency stability in both bands. However, the primary consideration of designing is still to improve the quality factor. According to the simulation in Fig. 16, choosing low is not conducive to the low-band and reduces the suppression ability to the undesired oscillation mode for the low-band operation. Besides, adopting a small switched inductor also increases the inductance error due to parasitic effects in the practical layout. Therefore, the proper ’s in both bands should be less than 3. In this switched inductor, the low- and high-band ’s are about 1.5 and 2.2, respectively. In a multiband LC-VCO using the switched LC-resonator, the fine frequency tuning generally is still achieved by the capacitive approach. Traditionally, the frequency sensitivity of the capacitive tuning can be approximately expressed as (29)

2176

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

TABLE II PERFORMANCE COMPARISONS OF HIGH-FREQUENCY MULTIBAND CMOS VCOs

where represents the tunable capacitance. Equation (29) shows that the frequency sensitivity of the typical capacitive tuning decreases with 1.5th power of increasing the varactor capacitance. While operating at the high-frequency mode, the proposed VCO can be regarded as two sub-VCOs with the common virtual ground. Therefore, the high-band curves in Fig. 18(b) exhibit the similar trend as the frequency sensitivity of the conventional capacitive tuning; the changes of the high-band ’s are gradually saturated as . Meanwhile, the relatively flat low-band characteristics occur at . Therefore, we selected for this design to improve the linearity of the frequency tuning in both bands, which is accomplished by the varactor arrays in . Since Fig. 18(a) shows that the low-band increases with increasing , and the high-band follows the opposite trend with more rapid speed, choosing is also a benefit to optimize ’s in both frequency bands. V. EXPERIMENTAL RESULTS The proposed dual-band VCO was implemented in a standard 1P6M 0.18- m CMOS process. The chip microphotograph is shown in Fig. 19. The total chip area including the buffer transistors and the probing pads is 1.25 1.38 mm . The core circuit area is approximately 1.0 0.75 mm . The circuit performances were characterized by on-wafer probing. While V with the supply voltages of 1.8 and 1.5 V, the core circuit and the buffer stages consume dc power of 15.2 and 14.1 mW, respectively. The circuit operates at the 10- and 22-GHz bands, while the controlled voltage applied to the switching varactors is set to 1.8 and 1.8 V, respectively, the coarse tuning is achieved by the digital varactors of , which operate at 0 or 1.8 V, and the fine tuning is performed by the varactor , as its controlled voltage ranges from 1.8 to 1.8 V. The tuning characteristics in both bands are illustrated in Fig. 20, where the low-band oscillation

frequency is from 10.29 to 11.11 GHz, and the high-band oscillation frequency is from 21.16 to 22.93 GHz. The output spectrums were measured by an Agilent E4448 instrument and are shown in Fig. 21. In both oscillation modes, the measured spectrum only exhibits the fundamental carrier and the harmonics. The spurs due to undesired oscillation mode can be effectively suppressed and are below the noise floor of the measurement environment in both bands, therefore verifying the effectiveness of the proposed band-switching technique. Besides, the measured output signals also present the high-order rejections better than 25 dB in both bands. Fig. 22 shows the measured output power. The low-band carrier power is from 8.5 to 9.5 dBm, and the high-band carrier power is from 12.6 to 14.3 dBm. The average output powers in the 10- and 22-GHz band are 9.1 and 13.5 dBm, respectively. The close-in phase-noise characteristics were measured by an Agilent E5052 system and are shown in Fig. 23. To evaluate the circuit performance over the entire frequency range, phase noise at 1-MHz offset across both major bands was measured and displayed in Fig. 24, where the average phase noise in 10- and 22-GHz bands are 115.9 and 106.8 dBc/Hz, respectively. Table II summarizes the performances of this circuit and other high-frequency multiband VCOs for comparison. The average figures-of-merit (FOMs) of the proposed VCO are 184.63 and 181.81 dBc/Hz for the low- and high-band operations, respectively. These performances are comparable with advanced multiband CMOS VCOs, indicating an expected balance between the circuit performance and the dissipated power. VI. CONCLUSION A dual-band VCO that can operate at 10- and 22-GHz frequency bands has been designed and fabricated in a standard 0.18- m CMOS technology. This circuit utilizes the novel switching manner to control the oscillation modes and to realize the frequency-band switching. The average phase noises

LIU et al.: DUAL-RESONANT MODE 10/22-GHz VCO

are 115.9 and 106.8 dBc/Hz at 1-MHz offset frequency in 10- and 22-GHz bands, respectively. The FOMs are 184.63 and 181.81 dBc/Hz in 10- and 22-GHz bands, respectively, while the VCO core draws a dc current of 8.44 mA from a 1.8-V supply. The performances of this VCO compare well with the advanced high-frequency multiband VCOs. ACKNOWLEDGMENT The authors would like to thank the National Chip Implementation Center (CIC), Hsinchu, Taiwan, for chip fabrication. REFERENCES [1] A. Kral, F. Behbahani, and A. A. Abidi, “RF-CMOS oscillators with switched tuning,” in Proc. IEEE Custom Integr. Circuits Conf., 1998, pp. 555–558. [2] A. Jayaraman, B. Terry, B. Fransis, P. Sullivan, M. Lindstrom, and J. O’Connor, “A fully integrated broadband direct-conversion receiver for DBS applications,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2000, pp. 140–141. [3] J. M. Mourant, J. Imbornonr, and T. Tewksbury, “A low phase noise monolithic VCO in SiGe BiCMOS,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., Jun. 2000, pp. 65–68. [4] S. Li, I. Kipnis, and M. Ismail, “A 10-GHz CMOS quadrature LC-VCO for multirate optical applications,” IEEE J. Solid-State Circuits, vol. 38, no. 10, pp. 1626–1634, Oct. 2003. [5] A. D. Berny, A. M. Niknejad, and R. G. Meyer, “A 1.8-GHz LC-VCO with 1.3-GHz tuning range and digital amplitude calibration,” IEEE J. Solid-State Circuits, vol. 40, no. 4, pp. 909–917, Apr. 2005. [6] Y. J. Moon, Y. S. Roh, C. Y. Jeong, and C. Yoo, “A 4.39–5.26 GHz LC-tank CMOS voltage-controlled oscillator with small VCO-gain variation,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 8, pp. 524–526, Aug. 2009. [7] F. Herzel, H. Erzgraber, and N. Ilkov, “A new approach to fully integrated CMOS LC-oscillator with a very large tuning range,” in Proc. IEEE Custom Integr. Circuits Conf., May 2000, pp. 573–576. [8] L. Geynet, E. De Foucauld, P. Vincent, and G. Jacquemod, “Fully-integrated multi-standard VCOs with switched LC tank and power controlled by body voltage in 130 nm CMOS/SOI,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., Jun. 2006, pp. 129–132. [9] S. M. Yim and K. K. O. Kenneth, “Switched resonators and their applications in a dual-band monolithic CMOS LC-tuned VCO,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 74–81, Jan. 2006. [10] H. L. Kao, D. Y. Yang, A. Chin, and S. P. McAlister, “A 2.4/5 GHz dual-band VCO using a variable inductor and switched resonator,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 1533–1536. [11] B. Çatlı and M. M. Hella, “A dual band, wide tuning range CMOS voltage controlled oscillator for multi-band radio,” in Proc. IEEE Radio Freq. Integr. Circuits Symp., Jun. 2007, pp. 595–598. [12] G. Cusmai, M. Repossi, G. Albasini, and F. Svelto, “A 3.2-to-7.3 GHz quadrature oscillator with magnetic tuning,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., 2007, pp. 92–94. [13] S. Ko, J. G. Kim, S. E. Yoon, and S. Hong, “ - and -bands CMOS frequency sources with -band quadrature VCO,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2789–2800, Sep. 2005.

2177

[14] H. H. Hsieh, Y. C. Hsu, and L. H. Lu, “A 15/30-GHz dual-band multiphase voltage-controlled oscillator in 0.18- m CMOS,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 3, pp. 474–483, Mar. 2007. [15] N. T. Tchamov, S. S. Broussev, I. S. Uzunov, and K. K. Rantala, “Dual band LC-VCO architecture with a fourth-order resonator,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 54, no. 3, pp. 277–281, Mar. 2007. [16] S. L. Jang, Y. K. Wu, C. C. Liu, and J. F. Huang, “A dual-band CMOS voltage-controlled oscillator implemented with dual-resonance LC tank,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 12, pp. 816–818, Dec. 2009. [17] R. Aparicio and A. Hajimiri, “A noise-shifting differential colpitts VCO,” IEEE J. Solid-State Circuits, vol. 37, no. 12, pp. 1728–1736, Dec. 2002. [18] H. H. Hsieh and L. H. Lu, “A high-performance CMOS voltage-controlled oscillator for ultra-low-voltage operations,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 3, pp. 467–473, Mar. 2007. [19] B. Razavi, Design of Analog CMOS Integrated Circuits. New York: McGraw-Hill, 2001. [20] M. Demirkan, S. P. Bruss, and R. R. Spencer, “Design of wide tuningrange CMOS VCOs using switched coupled-inductors,” IEEE J. SolidState Circuits, vol. 43, no. 5, pp. 1156–1163, May 2008. [21] R. M. Weng, T. J. Hsiao, and C. Y. Liu, “A dual-band voltage-controlled oscillator for SONET OC-768 application,” in Proc. IEEE Int. Circuits Syst. Symp., 2009, pp. 1297–1300. [22] L. Wu, A. W. L. Ng, L. L. K. Leung, and H. C. Luong, “A 24-GHz and 60-GHz dual-band standing-wave VCO in 0.13 m CMOS process,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., May 2010, pp. 145–148. [23] S. Saberi and J. Paramesh, “A 11.5–22 GHz dual-resonance transformer-coupled quadrature VCO,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., Jun. 2010, pp. 1–4. [24] J. Borremans, A. Bevilacqua, S. Bronckers, M. Dehan, M. Kuijk, P. Wambacq, and J. Craninckx, “A compact wideband front-end using a single-inductor dual-band VCO in 90 nm digital CMOS,” IEEE J. Solid-State Circuits, vol. 43, no. 12, pp. 2693–2619, Dec. 2008. Szu-Ling Liu, photograph and biography not available at time of publication.

Kuan-Han Chen, photograph and biography not available at time of publication.

Albert Chin (SM’94–F’11) received the Ph.D. degree in electrical engineering from The University of Michigan at Ann Arbor, in 1989. He was with AT&T–Bell Laboratories, the General Electric-Electronic Laboratory, and visited Texas Instruments Incorporated–SPDC. He is currently a Professor with the National Chiao Tung University, Hsinchu, Taiwan, and a Visiting Professor with the Si Nano Device Laboratory, National University of Singapore, Singapore. He was the Vice Executive Officer of the Diamond Project and Deputy Director of the Multi-Disciplinary Research Center, National Chiao Tung University. Dr. Chin has served as a panelist for the Device Research Conference. He has been a Distinguished Lecturer for the IEEE Electron Device Society, IEDM Executive Committee, etc.

2178

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Design and Analysis of an Ultra-Wideband Automatic Self-Calibrating Upconverter in 65-nm CMOS Byoungjoong Kang, Jounghyun Yim, Taewan Kim, Sangsoo Ko, Student Member, IEEE, Won Ko, Heeseon Shin, Inhyo Ryu, Sung-Gi Yang, Jong-Dae Bae, and Hojin Park

Abstract—In this paper, an ultra-wideband (UWB) upconverter is proposed that has automatic self-calibrating circuits for the in-phase/quadrature mismatch correction and the local (LO) leakage suppression. The proposed self-calibrating circuits have been devised to have UWB functionality without help of the baseband processor. In addition, calibrating circuits do not need any additional analog-to-digital converter or sample-and-hold capacitors that are used to store and update the minimum power because the proposed calibrators find the solution from informations in current state. To verify the performance, the upconverter was applied to an UWB transmitter (Tx), operating from 3.1 to 4.8 GHz and from 6.3 to 9 GHz in 65-nm CMOS. The measured data shows UWB performance for the sideband rejection up to 9 GHz and the LO leakage suppression up to 5 GHz, respectively. The automatically calibrated Tx has error vector magnitude of lower than 20 dB, output 1-dB compression point of 6 dBm, LO leakage of lower than 43 dBm, and sideband suppression ratio of higher than 45 dBc with current consumption of 175 mA from a 1.2-V power supply for all supporting bands and time frequency codes defined in WiMedia UWB specifications. Index Terms—Automatic calibration, in-phase/quadrature (IQ) mismatch, local (LO) leakage, sideband rejection, transmitter (Tx), ultra-wideband (UWB), upconverter.

I. INTRODUCTION

T

HE ERROR vector magnitude (EVM) of a transmitter (Tx) is degraded by local (LO) leakage, in-phase/quadrature (IQ) mismatches, phase noise, nonlinearity, and other spurs. Among them, LO leakage and IQ mismatches are strongly related to mismatches in upconverters. LO leakage and IQ mismatches also resulted from mismatches in input signals from LO path and baseband. To overcome the dependency of mismatches on process-voltage-temperature (PVT) variations, calibrations are required. Automatic calibrations in a Tx can be divided into two classes, the digitally assisted calibration method and the self-contained calibration method. Digitally assisted calibration methods utilize a loopback path from Tx to receiver (Rx) in

Manuscript received July 20, 2011; revised March 19, 2012; accepted March 25, 2012. Date of publication June 01, 2012; date of current version June 26, 2012. The authors are with the Mixed Signal Core Design Team, Samsung Electronics, Giheung, Yongin, Gyeonggi 446-711, Korea (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2197018

transceivers. These methods downconvert RF output signals from Tx to baseband signals using envelope detectors [1], [2] or downconversion mixers in Rx’s [3]–[5]. The baseband processor (BBP) then measures and evaluates the powers of the downconverted signals and finds the optimum condition by adjusting bias currents in the blocks or Tx input tones from digital-to-analog converter (DAC) in the analog front-end (AFE). In this way, digitally assisted calibration methods can minimize the LO leakage and/or the IQ mismatch with high programmability. However, mismatches and noise are added through the building blocks in a loopback path and the LO loading is different in the loopback mode from that in Tx or Rx operation. To minimize uncertainties, dc offset and IQ mismatch in the Rx path has to be calibrated in advance. The requirement of pre-calibrations increases the hardware complexity and the calibration time. In addition, the loopback path degrades the Rx sensitivity. On the other hand, self-contained calibration methods do not need the RF and digital baseband solution because they can work in Tx’s without the help of the BBP [6], [7]. In addition, they do not require pre-calibrations in the Rx path and do not affect the Rx sensitivity. However, most of them require additional analog-to-digital converter (ADC) [6] or sample-and-hold capacitors (SHCs) [7] in order to store and update the optimum value found during calibration. In self-contained calibration methods, dedicated calibrating circuits are required for the LO leakage suppression and the IQ mismatch correction, respectively, because origins are different. They have lower functionality and manufacturability compared with digitally assisted calibration methods because most of them lack programmability. To have competitiveness against digitally assisted calibration methods, an alternative self-contained calibration method is required. In this paper, we have developed an upconverter with automatic self-contained calibration circuits for the LO leakage suppression and the IQ mismatch correction as a standalone RF integrated circuit (RFIC) solution (see Fig. 1). The automatic calibrators have the ultra-wideband (UWB) functionality and manufacturability and eliminate additional storing circuits. This paper is organized as follows. Section II describes the principles of the proposed calibration circuits. Section III introduces the design of the UWB Tx including the proposed upconverter. Section IV shows the measured data of the fabricated UWB Tx in 65-nm CMOS. Section V discusses the design issues in proposed calibrators in view of UWB functionality. Section VI presents a conclusion.

0018-9480/$31.00 © 2012 IEEE

KANG et al.: DESIGN AND ANALYSIS OF UWB AUTOMATIC SELF-CALIBRATING UPCONVERTER IN 65-nm CMOS

2179

Fig. 2. Error amplifier. (a) Block diagram. (b) Equivalent signal flow diagram.

On the other hand, if there exist dc offsets without IQ mismatch, the output of the SSB mixer is

Fig. 1. Block diagram of the proposed upconverter.

II. UPCONVERTER WITH UWB AUTOMATIC SELF-CALIBRATORS

(2)

A. Overview Fig. 1 shows the block diagram of the proposed upconverter. The upconverter consists of an IQ mixer, a three-stage LO driver, and three automatic self-contained calibrators: one for the IQ mismatch correction and two for the LO leakage suppressions for the I and Q paths. A mixer is designed as a double-balanced mixer to suppress LO leakages [8]. The IQ mixer is a single-sideband (SSB) mixer, which consists of two identical double-balanced mixers driven by quadrature signals, as shown in Fig. 1. To quantify the IQ mismatch in a Tx, two quadrature sinusoidal signals are applied to baseband inputs of the SSB mixer [9]. In an ideal case, the unwanted sideband is completely rejected by the SSB mixer. However, due to mismatches in layout and PVT variations, the unwanted sideband signal cannot be removed completely. The sideband suppression ratio (SSR), which is defined as the ratio of the output power of the wanted signal at to that of the unwanted sideband signal at , is then (1), shown at the bottom of this page [8], where and denote amplitude and phase mismatches in the baseband, respectively. denotes a phase mismatch in the LO.

dBc

where and are dc offsets in double-balanced mixers of the I and Q paths, respectively. Note that LO leakages for I and Q paths are orthogonal with each other if there is no quadrature phase error between I and Q paths. However, they interact with each other in reality due to the IQ mismatches and nonlinearities. B. Principles of Proposed Calibrators In proposed calibrators, two complementary dc voltages ( and in Fig. 2) in the current state are compared in order to remove storing circuits. For this purpose, a comparator, counter, and DAC are employed as an “error amplifier,” as shown in Fig. 2(a) [10]. The difference between and is proportional to mismatches. The comparator determines which voltage is larger between and in every clock cycle. The counter, which controls the DAC, counts the number of clocks until and are equal. The DAC has two current outputs ( and ) whose amplitudes are equal and directions are opposite in order not to change the common mode voltage between and . The amplitudes are proportional to

(1)

2180

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 3. State diagram of the proposed automatic self-contained calibrator when: and (b) at in decimal number. (a)

the counter output . The directions are determined according to the logical state of the 1-bit control, , from the counter to make negative feedback at the starting time, namely, in decimal number. Fig. 2(b) shows the equivalent signal flow diagram of the error amplifier, where is the transconductance of the error amplifier. Fig. 3 demonstrates the state diagram of the proposed automatic calibrator together with , , and . If at , as shown in Fig. 3(a), then is 1 and increases, while decreases until and are equal at in this example. However, due to the residual error, becomes larger than at and a state transition occurs to state II and the calibration stops keeping . If at , as shown in Fig. 3(b), then is 0 and decreases, while increases until and are equal at in this example. Like Fig. 3(a), due to the residual error, becomes smaller than at and a state transition occurs to state and the calibration stops keeping . In short, the initial state (State I-1 or State II-1) is determined at the calibration starting time and the counter output increases until a state transition occurs. After the state transition, the calibration is over. This topology

Fig. 4. Proposed automatic self-contained calibrator for the LO leakage suppression. (a) Block diagram. (b) Equivalent signal flow diagram. (c) Timing diagram.

may have 1-bit error in , which is dependent on the residual error. This issue is discussed in Section V. On the other hand, in wideband and high-frequency applications such as UWB, real time calibration is not appropriate since a signal path working at high frequency should be divided into a main path and a feedback path, which is shown as parasitic for the main path. We use switches to isolate the feedback path from the main path in Tx mode. This means that the calibration mode is distinguished from the Tx mode. C. LO Leakage Suppression Calibrator Fig. 4(a) shows the block diagram of the proposed automatic self-contained calibrator for the LO leakage suppression. In calibration mode, the switches are connected so that the feedback loop is made. The switching quad is disabled and no

KANG et al.: DESIGN AND ANALYSIS OF UWB AUTOMATIC SELF-CALIBRATING UPCONVERTER IN 65-nm CMOS

2181

From (3) and (4), we can obtain (5) can be obtained from (5) and the definition (6) The difference between the final outputs obtained by substituting (6) into (7)

and

is then (7) (8)

Note that if due to dc offsets, then and . In this case, is 1. If to increase the loop gain, then (6) becomes (9) By substituting (9) into (7), we can see that the final outputs and are equal. Another implementation can be the gate connection with the DAC output, as shown in Fig. 5(c). In this case, and (10) and (11) From (4), (10), and (11), Fig. 5. (a) Double-balanced mixer with the voltage detector. (b) Drain connection with the DAC output. (c) Gate connection with the DAC output.

baseband input signals are applied to the transconductance stage . The dc currents ( and ) from the voltage detector then flow into [7]. The voltage detector converts the currents into the voltages ( and ). The error amplifier compensates the mismatches between and by means of two dc currents from the DAC ( and ). Fig. 4(b) shows the signal flow diagram of the proposed automatic self-contained calibrator for the LO leakage suppression. Fig. 4(c) demonstrates the time response of the calibrator. In this case, is smaller than due to dc offsets. Note that as decreases, the LO leakage is also reduced. The implemented double-balanced mixer with the voltage detector is shown in Fig. 5(a). The DAC output is connected with drains of ( and ), as shown in Fig. 5(b), to implement the architecture in Fig. 4(a). The characteristic of the calibrator as a negative feedback compensator can be verified analytically as follows. From the signal flow diagram in Fig. 4(b),

and

(3)

and

(4)

is

where and are transconductances of spectively. From (10)–(12), can be obtained

and

(12) , re-

(13) Note that if due to dc offsets, then . In this case, is 1. The difference between the final outputs and obtained by substituting (13) into (14)

and is then

(14) (15) If then (16) becomes

to increase the loop gain, (16)

By substituting (16) into (14), we can see that the final outputs and are equal. Fig. 6 shows the simulated LO leakages for the 5-bit DAC at 9 GHz, comparing the leakage power levels between the drain

2182

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

removing other mismatches, except the LO phase mismatches, SSR can be written as given by (17) dBc

Fig. 6. Simulated LO leakages for the 5-bit DAC at 9 GHz for the: (a) drain connection with the DAC output and (b) gate connection with the DAC as MSB and 4-bit output. The 5-bit counter output is composed of 1-bit . represents the sign of the counter output in decimal number.

connection in Fig. 5(b) and the gate connection in Fig. 5(c). The 5-bit counter output comprises 1-bit as most significant bit (MSB) and 4-bit . represents the sign of the counter output in decimal number. For the same magnitude of the DAC output currents, which is from 0% to 1% of the drain current, the gate connection shows wider tuning range for the LO leakage from 120 to 60 dBm. This is because the induced drain current for the gate connection is 17 times larger than that for the drain connection. On the other hand, the drain connection has higher resolution per 1 bit. This characteristic is more proper for the LO leakage calibration because the LO leakage changes rapidly around the minimum with the counter output. Moreover, I and Q path have separate calibrators. This means code errors from the optimum counter outputs for I and Q path deteriorate the calibration ability together. Therefore, 1-bit resolution is very important in the calibration for the LO leakage suppression. On the other hand, the drain connection requires higher performance from the comparator. D. IQ Mismatch Calibrator Equation (1) shows the unwanted sideband signal cannot be removed completely due to IQ mismatches in layout and PVT variations when SSB tests. The amplitude mismatches in LO can be ignored because of limiting in the limiter. The amplitude mismatches in baseband can be minimized by careful layout with common centroid geometries. The phase mismatches in baseband are quite small compared with that of LO as well. Therefore, phase mismatches in LO are main sources of poor sideband rejections. In other words, the sideband rejection calibration can be performed by only adjusting phase difference between quadrature LO signals, although the minimum of sidebands after calibration is limited by other mismatches. By

(17)

in (1). where Fig. 7 shows the proposed automatic self-calibrator, which can reject the sideband only by correcting the IQ phase error [11]. The phase difference between quadrature signals from the LO driver can be controlled with help of an UWB phase interpolator (PI) [14]–[16]. The automatic calibrator for the sideband rejection also employs the error amplifier, as shown in Fig. 2. The function and the timing response of the error amplifier are exactly the same as that in the LO leakage calibrator, as shown in Fig. 4(c). In the sideband rejection calibrator, the PI is adopted as a DAC in the error amplifier, as depicted in Fig. 7(a). In calibration mode, is connected and the SSB mixer is disabled. LO signals from LO driver then flow into a phase detector (PD). , which contains the phase error , is converted into a voltage error by the cascade of a PD and an RC low-pass filter (LPF). The PD consists of XOR and XNOR gates that make two complementary outputs, and , as shown in Fig. 8(a) [13]. From and , two dc signals ( and ), which are inputs of the error amplifier, are achieved by the RC LPF. As shown in Fig. 8(b), if is smaller than 90 , is smaller than , and then and . In this case, the PI increases . As increases, increases and decreases until crosses 0, and thus, , as shown in Fig. 3(a). If is larger than 90 , as shown in Fig. 8(c), is larger than , and then and . In this case, the PI decreases . As increases, decreases and increases until crosses zero, and thus , as shown in Fig. 3(b). When is exactly 90 , and are equal. Namely, . However, due to the residual error, could not be 0, and thus, is in the logical state of 1 or 0. Fig. 9(a) shows the implemented PI as a phase compensator. The PI uses an IQ vector sum principle to control . The PI consists of two differential pairs in each I and Q path, whose outputs are put together with the limiter outputs. Inputs for two differential pairs are out-of-phase by 180 with each other. Two differential pairs are turned on or off in turn to control as follows. When differential pairs colored in black turn on and those colored in gray turn off with , becomes smaller than 90 , as shown in Fig. 9(a). On the contrary, when differential pairs colored in black turn off and those colored in gray turn on with , then becomes larger than 90 . The degree of is determined by dc currents of differential pairs in the PI, where current sources are binary weighted by a 7-bit . Each current [ in Fig. 9(a)] in the PI for I and Q paths are simultaneously controlled by the same amount. Therefore, the PI does not cause amplitude imbalance in quadrature LO signals. Fig. 9(b) shows the simulated sideband rejection and phase control for the 8-bit PI at 9 GHz. The 8-bit counter output comprises 1-bit as MSB and 7-bit . The proposed quadrature PI has UWB characteristics and a wide

KANG et al.: DESIGN AND ANALYSIS OF UWB AUTOMATIC SELF-CALIBRATING UPCONVERTER IN 65-nm CMOS

2183

Fig. 7. Proposed automatic self-contained calibrator for the IQ mismatch correction (the sideband rejection). (a) Block diagram. (b) Equivalent signal flow diagram.

Fig. 8. (a) PD. (b) Comparator and LPF outputs with IQ phase difference

tuning range. In this work, the PI is designed to have a tuning range of 6.83 to 6.83 in a step of 0.1 at 9 GHz for sideband rejection from 26 to 100 dBc in simulation. The current source consumes 2.2 A per 1 bit in simulation and the limiter consumes of 3 mA in each I and Q path. The characteristic of the calibrator as a negative feedback compensator can be verified analytically as follows. First, the outputs, and can be obtained from the vector sum principle, as shown in Fig. 7(a). From and , and flow to the PI and and flow to the limiter. By denoting the transconductance of the error amplifier as and the voltage gain of the limiter as , (18)

in LO signals when

and (c)

.

(19) where

(20) and is the amplitude of and . and are compensated amplitude and phase of and , respectively. The signal flow diagram for the error amplifier can be simplified as a block, which generates in proportion to , as shown

2184

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 9. Proposed UWB PI. (a) Schematic where the PI is combined with limiter to control the phases of the limiter output. (b) Simulated SSR and phase control in the current source for a single differential pair with the 8-bit counter output. for the 8-bit PI at 9 GHz. (c) Simulated phase control and

in Fig. 7(b). The phase difference, which is compensated by the PI is then (21) where and are the phases of and , respectively, which contain phase errors. and , which are generated by the cascade of the PD and the LPF, as shown in Fig. 8(b) and (c), are (22) (23) (24) From (20), (21) and (24), we can obtain a nonlinear equation for (25)

Fig. 10. Block diagram of the UWB Tx where “CS cal” is an abbreviation for the automatic carrier (LO) leakage calibrator and “IQ cal” is for the automatic IQ mismatch (sideband rejection) calibrator.

KANG et al.: DESIGN AND ANALYSIS OF UWB AUTOMATIC SELF-CALIBRATING UPCONVERTER IN 65-nm CMOS

2185

Fig. 11. UWB band allocation.

If comes

is very large to increase the loop gain, then (25) be-

(26) By substituting (26) into (21), we can see that the final IQ phase difference is 90 . III. UWB Tx DESIGN A. Overview To verify the performance of the proposed upconverter, it was applied to a WiMedia UWB Tx, as shown in Fig. 10. The WiMedia UWB standard for a wireless personal area network (WPAN) covers from 3.1 to 10.6 GHz with channel bandwidth of 528 MHz, allowing maximum data rate of 480 Mb/s. The spectrum is divided into 14 sub-bands with six band groups for spectral efficiency against narrowband interferers and each band group has three sub-bands, except band group 5, which has two sub-bands (see Fig. 11). This technique is known as a multiband orthogonal frequency-division multiplexing (MB-OFDM). The transmit data can be interleaved in the time and frequency domains using ten time-frequency codes (TFCs) for higher power transmission and frequency diversity. There are three types of TFCs: time-frequency interleaving over three bands among four patterns (TFI: TFCs 1 4), time-frequency interleaving over two bands among three patterns (TFI2: TFCs 8 10), and fixed frequency interleaving on a single band among three individual bands (FFI: TFCs 5 7) [11], [17]. The Tx has two dedicated RF signal paths for low band (LB), which covers band group 1 (3.168–4.752 GHz), and high band (HB), which covers band groups 3 (6.336–7.920 GHz) and 6 (7.392–8.976 GHz). These paths hold a fourth-order Chebyshev LPF in common that eliminates noise and spurs from the DAC in the AFE. The LPF consists of two biquads (BQs) in cascade. The LPF outputs are ac coupled with mixer inputs through , as shown in Fig. 5(a), with cutoff frequency of 1 MHz so that dc offsets from the LPF does not affect those in the mixer. This is beneficial in LO leakage suppression. Each path also includes an IQ mixer and a driver amplifier. The driver amplifier consists of a variable gain amplifier (VGA) and an active balun. The VGA can attenuate the gain by 12 dB in 2-dB steps, and the balun converts a differential input into a single-ended 50 load. The LO drivers, which switch mixers, are fed from an on-chip LO generation block.

Fig. 12. Three-stage UWB LO driver. (a) Schematic for Q path. (b) Simulated LO output power for Q path.

B. UWB LO Driver Feeding wideband LO signals to IQ mixers is a key issue in CMOS UWB Tx’s. Output swings of LO drivers above 7 GHz decreases rapidly due to capacitive parasitic. Therefore, switching pairs in mixers cannot be fully switched and mixer output swings are also declined as operating frequency increases in band group 6. To solve foregoing problems, the combination of a push–pull-type buffer and a current mode logic (CML) type buffer in cascade is employed. As shown in Fig. 12, the proposed LO driver is three-stage buffer and consists of push–pull buffers in the first two stages and a CML buffer in the last stage as a limiter.

2186

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 15. Measured Tx power for all supporting bands.

Fig. 13. (a) RF chain with LC tuned loads. (b) Simulated wideband frequency response for HB.

Fig. 16. Measured LO leakages at 8.7 GHz with the 5-bit counter output. (a) Drain connection with the DAC output. : Counter output for I path sweeps for Q path. : Counter output for Q path sweeps when counter with setting counter output for I path at 3. (b) Gate connection with the DAC output.

last stage is the CML type buffer, which limits the amplitude of the output. As a result, the output has a broadband characteristic up to 10 GHz in simulation, as shown in Fig. 12(b) [11]. Fig. 14. Chip photograph.

The first stage consists of two push–pull buffers in parallel, whose outputs are dc coupled with the push–pull buffer in the second stage. One has an output common-mode voltage of 0.36 V and drives the pMOS device [ in Fig. 12(a)]. The other has an output common-mode voltage of 0.75 V and drives the nMOS device [ in Fig. 12(a)]. This topology increases bias current while reducing transistor size of the push–pull buffer so that is increased [18]. However, low-frequency gain becomes too high. The feedback resistor reduces low-frequency gain and biases the gate of the first stage. The

C. Wideband Frequency Response Broadband RF load tuning is also challenging in UWB Tx design. The Tx in this work consists of three stages of LC tuned load amplifiers, as shown in Fig. 13(a). To achieve a wideband power gain, each power gain is added after the mixer provides peak at high frequency, the VGA provides peak at low frequency and the active balun is tuned widely with low quality ( ) factor [19]. Fig. 13(b) shows the simulated power gain for HB [11]. IV. MEASURED RESULTS Fig. 14 shows the micrograph of the fabricated Tx in 65-nm CMOS with 5 mm 5 mm exposed lead frame package (ELP).

KANG et al.: DESIGN AND ANALYSIS OF UWB AUTOMATIC SELF-CALIBRATING UPCONVERTER IN 65-nm CMOS

2187

Fig. 17. Measured performances of the automatic calibrator for the LO leakage suppression with 60 samples at: (a) 3.43 GHz, (b) 3.96 GHz, and (c) 4.49 GHz, and with 30 samples at: (d) 6.6 GHz. Samples were under test in a socket without soldering.

The area of the Tx occupies 3.75 mm including the digital control circuitry, LO path, and phase-locked loops (PLLs). The total power consumption of the Tx is 210 mW from a 1.2-V supply. Fig. 15 shows the measured transmitted power over all supporting bands in case that the bandpass filter (BPF) between the driver amplifier and the antenna is not in the test board. The orthogonal frequency-division multiplexing (OFDM) baseband input signals, which is modulated with quadrature phaseshift keying (QPSK) or dual carrier modulation (DCM), were used [17]. According to Federal Communications Commission (FCC), transmitted power spectral density (PSD) should not exceed 41.3 dBm/MHz [17]. Therefore, LO leakages should be suppressed to at least 41.3 dBm/MHz to meet the spectral mask requirement. The measured transmitted powers were adjusted to have a margin of 5 dB from 41.3 dBm/MHz in consideration of the loss in the BPF and the PVT variation by controlling the baseband input power. Fig. 16 shows the measured LO leakages at 8.7 GHz with changing the counter outputs for I and Q paths, separately in the cases of the drain connection and the gate connection with the DAC output. To find the counter output, which minimizes the LO leakage, first, the counter output for I path was swept with setting the counter output for Q path at 0. We could find the minimum LO leakage of 37 dBm when the counter output for I path was 3, as shown in Fig. 16(a), in the case of the drain connection. Next, the counter output for the Q path was swept with setting the counter output for the I path at 3. In this case, we found the minimum LO leakage of 63 dBm when the counter output for Q path was 10 and that for I path was 3. As shown in (2), LO leakages in the I and Q paths are orthogonal. However, they are interactive with each other due to the IQ mismatches and nonlinearities. This can be verified by measurement, as shown in Fig. 16(b), where the values of the counter outputs for the I path for the minimum LO leakage are

Fig. 18. Measured sideband rejections with 8-bit counter output for all supporting bands.

different when the counter output for Q path is 0 and 1. The gate connection [see Fig. 16(b)] shows wider tuning range up to high LO leakage power of 16 dBm for the same value of the counter output. However, the minimum power is higher than that for the drain connection due to lower 1-bit resolution in the dc current of the DAC. Note that the LO leakage power reaches 30 dBm only by three codes in the case of the gate connection. The drain connection shows lower minimum power of 63 dBm and maximum power of 30 dBm due to higher 1-bit resolution in the dc current of the DAC. On the other hand, the proposed automatic calibrator for the LO leakage suppression is not an UWB solution because this solution calibrates only dc offsets in transconductance stage without consideration of dc offsets from switching quad mismatches and LO swing mismatches. To evaluate the calibration ability and functionality with frequency, 60 samples are measured in a socket without soldering, as shown in Fig. 17, because LO leakages are very sensitive to sample-to-sample variation. Although the calibration ability and functionality are

2188

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 19. Measured RF output spectrum before and after calibrations for the LO leakage suppression and the sideband rejection for all supporting bands: LO frequencies of: (a) 4.488 GHz, (b) 7.128 GHz, (c) 8.184 GHz, and (d) 8.712 GHz with quadrature baseband inputs at 12 MHz.

TABLE I MEASURED PERFORMANCE SUMMARY OF Tx FOR ALL SUPPORTING BANDS AFTER AUTOMATIC CALIBRATIONS

deteriorated as operating frequency increases, the calibrator shows good performance up to 4.5 GHz with suppressing the LO leakage to at least 40 dBm. However, at 6.6 GHz, 17 samples among 30 samples malfunction, as shown in Fig. 17(d), because the LO swings are not large enough to be limited due to capacitive parasitic with causing amplitude mismatches in differential signals, and thus, dc offsets in the switching quad happen. The proposed PI shows the UWB functionality and wide tuning range for the sideband rejection, as shown in Fig. 18. The measured tuning range for sideband rejection is 33.2 dB at 7.12 GHz and 17.6 dB at 8.7 GHz. The optimum codes of counter outputs for highest sideband rejections are different in each band due to different structure in the LO path for generating LO signals working at different bands. Discontinuities at of 32 are resulted from differential nonlinearity (DNL) in the PI. Fig. 19 shows the measured RF output spectrum before and after automatic calibrations for the LO leakage suppression and the sideband rejection when SSB tests. Before calibration, for

example, the SSRs are 28.5 and 40.7 dBc in cases of LO frequencies of 4.49 and 7.12 GHz with baseband frequency of 12 MHz, respectively. After calibration, the SSRs are 46.5 and 63.6 dBc with improvement of 18 and 23 dB, respectively. Note that the SSR at LO frequency of 8.18 GHz improved from 53.7 to 62.8 dBc together with improvement of the equivalent phase error by 0.1 from 0.21 to 0.11 approximately based on simulation results, as shown in Fig. 9(c), if other mismatches are ignored. These results show UWB capability of the proposed calibrator for the sideband rejection with high accuracy. LO leakages are well suppressed to below 43 dBm for all supporting bands in this sample. The fabricated Tx performance after automatic calibrations for all supporting bands is summarized in Table I. Table II summarizes the performance of the state-of-the-art calibrators. Performance can be evaluated in view of calibration range, 1-bit resolution, improvement after calibration, code error from optimum, calibration time, power consumption, and functional frequency. Most of them have not been reported in previous works and improvements are very sensitive to sample-to-sample variations. Therefore, maximum functional frequency, supply voltage, and requirement of storing circuits are chosen as figures-of-merit. As shown in Table II, this work shows the highest operating frequency with lowest supply voltage without additional storing circuits. V. DESIGN ISSUES A. 1-bit Error due to Residual Mismatches The proposed automatic calibrators have the probability of 50% for 1-bit error in the counter. This is because the calibration is over at after the state of the comparator output

KANG et al.: DESIGN AND ANALYSIS OF UWB AUTOMATIC SELF-CALIBRATING UPCONVERTER IN 65-nm CMOS

2189

TABLE II PERFORMANCE COMPARISON OF THE STATE-OF-THE-ART CALIBRATORS

D. LO Swings in the LO Leakage Suppression Calibrator

Fig. 20. Effect of residual mismatches on the calibration. (a) No error in occurs. (b) 1-bit error in occurs.

changes at . The final state is then determined by the residual errors, as shown in Fig. 20, where the state of is changed when . In Fig. 20(a), is minimized after . In this case, the LO leakage or the sideband is minimum. However, in Fig. 20(b), is increased compared to that in the case of “ ” after so that 1-bit error occurs. The residual error can be minimized by increasing 1-bit resolutions of the dc currents in the DAC and the PI. B. 1-bit Resolutions in the DAC and the PI The LO leakage and the sideband are minimized when mismatches are minimum. As mismatches increase, the LO leakage and the sideband also increases. As shown in Figs. 16 and 18, powers are radically increased around minimums. This means that the calibrated power is higher for the same code error in the counter output when 1-bit resolution is lower. This is more critical to the LO leakage calibration, where code errors from I path and Q path affect the same LO leakage. C. LO Swings Into the PD of the Sideband Rejection Calibrator The PD in this work shows UWB frequency response because it is simply switched by LO signals without using nonlinearity like power detectors and envelop detectors [11]–[13]. To switch the PD, input LO swings should be large enough to turn on and off and in Fig. 8. As operating frequency increases, the LO swings from the LO driver are reduced. To switch transistors by means of smaller LO swings at higher frequencies, dc currents in and should be lowered. However, in these cases, the gain of the PD is reduced and dc voltages at and are increased. As a result, becomes smaller for the same amount of as LO swings are reduced. Thus, the calibration ability is more compromised.

The proposed automatic calibrator for the LO leakage suppression calibrates only dc offsets in transconductance stage . As operating frequency increases, dc offsets resulted from LO swing mismatches become also dominant sources for the LO leakage. The operating frequency can be increased if LO swings are large enough to be limited. VI. CONCLUSION In this paper, an UWB upconverter with automatic self-contained calibrators for the LO leakage suppression and the IQ mismatch correction has been developed and analyzed. To remove the storing circuits such as ADCs or SHCs in calibrator, the error amplifier, which consists of a comparator, counter and DAC, is employed so that two complementary dc voltages in the current state are compared instead of two RF outputs in the current state and in the previous optimal state. To employ the error amplifier and make architecture simple, the LO leakage calibrator compensates only dc offsets in transconductance stages in mixers without consideration of dc offsets from switching quad mismatches and LO swing mismatches so that operating frequency is limited. On the contrary, the IQ mismatch calibrator that compensates the phase error in quadrature LO signals shows UWB functionality. For this purpose, a wideband PI and a PD have been developed in CMOS. To verify the performance, the proposed upconverter has been applied to a WiMedia UWB Tx, operating from 3.1 to 4.8 GHz and from 6.3 to 9 GHz in 65-nm CMOS, where an UWB LO driver and a broadband LC tuned RF chain have been developed as well. The fabricated Tx shows UWB performance for LO leakage suppression up to 5 GHz and the sideband rejection up to 9 GHz in view of manufacturability. The measured Tx performance shows EVM of lower than 20 dB, OP1dB of 6 dBm, LO leakage of lower than 43 dBm, and SSR of higher than 45 dBc with current consumption of 175 mA from a 1.2-V power supply for all supporting bands after automatic calibrations. Finally, design issues in view of UWB functionality are discussed. REFERENCES [1] I. Vassiliou et al., “A single-chip digitally calibrated 5.15–5.825-GHz 0.18-mm CMOS transceiver for 802.11a wireless LAN,” IEEE J. SolidState Circuits, vol. 38, no. 12, pp. 2221–2231, Dec. 2003. [2] G. Brenna, D. Tschopp, J. Rogin, I. Kouchev, and Q. Huang, “A 2-GHz carrier leakage calibrated direct-conversion WCDMA transmitter in 0.13-mm CMOS,” IEEE J. Solid-State Circuits, vol. 39, no. 8, pp. 1253–1262, Aug. 2004.

2190

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

[3] M. Zargari et al., “A dual-band CMOS MIMO radio SOC for IEEE 802.11n wireless LAN,” IEEE J. Solid-State Circuits, vol. 43, no. 12, pp. 2882–2895, Dec. 2008. [4] S. S. Mehta et al., “An 802.11g WLAN SoC,” IEEE J. Solid-State Circuits, vol. 40, no. 12, pp. 2483–2491, Dec. 2005. [5] J. Craninckx, B. Debaillie, B. Come, and S. Donnay, “A WLAN direct up-conversion mixer with automatic image rejection calibration,” in Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2005, pp. 546–616. [6] Y.-H. Hsieh, W.-Y. Hu, S.-M. Lin, C.-L. Chen, W.-K. Li, S.-J. Chen, and D. J. Chen, “An auto-I/Q calibrated CMOS transceiver for 802. 11g,” IEEE J. Solid-State Circuits, vol. 40, no. 11, pp. 2187–2192, Nov. 2005. [7] C.-S. Wang and C.-K. Wang, “Sample-and-hold based automatic calibration modulator for WLAN transmitter,” IEEE Asian Solid-State Circuits Conf., pp. 77–80, 2005. [8] J. Rogers and C. Plett, Radio Frequency Integrated Circuit Design. Boston, MA: Artech House, 2003. [9] B. Razavi, “RF transmitter architectures and circuits,” in Proc. IEEE Custom Integr. Circuits Conf., 1999, pp. 197–204. [10] X. Wang, Z. Shi, and S. Sonkusale, “A robust offset cancellation scheme for analog multipliers,” Int. Electron., Circuits, Syst. Conf., pp. 326–329, 2004. [11] B. Kang et al., “An ultra-wideband transmitter with automatic selfcalibration of sideband rejection up to 9 GHz in 65 nm CMOS,” Int. SoC Design Conf., pp. 332–335, 2010. [12] B. Razavi, Y. Ota, and R. G. Swartz, “Design techniques for lowvoltage high-speed digital bipolar circuits,” IEEE J. Solid-State Circuits, vol. 29, no. 3, pp. 332–339, Mar. 1994. [13] D.-H. Lee, C.-H. Kim, and S. Hong, “A quadrature signal generator using PLL technique,” in Eur. Microw. Conf., 2003, pp. 777–780. [14] T. H. Lee, K. S. Donnelly, J. T. C. Ho, J. Zerbe, M. G. Johnson, and T. Ishikawa, “A 2.5 V CMOS delay-locked loop for an 18 Mbit, 500 megabyte/s DRAM,” IEEE J. Solid-State Circuits, vol. 29, no. 12, pp. 1491–1496, Dec. 1994. [15] R. Kreienkamp, U. Langmann, C. Zimmermann, T. Aoyama, and H. Siedhoff, “A 10-Gb/s CMOS clock and data recovery circuit with an analog phase interpolator,” IEEE J. Solid-State Circuits, vol. 40, no. 3, pp. 736–743, Mar. 2005. [16] C. Leifso and J. Nisbet, “A monolithic 6 GHz quadrature frequency doubler with adjustable phase offset,” IEEE J. Solid-State Circuits, vol. 41, no. 2, pp. 405–412, Feb. 2006. [17] High Rate Ultra Wideband PHY and MAC Standard, ECMA-368 Standard, 2005. [Online]. Available: http://www.ecma-international.org/publications/standards/Ecma-368.htm [18] S. Lee et al., “A broadband receive chain in 65 nm CMOS,” in Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2007, pp. 418–419. [19] Z.-Y. Huang et al., “CMOS low-noise amplifier with shunt-peaking 3 MB-OFDM ultra-wideband wireless receiver,” load for group 1 in IEEE VLSI-DAT Symp., Apr. 2008, pp. 251–254.

Byoungjoong Kang received the B.S. degree in electrical engineering from the University of Seoul, Seoul, Korea, in 2001, and the M.S. degree in electrical engineering from the Seoul National University, Seoul, Korea, in 2004. In 2001, he was with the Pantech Research and Development Institute, Seoul, Korea, where he was involved in the development of transceivers for global system for mobile communications (GSM). From 2002 to 2004, he was a Member of Research Staff for the Center for 3-D Millimeter-Wave Integrated Systems, Seoul National University, where his research involved the study of transmission lines, the design of microwave passive devices for biomedical applications, and the design of monolithic microwave integrated circuits (MMICs) for millimeter-wave systems. In 2004, he joined Samsung Electronics, Gyeonggi-Do, Korea. From 2004 to 2007, he designed and developed RFICs for CDMA/personal communications system (PCS)/global positioning system (GPS) Rx and Korean-PCS/GPS transceivers. Since 2008, his research has been focused on the design of RFICs for WiMedia UWB transceivers. His current research has also included RF transceiver integrated circuit (IC) design for wireless local area network (WLAN) and Bluetooth applications since 2010 and 2011, respectively.

Jounghyun Yim received the B.S. degree in electrical engineering from Yonsei University, Seoul, Korea, in 2000, and the Ph.D. degree in electronic and electrical engineering from the Pohang University of Science and Technology (POSTECH), Pohang, Korea, in 2007. His doctoral research spanned the design and fabrication of millimeter-wave circuits to the design and analysis of RFICs. In 2007, he joined Samsung Electronics, Gyeonggi-Do, Korea, where he designed RF circuits for WiMedia UWB RF transceiver ICs. His current research activities include WLAN transceiver ICs and power amplifiers.

Taewan Kim received the B.S. degree in electronics engineering from Dongguk University, Seoul, Korea, in 2003, and the M.S. degree in electrical engineering from the California Institute of Technology, Pasadena, in 2006. In 2006, he joined Samsung Electronics Gyeonggi-Do, Korea, where he was engaged in analog and RF circuit design. Since 2007, he has participated in the design of analog integrated circuits for WiMedia UWB transceivers. His current research interests include frequency synthesizers and voltage-controlled oscillators in multistandard multiband mobile TV communication systems.

Sangsoo Ko (S’02) received the B.S., M.S., and Ph. D. degrees in electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 1998, 2000, and 2004, respectively. In September 2004, he joined Samsung Electronics, Gyeonggi-Do, Korea. His research interests include high-frequency voltage-controlled oscillators, frequency synthesizers, and LO generators for mobile communication.

Won Ko received the B.S. degree in electronics engineering from Korea University, Seoul, Korea, in 1996, and the M.S. and Ph.D. degrees in electrical engineering and computer science from Seoul National University, Seoul, Korea, in 1998, and 2004, respectively. In 2004, he joined Samsung Electronics, Gyeonggi-Do, Korea, where he designed RF circuits for cellular phones. From 2006 to 2007, he was involved in the design and development of RF Rx ICs for GPS and mobile TV applications. Since 2008, he has developed WiMedia UWB RF transceiver ICs. His current research activities include WLAN transceiver ICs and nonlinear noise analysis of mixers.

Heeseon Shin was born in Seoul, Korea, in 1979. She received the B.S. and M.S. degrees in electronics engineering from Korea University, Seoul, Korea, in 2001 and 2003, respectively. In 2003, she joined Samsung Electronics, Giheung, Gyeonggi-Do, Korea, where she is currently an Analog/RF Circuit Design Engineer. She has participated in the design of analog integrated circuits for RF Rxs for CDMA/WCDMA wireless mobile phones, GPS, broadcasting, and UWB. Her research interests are in integrated CMOS analog and RF circuits, particularly for wireless communication systems.

KANG et al.: DESIGN AND ANALYSIS OF UWB AUTOMATIC SELF-CALIBRATING UPCONVERTER IN 65-nm CMOS

Inhyo Ryu received the B.S. and M.S. degrees in electronics engineering from Seoul National University, Seoul, Korea, in 1994 and 1996, respectively. In 1996, he joined Samsung Electronics, Giheung, Gyeonggi-Do, Korea, where he is currently a Principal Engineer and has been involved with analog and RFICs for CDMA, WCDMA, and UWB wireless communications.

Sung-Gi Yang received the B.S., M.S., and Ph.D. degrees in electronics engineering from Seoul National University, Seoul, Korea, in 1992, 1994, and 1999, respectively. His doctoral research spanned the design and fabrication of GaAs-based field-effect transistors (FETs) to the design and analysis of the highspeed and ultra-broadband circuits. In 1999, he joined Samsung Electronics, Gyeonggi-Do, Korea, where he is currently a Principal Engineer involved in the RF transceiver design. Since 2001, he has been a Project Leader for many RF IC products for cellular and wireless connectivity applications. During 2008 to 2009, he was a Visiting Scholar with the Electrical Engineering Department, Stanford University, Stanford, CA. Dr. Yang was the recipient of the 1999 Silver Prize in the 6th Samsung Human-Tech Paper Contest.

2191

Jong-Dae Bae received the B.S. degree in electronics department from Hanyang University, Seoul, Korea, in 1990, and the M.S. degree in electrical engineering and computer science from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea in 1992, respectively. Since 1995, he has been with Samsung Electronics, Gyeonggi-Do, Korea, where he was involved with wireless RF circuit design. His areas of interests are CMOS RF circuits for WLANs, Bluetooth, cellular applications, and software-defined radio systems.

Hojin Park received the B.S. degree in electrical engineering from Hanyang University, Seoul, Korea, in 1986. Since 1989, he has been with the Samsung Electronics, Gyeonggi, Korea, where he is a Vice President in charge of the Mixed Signal Core Design Team. He was engaged in the research and development of analog and mixed circuits for digital TV and mobile devices. His research interests are in the field of high-speed data converters, high-resolution sigma–delta modulators, ultra-low power analog circuits, low-jitter PLLs, all-digital PLLs, power management circuits, sensors, and analog baseband/RF front-end circuits for wireless communications

2192

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

A Fully Integrated 0.18- m CMOS Transceiver Chip for -Band Phased-Array Systems Kiarash Gharibdoust, Naser Mousavi, Student Member, IEEE, Milad Kalantari, Mohsen Moezzi, Student Member, IEEE, and Ali Medi, Member, IEEE

Abstract—An -band core chip is designed and fabricated in 0.18- m CMOS technology, which can significantly reduce the monolithic microwave integrated circuit count required for realizing an active beam-former T/R module. The core chip consists of two RX/TX paths, each of which includes a 6-b phase shifter, a 6-b attenuator, along with two input and output amplifiers. A new architecture for realizing such a core chip system and a low loss circuit for 5.625 phase shift block are proposed. The overall rms phase and gain errors are better than 2 and 0.25 dB, respectively, in both RX/TX paths. The gain of each path is around 12 dB, while the output 1-dB compression point is higher than 10 dBm over the band of interest. Index Terms—Active beam-former, amplifier, attenuator, phase shifter, T/R module.

I. INTRODUCTION CTIVE phased-array systems have found widespread applications in the field of wireless communications, high-speed short-range wireless personal area network (WPAN), and radar, since they facilitate a higher data-rate link, superior channel capacity, better signal-to-noise ratio (SNR) in the receivers, and larger effective isotropic radiated power (EIPR) in the transmitter [1]–[4]. Active phased-array antennas have several thousand transmit/receive (T/R) modules as the radiating elements (Fig. 1). A beam-former utilizing such an antenna array has several thousand inputs and needs to add appropriate time delay as well as different amplitude weighting for the signals applied to each input. The amplitude weighting is essential to control the side-lobe performance of the beams, whereas proper phase shifting enhances the reception from the desired direction and rejects emissions from other directions, increasing SNR at the receiver output [5]. Amplifying, phase shifting, and gain controlling are the key functions of such T/R modules. The more functions each chip can accommodate lowers the component count, and consequently, the more reliable, economical, and efficient the phased-array antenna will be. Traditionally, such monolithic microwave integrated circuits (MMICs) were fabricated on compound semiconductor technologies (GaAs and SiGe) to provide better insertion

A

Manuscript received November 21, 2011; revised March 19, 2012; accepted March 25, 2012. Date of publication May 17, 2012; date of current version June 26, 2012. K. Gharibdoust is with the École Polytechnique Fédérale de Lausanne (EPFL), Lausanne, Switzerland (e-mail: [email protected]). N. Mousavi, M. Kalantari, M. Moezzi, and A. Medi are with Sharif University of Technology, 11365-8639 Tehran, Iran (e-mail: [email protected]. edu; [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2195020

Fig. 1. Typical block diagram of an active phased-array system.

loss for switches and higher power capabilities of amplifiers, but at the cost of lower yield and higher price [6]. Although silicon transistors are not comparable with III-V compound counterparts in term of noise figure (NF), power handling, and linearity, implementation of T/R modules in CMOS technology significantly reduces the fabrication costs and provides a high integration level of other related analog and digital blocks on the same die. Therefore, the optimal phased array uses III-V components for low-noise amplifying and power delivering while exploiting CMOS MMIC’s as the back-end building block to perform beam-forming [7]. Some previously published works have addressed the design of phased-array system. For example, in [8], a SiGe -band receiver for phased-array radar was presented that integrated a low-noise amplifier (LNA), 5-b phase shifter, and a SP3T switch on the same die, but its linearity is not as good as the GaAs counterparts. An active phase shifter with integrated LNA, in SiGe technology, was proposed in [9], which, due to the analog phase shifting, acquired small phase error yet suffered mainly from the linearity perspective. A GaAs core chip incorporating an LNA, a 6-b phase shifter, attenuator, and power amplifier is presented in [10]. Because of the good performance of GaAs-based ICs, this work has acceptable NF and linearity, but achieves a moderate rms phase error and occupies a large die area of 20 mm . In [11], a T/R module was presented that has 5-b amplitude and phase control, implemented in a SiGe technology. This work integrated the combiner/divider on the same die with the T/R module, but the poor linearity of the system was the major drawback. An active phased-array antenna is presented in [12] and [13] that integrated an SiGe receiver for an 8 2 antenna array, but the power handling and linearity of this receiver were the downsides of the performance.

0018-9480/$31.00 © 2012 IEEE

GHARIBDOUST et al.: 0.18- m CMOS TRANSCEIVER CHIP FOR

-BAND PHASED-ARRAY SYSTEMS

2193

Fig. 2. Proposed multifunction chip block diagram.

In this paper, a core chip in 0.18- m CMOS is presented that can work as back-end MMIC in a T/R module and facilitates compact and low cost implementation of such modules. The large number of modules necessitates a high-data-rate serial interface, which is 100 Mb/s in our case. Implementation of this high-speed data link benefits from utilizing CMOS technology for realization of digital circuits along with RF blocks in contrast to III-V technologies. Also, the use of CMOS technology makes it possible to integrate lookup tables (LUTS) on the chip to improve the performance of the T/R module. The achieved performance is comparable to GaAs and SiGe counterparts. The paper continues with the system overview of the overall core chip in Section II. In Section III, the detailed analysis and design of the system building blocks are presented. The measurement results and the conclusions are given in Sections IV and V, respectively. II. SYSTEM DESIGN OVERVIEW Fig. 1 shows a typical block diagram of an active phasedarray system paradigm in which the T/R modules are the major constituents [8], [14]. There are different structures to realize a T/R module. The “separate T/R chain” architecture that incorporates separate circuits for RX and TX paths is depicted in Fig. 2. In contrast, a few blocks can be shared between RX and TX paths to reduce the die area [2], [14]–[17]. Nevertheless, utilizing separate RX and TX paths shows superior performance in terms of noise, gain, linearity, and isolation. Also, the structure shown in Fig. 2 reduces the time needed to switch between RX and TX modes [15]. Moreover, in structures using a common leg, the phase shifters observe different terminations when switching between RX and TX, which causes variation in their phase behavior, whereas, in the “separate T/R chain” architecture, a better matching between RX and TX paths will be provided, if one path is replicated into the other one and adequate layout considerations are applied. Typical phased-array system-level simulations show that the required specifications for the chip are an output better than 10 dBm for each path, a noise figure of less than 9 dB at 9–9.6 GHz in the RX path, and a gain of about 12 dB for both RX and TX paths. To satisfy such specifications for the system shown in Fig. 2, the input for phase shifters and attenuators should be higher than 21 and 20 dBm, respectively, whereas the loss of phase shifters and attenuators should be less than 11 and 5 dB, respectively, which will be compensated by two amplifiers. The input amplifier is used before phase shifters to enhance the noise performance. Its gain should be better than

Fig. 3. Cross section of the 50-

microstrip line on the CMOS substrate.

10 dB while providing NF of 4.5 dB and output of 14 dBm. The output amplifier is employed to deliver the required output power, but it is placed before the attenuator to prevent NF degradation at high attenuation states. This amplifier power gain should be more than 14 dB while providing output of 16 dBm. Also, the total output requirement is achieved by power dividing/combining technique which is described in Section III in more detail. Due to the poor performance of single-pole double-throw (SPDT) switches in CMOS technology, the use of such switches is avoided wherever possible. The use of separate paths, for RX and TX, is an example of the adopted strategies to evade the required SPDT switches. Another case in which a modified topology is exploited instead of using SPDT switch is at the input of the TX path, which will be further described in Section III. To have a real beam-former, thousands of T/R modules are placed together, each having its specific state (i.e., its own phase shift and attenuation vectors). The operating state of each chip (in total, 24 b for both transmit and receive paths) is serially loaded into an on-chip shift-register using a serial interface. III. CIRCUIT DESIGN A. Phase Shifters Phase shifters that are used in both RX and TX paths should have acceptable linearity. Passive-type phase shifters could have high linearity and, if designed carefully, could provide wideband phase shift, low loss variation, as well as low sensitivity to process, voltage, and temperature (PVT) variations. Switched-network phase-shifting topology merits such specifications all together and is used in this work to implement a 6-b passive phase shifter. 1) MOS Switches and Microstrip Lines: In today’s CMOS technology, the silicon substrate has higher conductivity compared with the semi-insulating compound substrates. In order to reduce the loss, grounded metal layers are placed under the microstrip lines. The confinement of the electromagnetic field inside the silicon oxide (instead of conductive silicon) results in lower substrate loss. The microstrip lines are realized by using top metal (2.3 m thick) as signal line and both first and second metal layers (each one 0.5 m thick) as ground plane. The use of two metal layers instead of one metal for ground plane leads

2194

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 5. Simulated insertion loss of series switch versus its isolation at 9.3 GHz.

Fig. 4. (a) Side view of the triple-well transistor used as a switch. (b) Schematic of the switch with its associated parasitic capacitances. Fig. 6. Schematic of the 11.25 , 22.5 , and 45 phase shift blocks.

to a less resistive loss in the return path. Fig. 3 shows the cross section of such a microstrip line. The oxide thickness between signal line and ground plane is approximately 5.1 m. The simulated loss of 8.5- m-wide microstrip line is approximately 0.5 dB/mm at 9.3 GHz. The quality factor of the inductors used in our designs are around 10 at our frequency band. In switched-network phase shifters, the most important cause of signal loss is the series switches in the signal path. Thus, it is requisite to optimize these switches to minimize the insertion loss, while having an acceptable isolation. Fig. 4(a) shows the side view of the triple-well transistor used as a switch in this work. P-well-to-deep-n-well (pwdnw) and deep-n-well-to-p-substrate (dnwpsub) parasitic capacitances are represented in Fig. 4(a) by and , respectively. The schematic of the switch with its associated parasitic capacitances is shown in Fig. 4(b). The capacitor represents the and . effect of both A large resistor is added to the gate of the transistor to avoid signal path to ground through and parasitic capacitances. This will reduce the insertion loss of the switch when it is on. Another source of signal loss is . To minimize it, the deep n-well can be tied to using a large resistor [18]. The equivalent capacitance ( ) will then be equal to the series connection of and , which is substantially smaller than both. In addition, the bulk terminal of the transistor is also connected to the ground via a large resistor. As a result, the signal path to ground through and parasitic capacitances are eliminated and the insertion loss of the switch is reduced. Another choice for the bulk terminal connection is to tie it up to the source of the transistor as this could decrease the insertion loss

of the switch by eliminating [17]. However, this choice will put the well diode capacitance of transistor ( ) at the source terminal, degrading the bandwidth and loss variation. Given a required isolation for the switch, the optimum switch size for different values of width ( ) and fingers ( ) of the transistor can be determined. The isolation of the switch versus its insertion loss is plotted in Fig. 5. For the desired isolation of 10 dB, the best choice is 7.5 m and 15, which gives an insertion loss of 0.8 dB at the center frequency of 9.3 GHz. In order to improve the linearity of the phase shifter, RF ports are biased at 1 V and the gate voltage of the transistor is changed from 2.8 to 0 V when the switch is turned off. This will set the of the transistor 1 V below the ground voltage in the off-state, resulting in better isolation when the signal power is large [17]. 2) Design of 11.25 , 22.5 , and 45 Blocks: In order to have low insertion loss, phase-shifter topologies with fewer series switches are preferred. The well-known topology of Fig. 6 is used to implement 11.25 , 22.5 , and 45 phase-shift blocks. Detailed analysis of this structure is explained in [19]. Having one series switch, this structure is able to procure wideband phase shift, low insertion loss, and low loss variation. Using this topology, 11.25 , 22.5 , and 45 blocks have been designed to have insertion loss of 0.7, 1.9, and 1.6 dB at the center frequency, respectively. 3) Design of 5.625 : The topology that has been proposed for realizing the 5.625 phase-shift block is shown in Fig. 7, which is the structure shown in Fig. 6 without and

GHARIBDOUST et al.: 0.18- m CMOS TRANSCEIVER CHIP FOR

-BAND PHASED-ARRAY SYSTEMS

2195

Fig. 7. Schematic of the proposed 5.625 block.

switches. The elimination of increases the linearity and reduces the insertion loss of the block. Also, the removal of make this structure suitable for low phase shifts [19]. In Fig. 7, using the scattering parameters ( -parameters) and considering matching condition for the phase-shift state (i.e., when is on), component values can be calculated for a given phase shift of as (1a) (1b) where is the reference impedance, is the center frequency, is the parallel combination of and the off-state paraand sitic capacitances of . Calculating -parameters for the reference state, the necessary condition to have an acceptable return loss at the center frequency, i.e., better than 10 dB at each port, can be written as

Fig. 8. Simulated 5.625 specifications. (a) Relative phase shift. (b) Insertion and return loss.

(2) Using (1a) and (2), the maximum phase shift for which this structure is useful can be calculated to be 18.26 . Thus, this structure is only suitable for realizing 5.625 and 11.25 phaseshift blocks. In this work, only the 5.625 block has been implemented using this structure, as the topology of Fig. 6 has better performance for the 11.25 phase-shift block. The simulated relative phase shift, insertion, and return loss of the 5.625 phase-shift block are shown in Fig. 8. The phase shifter shows wideband phase-shift characteristics. The insertion loss and the return loss of this topology are better than 0.5 and 20 dB between 7.5–10.5 GHz, respectively. The proposed topology has an adequate linearity as well as negligible phase change with PVT variations. This makes the structure an attractive choice. 4) Design of 90 and 180 Blocks: If the topology of Fig. 6 is used to realize higher phase-shift blocks, bandwidth and loss variation would not satisfy the system requirements. Thus, 180 and 90 phase-shift blocks are implemented using high-pass/ low-pass topology of Fig. 9. For each section of and T networks, input and output ports must be matched while exhibiting the desired wideband phase shift at the center frequency. Considering these criteria, the circuit elements can be calculated for a given relative phase shift as [20] (3a)

Fig. 9. Schematic of the 90 and 180 phase-shift blocks.

(3b) To reduce the die area, inductor in the 90 phase-shift block has been implemented using a single center-tapped inductor. The inductance of the 180 phase shift block was too large to be realized by a center-tapped inductor, thus two inductors were used to implement it. The insertion loss of the 90 and 180 phase-shift blocks are simulated to be 2.3 and 2.8 dB, respectively. For the 6-b phase shifter, the arrangement of phase shift blocks was chosen to improve the linearity and rms phase error of the system. Thus, phase-shifter blocks with better linearity have been placed at the beginning of the chain. Finally, the

2196

Fig. 10. Switched

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

/T attenuator. (a)

states. The switch design procedure is the same as described in Section III-A. Since the attenuation steps of each stage depends on the ratio of the resistors, the variation of the ON resistance of switches would be considerable in the smaller attenuation steps, if a proper compensation technique is not considered. The value of ON resistance can be adequately controlled by its gate voltage. Therefore, to compensate for the PVT of the switches, a feedback loop bias circuit shown in Fig. 12 is proposed. This feedback loop results in equal voltages at opamp’s input terminals, i.e.,

topology. (b) T topology.

(5) element values and transistor sizes are optimized so that a minimum variation in the insertion loss versus phase-shifter states is obtained. Layout of the phase shifter has been sketched carefully to reduce the required die area. Also, the layout has been fully EM simulated to model the transmission lines and coupling effects. B. Attenuator Attenuation can be performed either by passive or active components. Although having insertion loss, passive attenuators achieve better linearity and noise performances, providing less sensitivity to process and temperature variation (PVT) corners. Also, compared with their active counterparts, passive attenuators show wider bandwidth input/output matching while having no dc power dissipation. Therefore, the passive attenuator is used in this work to realize the gain controlling function. Fig. 10 depicts the switched /T attenuator topologies which is the most popular structure in CMOS technology. The attenuation is achieved by switching between the passing state ( and ) and the attenuation state ( and ). To have , the value of the resistors in Fig. 10 should be chosen as (4a) (4b) The parasitic capacitors of the switches exacerbate the insertion loss and degrade the input/output matching. According to (4), the resistors of the structure are higher than those of the T structure for the same attenuation. As a result, the ON resistances of the switches in the -type attenuator are less pronounced. Thus, smaller switches with lower parasitics can be employed. The total insertion loss of the attenuator is the sum of the insertion loss of each attenuation stage, and, therefore, increasing the number of stages results in higher insertion loss. Moreover, for small attenuation steps, the ratio of resistors in the /T topology would be too large and difficult to realize. Thus, as shown in Fig. 11, the smaller attenuation stages are merged into the larger ones, resulting in less insertion loss and more compact implementation. The schematics of the 6-b attenuator building blocks are depicted and summarized in Fig. 11. The 16-dB attenuation stage is replaced by an 8-dB attenuation stage, as the highest required attenuation in the system was calculated to be 23.5 dB. The 0.5/8 dB and 1/8 dB attenuation stages are similar and have four

where is the series resistance of the switch replica. This circuit provides the gate voltage ( ) such that the series resistance of the switch changes proportionally to that of passive resistors in different PVT corners. If the ratio of the series resistance to passive resistance is kept constant for all branches (i.e., for all branches), applying the control voltage ( ) to the gate of all transistors results in (6) where is the total resistance of each branch. Thus, the ratio of the series branch’s total resistance to the parallel branch’s total resistance becomes constant in different PVT corners, resulting in better accuracy for the smallest attenuation step. C. Amplifiers As described in Section II, two amplifiers are used to satisfy the requisite system specifications such as noise figure, gain, and output power. The cascode topology is preferred to realize the amplifiers since it provides high linearity and wideband gain and matching, as compared with common source–gate topology [21], [22]. The input amplifiers have been designed for generating 15-dBm output power and at least 12 dB of power gain. The output amplifiers are designed to have 18 dBm of output power and 15 dB of power gain. As high gain and high output power are needed simultaneously, a two-stage implementation is preferred for both input and output amplifiers. While the large transistors of the second stage deliver the output power, the first stage serves as a driver to handle the input capacitance of the second stage and provides some amplification. In the design, all passive elements such as inductors, capacitors, and transmission lines are simulated by Momentum EM simulator to consider electromagnetic effects such as coupling and substrate loss. 1) Input Amplifiers: To have adequate NF and input return loss, the inductively degenerated common-source topology is used for the first stage of this amplifier. Fig. 13 shows the proposed amplifier topology. The input impedance of the amplifier can be calculated as (7) is the transconductance of and is its where is chosen such gate–source capacitance. The sum of and that it resonates with the at the center of bandwidth. The

GHARIBDOUST et al.: 0.18- m CMOS TRANSCEIVER CHIP FOR

-BAND PHASED-ARRAY SYSTEMS

2197

Fig. 11. Schematic and performance of the 6-b attenuator.

Fig. 12. Feedback loop bias circuit to compensate for PVT variations of the ON resistance of the switches.

first term in (7) is adjusted for realizing the 50- real part of the input impedance. It can be inferred from (7) that the input terminal of the amplifier is equivalent to a series RLC resonant circuit, and, when the input is matched to the source impedance, the quality factor of the input-matching circuit can be calculated as (8) To increase the bandwidth of the input matching, the quality factor of this circuit should be reduced by using a larger input transistor ( in Fig. 13). Utilizing this technique, the quality factor is adjusted to be approximately 3. The linearity of the second stage is the major concern in its design. High linearity is achieved by exploiting a common source topology along with a linearization technique provided by the transistor for the is biased 0.1 second stage as shown in Fig. 13. The gate of V below its threshold voltage, and, when the input power increases, the transistor will gradually turn on to eliminate the third-order nonlinearity [23]. This technique has no overhead on dc power consumption.

Fig. 13. Schematic of the input amplifier.

The interstage network with its associated parasitic capacitors and resistors is shown in Fig. 14. and represent the total parasitic capacitances looking into the drain of and the , respectively, while and represent the finite gate of quality factor of the inductors at operating frequency. The input of the inter-stage network is driven by the effective transconductance of the first stage (i.e., ) and its output is connected to the gate of . The transimpedance gain of the interstage network is (9) where

and . Since the input and output ports

2198

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 14. Schematic of the interstage network.

Fig. 16. Topology used for eliminating the need of SPDT at the input of TX path.

Fig. 15. Simulated gain and interstage response of the input and output amplifiers.

Fig. 17. Power splitting/combining for alleviating linearity.

have been matched to 50- impedance, using (9), the gain of the two-stage amplifier of Fig. 13 can be written as (10) where is the transconductance of . The frequency response of the amplifier is mainly determined by , as (10) implies. From (9) and (10), it can be shown that the amplifier gain has two sets of complex conjugate poles. Properly selecting these poles such that one pair is placed at the lower end of the band (i.e., 8.5 GHz) and the other is placed at the upper end of the band (i.e., 10 GHz), results in a wider bandwidth and a flatter gain [24]. The overall simulated gain of this amplifier and the transimpedance of the interstage network are shown in Fig. 15. The input amplifiers of TX and RX paths have the same topology, but, as Fig. 2 shows, the input of the TX path is connected to the output of the RX path. As described in Section II, the use of SPDT switches are avoided in the system design. Therefore, for switching between RX and TX modes, the topology of Fig. 16 is proposed. In TX mode, the switch is turned off with the signal RX_EN which also turns the RX attenuator off (i.e., all series switches are open in the attenuator) and turns the TX input amplifier on (i.e., turns on of Fig. 16). As a result, the use of a series switch is replaced by the parallel switch , which does not contribute to the noise figure and insertion loss of the TX path. On the other hand, in RX mode, the TX amplifier is turned off, and shorts its input to the ground. In this mode, the RX attenuator is functioning normally. 2) Output Amplifier: As mentioned in the preceding section, the output power of this amplifier should be more than 18 dBm

Fig. 18. Schematic of the output amplifier.

to satisfy the overall system specifications. This high power requirement complicates the implementation of the second stage and degrades the performance of the following blocks (i.e., the attenuator). The topology of Fig. 17 is proposed to solve these issues. In this topology, the second stage of the output amplifier is divided into two path of 15 dBm, which are combined later after being attenuated. With this configuration, the requisite 18 dBm of output power for the second stage reduces to 15 dBm, and the linearity requirement of the attenuator is also alleviated. Fig. 18 shows the schematic of the proposed output amplifier. As shown in Fig. 2, the input impedance of this amplifier is to be the load impedance seen by phase shifter, which is sensitive to its termination impedances. Thus, a common gate topology is employed for the first stage of the amplifier to provide a robust wideband input impedance. The input impedance of the common gate topology of Fig. 18, and its input quality factor

GHARIBDOUST et al.: 0.18- m CMOS TRANSCEIVER CHIP FOR

-BAND PHASED-ARRAY SYSTEMS

2199

Fig. 22. Output return loss for different states of the attenuator. (a) RX path. (b) TX path. Fig. 19. Die photograph of the fabricated chip.

Fig. 23. Simulated and measured transceiver gain for different states of phase shifters when attenuators are at reference state. (a) RX path. (b) TX path. Fig. 20. Simulated and measured input return loss of the transceiver for different states of phase shifters.

Fig. 21. Measured reverse isolation of the overall system for different states of phase shifters and attenuator.

when the input is matched to the source impedance are calculated as

(11) (12) is the transconductance of , is input parawhere sitic capacitance of , and is the input source resistance. Matching the input impedance to 50 , the transconductance

of the input transistor should be 20 mS. The input parasitic capacitance of resonates with at the center frequency of the band. According to (12), for decreasing the quality factor and obtaining a wider band matching, the is minimized by choosing the optimum size of transistor which has 20-mS transconductance and minimum as well. The second stage of this amplifier consists of two separate common-source amplifiers, each of which can deliver 15 dBm of power. The simulated gain of this amplifier shows 15-dB power gain at 9.3 GHz as depicted in Fig. 15. As the output signals must be coherent for constructive power combining, it is essential to have a fully symmetrical layout for these separate paths. For this reason, in Fig. 18 is implemented by two paralleled line inductor (short circuit stub), which also reduces the needed chip area. Furthermore, both attenuators (shown in Fig. 17) have been placed close to each other and careful considerations are applied in their layouts. IV. MEASUREMENT RESULTS The phased-array transceiver chip is designed and implemented in 0.18- m 1P6M CMOS technology with on-chip inductors, metal–insulator–metal (MIM) capacitors, and triple-well transistors. The chip microphotograph is presented in Fig. 19. It occupies an area of 4.4 2.9 mm , including bonding pads. For all measurements, the chip has been directly bonded to a gold-plate printed circuit board (PCB), and careful attention has been made to minimize the bond wire lengths. All -parameters have been measured using an Agilent 8722ES VNA. The chip

2200

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 24. (a) Simulated and measured NF in RX mode. (b) Output , and IIP3 for the reference state of the attenuator in TX mode and output in RX mode.

,

Fig. 26. Relative attenuation respected to nonattenuating state. (a) RX path. (b) TX path.

Fig. 25. Measured relative phase shifts for 64 states of the phase shifter.

consumes a total current of 200/190 mA, from a 3.3-V supply, for RX/TX paths, respectively. Simulation results are in good agreement with most measurements. Fig. 20 shows the simulated and measured input return loss for RX and TX paths, which is better than 10 dB over the 8.5–10-GHz frequency band. Due to the reasonable reverse isolation of both amplifiers, the input return loss does not change significantly by changing phaseshifter and attenuator states. The measured reverse isolation for all states of phase shifter and attenuator is depicted in Fig. 21. The output return loss of the transceiver, for all attenuator states

Fig. 27. Simulated and measured rms gain and phase errors. (a) Phase shifters (attenuator at reference state). (b) Attenuators (phase shifter at reference state).

(i.e., 48 different states) is shown in Fig. 22, which is better than 10 dB at the center frequency of 9.3 GHz.

GHARIBDOUST et al.: 0.18- m CMOS TRANSCEIVER CHIP FOR

-BAND PHASED-ARRAY SYSTEMS

TABLE I COMPARISON OF TRANSCEIVER PERFORMANCE FOR

The simulated and measured transceiver gain is shown in Fig. 23, which is about 12 dB for all phase-shifter states. The NF of the RX path for the minimum and the maximum states of attenuation are better than 8.5 and 16 dB at the center frequency, respectively, as shown in Fig. 24(a). The discrepancy between simulation and measured results in this figure is mostly due to inaccurate noise models in BSIM3v3 which is used for our simulations. The transmitter output 1-dB compression point, output saturation power, and its IIP3 are measured to be 11, 14, and 9 dBm, respectively, at the center frequency as shown in Fig. 24(b). The variation of and IIP3 versus frequency are well predicted of the receiver by simulation results. Also, the output is measured to be around 12 dBm at the center frequency, as Fig. 24(b) shows. Fig. 25 presents the relative phase response of the phase shifter for all 64 states. Since the transmit path is a replica of the receive counterpart, its phase shifts are identical. The relative attenuation of the transceiver in both RX and TX paths are depicted in Fig. 26. The simulated and measured rms phase and gain errors of the transceiver are depicted in Fig. 27. The rms phase error for phase shifters is better than 2 over the 8.5–10-GHz frequency band, which is smaller than half of the LSB step. Although the rms phase error of the attenuator is about 9 , it can be improved by using a lookup table to select the proper phase shifter and attenuator states, which would satisfy the required system specifications. The rms gain error is about 0.5 dB for both phase shifter and attenuator over the 8.5–10-GHz bandwidth. Table I compares the measured performance of the fabricated core chip with some published works (values are reported at the center frequency). Beside the fact that the presented chip was built on CMOS technology, it has a comparable performance with the other published works. V. CONCLUSION This paper has presented the design, implementation, and measurement of an -band core chip in 0.18- m standard

2201

-BAND PHASED-ARRAY BEAM-FORMER

CMOS. The use of this core chip adequately reduces the MMIC count employed in an active phased-array beam-former. New strategies were proposed at the system level to satisfy the required specifications, while avoiding the use of poor performance SPDT switches. The rms phase and gain errors are lower than 2 and 0.25 dB at the center frequency of 9.3 GHz. The transceiver gain is about 12 dB for the frequency band of interest. Also, the output is about 11 dBm and the NF is better than 8.5 dB, at the center frequency, for TX and RX paths, respectively. A low loss circuit is also proposed to realize the LSB phase-shifter block, and the measurements prove the utility of this topology. ACKNOWLEDGMENT The authors would like to thank M. Meghdadi, Sharif University of Technology, Tehran, Iran, and M. Moslehi, Texas A&M University, College Station, for technical discussions. REFERENCES [1] X. Guan, H. Hashemi, and A. Hajimiri, “A fully integrated 24-GHz eight-element phased-array receiver in silicon,” IEEE J. Solid-State Circuits, vol. 39, no. 12, pp. 2311–2320, Dec. 2004. [2] E. Cohen, C. G. Jakobson, S. Ravid, and D. Ritter, “A bidirectional TX/RX four-element phased array at 60 GHz with RF-IF conversion block in 90-nm CMOS process,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 5, pp. 1438–1446, May 2010. [3] J.-L. Kuo, Y.-F. Lu, T.-Y. Huang, Y.-L. Chang, Y.-K. Hsieh, P.-J. Peng, I-C. Chang, T.-C. Tsai, K.-Y. Kao, W.-H. Hsiung, J. Wang, Y. A. Hsu, K.-Y. Lin, H.-C. Lu, Y.-C. Lin, L.-H. Lu, T.-W. Huang, R.-B. Wu, and H. Wang, “60-GHz four-element phased-array transmit/receive system-in-package using phase compensation techniques in 65-nm flip-chip CMOS process,” IEEE Trans. Microw. Theory Tech., vol. 60, no. 3, pp. 743–756, Mar. 2012. [4] Y. Yu, P. G. M. Baltus, A. de Graauw, E. van der Heijden, C. S. Vaucher, and A. H. M. van Roermund, “A 60 GHz phase shifter integrated with LNA and PA in 65 nm CMOS for phased array systems,” IEEE J. Solid-State Circuits, vol. 45, no. 9, pp. 1697–1709, Sep. 2010. [5] A. Agrawal and E. Holzman, “Beamformer architectures for active phased-array radar antennas,” IEEE Trans. Antennas Propag., vol. 47, no. 3, pp. 432–442, Mar. 1999.

2202

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

[6] I. Bahl and D. Conway, “ and -band compact octave bandwidth 4-bit MMIC phase shifters,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 2, pp. 293–299, Feb. 2008. [7] G. Rebeiz et al., “Highly dense microwave and millimeter-wave phased array T/R modules using CMOS and SiGe RFICs,” in Proc. IEEE 12th Annu. Wireless Microw. Technol. Conf., Apr. 2011, pp. 1–5. [8] J. Comeau, M. A. Morton, W.-M. L. Kuo, T. Thrivikraman, J. M. Andrews, C. M. Grens, J. D. Cressler, J. Papapolymerou, and M. Mitchell, “A silicon-germanium receiver for -band transmit/receive radar modules,” IEEE J. Solid-State Circuits, vol. 43, no. 9, pp. 1889–1896, Sep. 2008. [9] T. Hancock and G. Rebeiz, “A 12-GHz SiGe phase shifter with integrated LNA,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 977–983, Mar. 2005. [10] M. van Heijningen, A. de Boer, J. A. Hoogland, M. van Wanum, A. P. de Hek, F. E. van Vliet, and H. Brouzes, “Multi function and high power amplifier chipset for X-band phased array frontends,” in Proc. 1st Eur. Microw. Int. Cir. Conf., Sep. 2006, pp. 237–240. [11] F. van Vliet and A. de Boer, “Fully-integrated core chip for X-band phased array T/R modules,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2004, vol. 3, pp. 1753–1756. [12] C. Patterson, T. K. Thrivikraman, A. M. Yepes, S. M. Begley, S. K. Bhattacharya, J. D. Cressler, and , J. Papapolymerou, “A lightweight organic X-band active receiving phased array with integrated SiGe amplifiers and phase shifters,” IEEE Trans. Antennas Propag., vol. 59, no. 1, pp. 100–109, Jan. 2011. [13] T. Thrivikraman, W.-M. Kuo, and J. Cressler, “A two-channel, ultralow-power, SiGe BiCMOS receiver front-end for X-band phased array radars,” in Proc. IEEE Bipolar/BiCMOS Circuits Technol. Meeting, Oct. 2009, pp. 43–46. [14] A. Agrawal, R. Clark, and J. Komiak, “T/R module architecture tradeoffs for phased array antennas,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1996, vol. 2, pp. 995–998. [15] D. N. J. McQuiddy, R. L. Gassner, P. Hull, J. S. Mason, and J. M. Bedinger, “Transmit/receive module technology for X-band active array radar,” Proc. IEEE, vol. 79, no. 3, pp. 308–341, Mar. 1991. [16] D.-W. Kang, J.-G. Kim, B.-W. Min, and G. M. Rebeiz, “Single and -band transmit/receive phased-array silicon RFICs four-element with 5-bit amplitude and phase control,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 12, pp. 3534–3543, Dec. 2009. [17] M. Meghdadi, M. Aziz, M. Kiani, A. Medi, and M. Atarodi, “A 6-bit CMOS phase shifter for -band,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 12, pp. 3519–3526, Dec. 2010. [18] A. Bevilacqua and A. Niknejad, “An ultrawideband CMOS low-noise amplifier for 3.1–10.6-GHz wireless receivers,” IEEE J. Solid-State Circuits, vol. 39, no. 12, pp. 2259–2268, Dec. 2004. [19] M. Hangai, M. Hieda, N. Yunoue, Y. Sasaki, and M. Miyazaki, “ – and -band ultra-compact phase shifters based on all-pass networks,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 1, pp. 41–47, Jan. 2010. [20] I. Bahl and P. Bhartia, Microwave Solid State Circuit Design. New York: Wiley, 1988. -band four-element [21] D. Shin and G. Rebeiz, “A high-linearity phased-array receiver: CMOS chip and packaging,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 8, pp. 2064–2072, Aug. 2011. [22] H. Zhang and E. Sanchez-Sinencio, “Linearization techniques for CMOS low noise amplifiers: A tutorial,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 58, no. 1, pp. 22–36, Jan. 2011. [23] T. W. Kim, B. Kim, and K. Lee, “Highly linear receiver front-end adopting MOSFET transconductance linearization by multiple gated transistors,” IEEE J. Solid-State Circuits, vol. 39, no. 1, pp. 223–229, Jan. 2004. [24] H. Wang, C. Sideris, and A. Hajimiri, “A CMOS broadband power amplifier with a transformer-based high-order output matching network,” IEEE J. Solid-State Circuits, vol. 45, no. 12, pp. 2709–2722, Dec. 2010. [25] J. Jeong and I. Yom, “X-band high power SiGe BiCMOS multi-function chip for active phased array radars,” Electron. Lett., vol. 47, no. 10, pp. 618–619, Dec. 2011. [26] D. Carosi et al., “A mixed-signal X-band SiGe multi-function control MMIC for phased array radar applications,” in Proc. 39th Eur. Microw. Conf., Oct. 2009, pp. 240–243. -band 8-element phased[27] K.-J. Koh and G. Rebeiz, “An - and array receiver in 0.18- m SiGe BiCMOS technology,” IEEE J. SolidState Circuits, vol. 43, no. 6, pp. 1360–1371, Jun. 2008.

Kiarash Gharibdoust received the B.Sc. degree (with honors) from Iran University of Science and Technology, Tehran, Iran, in 2006, and the M.Sc. degree from Sharif University of Technology, Tehran, Iran, in 2008, both in electrical engineering. He is currently working toward the Ph.D. degree at École Polytechnique Fédérale de Lausanne (EPFL), Lausanne, Switzerland. From 2009 to 2011, he was with ISDL Laboratory, Sharif University of Technology, Tehran, Iran, as an Analog Design Engineer. In 2012, he joined Microelectronic Systems Laboratory, École Polytechnique Fédérale de Lausanne (EPFL), Lausanne, Switzerland. His general research interests include analog/mixed-signal IC design for high-speed and low-power serial links and RF building blocks.

Naser Mousavi (S’12) received the B.Sc. degree from the University of Zanjan, Zanjan, Iran, in 2009, and the M.Sc. degree from Sharif University of Technology, Tehran, Iran, in 2011, both in electrical engineering. His research interests include analog, RF, and millimeter-wave integrated circuits.

Milad Kalantari received the B.Sc. degree from Azad University of Qazvin, Qazvin, Iran, in 2008, and the M.Sc. degree from Sharif University of Technology, Tehran, Iran, in 2011, both in electrical engineering. His research interests include RF and millimeter-wave integrated circuits. He is currently with ISD Laboratory, Sharif University, Tehran, Iran.

Mohsen Moezzi (S’11) received the B.Sc. and M.Sc. degrees in electrical engineering from the Sharif University of Technology, Tehran, Iran, in 2005 and 2007, respectively, where he is currently working toward the Ph.D. degree in electrical engineering. His general research interests include high-speed, low-power, and area-efficient CMOS analog circuits and RF building blocks. Mr. Moezzi was ranked first in the 2005 Iranian Student Scientific Olympiad on Electrical Engineering, honored by the Minister of Science.

Ali Medi (S’98-M’08) received the B.Sc. degree from the Sharif University of Technology, Tehran, Iran, in 2001, and the M.Sc. and Ph.D. degrees in electrical engineering from the University of Southern California, Los Angeles, in 2003 and 2007, respectively. He is currently an Assistant Professor with the Electrical Engineering Department, Sharif University of Technology, Tehran, Iran. He was a Research Assistant with the UltRaLab, where he worked in the field of analog and RF circuit design for ultra-wideband systems. He was with Broadcom Corporation, developing RF blocks for GSM cellular phone transceivers. His research interests are CMOS transceivers, RF front-ends, frequency synthesizers, and wideband analog circuit design.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

2203

Device Characterization Techniques Based on Causal Relationships Maciej Wojnowski, Member, IEEE, Grit Sommer, and Robert Weigel, Fellow, IEEE

Abstract—In this paper, we introduce a novel technique for vector network analyzer (VNA) scattering parameter ( -parameter) device characterization. The presented approach is based on causal relationships that provide a connection between the real and imaginary parts as well as between the magnitude and phase of causal network functions. We discuss the problems encountered in the practical implementation of the dispersion relationships such as numerical evaluation of the singular integrals, finite bandwidth of the experimental data, reconstruction artifacts, and nonuniqueness of dispersion relationships. To reduce these problems, we use the generalized dispersion relationships with reference points (subtractions). We develop integral relationships with subtractions and corresponding estimates for error bounds. We show that an appropriate placement of the reference points can significantly reduce the errors caused by finite spectrum. We analyze the applicability of the developed dispersion relationships to the VNA measurements. We demonstrate that certain parameters can be measured with considerably higher accuracy compared with other parameters and that it is possible to select samples from the measured data that can be used as reference points for dispersive integrals. Based on these observations, we propose a device characterization technique that consists of the causality-constrained reconstruction of the sensitive parameters of the measured devices based on more accurately measured characteristics. The proposed technique allows one to accurately characterize the parameters, like quality factor of a high-quality (high- ) inductors or loss tangent of low-loss transmission lines, of which direct measurement is normally not possible due to limited sensitivity and dynamic range of the VNA. To demonstrate the effectiveness of the proposed technique, we reconstruct the resistance and the quality factor of a high- inductor from the accurately measured inductance. Index Terms—Calibration, device characterization, microwave measurements, on-wafer calibration, parameter extraction.

I. INTRODUCTION

T

HE fundamental requirement for accurate on-wafer vector network analyzer (VNA) measurements is the correctly performed probe-tip calibration with the switching and isolation terms either negligible or correctly accounted for. The probe-tip

Manuscript received September 12, 2011; revised March 24, 2012; accepted March 30, 2012. Date of publication May 17, 2012; date of current version June 26, 2012. M. Wojnowski and G. Sommer are with Infineon Technologies AG, 85579 Neubiberg, Germany (e-mail: [email protected]). R. Weigel is with the Institute for Electronics Engineering, University of Erlangen-Nuremberg, 91058 Erlangen, Germany (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2195018

calibration precisely defines the reference plane at certain, depending on calibration, position near the tips of the probes and establishes the reference impedance for scattering parameter ( -parameter) measurements. Then, the de-embedding and extraction techniques can be used to move the measurement plane to the reference planes of the device. However, the calibration and de-embedding techniques can determine and compensate only the linear systematic errors. The system noise, drift, repeatability, and nonlinearity errors cannot be reduced using standard error-correction procedures and result in residual errors that limit the sensitivity of the measurement system. This limited sensitivity restricts the range of the impedances that can be measured with a VNA. The impedance dynamic range of a VNA extends from 1 to 1000 at lower frequencies and 10 to 100 at multigigahertz frequencies, coarsely estimated. This means that it is difficult for a VNA to differentiate between a 1000- load and an open circuit or between a 0.1- load and a short circuit [1]. The problem arises while trying to accurately characterize very low- or very high-impedance components, e.g., short interconnects or wirebonds. Moreover, one is often interested in precise determination of both dominant and nondominant (secondary) parameters of the device, where the value of both can differ by one or even two orders of magnitude. The accurate knowledge of these secondary parameters is often equally important to that of the dominant parameters. Examples of such parameters are the resistance and the quality factor ( -factor) of high- planar inductors. The value of the real part (resistive, nondominant) can be even 50–100 of the inductor’s impedance times smaller than the imaginary part (inductive, dominant). is 31 For example, the reactance of a 1-nH inductor of at 5 GHz, whereas the resistance is only 0.6 . It is thus clear that the precise measurement of the resistance is much more difficult than that of the inductance. Another example is the determination of the complex propagation constant and the characteristic impedance or, equivalently, the distributed RLCG-parameters (resistance, inductance, capacitance, conductance) of a quasi-transverse electromagnetic (TEM) transmission line. Typically, the inductance and capacitance dominate over the resistance and conductance and are sufficient to describe the transmission line approximately. However, the accurate knowledge of and is necessary, for example, for the generation of well-posed, causal, and passive transmission-line models. The precise knowledge of and is also mandatory for the broadband extraction of the electrical properties (i.e., relative permittivity and loss tangent) of the thin-film dielectrics of planar transmission lines.

0018-9480/$31.00 © 2012 IEEE

2204

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

In this work, we propose the characterization technique that overcomes the above-mentioned difficulties. The proposed solution is based on the observation that the measured network functions (e.g., impedances or admittances) have to be causal functions. As a consequence, the real and imaginary parts of their Fourier transforms are not independent, but are related by causal relationships. This means that, if one can accurately measure the real part of the network function, then the imaginary part can be calculated based on causal relationships and vice versa. These relationships can be then used to reconstruct the resistance of an inductor from its accurately measured inductance or to reconstruct the distributed resistance and conductance of a transmission line from its accurately measured distributed inductance and capacitance , respectively. In contrast to existing reconstruction techniques using causal relationships, we use the generalized dispersion relationships with reference points (subtractions) that are carefully selected from the measured data. The use of these subtractions can significantly reduce the problem related to low convergence of dispersion integrals, which is the main limitation of causality-based reconstruction techniques reported in the literature. To the best of the authors’ knowledge, the proposed technique has never been reported before and represents a novel contribution to VNA device characterization problems. The remainder of this paper is organized as follows. Section II introduces the dispersion relationships and reviews their integral forms. Section III reviews the problems encountered in the practical implementation of the dispersion relationships. In Section IV, the generalized dispersion relationships with subtractions are developed and the optimal placement of reference points is discussed. Section V discusses the applicability of the dispersion integrals to the VNA measurements. Finally, Section VI demonstrates the effectiveness of the proposed technique. As an example, the resistance and the quality factor of a high- inductor are reconstructed from the accurately measured inductance. In addition, Appendix A presents the Titchmarsh’s theorem that creates the mathematical foundations for dispersion relationships. Appendix B discusses in detail the difficulties related to numerical evaluation of the singular integrals, finite bandwidth of the experimental data, reconstruction artifacts, and nonuniqueness of dispersion relationships. Appendix C develops the error bounds for real and imaginary parts reconstructed using dispersion relationships with multiple subtractions.

II. CAUSALITY AND DISPERSION RELATIONSHIPS Causality is a fundamental physical restriction, like the principle of conservation of energy, and is valid in all branches of physics. The primitive causality condition states that no output can occur before the input [2] and reflects an intuitive expectation that no effect can precede its cause. Dispersion relationships form a frequency-domain counterpart of the causality condition in the time domain. They consist of a pair of integral equations relating the real and imaginary parts of a casual frequency response of a physical system.

Originally, dispersion relationships were formulated with reference to problems of light propagation to relate a dispersion process (dependence of phase velocity) to an absorption process. However, such a connection can be expected between any two physical quantities, where the output quantity is a linear function of an input quantity and the connecting function is time-invariant. Due to their general validity, dispersion relationships have been used in almost all areas of science and engineering. In mathematics, they are known as Hilbert transform and are a part of complex analysis [3], [4]. In materials science and optics, they are known as Kramers–Kronig relationships and are used to relate the real and imaginary parts of relative permittivity of dielectric materials [5], [6]. In circuit theory, the dispersion relationships are known as Bode’s integrals and are used to develop restrictions on various physical characteristics of network functions [7]. Inspired by works of Bode, dispersion relationships are used in electrical engineering for assessing the causality and self-consistency of wideband electrical data [8], [9], data correction [10], [11], causal interpolation and extrapolation [12], [13], and time-delay extraction [14], [15]. A. Dispersion Relationships With representing the Fourier transform of any causal network function , the real and imaginary parts are interrelated by the generalized Hilbert transform1 (1) (2) Requiring that the time-domain response is a real-valued function implies that . Thus, is an even function of and is an odd function of . This property allows one to limit the range of integration to positive frequencies only. The odd symmetry of the imaginary part and the requirement of unique for results in . Using both properties, (1) and (2) can be rewritten as (3) (4) known as Kramers–Kronig relationships. The singularities in the integrals in (3) and (4) can be avoided by subtracting constants and from the numerator of the corresponding integrands. The resulting alternative forms of the Kramers–Kronig relationships

(5) (6) 1In the literature, the Hilbert transform is often defined using an opposite sign convention. Here we use the definition according to [4].

WOJNOWSKI et al.: DEVICE CHARACTERIZATION TECHNIQUES BASED ON CAUSAL RELATIONSHIPS

are regular at . The terms and contribute nothing to the integrals, since the integral taken over the singular kernel is zero as

2205

integral. Instead, the integral is considered as the Cauchy principal value. Moreover, the range of integration in (10) extends from zero to infinity. In practice, however, the function is available only over a finite bandwidth . In such a case, the semi-infinite integral in (10) can be broken up into five integrals (11)

(7) where

represents either

in (5) or

in (6).

B. Special Forms of Dispersion Relationships Special forms of dispersion relationships can be obtained by observing their behavior in the low-frequency limit. Substituting in (3) gives (8) to within an which allows one to determine the dc term additive constant . Similarly, substituting in (4) allows one to express the inductance as (9) Equations (8) and (9) are sometimes called Bode’s resistanceand reactance-integral theorems, respectively [7]. In optical physics, (8) and (9) are called sum rules [5]. Other forms of dispersion relationships can be obtained by change of variables. There exist a large number of dispersion relationships in both integral and differential forms [16]. Besides dispersion relationships in the frequency domain, there exists a formulation in the time domain [17]. In [7], a large number of specialized relationships and theorems connecting the real and imaginary components as well as the magnitude and phase of physically realizable network characteristics are formulated. The developed relationships allow one, e.g., to determine the remaining portions of both real and imaginary parts of characteristics when the real part is given in some part of the frequency spectrum and the imaginary part is given in the remaining part of the spectrum. III. NUMERICAL EVALUATION OF DISPERSION INTEGRALS The practical application of the dispersion relationships poses a number of difficulties. The most important problems are related to the numerical evaluation of the singular integrals and the finite bandwidth of the experimental data. In most cases, the application of the dispersion relationships requires the numerical evaluation of the following integral: (10) is a real function that represents either in where (3) or in (4). Because of the singularity at , it is not possible to calculate the above integral as an ordinary improper

Equation (11) explicitly shows the individual contributions from , , and , as well as the singular contribution from a small neighborhood surrounding the singular point . The second and fourth integrals on the right-hand side of (11) are well behaved and can be evaluated numerically as an ordinary proper integral. The third integral gives the singular contribution in the neighborhood and must be evaluated as the Cauchy principal value. The first and fifth integrals represent the contributions from the unknown spectrum outside the measured frequency range and cannot be evaluated, leading to a truncation error. In addition to bandwidth limitation, the function is available in the form of discrete frequency samples, in most cases regularly spaced over the bandwidth . The discrete nature of the data introduces a discretization error in the numerical evaluation. Since the discretization error can be reduced by increasing the sampling rate and is usually much lower than other errors, its contribution can be neglected. Appendix B discusses in detail the difficulties related to numerical evaluation of the singular integrals, finite bandwidth of the experimental data, reconstruction artifacts, and nonuniqueness of dispersion relationships. IV. DISPERSION RELATIONSHIPS WITH SUBTRACTIONS The kernel in the dispersion integrals given by (3) and (4) decays as in the far field. In some cases, when the bandwidth is sufficiently wide, this is sufficient for the reconstruction. However, in most applications, this convergence is not sufficient, leading to large truncation errors. The dispersion relationships with subtractions allow one to increase the convergence of the dispersion integrals. Originally, they have been introduced to extend the application of dispersion relationships to function that do not verify the assumption of square integrability. In [18], it is shown that, if the function is bounded, i.e., , where is some positive constant, the subtracted function (12) and square integrable. The frequency is bounded for is called the subtraction frequency and the constant is called the subtraction constant. As demonstrated in [18], is also a causal transform in the sense of the Titchmarsh’s definition. As a consequence, the real and imaginary parts of (12) must be a pair of Hilbert transforms. Breaking up into the

2206

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

real and imaginary components and inserting them into (1) and (2) gives

(13)

where is known. Equations (17) and (18) represent alternative forms of the dispersion relationships with one subtraction given by (13) and (14). By introducing a supplementary variable , (17) and (18) can be rewritten in a more symmetrical form given by

(14) where . Equations (13) and (14) are known as dispersion relationships with one subtraction. They provide a complete connection between the real and imaginary parts of the functions that do not verify the assumption of square integrability but are bounded instead. If the function increases as for , two subtractions are needed to obtain a square-integrable function. The resulting dispersion relationships with two subtractions require the additional knowledge of and its derivative at one subtraction frequency . Alternatively, two subtraction constants at two different subtraction frequencies and can be used. In a similar way, the dispersion relationships with subtractions can be formulated for the functions that increase as for [18]. Compared with the ordinary dispersion relationships, the integrals in (13) and (14) converge as in the far field. The increase of the power in the denominator makes the dispersion integrals less sensitive to the high-frequency behavior of . The faster convergence of the integrals is obtained at the expense of additional knowledge of the at . Thus, if it is possible to accurately measure both the real and imaginary parts at selected frequencies or in a limited frequency range, the gained information can be used to improve the convergence of the dispersion integrals and, consequently, to reduce the errors caused by the finite bandwidth. A. Dispersion Relationships With Single Subtraction To reconstruct the real part from the imaginary part , the following integral must be evaluated:

(19)

(20) This more symmetrical form of dispersion integrals with subtractions is presented for the first time. Since the integrals in (19) and (20) are limited to positive frequencies, the convergence as in the far field is clearly visible. B. Dispersion Relationships With Multiple Subtractions Assuming that there exist two independent measurements that provide at two subtraction frequencies and , one has

(21)

(22) Dividing the first equation by and the second by and subtracting one from another gives

(15) Assuming that there exists an independent measurement that provides at the subtraction frequency (also called reference or anchor frequency), one has (16)

(23) A similar procedure for the imaginary part gives

Subtracting (16) from (15) gives (17) A similar procedure for the imaginary part leads to

(24)

(18)

and are known. Equations (23) and (24) where represent dispersion relationships with two subtractions. The integrals in (23) and (24) converge as . Repeating the above

WOJNOWSKI et al.: DEVICE CHARACTERIZATION TECHNIQUES BASED ON CAUSAL RELATIONSHIPS

procedure, the generalized dispersion relationships with traction can be formulated as

2207

sub-

(25)

(26) represent different subtraction frequencies, where and are known subtraction constants, , and and represent the Lagrange interpolating polynomials of degree spanned between subtraction frequencies

(27)

(28)

and , Since, by definition, , the singularities in (25) and (26) at the subtraction frequencies can be avoided by subtracting the constants and from the numerator of the corresponding integrands. The remaining singularity at can be removed similarly as for the ordinary relationships in (5) and (6). Of course, in the band-limited case, the nonzero contribution from the integrals over the singular kernels in (25) and (26) must be considered, similar to (48). The integrals in (25) and (26) converge as , which is thus much faster than in the ordinary dispersion relationships. This allows one to reduce significantly the errors caused by the finite bandwidth. C. Optimal Placement of Subtractions Theoretically, using a sufficiently large number of subtractions, the truncation error can be minimized to any desired value. In practice, however, there are two issues that limit the application of subtractions. First, the use of the subtractions requires the knowledge of the reconstructed function at the subtraction frequencies. Such reference samples are usually obtained using independent measurements. The use of the reference points simply from the same data set as the reconstructed function is possible, but requires certain criteria to decide which samples are more accurate than the others. Second, the interpolation polynomials in the denominator of the integrands with subtractions provide much faster convergence of the reconstructed function only in the close proximity of

Fig. 1. Error bound on

with one subtraction at 10 GHz.

the subtractions. Due to the presence of the interpolation polynomials in the numerator in front of the dispersion integrals, the truncation error increases very quickly outside the subtraction frequencies. This leads to an increased susceptibility to high-frequency samples compared to dispersion integrals without subtractions. Moreover, as observed in [19], the subtractions should not be placed too close, since an excessive proximity of singularities increases the numerical discretization error. Thus, an inappropriate selection of the reference points can easily destroy the positive effects obtained by using the dispersion integrals with subtractions. In Appendix C, we develop the error bounds for real and imaginary parts reconstructed using dispersion relationships with subtractions for assumed behavior outside the measured bandwidth. Using developed formulas, it is possible to observe the influence of the placement of subtractions on the truncation error. Fig. 1 shows the upper error bound on with one subtraction at 10 GHz estimated using (65) in the frequency range of interest 0.1–20 GHz. In the calculation of error bounds, it was assumed that for and for , where 0.1 GHz and 20, 50, and 110 GHz. The use of three different allows one to observe the influence of the bandwidth limitation on the truncation error. From Fig. 1, it is clearly visible that the measured bandwidth must exceed the frequency range of interest at least several times to guarantee reasonable accuracy of the reconstruction at higher frequencies. Moreover, it is also visible that even a very low value of significantly limits the accuracy of the reconstruction at lower frequencies. Thus, a single subtraction at 10 GHz is not sufficient for the reconstruction. Fig. 2 shows the error bound on with two subtractions at 1 and 10 GHz. It is clear that the placement of the reference point at lower frequency allows one to reduce the truncation errors due to the missing bandwidth . However, at higher frequencies, the error bound diverges faster than with a single subtraction at 10 GHz. Fig. 3 shows the error bound on with five subtractions uniformly spaced between 1 and 10 GHz. As expected, densely spaced reference

2208

Fig. 2. Error bound on

Fig. 3. Error bound on 1 and 10 GHz.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

with two subtractions at 1 and 10 GHz.

with 5 subtractions uniformly spaced between

points reduce the error bound to very low value in the frequency range 0.1–10 GHz. However, the truncation error explodes immediately as the frequency exceeds 10 GHz. This leads to an increased susceptibility to high-frequency samples compared with dispersion integrals with lower number of subtractions. Thus, the faster convergence in the proximity of the reference points occurs at the expense of the decreased accuracy at higher frequencies. Fig. 4 shows the error bound on with one subtraction at 10 GHz and with known down to dc. Compared with Fig. 1, it is clearly visible that the knowledge of the bandwidth (e.g., through extrapolation) not only reduces the truncation errors at lower frequencies, but also considerably decreases the susceptibility to high-frequency samples. Fig. 5 shows the same situation with an additional subtraction at 1 GHz. Compared with Fig. 2, the combination of the extrapolation in the missing bandwidth and the placement of the subtraction close to dc allows one to considerably reduce the truncation error in the whole frequency range of interest.

Fig. 4. Error bound on extrapolated in

Fig. 5. Error bound on extrapolated in with

with one subtraction at 10 GHz and with .

with two subtractions at 1 and 10 GHz and .

V. DISPERSION RELATIONSHIPS IN VNA MEASUREMENTS Here, we focus on the applicability of the dispersion relationships to the VNA measurements. The new application proposed in this work is the causality-constrained determination of the sensitive parameters that direct measurement is normally not possible due to limited sensitivity and dynamic range of the VNA. For example, the precise measurement of the resistance of a high- inductor is much more difficult than of the inductance. On the other hand, since the inductor’s impedance must be causal, the real part of the impedance can be reconstructed from its imaginary part using dispersion integrals. Surprisingly, there is almost no literature on this subject. The only article known to the authors is [10], in which the dispersion relationships are used to calculate the imaginary part of the antenna input admittance from its measured real part. The reason for this lack of applications are probably the practical difficulties related to the numerical evaluation of dispersion integrals, such as low convergence of the finite-bandwidth integrals and

WOJNOWSKI et al.: DEVICE CHARACTERIZATION TECHNIQUES BASED ON CAUSAL RELATIONSHIPS

Fig. 6. Relative uncertainty

in one-port reflection measurement.

Fig. 7. Relative uncertainty

2209

in one-port reflection measurement.

nonuniqueness of the reconstructed function. The solution proposed in this work overcomes both these problems by using the generalized dispersion relationships with reference points that are selected from the measured data. A. Uncertainty of Impedance Determination The accuracy of the VNA -parameter measurements depends on the frequency and on the measured impedances. Typically, the measurement uncertainties of the magnitude and phase reflection and transmission measurements are specified by the manufacturer of the VNA. Using such specifications, it is possible to estimate the uncertainty related to the impedance determination. For example, for a one-port reflection measurement the impedance of the device-under-test (DUT) is calculated as

Fig. 8. Relative uncertainty measurement.

in one-port reflection

(29) is the reference impedance set by the VNA calibrawhere tion. For the given complex uncertainties and , the uncertainty of the impedance determination can be estimated as

(30) Thus, the uncertainty of the impedance determination can be broken up into two contributions: the first related to the -parameter measurements and the second related to the reference impedance. 1) Uncertainty of -Parameter Measurements: Ignoring the second contribution in (30) and assuming that the magnitude and phase of are unrelated, the worst-case deviation can be estimated as (31) Equation (31) represents the deviation of the magnitude of the complex impedance . However, as it is assumed

that the magnitude and phase of are unrelated, (31) represents also the worst-case deviation for both real and imaginary parts of . Dividing (31) by the real (imaginary) part of gives the relative uncertainty related to the measurement of the real (imaginary) part of . Figs. 6 and 7 illustrate the relative uncertainties and calculated using determined based on the characteristics specified in [20].2 It is clear that the highest accuracy is obtained for and values that are close to the reference impedance . The uncertainty of the resistance/reactance measurement increases with the increased difference between the measured and the reference impedance. By combining both characteristics and , an optimal region of the DUT’s impedances can be found that guarantees the lowest uncertainty of the complex impedance measurement (see Fig. 8). In practice, the characteristics of the DUT often exhibit frequency dependence and resonances. A careful analysis of the measured data can identify the frequency regions of higher and lower measurement uncertainties. The idea proposed in this 2The specified uncertainties represent the worst-case contributions of the residual directivity, load and source match, frequency response, isolation, network analyzer dynamic accuracy, and connector repeatability [20].

2210

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

work consists of using the samples from the regions of higher confidence as reference points for dispersive integrals. 2) Uncertainty of Reference Impedance Definition: In most cases, the reference impedance of the VNA -parameter measurements is defined to be purely real, usually . However, due to the inaccuracies in the definitions of the calibration standards, the inaccuracies and the nonrepeatability of the probe placement in the VNA calibration, the actual reference impedance can slightly differ from the assumed value. In particular, there can be some uncompensated imaginary part. Assuming that the reference impedance is complex, i.e., , the second term in (30) can be written as (32) where represents the deviation of the measured complex impedance of the DUT and is given by (33) Multiplying the right-hand side of (32) and comparing the real and imaginary parts on both sides gives (34) (35) Thus, the accuracy of the impedance measurement strongly depends on the DUT’s character (resistive/reactive) and the uncertainties and . In contrast to (31), where the real and imaginary parts of are assumed to be randomly distributed within a circle of radius , the uncertainties and are considered separately. This is justified by the fact that the accuracy of the determination of and may differ significantly, as demonstrated in [21]. B. Finite Bandwidth A VNA provides the measurement of the magnitude and phase of the -parameters in a limited frequency range . The lowest frequency lies usually in the megahertz range, typically 40 or 100 MHz. The maximum frequency reaches 50, 65, or 110 GHz. In general, there are two ways to reduce the truncation errors related to the finite bandwidth of the dispersion integrals: extrapolation of the integrand in the missing bandwidth or use of the reference points close to the bandwidth edges. A demonstrated in Section IV, placement of the reference point close to allows one to significantly reduce the truncation errors due to the missing bandwidth . However, placement of the reference point close to decreases the accuracy at higher frequencies. On the other hand, an appropriate extrapolation in the missing bandwidth not only reduces the truncation errors at lower frequencies, but also considerably decreases the susceptibility to high-frequency samples. Since the missing low-frequency band is usually very small and well-defined ( and ), the causality-constrained extrapolation is recommended.

Fig. 9. Photograph and the circuit representation of the DUT.

The highest accuracy of the reconstruction is obtained when the reference point is placed close to . Unfortunately, the measurements at high frequencies are often less accurate than at lower frequencies and the reference points close to may not be available. In such a case, an extrapolation scheme in the frequency range is desirable. At the intermediate frequencies, at least one reference point should be placed to “anchor” the reconstructed characteristic and to increase the convergence of the dispersion integrals. If more than one reference points are used, their placement must be defined with special care. As shown in Section IV, an inappropriate selection of the reference points can increases the susceptibility to high-frequency samples and destroy the positive effects obtained by using the dispersion integrals with subtractions. According to [19], an optimal situation is obtained when the reference points are placed according to a Chebyshev distribution. In practice, however, the positions of the possible reference points are restricted to narrow frequency bands, usually at lower frequencies. In such a case, the recommendations for an optimal placement of the reference points for practical VNA measurements can be summarized as follows: • extrapolate measured data toward dc; • use minimum number of reference points at lower frequencies; • use at least one reference point at higher frequencies. In practice, an optimal placement of the reference points will depend in detail on the reconstructed function and on the frequency range of interest. VI. MEASUREMENT RESULTS The reconstruction techniques presented in the previous sections are applied to characterize the resistance and the quality factor of a high- inductor. The on-wafer measurements have been performed in the frequency range 0.1–60 GHz using the Anritsu 37397 VNA. The measurement system has been calibrated with the probe-tip multiline TRL calibration using transmission line standards on the Cascade Microtech 101–190C calibration substrate. The characteristic impedance of the calibration lines has been determined using the method of [22] with the capacitance per unit length (p.u.l.) measured using the calibration-comparison method, as proposed in [21]. The DUT is a 1-nH single-layer spiral inductor realized in the embedded wafer-level ball grid array (eWLB) technology. Fig. 9 shows the photograph and the circuit representation of the DUT. The second port of the DUT has been grounded to enable characterization through one-port reflection measurement. The

WOJNOWSKI et al.: DEVICE CHARACTERIZATION TECHNIQUES BASED ON CAUSAL RELATIONSHIPS

2211

Integrated inductors are usually considered as two-port devices and are characterized by the effective resistance , the effective inductance , and the quality factor . If the inductors are characterized using one-port reflection measurements with the second port grounded, the RLQ-parameters are determined as (36) (37) (38)

Fig. 10. Measured of the DUT. Red circles denote the uncertainties calculated based on the characteristics from [20].

is calculated using (29). where the impedance From (38), one has for . Thus, the impedance of high- inductors is dominated by its imaginary part . Since the reference impedance is by definition real, i.e., , it means that defined by (33) is also dominated by its imaginary part, i.e., . Using these results, (34) and (35) simplify to (39) (40)

Fig. 11. Relative uncertainties , , and calculated using (31) for the DUT. The arrows illustrate two possible positions for reference points.

DUT has been selected for its quality factor . Due to the moderate value of the quality factor and the precisely performed VNA calibration, it was possible to accurately measure the real part of the DUT’s impedance and to use it as a reference for the reconstruction. A. Uncertainty of Resistance and Inductance Determination Fig. 10 illustrates the measured of the DUT. Red circles denote the uncertainties calculated using the characteristics from [20]. Comparing Fig. 10 with Figs. 6 and 7, one can see that the major part of the measured lies in the region of higher accuracy for the inductance measurement (edge of the Smith chart). Fig. 11 shows the relative uncertainties and and their sum calculated using (31). The plotted curves can be regarded as thin strips cut out along the measured path from the surface plots in Figs. 6–8, respectively. Except for the frequencies around 28 GHz, where crosses the real axis in the Smith chart, the uncertainty of the inductance measurement exceeds considerably that of the resistance. The difference between the uncertainties is particularly pronounced in the frequency range 0–20 GHz, which is of main interest.

Thus, the accuracy of the inductance determination depends in practice only on the real part of the reference impedance . In particular, the determined inductance is insensitive to small nonzero values of the imaginary part . On the other hand, the determination of the resistance is very sensitive to small nonzero values of the imaginary part . According to (40), any uncompensated will result in an underestimation of , and any will result in an overestimation of . Dividing (39) and (40) by and , respectively, the relative uncertainties are obtained as follows: (41) (42) Using (41) and (42), it can be shown that the relative uncertainty for the quality factor is (43) Thus, the sensitivity of the resistance and the quality factor determination to an uncompensated is proportional to the quality factor of the measured inductor. If the uncertainties and are identical, the sensitivity of the resistance and the quality factor determination is approximately -times higher than that of the inductance. Figs. 12 and 13 show the impact of an inaccurately set reference impedance on the determined inductance and the quality factor. The blue curve represents the accurate value determined with , whereas the gray area illustrates the range of values determined with the perturbed real part and the uncompensated imaginary part . From Fig. 12, it is clearly visible that the inaccuracies in the reference impedance do not affect the determined inductance. The observed variation of the inductance is in the range of 0.01 nH,

2212

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 14. Reconstruction of the real part form its accurately measured reactance

of the DUT’s impedance using causal relationships.

Fig. 12. Sensitivity of the DUT’s inductance to the variations and in the originally purely real reference impedance .

Fig. 15. Error bound on reference points.

Fig. 13. Sensitivity of the DUT’s quality factor to the variations and in the originally purely real reference impedance .

thus only . The small sensitivity of the inductance extraction is due to relatively small deviation compared with the correct value . As expected, Fig. 13 shows a large impact of the determined quality factor. The observed variations are in the range of about near and are almost exclusively due the small nonzero value of the refernce reactance . This confirms the high sensitivity of the resistance and the quality factor extraction. In addition to increased susceptibility to the residual imaginary part of the reference impedance, the absolute values of the measured resistances are very small and lie at the edge of the VNA sensitivity. This is reflected by irregularities in the quality factor curve around the maximum value. B. Reconstruction of the Real Part of the Impedance As demonstrated above, the resistance and the quality factor of a high-Q inductor are particularly difficult to characterize through the VNA -parameter measurements. On the other

calculated for three different positions of

hand, the inductance of a high- inductor can be measured with much higher accuracy in almost the whole frequency range. As a consequence, since the impedance of an inductor must be causal, the resistance can be calculated from the accurately measured reactance using causal relationships. This is illustrated schematically in Fig. 14. To “anchor” the reconstructed resistance and to reduce the errors caused by the finite bandwidth, the reference points must be defined. The frequencies at the falling edge of the quality factor curve, between and the resonance, or at the end of the measured spectrum, seem to be appropriate. In this frequency range, the sum of the relative uncertainties and exhibits local minima (see Fig. 11). As a consequence, the resistance measured at these frequencies can be assumed to be accurate. Alternatively, the frequencies close to the dc point can be used, since they can be easily verified by an independent measurement using, e.g., an impedance analyzer. On contrary, placement of the reference point at frequencies close to , for example at 5 GHz, would be the worst possible choice. Such reference point would “force” the reconstructed resistance to match the value measured directly at this frequency, prohibiting possible correction in this sensitive frequency range. Fig. 15 shows the error bound on calculated using (65) for the three different locations of reference points. The subtractions have been placed at 20 GHz (first curve), at 0.1 and 20 GHz (second curve), and at 0.1, 20, and 50 GHz (third curve). In the calculation of the error bounds, it was assumed that for , where 60 GHz. The value of 100 has been estimated by observing in the measured frequency range 0.1–60 GHz.

WOJNOWSKI et al.: DEVICE CHARACTERIZATION TECHNIQUES BASED ON CAUSAL RELATIONSHIPS

2213

Fig. 16. Reconstruction of the real part of the DUT’s impedance from the imaginary part measured in the frequency range 0–60 GHz. The dotted curves represents the bounds on the relative reconstruction error calculated using the error bounds in Fig. 15.

Fig. 17. Reconstruction of the quality factor of the DUT’s impedance from the imaginary part measured in the frequency range 0–60 GHz. The gray area determined around the reconstructed curve represents the error bound on using the last error bound in Fig. 15.

Fig. 16 shows the relative reconstruction error calculated as a difference between the reconstructed real part and the real part measured directly. The first curve shows the real part reconstructed without any reference points using (3). The following three curves represent the real part reconstructed using the generalized dispersion relationships with subtractions given by (25). The resistance value at 0.1 GHz has been verified by an independent measurement using the Agilent 4285A LCR meter.3 In all four cases, an extrapolation of in the missing bandwidth has been used. The range of integration has been restricted to the available bandwidth 0–60 GHz and the remaining part of the integral has been simply ignored. As expected, the real part reconstructed without any reference points (first curve) differs significantly from the actual characteristic. The introduction of a single subtraction at 20 GHz (second curve) allows one to reconstruct the real part with accuracy from 15 to 38 GHz. Using two subtractions at 0.1 and 20 GHz (third curve) increases the bandwidth down to dc and up to 40 GHz. An additional reference point at 50 GHz (last curve) extends the bandwidth of the reconstruction up to 52 GHz for the accuracy . The dotted curves in Fig. 16 represents the bounds on the relative reconstruction error calculated using the error bounds in Fig. 15. The reconstruction error lie entirely within the predicted bounds except for the last case with three subtractions. The observed “saturation” of the reconstruction error at about 10% can be explained by the limited numerical precision (discretization error) and the inaccuracies of the measured reference curve.

factor measured directly and provides a reference for the reconstructed curve. The second curve represents the quality factor that would be measured with an uncompensated imaginary part of the reference impedance . It is clearly visible that even such a small value of the uncompensated reference reactance results in already 20% error in the measured . The third curve represents the quality factor calulated from the perturbated imaginary part using the reference points at 0.1, 20, and 50 GHz. Since the imaginary part of the measured impedance is insensitive to small nonzero values of the imaginary part of , the quality factor reconstructed from the perturbed imaginary part is almost identical as the reference, accurate measurement. The gray area around the reconstructed curve represents the upper error bound on determined using the last curve in Fig. 15. The reconstructed quality factor lie almost completely within the estimated bound. This result verifies the robustness of the proposed characterization technique.

C. Robustness of the Reconstruction Fig. 17 illustrates the robustness of the quality factor determination in case of the inaccuracies in the definition of the reference impedance. The first curve represents the actual quality 3Since the maximal frequency of the Agilent 4285A LCR meter is 30 MHz, the resistance measured at this frequency has been used. This was justified by the fact that no frequency dependence was expected for the DUT’s resistance in the frequency range 30–100 MHz.

VII. CONCLUSION In this paper, a novel technique for the VNA -parameter device characterization was introduced. The presented approach is based on causal relationships that provide a connection between the real and imaginary parts as well as between the magnitude and phase of causal network functions. The problems encountered in the practical implementation of the dispersion relationships were discussed. The difficulties related to numerical evaluation of the singular integrals, finite bandwidth of the experimental data, reconstruction artifacts, and nonuniqueness of dispersion relationships were analyzed in detail. To reduce these problems, the use of the generalized dispersion relationships with reference points (subtractions) was proposed and appropriate integral relationships and estimates for error bounds were developed and analyzed. It was shown that an appropriate placement of the reference points can significantly reduce the errors caused by finite spectrum, resulting in a reliable reconstruction. The applicability of the developed dispersion relationships to the VNA measurements was discussed. It was demonstrated that

2214

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

certain parameters can be measured with considerably higher accuracy compared to other parameters and that it is possible to select samples from the measured data that can be used as reference points for dispersive integrals. The recommendations for an optimal placement of the reference points were formulated for practical VNA measurements. Based on these observations, a device characterization technique was proposed that consists in causality-constrained reconstruction of the sensitive parameters of the measured devices based on more accurately measured characteristics. The proposed technique makes it possible to accurately characterize the parameters, such as quality factor of high- inductors or loss tangent of a low-loss transmission lines, which direct measurement is normally not possible due to limited sensitivity and dynamic range of the VNA. To demonstrate effectiveness of the proposed technique, the resistance and the quality factor of a high- inductor were reconstructed from the accurately measured inductance. The presented results proved the proposed characterization technique. APPENDIX A TITCHMARSH’S THEOREM The Titchmarsh’s theorem creates the mathematical foundations for dispersion relationships. It constitutes a connection between the causality of a function in the time domain and the analytical properties of its Fourier transform in the complex frequency plane. Here, we report the Titchmarsh’s theorem according to [18]. Theorem 1 (Titchmarsh): If a square-integrable function fulfills one of the four conditions below, it fulfills all of them. 1) The inverse Fourier transform equals 0 for . 2) is, for almost all imaginary , the limit as of a function which is analytic throughout the right half plane and is square-integrable over any line parallel to and beyond the real frequency axis (44) 3) (45) 4) (46) The conditions 1), 2), and 3)–4) stand for causality, analyticity, and dispersion relationships, respectively. The complex function that verifies the conditions 1)–4) is called causal transform. The Titchmarsh’s theorem specifies the class of functions (square-integrable, class) for which the dispersion relationships are necessary and sufficient to validate the causality. In practice, there is a large number of network functions that do not verify the assumption of square integrability, but are bounded instead (a weaker restriction), i.e.,

Fig. 18. Evaluation of the singular dispersion integral at 20 GHz. The second and third curves have different units and are not to scale.

, where is some positive constant. In such cases, the functions still have a regular analytic continuation in the right complex frequency plane [condition 2)], but they are no longer causal transforms in the sense of the Titchmarsh’s definition. For such functions, it is possible to extend the applicability dispersion relationships by introducing subtractions. APPENDIX B NUMERICAL EVALUATION OF DISPERSION INTEGRALS Here, we discuss in detail the difficulties related to numerical evaluation of the singular integrals, finite bandwidth of the experimental data, reconstruction artifacts, and nonuniqueness of dispersion relationships. A. Numerical Evaluation of Singular Integral There exists an extensive literature devoted to the numerical evaluation of the Cauchy principal value integrals [23]–[25]. The Cauchy principal value means that the singular point is approached in a symmetric manner from left-hand and righthand sides in the numerical integration. Thus, using numerical integration with uniformly spaced samples, the singular point should be positioned exactly at the center of the sampling interval. The accuracy of the numerical integration increases with smaller sampling interval [4]. The simplest way to eliminate the singularity in (10) is to subtract the value of the numerator at the evaluation frequency . Using the property that the integral over the integrand kernel is zero [see (7)], this leads to the regular forms given by (5) and (6). Then, the classical numerical integration techniques, such as trapezoidal or Simpson’s formula, can be used [26]. Besides eliminating the singular contribution, this modification usually improves the numerical stability [25]. For example, the first curve in Fig. 18 shows the real part of an inductor measured in the frequency range 0.1–60 GHz. The second curve shows the singular kernel calculated at 20 GHz. The last curve shows the result of the multiplication of by the kernel function . This corresponds to the integrand in (10). The singular characters of

WOJNOWSKI et al.: DEVICE CHARACTERIZATION TECHNIQUES BASED ON CAUSAL RELATIONSHIPS

Fig. 19. Evaluation of the regularized dispersion integral at 20 GHz. The second and third curves have different units and are not to scale.

the kernel and the resulting integrand at 20 GHz are clearly visible. Fig. 19 shows the same curves for the regularized integrand . Compared with Fig. 18, the regularized integrand is a smooth function of frequency and can be easily evaluated numerically. The work in [10] presents a numerical procedure to evaluate the dispersion integrals using a cubic spline interpolation. In contrast to the standard integration techniques that approximates the entire integrand , the proposed procedure approximates only the function in the numerator. Since is in most cases a smooth function, it is easier to approximate than the singular integrand. Other techniques for the numerical evaluation of the dispersion integrals expand the integrand in a series of Legendre polynomials (Gaussian quadrature), Chebyshev polynomials (Chebyshev quadrature), or Hermite polynomials [4]. Another popular technique for the numerical evaluation of the dispersion integrals is based on the relationships between the Fourier and the Hilbert transforms [27]. An interesting approach for the reconstruction of Hilbert transforms based on waveform decomposition is proposed in [28]. Compared with other techniques, the proposed approach does not need to calculate integrals.

Fig. 20. Kernel 50 GHz.

2215

calculated at the local frequencies 5, 20, and

the rest of the spectrum is referred to as far field [31]. The singular kernel is antisymmetric about and strongly weighs the integrand. As a consequence, the dispersion integrals are dominated by its local part. In the far field, the kernel is smoothly decaying and the contribution from distant frequencies to the integral is reduced. C. Reconstruction Artifacts Usually, the regular forms given by (5) and (6) are preferred due to the regularity of the integrand. The equality of the original forms given by (3) and (4) and the regular forms is based on the property that the integral over the singular kernel is zero [see (7)]. This property gives the freedom to subtract constants from the numerator of the dispersion integrands. However, in the finite-bandwidth case, the integral taken over finite limits

(47)

B. Finite Bandwidth The main difficulty in the practical application of the dispersion relationships is that one needs to know the integrand over all frequencies to evaluate the dispersion integrals, whereas the experimental data is available only over a finite frequency range. If the dispersion integrals are evaluated in the limited range only, this leads to the truncation errors. Usually, the problem can be partially overcome by assuming a certain approximation of the function outside the measured frequency range. Numerous extrapolation procedures have been discussed in the literature [11], [29], [30]. In most cases, it is assumed that has a constant value outside the measured spectrum. For example, Fig. 20 shows the singular kernel calculated at the local frequencies 5, 20, and 50 GHz. The frequencies for which are referred to as local part, whereas

does not vanish except at the isolated frequency and diverges as the frequency approaches the end points and . As a result, when restricted to a finite interval, adding a constant to the numerator affects the result. The effect of this artifact can be eliminated by simply adding the corresponding term to the finite-bandwidth integrals, i.e.,

(48) where

represents either

in (3) or

in (4).

2216

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

where

Fig. 21. Uniqueness of dispersion relationships. (a) Determination of from . (b) Determination of from .

D. Uniqueness of Dispersion Relationships According to the Titchmarsh’s theorem, when a complex is square-integrable, causality implies that the function real part determines the imaginary part completely and vice versa. In practice, there is a large number of network functions that do not verify the assumption of square integrability, but still satisfy causality principle. For example, an impedance of a physically realizable twoterminal (one-port) network , where and are arbitrary real constants, is not square-integrable and the real and imaginary parts and are totally unrelated. It is clear that the dispersion relationships in the form of (3) and (4) are not valid in this case. Another example is the impedance of a pure-reactance one-port where

(49) (52)

or the impedance of a pure-resistance one-port where

and are some constants and represents the spectrum outside the measured frequency range. The case , corresponds to power-normalized scattering responses of passive networks and is used for assessing the causality and self-consistency of -parameters [19]. The case is particularly interesting as it can be used to bound the high-frequency behavior of impedance/admittance functions for measured linear devices. This can be demonstrated as follows. The linear devices can be approximately described as lumped-element networks composed of RLC components. The accuracy of such description depends on the device dimensions and the frequency and can be increased by increasing the complexity of the RLC representation. According to the Raisbeck’s theorem [32], the impedance/admittance of an RLC circuit is a rational positive real function of which powers of the numerator and denominator polynomials can differ at most by unity. It means that the impedance/admittance function of an RLC circuit cannot increase faster than as approaches infinity. Since and , the same conclusion holds for and . Thus, as long as the RLC description is valid, can be used to bound the high-frequency behavior of the reconstructed impedance/admittance functions. It is assumed that the frequencies for which could occur are far enough and have no impact on the dispersion integrals. Bound on Truncation Error for Dispersion Integral With Multiple Subtractions: To estimate the accuracy of the reconstruction using the dispersion relationships with subtractions, the contribution from the following integral must be evaluated:

(50)

It is thus clear that a series connection of any one-port with any pure-reactance one-port will not change the relationships between the real and imaginary parts of . As a consequence, the problem of the determination of the reactance from a given resistance does not have a unique solution. The dispersion relationships allow one to determine the reactance only to within a reactance of an arbitrary reactance network. This situation is illustrated schematically in Fig. 21(a). Similarly, if and are related by dispersion relationships, then and is also a possible solution, where is an arbitrary real constant. Thus, the dispersion relationships allow one to determine the resistance only to within an arbitrary additive constant . This situation is illustrated schematically in Fig. 21(b).

represents either where the real-valued function or . Fig. 7 develops the upper bounds on truncation error assuming that is bounded as in (51) for 0, 1, and 2, and the reference points . Using (52), the truncation error due to neglecting the contributions from frequencies can be bounded as

APPENDIX C ERROR BOUNDS FOR RECONSTRUCTION To find a bound on the truncation error for dispersion integrals, it is essential to define a constraint on outside the measured frequency range. Usually, it is assumed that is bounded as for

(51)

(53)

WOJNOWSKI et al.: DEVICE CHARACTERIZATION TECHNIQUES BASED ON CAUSAL RELATIONSHIPS

2217

where represents the low-band error function with a single subtraction at as (54) In the third line of (53), a partial fraction expansion (Heaviside cover-up method) has been used to decompose the singular kernel into a sum of rational functions. Similarly, it can be shown that the truncation error due to neglecting the contributions from frequencies can be bounded as

(55)

represents the high-band error function with where a single subtraction at as

Fig. 22. Error bound on

with two subtractions at 20 and 50 GHz.

The following equations show the high-band error function calculated for , 1, and 2:

(56) Combining (54) and (56) gives the total error bound as

(62)

(57)

(63)

Using a partial fraction expansion, the singular integral in (54) and (56) can be written in the following form: (64)

(58) which can be easier evaluated analytically. The following equations shows the low-band error function calculated for , 1, and 2:

Bound on Truncation Error for Real and Imaginary Parts: Equation (57) represents the upper bound on the contribution from the dispersive integral in the unknown spectrum . The upper error bound on reconstructed real and imaginary parts can be evaluated as

(65)

(66) (59) (60)

(61)

for and , respectively. The error bounds given by (65) and (66) depend strongly on the position of the reference points. They vanish at the subtraction frequencies , , reach the maximum value in between and diverge as the frequency approaches the bandwidth edges and . The exact values of the truncation error between the subtraction frequencies depends on the particular locations of the subtractions.

2218

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 23. Error bound on

with two subtractions at 20 and 50 GHz.

Fig. 22 shows the upper error bounds on with two subtractions at 20 and 50 GHz calculated assuming that for , where 110 GHz and 0, 1, and 2. Fig. 23 shows the upper error bounds on with two subtractions at 20 and 50 GHz calculated assuming that for , where 110 GHz and , 1, and 2. As expected, an increase of results in higher truncation error. The different behavior of the error bounds for and at lower frequencies ( and for ) is due to the presence of the term in (66). Because to this difference, a reference point close to dc may be required when the real part is reconstructed. ACKNOWLEDGMENT The authors would like to thank Dr. U. Arz, PhysikalischTechnische Bundesanstalt (PTB), Braunschweig, Germany, for his valuable suggestions. REFERENCES [1] “Arbitrary impedance,” Anritsu, Application Note 11410-00284, 2002. [2] J. S. Toll, “Causality and the dispersion relation: Logical foundations,” Phys. Rev., vol. 104, no. 6, pp. 1760–1770, Dec. 1956. [3] L. H. Ahlfors, Complex Analysis, 3rd ed. New York: McGraw-Hill, 1979. [4] S. L. Hahn, Hilbert Transform in Signal Processing. Norwood, MA: Artech House, 2000. [5] V. Lucarini, J. J. Saarinen, K.-E. Peiponen, and E. M. Vartiainen, Kramers-Kronig Relations in Optical Materials Research. Berlin, Germany: Springer-Verlag, 2005. [6] K. R. Waters, J. Mobley, and J. G. Miller, “Causality-imposed (Kramers–Kronig) relationships between attenuation and dispersion,” IEEE Trans. Ultrason., Ferroelectr., Freq. Control, vol. 52, no. 5, pp. 822–833, May 2005. [7] H. W. Bode, Network Analysis and Feedback Amplifier Design. New York: Van Nostrand, 1945. [8] P. Triverio and S. Grivet-Talocia, “On checking causality of bandlimited sampled frequency responses,” in Proc. 2nd Conf. Ph.D. Res. Microelectron. Electron., Ortranto, Italy, Jun. 2006, pp. 501–504.

[9] P. Triverio and S. Grivet-Talocia, “A robust causality verification tool for tabulated frequency data,” in Proc. IEEE 10th Workshop Signal Propagation on Interconnects, Berlin, Germany, May 2006, pp. 65–68. [10] S. Amari, M. Gimersky, and J. Bomemann, “Imaginary part of Antenna’s admittance from its real part using Bode’s integrals,” IEEE Trans. Antennas Propag., vol. 43, no. 2, pp. 220–223, Feb. 1995. [11] F. M. Tesche, “On the use of the Hilbert transform for processing measured CW data,” IEEE Trans. Electromagn. Compat., vol. 34, no. 3, pp. 259–266, Aug. 1992. [12] S. M. Narayana, G. Rae, R. Adve, T. K. Sarkar, V. C. Vannicola, M. C. Wicks, and S. A. Scott, “Interpolation/extrapolation of frequency domain responses using the Hilbert transform,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 10, pp. 1621–1627, Oct. 1996. [13] P. Triverio and S. Grivet-Talocia, “Causality-constrained interpolation of tabulated frequency responses,” in Proc. IEEE 15th Topical Meet. Electrical Performance Electron. Packaging, Scottsdale, AZ, Oct. 2006, pp. 181–184. [14] R. Mandrekar and M. Swaminathan, “Causality enforcement in transient simulation of passive networks through delay extraction,” in Proc. IEEE 9th Workshop Signal Propagation Interconnects, Garmisch-Patenkirchen, Germany, May 2005, pp. 25–28. [15] R. Mandrekar, K. Srinivasan, E. Engin, and M. Swminathan, “Causality enforcement in transient co-simulation of signal and power delivery networks,” IEEE Trans. Adv. Packag., vol. 30, no. 2, pp. 270–278, May 2007. [16] K. R. Waters, M. S. Hughes, J. Mobley, and J. G. Miller, “Differential forms of the Kramers-Krönig dispersion relations,” IEEE Trans. Ultrason., Ferroelectr., Freq. Control, vol. 50, no. 1, pp. 68–76, Jan. 2003. [17] T. L. Szabo, “Time domain wave equations for lossy media obeying a frequency power law,” J. Acoust. Soc. Amer., vol. 96, no. 1, pp. 491–500, Jul. 1994. [18] H. M. Nussenzveig, Causality and Dispersion Relations. New York: Academic, 1972. [19] P. Triverio and S. Grivet-Talocia, “Robust causality characterization via generalized dispersion relations,” IEEE Trans. Adv. Packag., vol. 31, no. 3, pp. 579–593, Aug. 2008. [20] “37100C/37200C/37300C Vector Network Analyzers Technical Data Sheet,” Anritsu, Tech. Rep. 11410-00247, 2003, . [21] M. Wojnowski, M. Engl, V. Issakov, G. Sommer, and R. Weigel, “Accurate broadband RLCG-parameter extraction with TRL calibration,” in Proc. IEEE 71st Automatic RF Tech. Group Conf., Atlanta, GA, Jun. 2008, pp. 41–46. [22] R. B. Marks and D. F. Williams, “Characteristic impedance determination using propagation constant measurement,” IEEE Microw. Guided Wave Lett., vol. 1, no. 6, pp. 141–143, Jun. 1991. [23] R. Piessens, “Numerical evaluation of Cauchy principal values of integrals,” BIT Numer. Math., vol. 10, no. 4, pp. 476–480, Dec. 1970. [24] P. Rabinowitz, “The numerical evaluation of Cauchy principal value integrals,” in Proc. Symp. Numer. Math., Comput. Sci. Dept. Natal, Durban, South Africa, May 1978. [25] P. J. Davis and P. Rabinowitz, Methods of Numerical Integration, 2nd ed. New York: Academic, 1984. [26] W. H. Press, S. A. Teukolsky, W. T. Vetterling, and B. P. Flannery, Numerical Recipes, 3rd ed. Cambridge, U.K.: Cambridge Univ., 2007. [27] C. W. Peterson, “Causality calculations in the time domain: An efficient alternative to the Kramers–Kronig method,” J. Opt. Soc. Amer., vol. 63, no. 10, pp. 1238–1242, Oct. 1973. [28] H. Boche and M. Protzmann, “A new algorithm for the reconstruction of bandlimited functions and their Hilbert transform,” IEEE Trans. Instrum. Meas., vol. 46, no. 2, pp. 442–444, Apr. 1997. [29] K. F. Palmer, M. Z. Williams, and B. A. Budde, “Multiply subtractive Kramers–Kronig analysis of optical data,” Appl. Opt., vol. 37, no. 13, pp. 2660–2673, May 1998. [30] V. Lucarini, J. J. Saarinen, and K.-E. Peiponen, “Mutiply subtractive generalized Kramers–Kronig relations: Application on third-harmonic generation susceptibility on Polysilane,” J. Chem. Phys., vol. 119, no. 21, pp. 11095–11098, Dec. 2003. [31] A. Dienstfrey and L. Greengard, “Analytic continuation, singular-value expansions, and Kramers–Kronig analysis,” Inverse Probl., vol. 17, no. 5, pp. 1307–1320, Oct. 2001. [32] E. A. Guillemin, Synthesis of Passive Networks. New York: Wiley, 1957.

WOJNOWSKI et al.: DEVICE CHARACTERIZATION TECHNIQUES BASED ON CAUSAL RELATIONSHIPS

Maciej Wojnowski (M’06) was born in Gdynia, Poland, on July 9, 1978. He received the M.Sc. degree (cum laude) in microwave engineering from the Technical University of Gdańsk, Gdańsk, Poland, in 2004, and the Ph.D. degree (summa cum laude) from the University of Erlangen-Nuremberg, Erlangen, Germany, in 2011. He has been with Infineon Technologies AG, Munich, Germany, since 2005. He was responsible for electrical characterization and modeling of the eWLB technology for system-in-package applications. He is the author or coauthor of more than 30 papers in the areas of high-frequency packaging and measurement techniques. His current research interests include high-frequency package characterization and modeling, signal integrity, calibration and de-embedding techniques for interconnect and passive device characterization, and computational electromagnetics. Dr. Wojnowski is co-recipient of the 2007 Outstanding Paper Award of the 9th Electronics Packaging Technology Conference (EPTC 2007).

Grit Sommer received the Ph.D. degree in electrical engineering from the Technical University of Berlin, Berlin, Germany, in 2003. She was with Fraunhofer Institute IZM (Institute for Reliability and Microintegration), Berlin, Germany, from 1996 to 2005. She established and headed the group on RF modeling and simulation. She was responsible for RF characterization considering simulation and measurement and modeling of packages and systems. Since 2005, she has been with Infineon Technologies, Munich, where she is heading the Department of Package Design and Simulation. She is the author or coauthor of more than 50 papers in the areas of circuit designs, packaging, and interconnect technologies. Her current research interests include radio-frequency characterization and modeling of packaging and assembly techniques, package design optimization considering thermal, mechanical, reliability, electrical performance, and virtual prototyping.

2219

Robert Weigel (F’02) was born in Ebermannstadt, Germany, in 1956. He received the Dr.-Ing. and Dr.-Ing.habil. degrees from the Munich University of Technology in Germany, in 1989 and 1992, respectively, both in electrical engineering and computer science. From 1982 to 1988, he was a Research Engineer, from 1988 to 1994 a Senior Research Engineer, and from 1994 to 1996 a Professor for RF Circuits and Systems with the Munich University of Technology. During 1994 to 1995, he was a Guest Professor with SAW Technology, Vienna University of Technology, Vienna, Austria. From 1996 to 2002, he was Director of the Institute for Communications and Information Engineering, University of Linz, Linz, Austria. In August 1999, he co-founded DICE- Danube Integrated Circuit Engineering, Linz, meanwhile split into an Infineon Technologies and an Intel company which are devoted to the design of RFICs. In 2000, he was appointed a Professor for RF Engineering with Tongji University, Shanghai, China. Also in 2000, he co-founded the Linz Center of Competence in Mechatronics. Since 2002, he has been Head of the Institute for Electronics Engineering, University of Erlangen-Nuremberg. In 2009, he co-founded eesy-id, a company which is engaged with the design of medical electronic circuits and systems. He has been engaged in research and development of microwave theory and techniques, SAW technology, integrated optics, high-temperature superconductivity, digital and microwave communication and sensing systems, and automotive EMC. In these fields, he has published more than 750 papers and given about 300 international presentations. His review work includes international projects and journals. Dr. Weigel serves on various editorial boards such as that of the PROCEEDINGS OF THE IEEE, and he has been editor of the Proceedings of the European Microwave Association (EuMA). He has been member of numerous conference steering and technical program committees. Currently he serves on several company and organization advisory boards in Europe and Asia. He is an elected scientific advisor of the German Research Foundation DFG. Within the IEEE Microwave Theory and Techniques Society (MTT-S), he has been Chair of the Austrian COM/MTT Joint Chapter, Region 8 Coordinator and, during 2001 to 2003 Distinguished Microwave Lecturer, and currently he is an AdCom Member and Chair of MTT-2 Microwave Acoustics. In 2002, he received the German ITG Award and in 2007 the IEEE Microwave Applications Award.

2220

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Multimode TRL Calibration Technique for Characterization of Differential Devices Maciej Wojnowski, Member, IEEE, Vadim Issakov, Member, IEEE, Grit Sommer, and Robert Weigel, Fellow, IEEE

Abstract—In this paper, a new comprehensive analytical derivation and discussion of the multimode thru-relfect-line (TRL) calibration based on the new generalized reverse cascade matrices is presented. The advantage of the presented formulation is that it can account for certain symmetries in the measurement setup and reflect them in the symmetry of the derived relationships. The focus is on the two-mode case since this covers the majority of the practical applications. To demonstrate the effectiveness of the new formulation, the practical use of the multimode TRL calibration technique for de-embedding purposes is discussed. The common de-embedding assumptions such as reciprocity and symmetry are analyzed and their consequences on the multimode TRL calibration are discussed. It is shown that these assumptions applied to the embedding networks can reduce the requirements on the reflect standard. The use of the multimode TRL calibration technique for un-terminating purposes is discussed. It is demonstrated that in the special de-embedding case it is possible to completely characterize the partially leaky embedding networks. The problems of interpretation and re-normalization of the measured scattering parameters are also discussed. Finally, the on-wafer measurement results are presented that verify the multimode TRL approach for four-port vector network analyzer calibration and de-embedding of differential devices. Index Terms—Calibration, microwave measurements, multiconductor transmission lines, multimode waveguides, scattering parameters.

I. INTRODUCTION

D

IFFERENTIAL signaling is very common in high-frequency integrated circuits (ICs) due to superior noise immunity, better spurious response, decreased second-order nonlinearity, and improved stability [1]. Moreover, differential structures are less sensitive to return current paths, have better common-mode noise immunity, and often show lower crosstalk. As a consequence, modern high-speed designs include a large number of differential devices. The ability to accurately electrically characterize both pure-mode (differential mode and common mode) and mode-conversion parameters of these devices is essential in achieving the desired electrical performance of the packaged ICs. Manuscript received July 16, 2011; revised March 01, 2012; accepted March 13, 2012. Date of publication May 04, 2012; date of current version June 26, 2012. M. Wojnowski and G. Sommer are with Infineon Technologies AG, 85579 Neubiberg, Germany (e-mail: [email protected]; [email protected]). V. Issakov is with IMEC, 3001 Leuven, Belgium (e-mail: [email protected]). R. Weigel is with the Institute for Electronics Engineering, University of Erlangen–Nuremberg, 91058 Erlangen, Germany (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2193136

Fig. 1. Photograph of the four-port differential device.

Differential devices are usually characterized by means of on-wafer vector network analyzer (VNA) scattering parameter ( -parameter) measurements. Using the traditional four-port vector network analyzer (FPVNA), the mixed-mode measurements are performed in single-ended (single mode) configuration with reference planes and impedances well defined by means of standard four-port off-wafer calibration. The measured single-ended (nodal) -parameters are then converted into the mixed-mode (modal) -parameters using the similarity transformation [2]. Alternatively, it is possible to measure the mixed-mode -parameters directly using a pure-mode vector network analyzer (PMVNA) [3]. The PMVNA can stimulate and measure the differential-mode and common-mode signals directly. In practice, it is often not possible to set the measurement reference planes directly at the measured device. Thus, de-embedding techniques have to be applied to remove the impact of any error network between the calibration reference plane and the measured device. Fig. 1 illustrates a typical high-frequency differential device. It is important to realize that an accurate measurement of this device at the reference planes exactly as shown in Fig. 1 is not feasible using any of the popular two-port or four-port calibration techniques based on 12-term or eight-term error models, such as short-open-load-thru (SOLT), short-open-load-reciprocal (SOLR), or line-reflect-reflect-line (LRRM). The reason for that is the large coupling between the adjacent signal lines. The use of extraction techniques based on the lumped-element modeling of the launch structures (e.g., open-short, pad-open-short, or thru) is also limited due to the high operating frequency of the measured devices [4]. An alternative solution is the use of calibration procedures based on leaky

0018-9480/$31.00 © 2012 IEEE

WOJNOWSKI et al.: MULTIMODE TRL CALIBRATION TECHNIQUE FOR CHARACTERIZATION OF DIFFERENTIAL DEVICES

error models (16-term model for two-port calibration), where the crosstalk among all ports is taken into account [5]–[7]. Although the leaky error model can correctly account for all leakage paths within on-wafer multifinger probes or multiconductor mixed-mode feeding structures, it requires a fixed crosstalk between all possible measurement channels. The latter cannot be met unless the positions of the probes remain fixed during calibration and subsequent measurements. This strongly limits the range of applications. Moreover, the use of calibration procedures based on leaky error models is limited by a large number of standards (at least five for 16-term model) required for calibration. A promising solution for differential device characterizations is the multimode thru-reflect-line (TRL) calibration technique. It has been introduced in [8] as a generalization of the standard TRL technique to multimode waveguides. In the partially leaky error model used in the multimode TRL, the error terms are grouped into two leaky halves with the same number of ports. This partially leaky error model enables, for example, the use of leaky multifinger probes or locally leaky on-wafer multiconductor launch structures in the measurements, without the limitations due to the fixed coupling in between. In contrast to other calibration techniques based on partially leaky error model, e.g., [9], the calibration standards used in the multimode TRL are simple transmission lines of different lengths and are easy to fabricate. This makes the multimode TRL particularly interesting for low-cost technologies, where the precise repeatable load calibration standards cannot be realized. Despite the numerous advantages of the multimode TRL, the number of papers referring to this technique is limited to about ten positions written over last 12 years [10]–[17]. The reason for this can be that the mathematical formulation presented in the original paper [8] is limited to only a few most important equations, and hence, maybe unclear for the reader at first sight. Therefore, in this paper, a comprehensive analytical derivation and discussion of the multimode TRL calibration algorithm is given. It creates the mathematical background needed to understand and exploit the potential of this powerful and elegant calibration technique. In contrast to the original paper [8], we use the new generalized reverse cascade matrix formulation. The advantage of the formulation using reverse cascade matrix over traditional formulation is that it can account for certain symmetries in the measurement setup and reflect them in the symmetry of the derived relationships. Unlike [8], the presented formulation enables both zero- and nonzero-length thru standards. Compared to the original paper, the focus is on the two-mode case since this covers the majority of the practical applications. However, the formulation can be easily extended for networks with higher number of modes. The effectiveness of the new formulation becomes visible in presence of certain symmetries in the measurement setup. Such symmetries are extremely rare in the calibration case, but are very common in the de-embedding case. Therefore, the practical use of the multimode TRL calibration technique for de-embedding purposes is discussed. A deep understanding of the four-port de-embedding is essential for practical differential measurements. The presented analysis considerably extends the results reported in [18].

2221

This paper is organized as follows. Section II gives a comprehensive analytical derivation of the multimode TRL calibration for the two-mode case based on the generalized scattering and cascade matrices. In Section III, the common de-embedding assumptions such as reciprocity and symmetry are analyzed and their consequences on the multimode TRL calibration are discussed. Section IV discusses the use of the multimode TRL technique for un-terminating purposes. In Section V, the problems of interpretation and re-normalization of the -parameters measured by the multimode TRL calibration are considered. Section VI summarizes the results and discusses selected aspects relevant for practical differential measurements. Finally, Section VII demonstrates measurement results that verify the multimode TRL approach for FPVNA calibration and de-embedding. In addition, Appendix A presents the generalized scattering and cascade matrices and reviews their most important properties. Appendix B introduces the reverse cascade matrix concept for two-port networks and extends it to -port transfer networks. The matrix formulations presented in Appendices A and B form a basis for the mathematical formulation of the multimode TRL calibration presented in this paper. II. MULTIMODE TRL FOR FPVNA CALIBRATION An FPVNA, in which the switching terms and isolation errors are either negligible or have been correctly accounted for, measures the product of three matrices (1) where is the generalized cascade matrix of the measured device-under-test (DUT) and and are nonsingular generalized cascade matrices that describe the embedding networks.1 The matrix (2) represents the generalized reverse cascade matrix corresponding to the generalized cascade matrix , and denotes the 2 2 identity matrix. The left-to-right reverse cascade matrix represents the mirrored (i.e., connected right to left) originally left-to-right cascade matrix .2 The matrices and correspond to the networks used to realize the connection between the on-wafer reference plane and the VNA data collection plane. This is illustrated schematically in Fig. 2. In general, and can include the on-wafer transitions, microwave probes, coaxial cables, and connectors, as well as some part of the VNA hardware. The problem of VNA calibration is to determine and by insertion and measurement of fully or partially known calibration standards . Like the traditional single-mode TRL calibration [19], [20], the multimode TRL uses two transmission lines of different lengths, as well as two identical reflect standards. The line standard of shorter length is designated as thru and the longer as line. It is assumed that the calibration lines support two dominant quasi-TEM modes of propagation, 1For

generalized scattering and cascade matrices, see Appendix A.

2For

generalized reverse cascade matrix formulation, see Appendix B.

2222

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 2. FPVNA provides a measurement of the product of three generalized is the matrix of the measured device and and cascade matrices, where describe the embedding networks.

commonly called the and modes. In case of symmetric calibration lines, they reduce to the odd (differential) and even (common) modes, respectively. The reflect standard should be highly reflecting and must be known only approximately (phase estimation). Once the matrices and are determined, the generalized cascade matrix of the measured device can be calculated using the inverse relationship

(3) The multimode TRL calibration procedure can be divided into three main parts. The first part focuses on the determination of complex propagation constants of both propagating modes based on the measurements of thru and line standards. The second part partially determines the matrices and . The third part uses the reflect standard to reduce the number of unknowns in the determined matrices and . The following sections provide a detailed derivation of the calibration procedure. Numerous introduced Observations provide additional comments at relevant stages of the algorithm.

Fig. 3. Block diagram of the: (a) thru, (b) line, and (c) reflect measurements.

where the generalized cascade matrix of the line standard is partially known

(7)

A. Eigenvalues and Propagation Constant Determination The measurement of the thru standard, as shown in Fig. 3(a), gives

and is the known length of the line standard. From (6), one has , which multiplied by from (4) gives

(4)

(8)

where the generalized cascade matrix of the thru standard is partially known

At this point, two 4

4 matrices

and

are introduced

(9) (5)

The parameters and are the unknown complex propagation constants of the multimode line and is the known length of the thru standard. If a zero-length thru standard is used, the matrix in (5) reduces to a 4 4 identity matrix. Similarly, the measurement of the line standard, as shown in Fig. 3(b), gives

(6)

(10) The matrix is partially known from the definitions of the thru and line standards

(11)

where is the difference in lengths of the thru and line standards. The matrix is fully known from the measure-

WOJNOWSKI et al.: MULTIMODE TRL CALIBRATION TECHNIQUE FOR CHARACTERIZATION OF DIFFERENTIAL DEVICES

ments. Equation (8) means that the matrices by a similarity transformation

and

are related

(12)

2223

This is equivalent to the requirement that the propagation constants of the propagating modes must be different in the frequency range of interest. Using (13), the eigenvalue decomposition of the matrices and can be written as (15)

Thus, and are similar matrices. Similar matrices have several invariances, i.e., equal ranks, traces, determinants, and eigenvalues. Since eigenvalues are similarity invariant, one has

(16) where

(13) where is the eigenvalue operator. Since is a diagonal matrix with and on the diagonal, its four eigenvalues are simply the diagonal entries. Thus, by calculating the eigenvalues of the measured matrix , it is possible to determine the complex propagation constants (14) The four eigenvalues correspond to two propagating modes, each in a forward and backward direction. Since the propagation constants in opposite direction are identical, the propagation constants determined from (14) form two pairs of opposite sign. 1) Observation 1: The described method for complex propagation constant determination can be considered independently from the multimode TRL calibration.3 It enables very accurate measurement of complex propagation constants of any transmission line supporting two modes of propagation. The method works for symmetrical, as well as for asymmetrical transmission lines. The use of two lines of different lengths eliminates the effects of launch discontinuities, independently of the amount of mismatch they introduce. In fact, the method does not even require any initial (first-tier) off-wafer calibration, as long as the switching terms and isolation errors of the VNA are either negligible or have been accounted for. The only requirement is that (1) holds for two consecutive measurements. The latter requirement cannot be, in general, guaranteed due the presence of random errors such as contact repeatability, manufacturing tolerances in calibration standards, or measurement system noise. However, as demonstrated in [20], for the two-port TRL, the susceptibility of the complex propagation constant determination to random errors is inversely proportional to the attenuation constant of the line and the difference in line lengths . Thus, the random errors can be minimized simply by increasing . B. Eigenvectors and Error Network Determination Once the eigenvalues have been calculated, they have to be assigned to the corresponding modes (common/differential in case of symmetric calibration lines) and their propagation direction (forward/backward). The correct assignment is absolutely critical for the following steps of the algorithm. In the following description, we assume that there are no repeated eigenvalues.

(17) denotes the diagonal matrix of eigenvalues. The columns of the transforming matrices and are the eigenvectors of and , respectively. Once the eigenvalues are identified, they and their corresponding eigenvectors and must be correctly ordered. The order has to comply with the convention assumed for the generalized scattering and cascade parameters. In case of symmetric calibration lines and using the convention used in Appendix A, it means that the eigenvalues and the eigenvectors with indices 1 and 2 must correspond to the forward differential and common modes, respectively, and with indices 3 and 4 must correspond to the backward differential and common modes, respectively. The eigenvalue decompositions defined by (15) and (16) can determine the eigenvectors and only to within an arbitrary constant. If is an eigenvector of and is the associated eigenvalue, then any scalar multiple is also an eigenvector of with the same eigenvalue. Thus, the eigenvectors and can be, in general, expressed as (18) (19) and , are known where the eigenvectors from the eigenvalue decompositions given by (15) and (16), and and are some unknown complex constants. Equations (18) and (19) can be formulated in a compact matrix form (20) (21) Eliminating

in (15) and (16) gives (22)

which compared with (12) allows one to express the generalized cascade matrix as (23) Using (20) and (21), the latter can be written as (24) where

3An

alternative method for complex propagation constant determination of coupled transmission lines based on invariance of the determinants of the mais presented in [21] and [22]. trices and

(25)

2224

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

is the 4 4 diagonal matrix containing four unknown complex constants , and

or explicitly as follows in (33):

(26) are two 2 2 diagonal submatrices of the matrix . Since the matrix is diagonal, the matrix also must be diagonal.4 One possible solution for is a 4 4 identity matrix. Thus, (24) modifies to (27) where . From the measurement of the thru standard given by (4), one has , thus (28) which combined with (27) allows one to express the generalized cascade matrix as (29) . In (28) and (29), the properties of the where reverse cascade matrix summarized in Appendix B have been used. In the last step of (29), the order of multiplication of and can be exchanged as both matrices are diagonal. The results up to this point can be summarized as follows:

(33)

The multiplication of the matrices on the right-hand side gives (34) as follows:

(34)

(30) where and . The matrix in can be calculated from its definition given by (5) using the known line length and the propagation constants determined using (14). Thus, the matrices and are fully known from the measurements of the thru and line standards and the matrices and are unknown diagonal matrices. From now on, we denote with subscript 0 all variables that describe the quantities that are fully known, either by definition or can be determined from the measurements of the thru, line, or reflect standards. Equation (30) hold for every and error networks, independently whether they are reciprocal, passive, or symmetrical. 1) Observation 2: At this point, an interesting observation must be made. Using (30), the formula for correction given by (3) can be written as (31) where the matrices and represent the measured (known) and embedded (unknown) generalized cascade matrices of the DUT, respectively. Combining all known terms together as , (31) can be expressed as (32) 4Left-hand

multiplying (15) by and comparing the resulting matrices on for and both sides leads to the condition . Since the eigenvalues are assumed to be different, i.e., , the . latter can be satisfied only if

which allows one to express the unknown terms as multiplied by , where . The latter can be written in the following form:

(35)

where the division on the left-hand side denotes the element-byelement division of the matrix entries.5 It is clear that the multiplication by the matrices in (32) does not affect the diagonal terms of the matrix (the diagonal coefficients cancel out). Transforming the generalized cascade matrices and into the generalized scattering matrices, the ratios between the individual entries of the resulting generalized scattering matrices can be expressed as

(36)

5It must be stressed that the division of the matrices on the left-hand side of (35) does not represent any known mathematical operation. It denotes the element-by-element division of the matrix entries. The resulting unitless matrix on the right-hand side visualizes the differences between the individual entires and . of the matrices

2225

WOJNOWSKI et al.: MULTIMODE TRL CALIBRATION TECHNIQUE FOR CHARACTERIZATION OF DIFFERENTIAL DEVICES

where the division on the left-hand side again denotes the element-by-element division of the matrix entries. Thus, the measurement of the thru and line standards is sufficient to completely determine the diagonal terms of the generalized cascade matrix of the DUT. Equivalently, it is sufficient to completely determine the pure-mode transmission parameters of the generalized scattering matrix of the DUT. In case of symmetric calibration lines, the measurement of the thru and line standards leads to the determination of the parameters , , , and of the DUT. For a matched transmission line of length , one has by definition and and all other -parameters are zero. Thus, the partially calibrated with (36) measurement of the calibration line allows one to calculate the propagation constants and . This confirms the conclusion of Observation 1 that the measurement of the thru and line standards is sufficient to determine the propagation constants of the calibration lines. Since no assumption has been made about the and error networks, the results given by (35) and (36) are generally valid.

where are the four submatrices of the matrix . Combining (39) and (40) with (41) gives (42) (43) where the matrices

and

are given by (44) (45)

and can be calculated based on the measurements of the calibration standards. Since the reflect standard is identical for both ports, one has . The latter can be written as (46) where the 2

2 matrix

is defined as (47)

C. Reflect Standard The next steps of the algorithm focus on the determination or elimination of the unknown coefficients in the matrix . To this end, a nontransmitting reflect standard is introduced. Similar to the traditional TRL, the reflection parameters of the reflect standard must be identical for both ports and must be known only approximately (phase estimation). Since the thru and line standards are perfectly matched, the reflect standard should be highly reflecting. However, in contrast to the traditional twoport TRL, the reflect standard is a two-port structure and the latter requirements refer to all four of its modal -parameters. The measurement of the reflect standard, as shown in Fig. 3(c), results in two equations (see Appendix A)

Using (26), the diagonal entries of the matrix can be expressed as and . Expanding (46) leads to (48) as follows:

(48) Comparing the diagonal terms on both sides, one has and , which allows one to determine and (49)

(37) (38) where is identical for both ports and approximately known 2 2 reflection matrix of the one-port reflect standard and and are the measured 2 2 reflection matrices. As a result of the used reverse cascade formulation, (37) and (38) are symmetrical with respect to submatrices and , unlike the asymmetrical formulation in [8]. In the following description, we assume that all four entries of the matrix are nonzero and that their phase estimations are given. From (37) and (38), the scattering matrix of the reflect standard can be expressed as

Comparing the off-diagonal terms on both sides, one has and , which results in two additional relationships (50)

Expressing matrix in (30) as four submatrices and multiplying it by leads to

Equation (49) provide and to within a sign. However, (50) can be used to eliminate one of the two sign ambiguities. The results of (49) can be expressed as and , where and are the known either positive or negative solutions of (49) and and are unrelated and unknown. From (50), one has an additional equation , where is one of the two known fractions on the right-hand side. Using and determined from (49), the latter can be written as , which allows one to express as . In the last step, the property of the sign function , , has been used. Finally, one has and the matrix can be written as

(41)

(51)

(39) (40)

2226

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

The matrix is known from the measurements of the thru, line, and reflect calibration standards. Since , it means that the number of unknowns in the matrix has been reduced from four to three: , , and a 1-D sign ambiguity .6 Using this result, the matrix can be expressed as a multiplication of two matrices

symmetric calibration lines, the measurement of the symmetrical reflect standard leads to the determination of the parameters , , , and of the DUT. Thus, the procedure described up to now allows one to completely determine two 2 2 pure-mode submatrices (57)

(52) is fully known and the second matrix where the first matrix contains three unknown terms: two complex constants and and a 1-D sign ambiguity . 1) Observation 3: The reduction of unknowns in the matrix from four to three has a measurable impact on calibration. Considering (52), the formula for error correction given by (3) can be written as (53) where . Thus, the known part of the matrix has been included in the matrix . Repeating the steps given by (31)–(36) with the matrix defined as follows in (54):

of the scattering matrix. In practice, these are often the parameters that one is most interested in. Moreover, if the DUT is symmetrical with respect to the horizontal axis (direction of propagation), a property that is often found in practice, the mode-conversion -parameters are assumed zero. In such cases, the calibration can be stopped at this point. Otherwise, the remaining mode-conversion (cross mode) entries of the scattering matrix remain unknown since they are determined to within an unknown constant . For example, and . Multiplying by allows one to cancel out the unknown constants . If the DUT is a reciprocal device, i.e., , one has , and thus, . This allows one to determine and to within a sign (58)

(54)

leads to the following coefficient matrix:

The described procedure can be applied to all mode-conversion parameters in (56). Thus, under reciprocity assumption of the DUT, it is possible to determine the magnitude of all eight modeconversion parameters. Since no assumption has been made about the error networks and , the results given by (55) and (56) are generally valid. The result given by (58) is valid only if the DUT is a reciprocal device. D. Reflect Standard and Reciprocity

(55)

To remove further unknowns in the matrix , an additional assumption regarding the reflect standard is made. It is required that the reflect standard is reciprocal, i.e., (59)

for the generalized cascade matrix and

(56)

In practice, this requirement imposes almost no restriction on the selection of the reflect standard. The reflect standards are commonly realized using open, short, or other simple passive components and (59) is automatically satisfied. Applying (59) to (44) gives (60)

for the generalized scattering matrix. Comparing this result with (36) shows that the measurement of the symmetrical reflect standard allows one additionally to determine the pure-mode reflection parameters to within a sign. However, this sign ambiguity can be removed by measuring the reflect standard, for which the reflection coefficients are approximately known. In case of 6In fact, it is possible to resolve both sign ambiguities at this point using the phase estimation of the reflect standard. This alternative solution is discussed in [16] and [17].

which combined with (51) leads to

from (47) and (61)

After some matrix manipulations, the following relationship between the reflection matrices and is obtained: (62)

2227

WOJNOWSKI et al.: MULTIMODE TRL CALIBRATION TECHNIQUE FOR CHARACTERIZATION OF DIFFERENTIAL DEVICES

It is straightforward to demonstrate that the same relationship holds for the reflection matrices and

1) Observation 4: When repeating the steps given by (31)–(36) with the matrix , defined as (72),

(63) Equation (62) and (63) can be written as (64) (65) where the matrices

and

(72)

are given by (66) (67)

and are known from the measurements. Expanding (64) gives (68) as follows:

it can be shown that the generalized cascade matrix of the DUT corresponds to the actual cascade matrix, except for a 2-D sign ambiguity

(73)

(68)

The corresponding generalized scattering matrix of the DUT has the following structure:

(74)

Comparing the off-diagonal terms results in two relationships between and (69) and can be determined one from Thus, the unknowns another to within a sign. Taking the square root of the second , where equation gives is known. The latter can be expressed as , where and represent two unrelated sign ambiguities. Thus, , where . In the last step, the property of the sign function , , has been used. Finally, the matrix can be written as (70) is fully known and the second matrix where the first matrix contains two unknown terms: and a 1-D sign ambiguity . Combining (52) and (70), the matrix can be expressed in the following form: (71) where the first matrix is fully known and the second matrix contains three unknown terms: one complex constant and two sign ambiguities and . Thus, the reciprocity assumption applied to the reflect standard allows one to replace one unknown constant in (52) with a sign ambiguity.

Since the generalized scattering matrix of the reflect standard is by definition nontransmitting, symmetrical, and reciprocal, i.e.,

(75)

the measurement of the one-port reflect standard provides (76) Thus, by comparing the signs first of the diagonal and then of the off-diagonal parameters with the given estimates, the remaining sign ambiguities can be removed. This ends the multimode TRL calibration. III. MULTIMODE TRL FOR DE-EMBEDDING DIFFERENTIAL DEVICES

OF

During the VNA calibration, the nonidealities of the measurement system are quantified using the error networks and , as shown in Fig. 2. In the calibration case, in addition to on-wafer transitions, microwave probes, coaxial cables and connectors, and the networks and also include detectors, mixers, and A/D converters inside the VNA. Thus, the error networks and represent mathematical abstracts (models) and are not related to actual four-port networks. As a consequence, the properties

2228

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

of reciprocity, passivity, and symmetry, which are normally attributed to real networks, are not applicable to the and networks in the general calibration case. In the special de-embedding case, instead, the initial (firsttier) VNA calibration is done and the error networks and are directly related to physically existing four-port embedding networks. Since the embedding networks are normally passive and include no ferrites, the assumption of reciprocity can be usually made. Moreover, the four-port embedding networks used in practice frequently exhibit symmetry about a certain axis. Typically, the symmetry about the horizontal axis (along the direction of signal propagation) is used. The differential transitions are also often designed to be identical, i.e., the right error network is a mirrored version of the left error network . In this section, the impact of these three common de-embedding assumptions on the multimode TRL formulation is discussed: • reciprocal error networks; • identical error networks; • symmetrical error networks. A. Reciprocal Error Networks The generalized cascade parameters of the error networks and provided by the multiline TRL calibration have the following structure: (77) with the matrix

given by (78)

in (77) as four submatrices Expressing matrix , and multiplying it by the matrix , one gets

. Combining (79) and (83) and using some matrix manipulations gives (84) Thus, the reciprocity assumption provides an additional relationship between the matrices and (85) The right-hand side of (85) is fully known from the measurement of the thru and line standards. Since the matrix on the left-hand side is diagonal with and on the diagonal, the matrix on the right-hand side must also be diagonal.7 By comparing the diagonal terms, two additional equations are obtained (86) where the complex constants remaining two complex constants from (86) to within a sign

and

are known.8 The can be determined

(87) Thus, the reciprocity assumption applied to embedding networks and reduces the number of unknowns in the matrix to two sign ambiguities and . As will be shown later, this result allows one to reduce the requirements on the reflect standard and enables the characterization of the error networks and . The results given by (87) have already been reported in [16]. 1) Observation 5: Based on (87), one can write and , where and are the known either positive or negative solutions of (87) and and are two unrelated and unknown sign ambiguities. Using this result, the matrix can be expressed as follows in (88):

(79) The generalized scattering matrix corresponding to the generalized cascade matrix is given by (see Appendix A) (80) represent the four submatrices of the where matrix . The reciprocity assumption applied to the left-hand side of (80) is equivalent to three conditions , , and , which expressed in terms of the generalized cascade matrix gives (81) (82) (83) The third equation can be interpreted as a generalized version of the well-known reciprocity condition for two-port networks

(88)

is fully known and the second matrix where the first matrix contains two sign ambiguities and . Repeating the steps given by (31)–(36) with the matrix , defined as in (88), allows 7In practice, due to the inaccuracies in the measurement system and the limited numerical precision, the off-diagonal terms in the right-hand side of (85) will be nonzero. Since the off-diagonal terms must be as small as possible, their value can be used to assess the measurement accuracy. If the off-diagonal terms are small compared to the diagonal terms, they can be simply neglected. Otherwise, the consistency of the measurement setup must be examined. A large nonzero off-diagonal terms can be caused, for example, by the incorrectly performed first-tier calibration. 8The explicit form for and is too long to be reported here. However, and can be easily evaluated numerically from (85).

WOJNOWSKI et al.: MULTIMODE TRL CALIBRATION TECHNIQUE FOR CHARACTERIZATION OF DIFFERENTIAL DEVICES

one to write the generalized cascade matrix form:

2229

in the following

(89)

of the DUT correThus, the generalized cascade matrix sponds to the actual cascade matrix except for a 1-D sign ambiguity . The corresponding generalized scattering matrix of the DUT has an identical structure

(90)

In the derivation of (89) and (90), the property of the sign func, has been used. The remaining tion sign ambiguity can be removed by comparing the off-diagonal terms of the de-embedded reflect standard with an available estimate. Although the reciprocity assumption does not eliminate the problem of the reflect standard (i.e., both diagonal, as well as off-diagonal terms must be nonzero), it reduces the role of the off-diagonal terms to the phase estimation only. In the special de-embedding case, the off-diagonal terms of the reflect standard are used to resolve the final sign ambiguity only and not for the computation of the calibration coefficients, as it takes place in the calibration case. If two symmetrical reflect standards are used, this eliminates the repeatability problem related to the multiple reflect standards. B. Identical Error Networks

Fig. 4. Thru connection of two identical transitions.

where and represent the four submatrices of the matrices and , respectively. Multiplying the matrices on the right-hand side gives (94) Comparing the submatrices on both sides results in four equations for 9 (95) (96)

In practice, differential transitions are often designed to be identical, i.e., the thru connection is symmetrical with respect to the vertical axis (perpendicular to the direction of signal propagation). It means that the right error network is a mirrored version of the left error network . As an example of identical error networks, an on-wafer transition conducting the differential signals to the on-wafer DUT is shown in Fig. 4. For identical error networks , as a result of the reverse cascade formulation used. Substituting (77) into gives

Thus, the assumption about identical error networks provides the information normally gained from the measurement of the reflect standard. However, in contrast to determined from the reflect standard to within a sign, the matrix calculated based on (95) and (96) is unique. 1) Observation 6: Assuming, for example, from (96) and repeating the steps given by (31)–(36) with the matrix defined as

(91) A right-hand multiplication of (91) by press the matrix in the following form:

allows one to ex-

(92) Thus, the assumption about identical error networks provides an additional equation relating and . Expressing and in (92) as four submatrices leads to (93)

(97)

9Similar to (85), due to the limited contact repeatability and the manufacturing tolerances in the calibration standards, the off-diagonal terms in (95) and (96) will be nonzero. Additionally, the redundancy of (95) and (96) creates a problem of the best choice. Nonnegligible off-diagonal terms or large differences within (95) and (96) indicate problems in the measurement setup. In such must be examined. a case, the applicability of the assumption

2230

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

where the first matrix is fully known and the second matrix contains two unknown complex constants and , it can be shown that the generalized cascade matrix of the DUT determined with given by (97) has the following form:

(98)

The corresponding generalized scattering matrix has identical structure

(99)

The conclusions are identical to those formulated in Observation 3, except for the sign ambiguity . Thus, under the assumption about identical error networks, the measurement of the thru and line standards only is sufficient to completely determine two 2 2 pure-mode submatrices of the DUT. In case of symmetric calibration lines, it leads to the determination of the submatrices and . Since no assumption has been made about the reciprocity, the results given by (98) and (99) are valid for reciprocal, as well as nonreciprocal error networks and . Assuming additionally the reciprocity of the error networks, (87) can be used to determine the unknown terms and to within a sign. However, since the terms and are calculated based on (95) and (96) and not based on the measurement of the reflect standard, the role of the reflect standard reduces to resolve the final sign ambiguity in (90) only. In particular, neither diagonal, nor off-diagonal terms of the reflect standard are used for the computation of the calibration coefficients. C. Symmetrical Error Networks Another type of symmetry often found in practice is the symmetry about the horizontal axis (along the direction of signal propagation). This type of symmetry is illustrated in Fig. 5. The differential transitions shown in Fig. 4 exhibit this type of symmetry as well, in addition to being identical. A four-port transition having a plane of symmetry, as shown in Fig. 5, is fully characterized by considering the even-mode and odd-mode two-port half-sections of the original network. The 4 4 nodal scattering matrix of the transition

(100)

which, in general, is nonreciprocal, can be calculated from the two 2 2 even-mode and odd-mode scattering matrices using the following relationships expressed by (101)–(104) [23]:

(101)

Fig. 5. Differential transitions symmetrical with respect to the horizontal axis (along the direction of signal propagation).

(102) (103) (104) The even and odd matrices (105) are obtained by considering only half of the transition with magnetic (even) and electric (odd) walls at the plane of symmetry, respectively. This is illustrated schematically in Fig. 6. Using (101)–(104), the nodal scattering matrix of the transition simplifies to the following form:

(106)

Thus, the symmetry assumption reduces the number of unknown -parameters from 16 in (100) to eight in (106). Using the similarity transformation (107) where the matrix

is defined as follows: (108)

2231

WOJNOWSKI et al.: MULTIMODE TRL CALIBRATION TECHNIQUE FOR CHARACTERIZATION OF DIFFERENTIAL DEVICES

1) Observation 7: In the above discussion, the reciprocity of the error networks and has not been assumed. Assuming additionally the reciprocity of the error networks, it can be shown that (85) reduces to (112) (113) which allows one to express the terms

Fig. 6. Four-port network with a plane of symmetry and its even-mode and odd-mode two-port half-sections (a) Four-port. (b) Two-port half-sections.

the nodal scattering matrix can be transformed into the modal scattering matrix [3]. It can be shown that the modal scattering matrix corresponding to (106) has the following form:10

(109)

Thus, for symmetrical networks the mode-conversion (crossmode) parameters are zero and the pure-mode parameters corresponding to different modes are separated. In case of symmetric calibration lines, it means that the differential parameters are separated from the common-mode parameters. By transforming the generalized scattering matrix given by (109) into the generalized cascade matrix, one obtains

(110)

Thus, the generalized scattering matrix preserves its form upon conversion into the generalized cascade matrix. As a consequence, in case of symmetrical error networks, the generalized cascade matrices and have the forms given by (111) as follows:

and

as

(114) (115) Equation (114) and (115) are identical to those developed in [24] for the traditional two-port TRL. This indicates a connection between the multimode TRL and the traditional single-mode TRL. In fact, as will be shown later, the diagonal character of the submatrices in and reduces the multimode TRL calibration to two independent TRL calibrations. The consequences of the diagonal character of the submatrices in (111) and the connection between the multimode TRL and the single-mode TRL are discussed in detail later in this paper. IV. DETERMINATION OF ERROR NETWORK PARAMETERS The above discussion was focused on the application of the multimode TRL calibration technique to remove the effects of the differential transitions. However, equally important as the de-embedding of the DUT is the characterization of the transition itself, i.e., the use of the multimode TRL for un-terminating purposes.11 In this section, the use of the multimode TRL calibration technique for un-terminating purposes is discussed. Combining (79) and (80), it is possible to express the submatrix corresponding to the generalized cascade matrix as follows: (116) Thus, the multimode TRL allows one to completely determine of the error network . The same procedure the submatrix applied to the submatrix gives (117)

(111)

Obviously, the matrices and maintain the same form upon conversion back into the generalized scattering matrices. 10The result given by (109) can be derived directly from the definition of the even-mode and odd-mode matrices in (105).

coefficients in It can be shown that due to canceling of the (117), only the off-diagonal terms of the submatrix are affected by the unknown terms and . The same procedure applied to the submatrices and leads to (118) (119) 11Un-terminating is a general technique of deducing network parameters from measurements made only at the input of the unknown network [25].

2232

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Thus, the submatrices and depend linearly on the matrices and , respectively, and remain unknown unless the matrix is known. However, it is interesting to see that the product of both submatrices (120) . In the above derivation, the does not depend on the matrix assumption about the reciprocity of the error network has not been used. Thus, the results given by (116)–(120) hold for a reciprocal, as well as a nonreciprocal embedding network . In the general calibration case, the matrix can be determined, except for one complex constant .12 However, it is not necessary to know to perform de-embedding since its value cancels out in the final de-embedding formula. Thus, in the calibration case and the submatrices , , as well as the product can be determined. In the special de-embedding case, instead, the reciprocity assumption allows one to completely determine the matrix . As a consequence, it is possible to separate the product and determine the matrices and . V. REFERENCE PLANE AND COMPLEX IMPEDANCE TRANSFORMATIONS The default positions of the reference planes after the multimode TRL calibration are the internal reference planes of the error networks. However, since the multimode TRL calibration measures the complex propagation constants of the transmission line standards, the calibration reference plane can be translated very accurately to any position along the line. This can be accomplished by multiplying the de-embedded generalized cascade matrix given by (3) (121) where the matrices and are the generalized cascade matrices of the multimode transmission line of length and , respectively. If the transmission line standards are uniform all the way out to the tips of the probes (i.e., there is no taper), the reference plane can be shifted back to the tips of the probes. Thus, a probe-tip multiline TRL calibration can be obtained. Similar to the traditional TRL calibration, the transmission lines used in the multimode TRL are defined to be perfectly matched at the calibration reference planes. As a consequence, the reference impedance of the multimode TRL calibration corresponds to the characteristic impedances and of the two modes of propagation excited in the transmission line used in the calibration (so-called native impedances). This property of the multimode TRL calibration is one of its main advantages since it allows one to measure actual (physical) traveling waves propagating along the transmission lines (so-called native -parameters). The same property makes the traditional two-port TRL calibration the fundamental on-wafer calibration [26]. However, the native -parameters can be interpreted only for waveguides like the transmission line standards used in the calibration. To renormalize the measured -parameters, 12It

is assumed that all sign ambiguities are removed.

the complex characteristic impedances and must be determined. The differential and common modes propagate independently along the transmission line. As a consequence, similarly to the traditional two-port TRL, the characteristic impedances of the two modes of propagation can be determined from the measured propagation constant and the per unit length (p.u.l.) line capacitance as (122) This method assumes that the substrate is a lossless dielectric and that the frequency dependence of is low and can be approximated by its dc value [27]. The capacitance p.u.l. for the differential and common modes can be estimated from electromagnetic (EM) simulations. If the embedding networks exhibit the symmetry about the horizontal axis (along the direction of signal propagation), the modal decomposition reduces the multimode TRL calibration to the two independent TRL calibrations. Thus, the methods based on calibration comparison can be used to determine the characteristic impedances separately for the differential and common modes. In particular, the formula developed in [28] can be used (123) where is the measured complex propagation constant and is the reference plane shift given by (124) are the pure-mode (differential mode and or common mode) -parameters of the embedding network. The impedance represents the reference impedance of the first-tier off-wafer calibration. Once the characteristic impedances and are determined, the native -parameters can be renormalized to any desired reference impedance, such as . It is important that any reference plane shift given by (121) must be done before applying the impedance correction. In the above discussion, it was assumed that the transmission lines used in the calibration are symmetric and that the propagating waves are pure differential and common modes. As a consequence, the relationships between the nodal and modal -parameters, expressed by the matrix in (108), are fixed by the symmetry conditions and were frequency independent. Although the multimode TRL can be applied to asymmetric coupled transmission lines as well, particular attention must be paid. In the general case of asymmetric coupled lines, the individual entries of the matrix depend in detail on the line geometry and the material properties and can show a complex frequency-dependent behavior [29], [30]. VI. SUMMARY AND DISCUSSION The following section summarizes the results and discusses selected aspects relevant for practical differential measurements.

WOJNOWSKI et al.: MULTIMODE TRL CALIBRATION TECHNIQUE FOR CHARACTERIZATION OF DIFFERENTIAL DEVICES

2233

that the multimode TRL calibration will become ill conditioned at these frequencies. B. Repeated Eigenvalues

Fig. 7. Typical distribution of the argument of the measured four eigenvalues , and the estimate of the first eigenvalue.

A. Propagation Constant Determination The most critical step of the multimode TRL calibration is the determination of the propagation constants , and their correct assignment to the calculated eigenvalues of the measured matrix . The difficulties related to this step are twofold. The first problem is related to the numerical calculation of the complex propagation constant. Expanding the complex logarithm in (14) into the real and imaginary parts gives

(125) and are the attenuation and the phase constants where of the transmission line, respectively. In general, the values assumed by are for all integers . However, when evaluating (125) using commonly available routines, only the principal value of the logarithm is delivered, i.e., the value whose imaginary part lies in the interval . As a result, even though the argument is a continuous function of frequency, the resulting will be a sawtooth-wave function. Thus, it is necessary to implement some unwrapping procedure that would convert the sawtooth wave into a continuous phase function. The second problem is related to the assignment of the calculated eigenvalues to the corresponding modes (common/differential in case of symmetric calibration lines) and to the direction of propagation (forward/backward). The assignment can be made using the estimated complex propagation constants. To illustrate the problem related to this, Fig. 7 shows typical distribution of the argument of the measured four eigenvalues. The estimate of the first eigenvalue is also shown. It is clearly visible that as the propagation constants (or eigenvalues) become close to each other, the individual sawtooth curves approach each other and it is more difficult to distinguish between them. Moreover, at frequencies where the individual sawtooth curves cross each other, it is no longer possible to distinguish between the eigenvalues corresponding to different modes. Thus, it is expected

The problem related to the repeated eigenvalues is a second critical issue of the multimode TRL calibration. In the presented derivation of the algorithm, it was assumed that there are no repeated eigenvalues. In fact, the requirement that there are no repeated eigenvalues, or equivalently, that the propagation constants corresponding to different modes must be different, is a fundamental requirement of the multimode TRL calibration. A violation of this requirement invalidates the whole derivation assumption that the matrix in (25) is diagonal. In case of repeated eigenvalues, the 2 2 matrices in (20) and in (21) are no longer diagonal and there are totally eight unknown constants , instead of four. The requirement of distinct propagation constants is difficult to fulfill for typical on-wafer multiconductor transmission lines. This limits the range of practical application of the multimode TRL technique to maximally few modes. In [10] and [11], different techniques are proposed that allows one to overcome the problem of repeated eigenvalues. C. Reflect Standard Similar to the traditional two-port TRL, the reflect standard used in the multimode TRL should be highly reflecting and must be known only approximately (phase estimation). However, in contrast to the traditional two-port TRL, the reflect standard is now a two-port structure and the latter requirement refers to all of its four modal -parameters (126) The above requirement becomes clear by rewriting (42), (43), and (66) in the following forms: (127) (128) and (129) Thus, the entries of the matrices , , and are nonzero only if the entries of matrix are nonzero. Since the determination of the diagonal entries of the matrices and involves both diagonal, as well as off-diagonal terms of the matrices , , and , it is required that and as far as possible , where . It is important to underline that the latter requirements refers to modal and not to nodal -parameters of the reflect standard. It means that the reflect standard must exhibit high reflection for two modes, as well as high coupling between the modes.

2234

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 8. Reflect standard realized using three impedances , , and conand its proposed realization using open and nected to the left error network load one-port standards. (a) General representation. (b) Reflect standard proposed in this work.

TABLE I SELECTED REFLECT STANDARDS AND THEIR NODAL AND MODAL REFLECTION MATRICES. IMPEDANCES , , AND ARE EITHER OPEN , , OR LOAD SHORT

Fig. 9. Measured modal standard.

-parameters of a custom on-chip open-load reflect

consists in using two reflect standards of supplementary characteristics. Using two reflect standards, first with the dominant diagonal terms and second with the dominant off-diagonal terms, (130)

Fig. 8(a) illustrates the reflect standard composed of three impedances , , and ( -model) connected to the left error network . Selecting different combinations of , , and allows one to realize various reflect standards. Table I summarizes selected reflect standards and their nodal and modal reflection matrices. The nodal -parameters are normalized to and the modal -parameters are normalized to (differential mode) and to (common mode). It is clear that neither ideal open, nor ideal short can be used since . Moreover, none of the combinations of ideal shorts and ideal opens can be used. In general, a good reflect standard will provide high reflection of two out of three reflection characteristics (common mode, differential mode, or mode conversion). The third reflection characteristic will be poor . As demonstrated in [12], introducing certain asymmetry into a simple short standard can provide sufficient reflection for the multiline TRL. However, the drawback of such an asymmetric reflect is that its reflection characteristics exhibit high-frequency dependence and are more difficult to predict. Another solution

in (49) can be determined from the measurement of the term the first reflect standard and the terms in (50) and in (69) can be determined based on the second reflect standard. In [14], the choice of the reflect standard and its impact on the multiline TRL is analyzed. The drawback of the multiple reflect standards is an increased number of measurements and an additional error introduced by the limited contact repeatability. If the technology used makes it possible to realize repeatable resistors, an open-load reflect standard can be a solution. The proposed reflect standard consists of an open on port 1 and a load connected to port 2, as shown in Fig. 8(b). The nodal and modal refection matrices of this reflect standard for an ideal open and are shown in the last row of Table I. If the parasitics of the open and load standards are low, the magnitude of all the four modal parameters will be approximately dB over a wide frequency range. Fig. 9 shows the measured modal -parameters of a custom on-wafer open-load reflect standard. The sign of the reflection characteristic is positive for puremode parameters (differential and common mode) and negative for mode-conversion parameters. D. Line Lengths and Phase Shift Limitation The presented formulation enables both zero and nonzero length thru standards. The distinction between a zero and a nonzero thru depends on the definition of the error networks and and on the desired location of the reference planes after calibration. The definition of a nonzero-length thru reflects simply the fact that the reference planes after calibration are shifted from the center of the shortest line (default position for a zero-length thru) by the distance of back toward the probe tips. Thus, theoretically it makes no difference whether one defines or defines and includes two long lines inside the error networks and . In practice, however, it

WOJNOWSKI et al.: MULTIMODE TRL CALIBRATION TECHNIQUE FOR CHARACTERIZATION OF DIFFERENTIAL DEVICES

TABLE II POSSIBLE COMBINATIONS OF THE CALIBRATION STANDARDS IN THE TWO-MODE TRL. THE LAST COLUMN GIVES THE NUMBER OF -PARAMETERS OF THE DUT THAT CAN BE CHARACTERIZED

is always advantageous to include a certain length of a uniform transmission line inside the error networks. This moves the reference plane at larger distance away from the discontinuity where only the dominant modes propagate and where really represents the additional length of the line. In the presented derivation, it is assumed that the lengths and are known. However, they are used only for the calculation of the complex propagation constants . In the traditional single-mode TRL, the calculation of the propagation constant is not required to complete the calibration. As a result, the length of the line standard does not need to be known to complete the single-mode TRL [19]. By contrast, the calculated propagation constants in the multimode TRL are used for the assignment of the calculated eigenvectors, which is the most critical step of the algorithm. In the traditional single-mode TRL, the phase shift introduced by the line limits the practical implementations to bandwidths not larger than 8:1. Since the mathematical formulations are identical in both traditional and multiline TRL methods, the multimode TRL suffers the same limitations as its single-mode counterpart. Theoretically, similar to the multiline TRL [20], it is possible to extend the bandwidth and improve the accuracy of the multimode TRL by employing multiple line standards of different lengths. However, as the phase requirement must be fulfilled simultaneously for all modes, this leads to more complex requirement. E. FPVNA Calibration—Summary Table II summarizes the possible combinations of the thru, line, and different reflect calibration standards in the two-mode TRL calibration. The last column gives the number of -parameters of the DUT that can be characterized. The use of the thru and line standards alone allows one to characterize only four pure-mode -parameters (see Observation 2). The use of the thru, line, and asymmetric nonreciprocal reflect standards allows one to determine eight pure-mode -parameters. However, if the DUT is a reciprocal device, it is possible to additionally determine the remaining eight mode-conversion (cross-mode) -parameters to within a sign (see Observation 3). Under reciprocity assumption of the asymmetrical reflect standard, it is possible to characterize all 16 -parameters (see Observation 4). Alternatively to one asymmetrical reflect

2235

TABLE III IMPACT OF THE COMMON DE-EMBEDDING ASSUMPTIONS APPLIED TO THE ERROR NETWORKS AND ON THE MULTIMODE TRL CALIBRATION. THE LAST COLUMN LISTS THE CALIBRATION STANDARDS REQUIRED TO COMPLETE THE DE-EMBEDDING

standard, two symmetrical reflect standards of complementary characteristics can be used. The last row illustrates this situation. F. De-Embedding of Differential Devices—Summary The reciprocity of the embedding networks is the fundamental de-embedding assumption. It provides additional equations for and that allows one to reduce the requirements on the reflect standard. In contrast to the calibration case, only the diagonal terms of the reflect standard are used for the computation of the calibration coefficients and the off-diagonal terms are used to resolve the final sign ambiguity. Compared to the -parameter magnitude and phase measurement, the phase estimation of the reflect standard is a much easier task since it is less sensitive to the positioning accuracy and the contact quality. As a consequence, two symmetrical reflect standards can be used without reducing the calibration accuracy due to the limited contact repeatability, as observed in the general calibration case. Additional assumptions about either identical or symmetrical error networks allows one to completely resolve the problem related to the asymmetric reflect standard. Table III summarizes the de-embedding assumptions discussed in the previous sections and their impact on the multimode TRL formulation. The last column lists the calibration standards that are required to complete the de-embedding in each of the considered cases. When the left and right embedding networks are identical (mirrored), two additional equations for and are obtained. This, combined with additional equations for and from the reciprocity assumption, allows one to reduce the role of the reflect standard to resolve the final sign ambiguity only. Since and are determined without sign ambiguity, a symmetrical

2236

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

reflect standard with nonzero off-diagonal terms is sufficient. In particular, none of the terms of the reflect standard are used for the computation of the calibration coefficients. G. Modal Decomposition When the embedding networks exhibit symmetry about the horizontal axis, their generalized cascade matrices have the form shown in (111). The diagonal character of the submatrices in and has far reaching consequences for the de-embedding. As shown in [4], the multiplication or inversion of such matrices preserves the original structure of the matrix. Thus, since the submatrices of the thru and line standards are also diagonal [see (5) and (8)], the generalized cascade matrix provided by the thru and line measurements (131) will maintain the original diagonal form. The index in (131) refers to the thru and line measurements, respectively. Expanding the matrices in (131) leads to (132) as follows:

Fig. 10. Calibration with asymmetrical and symmetrical error networks. The subscripts and denote the 2 2 differential-mode and common-mode submatrices of the original 4 4 generalized cascade matrix, respectively. (a) Error networks with nonnegligible mode conversion. (b) Error networks with negligible mode conversion.

(136)

(132)

It is straightforward to demonstrate that (132) is equivalent to two independent matrix equations shown in (133) and (134) as follows:

where the subscripts and denote the 2 2 differentialmode and common-mode submatrices of the original 4 4 generalized cascade matrix, respectively. Equation (135) and (136) represent traditional two-port TRL calibrations performed separately for differential and common modes. Thus, the symmetry assumption results in the modal separation (decomposition) of the calibration problem. This situation is illustrated schematically in Fig. 10. In practice, it means that the error networks and can be completely characterized by performing two independent two-port TRL calibrations using differential-mode and common-mode -parameters. Once the error networks are determined, the -parameters of the DUT can be de-embedded. If the DUT exhibits negligible mode conversion, i.e., its generalized cascade matrix has the form of (110), the embedded generalized cascade matrix (137) as well as the de-embedded matrix

(133)

(138)

(134)

maintain the original form of (110).13 As a consequence of the mode separation, the general de-embedding formula given by (138) is equivalent to

In the following discussion, we assume that the calibration lines are symmetric. As a consequence, the propagating modes reduce to pure differential and common modes and (133) and (134) can be written as (135)

(139) (140) 13Negligible mode conversion is expected, for example, for a differential amplifier with sufficiently high common mode rejection ratio (CMRR) or for a symmetrical passive structure.

2237

WOJNOWSKI et al.: MULTIMODE TRL CALIBRATION TECHNIQUE FOR CHARACTERIZATION OF DIFFERENTIAL DEVICES

Fig. 12. Two-port network with a plane of symmetry and its even-mode and odd-mode one-port half-sections. (a) Two-port. (b) One-port half-sections.

conditions are not fulfilled, the full multimode TRL must be performed. If the embedding networks fulfill the conditions of negligible mode conversion, one can verify whether (139) and (140) can be applied by observing the mode-conversion -parameters of the embedded DUT. I. Connection to Two-Port Thru-Line (TL) De-Embedding Fig. 11. Block diagram of the de-embedding with symmetrical error networks. (a) DUT with negligible mode conversion. (b) DUT with nonnegligible mode conversion.

Thus, when only the differential -parameters are of interest, it is possible to reduce the analyzed 4 4 problem to 2 2 and consider the four-port device as a two-port network. This situation is illustrated schematically in Fig. 11(a). However, particular attention must be paid while de-embedding the DUT with nonnegligible mode conversion. In such case, the generalized cascade matrix of the DUT has to be considered as a full 4 4 matrix. As a consequence, the differential-mode and common-mode parameters of the cascaded connection get mixed and cannot be separated. In such a case, the de-embedding formula given by (138) must be used, where the 4 4 matrices and are combined from the corresponding 2 2 differential-mode and common-mode submatrices. This situation is illustrated schematically in Fig. 11(b). H. Symmetry Verification In practice, even if the error networks are designed to be symmetrical with respect to the horizontal axis, the manufacturing tolerances and the limited contact repeatability can introduce some asymmetry in the system. This is particularly important when the embedding structures are manufactured using lowcost technologies. It is not possible to verify directly whether the conditions of the negligible mode conversion are fulfilled, as the -parameters of the embedding networks are not known. However, as proposed in [4], since the mode-conversion terms of the cascaded connection remain negligible if the individual networks exhibit negligible mode conversion, one can formulate an equivalent condition on the applicability of the modal decomposition approach using the measured four-port -parameters of the thru or line standards. Thus, a practical condition can be defined by observing the mode-conversion -parameters of the thru or line standards. The measured -parameters should be negligible over the whole frequency range. Usually, it is sufficient that these parameters are lower than 30 dB. These conditions are typically fulfilled for on-chip embedding structures for frequencies up to several tens of gigahertz. However, if these

The last row of Table III represents the special case when the embedding networks exhibit both types of symmetry. The assumptions about reciprocal and identical embedding networks reduce the role of the reflect standard to resolve the sign ambiguity only. From the symmetry assumption, the four-port multimode TRL calibration simplifies to the two traditional twoport TRL calibrations performed separately for differential and common modes. However, since the embedding two-port networks are identical, the reflect standard is not needed to complete the two-port TRL calibrations. This can be explained as follows. A two-port network having a plane of symmetry as shown in Fig. 12(a) is fully characterized by considering the even-mode and odd-mode two-port half-sections of the original network. The 2 2 scattering matrix of the thru connection can be expressed as [23] (141) (142) and are obtained by considering only half of the where network with magnetic (even) and electric (odd) walls at the plane of symmetry, respectively. This is illustrated schematically in Fig. 12(b). Since the magnetic and electric walls correspond to the ideal open and short, respectively, one can write (143) (144) Thus, the measurement of the symmetrical thru connection provides the same information that would be gained from the measurement of the short or open reflect standards.14 As a consequence, for identical embedding networks, the number of calibration standards required for the two-port TRL calibration reduces to only two: thru and line. If the DUT exhibits negligible mode conversion and (139) and (140) can be used, no reflect standard is required to perform de-embedding. Otherwise, a symmetrical reflect standard with nonzero off-diagonal terms 14This observation is the motivation for the TL calibration technique introduced in [31].

2238

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

is required to resolve the sign ambiguity of the mode-conversion -parameters de-embedded using (138), as discussed in Observation 5. In addition to reducing the requirements on the reflect standards and simplifying the calibration procedure, the reciprocity assumption allows one to completely determine the 4 4 scattering matrix of the differential transition. This enables the multimode TRL technique to be applied for un-terminating purposes. As already discussed, in the special case of identical and symmetrical error networks, the multimode TRL is equivalent to two traditional two-port TL calibrations performed separately using differential-mode and common-mode -parameters. Similarly, it can be demonstrated that for identical and symmetrical error networks, (116)–(120) become equivalent to the well-known formulas [32] (145) (146) (147) and represent the measured -paramwhere eters of the zero-length thru and line standards, respectively, and and are the propagation constant and the length of the line standard, respectively. This establishes a connection between the four-port multimode TRL calibration and the two-port TL de-embedding. VII. MEASUREMENT RESULTS The theoretical considerations presented in the previous sections are verified by on-wafer measurements. The on-wafer test structures have been manufactured using Infineon’s standard 0.13 m complementary metal–oxide–semiconductor (CMOS) process. The DUT is a 2:1 transformer shown in Fig. 1. The primary ports P and P are located on the left-hand side. The secondary ports and are located on the right-hand side. The octagonal coils have the outer diameter of 92 m and the inner diameter of 50 m. The lateral spacing between the turns is 2.5 m. The conductor width of the primary winding is 6 m and of the secondary winding is 4 m. Fig. 13 shows photographs of the selected on-wafer calibration structures. The structures include zero-length thru, short, open, open-short, load, load-open, and two symmetric coupled microstrip lines of lengths 1.38 and 9.60 mm. Beside the multiline TRL, the fabricated standards allow various calibrations based on 12-term and eight-term error models (e.g., SOLT, LRRM), as well as based on 16-term models (e.g., technique based on singular value decomposition (SVD) [7]). The on-wafer measurements have been performed in the frequency range of 0.1–50 GHz using the two-port Agilent E8364A 50-GHz VNA with the Z5623A 50-GHz four-port -parameter set. The Cascade Microtech Infinity probes with 100 m pitch in the ground–signal–signal–ground (GSSG) configuration have been used. The multiline TRL calibration has been performed using the thru and both line standards. The open-load reflect has been used. The position of the reference plane after the multimode TRL calibration was at the center of the thru line.

Fig. 13. Photographs of the selected on-wafer calibration structures in CMOS. (a) Thru. (b) Short. (c) Open. (d) Load. (e) Line, 1.38 mm.

A. Transmission-Line Characterization Figs. 14 and 15 show the measured attenuation and the real part of the relative electrical permittivity of the differential and common modes of the coupled microstrip lines used for the multimode TRL calibration. As expected, the common mode shows higher relative permittivity due to the field concentration in the dielectric substrate. The higher attenuation of the common mode is due to high resistive losses in the thin ground metallization. The characteristic impedances of the differential and common modes have been determined using (122). The capacitances p.u.l. have been measured using the calibration comparison method, as proposed in [33]. Fig. 16 shows the determined real and imaginary parts of the characteristic impedances of the differential and common modes. The curves show a typical behavior for high-resistive on-wafer transmission lines [30], [34].

B. FPVNA Calibration Fig. 17 shows the magnitude of all the 16 native (referenced to the characteristic impedances of the two modes of propagation) modal -parameters of the DUT. The first curve (blue in online version) shows the -parameters measured with the multimode TRL calibration. The second curve (green in online version) is used as a reference and represents the -parameters measured using two-step calibration. The initial (first-tier) calibration was the SOLT performed by means of a standard calibration substrate. It establishes the reference impedance and the reference plane near the tips of the probes. The second-tier calibration was then used to move the reference plane from the

WOJNOWSKI et al.: MULTIMODE TRL CALIBRATION TECHNIQUE FOR CHARACTERIZATION OF DIFFERENTIAL DEVICES

Fig. 14. Measured attenuation of the differential and common modes of the coupled microstrip lines.

2239

differential-mode and common-mode -parameters of the measured thru and line standards. The application of the modal decomposition technique was justified by the geometrical symmetry of the on-wafer calibration structures. The mode-conversion -parameters of the thru and line standards measured after the SOLT calibration were below 35 dB over the whole frequency range [4]. To reduce the uncertainty related to contact nonrepeatability, the measurements of the calibration standards and the DUT were performed only one time and saved as raw -parameters. The calibrations were then carried out using the saved raw -parameters. As both the multimode TRL and the standard TRL measure the native modal -parameters of the DUT, the uncertainty due to characteristic impedance determination and re-normalization was removed from the comparison. We observe a very good agreement between the first and second curves in Fig. 17, which verifies the correctness of the multimode TRL calibration. Since the DUT is symmetrical with respect to its horizontal axis, the mode-conversion -parameters are very low. The observed slight differences can be attributed to the inaccuracies of the initial first-tier SOLT calibration, inaccuracies related to two-step modeling of the embedding networks (partitioning and cascading at the probe/wafer interface), and the limited numerical precision. The third curve (red in online version), plotted only for the parameters , , , and shows the -parameters measured with the system partially calibrated with the multimode TRL using only thru and line standards. A very good agreement with the reference curve confirms the conclusion formulated in Observation 2 that the thru and line standards are sufficient to determine the pure-mode transmission parameters of the DUT. C. De-Embedding of Differential Devices

Fig. 15. Measured real part of effective permittivity of the differential and common modes of the coupled microstrip lines.

Fig. 18 compares the measured, de-embedded, and simulated inductance of the secondary coil. The inductance has been calculated from the differential -parameters using (148)

Fig. 16. Measured real and imaginary part of characteristic impedances of the differential and common modes of the coupled microstrip lines.

probe-tips to the center of the thru line. It consisted of two independent standard two-port TRL calibrations performed using

is the differential where driving point admittance and are the nodal admittance parameters of the DUT. The second curve in Fig. 18 represents the inductance extracted using the standard two-step open-short method [35]. This de-embedding technique is based on lumped-element representation of the open and short structures. As a consequence, it is accurate only at lower frequencies. The comparison of the second and third curves shows a good match up to about 20–30 GHz. The last curve represents the inductance simulated with the Sonnet EM field solver. A good agreement between the measurement and simulation is observed. The performed measurements and simulations validate the results obtained with the multimode TRL calibration and demonstrate the limitations of the standard extraction techniques. Fig. 19 shows the influence of the discussed de-embedding assumptions on the extracted inductance of the secondary coil of the DUT. The first curve represents the original multimode TRL calibration. The second curve shows the inductance

2240

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 17. Measured native modal -parameters of the DUT. The first curve (blue in online version) represents the -parameters measured with the multimode TRL calibration. The second curve (green in online version) shows the -parameters measured using the SOLT and the two standard TRL calibrations performed using differential-mode and common-mode -parameters. The third curve (red in online version) represents the -parameters measured with the system partially calibrated with the multimode TRL using only thru and line standards.

de-embedded with the multimode TRL calibration where and were calculated using (87) (reciprocal error networks). The third curve shows the inductance de-embedded with the multimode TRL calibration with and determined using the first equation in (94) (identical error networks). The fourth curve combines both assumptions (reciprocal and identical error networks). The last curve shows the inductance determined using (148) from the two independent two-port TRL calibrations performed using differential-mode and common-mode -parameters. The measured mode-conversion -parameters of the line calibration standards and of the DUT were below 35 dB over the whole frequency range. Thus, the conditions defined in [4] were fulfilled and the discussed modal decomposition was applicable. The comparison in Fig. 19 shows a good match over the whole frequency range. The larger differences observed around the resonance frequency indicate that the assumption about identical embedding networks may not have been fulfilled.

Since the manufacturing tolerances were negligible, the observed effect can be attributed either to inaccuracies in the probe positioning or to asymmetry in the first-tier off-wafer calibration, i.e., different reference plane positions or reference impedances. VIII. CONCLUSIONS In this paper, a comprehensive analytical derivation and discussion of the multimode TRL calibration for the two-mode case was presented. The new formulation based on the introduced generalized reverse cascade matrices simplifies understanding and interpretation of the calibration procedure. It was demonstrated, for example, that the thru and line standards alone are sufficient to characterize the pure-mode transmission parameters of the DUT. The practical use of the multimode TRL calibration technique for de-embedding purposes was discussed. The common de-embedding assumptions such as reciprocity and symmetry were analyzed and their consequences on the

WOJNOWSKI et al.: MULTIMODE TRL CALIBRATION TECHNIQUE FOR CHARACTERIZATION OF DIFFERENTIAL DEVICES

2241

Fig. 18. Measured, de-embedded, and simulated inductance of the secondary coil of the 2:1 transformer in CMOS.

Fig. 19. Impact of the common de-embedding assumptions on the extracted inductance of the secondary coil of the 2:1 transformer in CMOS.

multimode TRL calibration were discussed. It was shown that the reciprocity assumption applied to the embedding networks reduces the requirements on the reflect standard. In contrast to the calibration case, only the diagonal terms of the reflect standard are used for the computation of the calibration coefficients. It was shown that additional assumptions of either identical or symmetrical error networks make it possible to completely resolve the problem related to the reflect standard. Based on the derived formulation, it was demonstrated that the multimode TRL calibration reduces to the traditional TRL de-embedding under reciprocity and symmetry assumptions. It was also shown that, under certain conditions, it is possible to separate the differential and common modes and consider only the entries corresponding to differential -parameters. This makes it possible to reduce the measured 4 4 problem to 2 2 and consider the four-port device as a two-port network. As a result, the standard de-embedding and characterization techniques, formulated for two-port networks, can be applied to the differential -parameters. The use of the multimode TRL calibration technique for un-terminating purposes was discussed. It was demonstrated that, in the special de-embedding case, the reciprocity assumption allows one to completely characterize the partially leaky embedding networks. The problems related to interpretation and re-normalization of the measured -parameters were also discussed. Finally, the on-wafer measurement results were presented that verify the multimode TRL approach for FPVNA calibration and de-embedding of differential devices.

Fig. 20. Ordinary and generalized scattering matrix representation of a -port transfer network. (a) Ordinary representation. (b) Generalized representation.

APPENDIX A GENERALIZED SCATTERING AND CASCADE MATRICES The generalized scattering matrix is an extension of the ordinary scattering matrix used in waveguide theory [36]. The ordinary scattering matrix assumes that only the dominant propagating mode exists on each waveguide port. The generalized scattering matrix, instead, can take into account numerous propagating, as well as evanescent modes [37], [38]. This allows one to properly account for the interactions of higher order modes

between the components. The concept of the generalized cascade15 matrix follows naturally when considering cascaded multiport networks [8], [39], [40]. The following section reviews the concepts of the generalized scattering and cascade matrices and gives formulas for the conversion between them. Generalized Scattering Matrix: Fig. 20(a) shows some in general nonreciprocal -port linear transfer network. The ports are separated into two groups, each of ports. The transfer properties of the network refer to the transmission between the ports on the left-hand side and the ports on the right-hand side. The considered network is, in general, a multimode structure. It means that, beside the single-mode ports, each group can also combine mixed-mode ports (common and differential pairs), or multimode waveguides supporting multiple propagating, as well as evanescent modes. The ordinary scattering matrix relates the incident wave intensities on the ports to those reflected from the ports . By combining all grouped reflected 15The cascade matrix is also known as chain -parameters [39], [40] or -parameters [41].

2242

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

and transmitted wave intensities into the corresponding generalized wave vectors

.. .

.. .

(149)

.. .

.. .

(150)

it is possible to express the as

ordinary scattering matrix

(151) are the submatrices of the origwhere inal scattering matrix . The 2 2 generalized scattering matrix given by (151) describes the linear relationships between the incident and the reflected wave vectors and . It is shown schematically in Fig. 20. Generalized Cascade Matrix: Based on (151), it is possible to define the 2 2 generalized cascade matrix that relates the generalized wave vectors (152) The definition given by (152) emphasizes the analogy between a -port transfer network and an ordinary two-port and corresponds to the one proposed in [41]. This is the most common definition of the generalized cascade matrix [8], [39], [40]. However, other definitions are also possible [41]. Conversion Between Generalized Scattering and Cascade Matrices: Comparing (151) and (152), the formulas for the conversion between the generalized scattering and cascade matrices are obtained (153) (154) is nonsingular. If the The conversion is possible as long as latter is fulfilled, the generalized cascade matrix provides a complete and equivalent to the scattering matrix description of the network. In case of two -port networks, both of the structure shown in Fig. 20(a), connected in series without discontinuity and with identical reference impedances on mating ports, the resulting generalized cascade matrix can be found simply by multiplying the generalized cascade matrices of the individual -ports. Termination of Generalized Scattering and Cascade Matrices: The generalized scattering matrix of the network defined by (151) and terminated on the right-hand side with some -port can be expressed as (155)

Fig. 21. Ordinary and generalized representation of a -port network ter. (a) Ordinary representation. (b) Generalminated with a -port network ized representation.

where is the identity matrix. This situation is shown schematically in Fig. 21(b). When the transfer network is described in terms of its generalized cascade parameters, the transformation has the following form: (156) Equations (155) and (156) represent a fractional bilinear matrix transformation that maps the scattering matrix on the internal port 2 into the scattering matrix seen on the external port 1 [42]. In case of a two-port network , (155) and (156) reduce to the well-known ordinary forms. For the scattering matrix, one gets (157) and for the casade matrix, one gets (158) It it straightforward to demonstrate that when the matrices , are diagonal, (155) is equivalent to the system of separate (157). The same holds for the generalized cascade matrix. Four-Port Differential Devices: In the special case of fourport differential devices, the calibration lines are symmetric and the propagating modes reduce to the common and differential modes. In such case, indices 1 and 3 of the individual wave intensities and in the wave vectors given by (149) and (150) correspond to the differential mode and indices 2 and 4 correspond to the common mode. Thus, (151) can be rewritten as

(159)

where subscript stands for modal. The terms and describe the pure-mode (differential mode and common

2243

WOJNOWSKI et al.: MULTIMODE TRL CALIBRATION TECHNIQUE FOR CHARACTERIZATION OF DIFFERENTIAL DEVICES

mode) -parameters, while and are the mode-conversion (cross mode) -parameters. The parameters describe the conversion of the differential-mode waves into the common-mode waves and describe the conversion of the common-mode waves into the differential-mode waves. The subscripts refer to the multimode ports on both sides of the device. APPENDIX B REVERSE CASCADE MATRIX FORMULATION The reverse cascade matrix formulation for two-port transfer networks has been introduced in [20] and [26]. The following part reviews the reverse cascade matrix concept for two-port networks and extends it to -port transfer networks. To simplify matrix operations using the introduced generalized reverse cascade matrix, the permutation operator and the reverse cascade operator are introduced and their selected properties are summarized. Two-Port Networks: Let represent a scattering matrix of some bilateral, and in general, nonreciprocal two-port linear network, i.e., and . The scattering matrix describes the linear relationships between incident and reflected wave intensities and on ports 1 and 2

Fig. 22. (a) Two-port network described by the cascade matrix rored counterpart described by the reverse cascade matrix .

. (b) Its mir-

between the entries of the matrices and . However, it is possible to relate both matrices using simple matrix transformation. A left-hand multiplication of (161) by gives (165) The order of waves and can be easily rearranged by lefthand multiplication of both sides by a permutation (interchange) matrix (166)

(160) where the permutation matrix is defined as as shown in Fig. 22(a). The scattering matrix into the left-to-right cascade matrix

can be converted (161)

using the well-known relationship16 (162) The left-to-right reverse cascade matrix [20], [26]:

is defined as follows

(167) Multiplication of the permutation matrix, as defined in (167), interchanges the entries within the by any vector vector, i.e., and . It is straightforward to demonstrate that the permutation matrix given by (167) is symmetrical and orthogonal, i.e., and , respectively [43]. Using the properties of symmetry and orthogonality of the permutation matrix, it is possible to transform (165) into (168)

(163) The cascade matrix represents the mirrored (i.e., connected right-to-left) originally left-to-right cascade matrix . Fig. 22(b) shows the original network mirrored about its vertical axis. When the network is mirrored, the indices of the scattering parameters are simply interchanged, i.e., . Thus, the reverse cascade matrix can be written as

Thus, the reverse cascade matrix matrix by

is related to the cascade (169)

where the tilde over denotes the two-sided matrix multiplication by the permutation matrices (170)

(164) and the maIn case of a reciprocal network, one has trix corresponds to the original matrix with terms and interchanged and of opposite sign. In a general case of the nonreciprocal network, there is no simple explicit relationship 16Equation (162) represents the most common definition of the cascade matrix [26]. However, other definitions are also possible [41].

The latter operation is equivalent to interchanging the indices of the matrix entries, i.e., . For example, a calibrated two-port VNA measures the product of three matrices (171) where is the cascade matrix of the measured device and (left to right) and (right to left) are two nonsingular cascade

2244

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Selected Properties of Generalized Reverse Cascade Matrix: This section introduces the permutation operator and the reverse cascade operator and summarizes their selected properties. Definition 1 (Permutation Operator): Let be a generalized cascade matrix. We define the permutation operator as (175) where the permutation matrix

is given by (176)

is the identity matrix. Definition 2 (Reverse Cascade Operator): Let be a nonsingular generalized cascade matrix. We define the reverse cascade operator as

and Fig. 23. -port network described by the generalized cascade matrix and its mirrored counterpart described by the generalized reverse cascade matrix . (a) Original network. (b) Mirrored network.

(177) matrices, which describe the embedding networks. Using traditional formulation, of which [8] and [44] are representative, the product given by (171) is expressed as , where and are both left-to-right cascade matrices. In common and practically important case of identical (mirrored) error networks, one has and (171) reduces to the symmetrical form . A corresponding form obtained using traditional formulation is more complex. Multiport Networks: Let denote a generalized cascade matrix of some in general nonreciprocal -port linear transfer network with ports separated into two groups, each of ports, as shown in Fig. 23(a). We define the generalized reverse cascade matrix (172) where represents a fined as

block permutation matrix de-

where is the permutation operator from Definition 1. The matrix is the reverse cascade matrix corresponding to the cascade matrix . It is straightforward to demonstrate that the following relationships hold for the symmetrical and orthogonal permutation matrix defined by (176): (178) (179) identity matrix. Based on Definitions 1 where , the and 2 and using (178) and (179), the properties of the permutation operation and reverse cascade operation are formulated. If and are two nonsingular cascade matrices, the following properties hold. Property 1: Multiple permutation operation (180)

(173) Proof: identity matrix. The left-to-right generaland is the ized reverse cascade matrix represents the mirrored (i.e., connected right-to-left) originally left-to-right generalized cascade matrix . Fig. 23(b) illustrates the mirrored -port network described by the generalized reverse cascade matrix . For example, in the four-port case , the block permutation matrix has the following form:

(181) Property 2: Multiple reverse cascade operation (182)

(174)

Identical to the two-port case, the block permutation matrix is symmetrical and orthogonal, i.e., and , respectively [43].

Proof:

(183)

WOJNOWSKI et al.: MULTIMODE TRL CALIBRATION TECHNIQUE FOR CHARACTERIZATION OF DIFFERENTIAL DEVICES

Property 3: Associative low for permutation operation (184) Proof:

(185) Property 4: Reverse-order low for reverse cascade operation (186) Proof:

(187) Property 5: Commutative low for reverse cascade and inverse operation (188) Proof:

(189) Property 6: Reverse cascade operation of inverse (190) Proof:

(191)

ACKNOWLEDGMENT The authors extend special thanks to K. Pressel, Infineon Technologies AG, Regensburg, Germany, for helpful comments.

2245

REFERENCES [1] V. Issakov, “Microwave circuits for 24 GHz radar front-end applications in CMOS and bipolar technologies,” Ph.D. dissertation, Dept. High-Freq. Electron., Univ. Paderborn, Paderborn, Germany, 2010. [2] D. E. Bockelman and W. R. Eisenstadt, “Combined differential and common mode scattering parameters: Theory and simulation,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 7, pp. 1530–1539, Jul. 1995. [3] D. E. Bockelman and W. R. Eisenstadt, “Pure-mode network analyzer for on-wafer measurements of mixed-mode -parameters of differential circuits,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 7, pp. 1071–1077, Jul. 1997. [4] V. Issakov, M. Wojnowski, A. Thiede, and R. Weigel, “Considerations on the de-embedding of differential devices using two-port techniques,” Int. J. Microw. Wireless Technol., vol. 2, no. 3–4, pp. 349–357, Aug. 2010. [5] J. V. Butler, D. K. Rytting, M. F. Iskander, R. D. Pollard, and M. V. Bossche, “16-term error model and calibration procedure for on-wafer network analysis measurements,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 12, pp. 2211–2217, Dec. 1991. [6] K. Silvonen, “LMR 16-A self-calibration procedure for a leaky network analyzer,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 7, pp. 1041–1049, Jul. 1997. [7] X. Wei, G. Niu, S. L. Sweeney, and S. S. Taylor, “Singularvalue-decomposition based four port de-embedding and single-step error calibration for on-chip measurement,” in Proc. IEEE 69th Automat. RF Tech. Group Conf., Honolulu, HI, Jun. 2007, pp. 1497–1500. [8] C. Seguinot, P. Kennis, J.-F. Legier, F. Huret, E. Paleczny, and L. Hayden, “Multimode TRL—A new concept in microwave measurements: Theory and experimental verification,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 5, pp. 536–542, May 1998. [9] V. Teppati and A. Ferrero, “On-wafer calibration algorithm for partially leaky multiport vector network analyzers,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 11, pp. 3665–3671, Nov. 2005. [10] H.-C. Lu and T.-H. Chu, “The thru-line-symmetry (TLS) calibration method for on-wafer scattering matrix measurement of four-port networks,” in IEEE MTT-S Int. Microw. Symp. Dig., Fort Worth, TX, Jun. 2004, pp. 1801–1804. [11] H.-C. Lu and Y.-T. Chou, “The thru-reflection-unequal-line (TRuL) calibration method for scattering matrix measurement of multi-port networks,” in Proc. Asia–Pacific Microw. Conf., Suzhou, China, Dec. 2005, pp. 1–4. [12] H.-C. Lu and Y.-T. Chou, “The thru-reflection-unequal-line (TRuL) calibration method with asymmetric R calibrator for multi-port scattering matrix measurement,” in IEEE MTT-S Int. Microw. Symp. Dig., San Francisco, CA, Jun. 2006, pp. 1971–1974. [13] T. Buber, A. Rodriguez, A. Jenkins, J. Mahon, C. Liss, J.-P. Lanteri, N. Kinayman, R. Wohlert, I. Gresham, A. Khalil, J. Bennett, and L. Dunleavy, “Multimode TRL and LRL calibrated measurements of differential devices,” in Proc. IEEE 64th Automat. RF Tech. Group Conf., Orlando, FL, Dec. 2004, pp. 157–166. [14] T. Buber, A. Rodriguez, L. Dunleavy, N. Kinayman, A. Jenkins, I. Gresham, A. Khalil, and R. Wohlert, “Improved multimode calibration standards for 40 ghz measurements of active devices,” in Proc. IEEE 65th Automat. RF Tech. Group Conf., Long Beach, CA, Jun. 2005, pp. 1–5. [15] H. Barnes, A. Ciccomancini, M. Resso, and M. Tsai, “Differential PCB structures using measured TRL calibration and simulated structure de-embedding,” in Proc. DesignCon, Santa Clara, CA, Jan.–Feb. 2007, pp. 1–19. [16] L. Wan and Q. Li, “A mixed-mode TRL algorithm based on symmetrical reflection standards,” in Proc. IEEE 71st Automat. RF Tech. Group Conf., Atlanta, GA, Jun. 2008, pp. 37–40. [17] L. Wan, Q. Li, J. Wu, and G. He, “A novel leakage TRL calibration technique for differential devices,” in Proc. Int. Microw. Millimeter Wave Technol. Conf., Nanjing, China, Apr. 2008, pp. 1427–1430. [18] M. Wojnowski, V. Issakov, G. Sommer, and R. Weigel, “Multimode TRL technique for de-embedding of differential devices,” in Proc. IEEE 75th Automat. RF Tech. Group Conf., Anaheim, CA, May 2010, pp. 1–10.

2246

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

[19] G. F. Engen and C. A. Hoer, “Thru-reflect-line: An improved technique for calibrating the dual six-port automatic network analyzer,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 12, pp. 987–993, Dec. 1978. [20] R. B. Marks, “A multiline method of network analyzer calibration,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 7, pp. 1205–1215, Jul. 1991. [21] T.-M. Winkel, L. S. Dutta, H. Grabinski, and E. Grotelüschen, “Determination of the propagation constant of coupled lines on chips based on high frequency measurements,” in Proc. IEEE Multi-Chip Module Conf., Santa Cruz, CA, Feb. 1996, pp. 99–104. [22] V. Ricchiuti, A. Orlandio, and G. Antoninio, “Evaluation of the propagation constants of differential PCB interconnections,” in Proc. IEEE 11th Signal Propag. Interconnects Workshop, Ruta di Camogli, Italy, May 2007, pp. 202–206. [23] K. C. Gupta, R. Garg, and R. Chadha, Computer-Aided Design of Microwave Circuits. Norwood, MA: Artech House, 1981. [24] L. Li, K. Wu, and P. Russer, “On the thru-reflect-line (TRL) numerical calibration and error analysis for parameter extraction of circuit model,” Int. J. RF Microw. Comput.-Aided Eng., vol. 16, no. 5, pp. 470–482, Sep. 2006. [25] R. Bauer and P. Penfield, “De-embedding and unterminating,” IEEE Trans. Microw. Theory Tech., vol. MTT-22, no. 3, pp. 282–288, Nov. 1974. [26] R. B. Marks and D. F. Williams, “A general waveguide circuit theory,” J. Res. Nat. Inst. Standards Technol., vol. 97, no. 5, pp. 533–562, Sep./ Oct. 1992. [27] R. B. Marks and D. F. Williams, “Characteristic impedance determination using propagation constant measurement,” IEEE Microw. Guided Wave Lett., vol. 1, no. 6, pp. 141–143, Jun. 1991. [28] M. Wojnowski, M. Engl, and R. Weigel, “Highly accurate frequency/time domain characterization of transmission lines and passives for sip applications up to 65 GHz,” in Proc. IEEE 69th Automat. RF Tech. Group Conf., Honolulu, HI, Jun. 2007, pp. 1–9. [29] D. F. Williams, L. A. Hayden, and R. B. Marks, “A complete multimode equivalent-circuit theory for electrical design,” J. Res. Nat. Inst. Standards Technol., vol. 102, no. 4, pp. 405–423, Jul.-Aug. 1997. [30] U. Arz, D. F. Williams, D. K. Walker, and H. Grabinski, “Asymmetric coupled CMOS lines—An experimental study,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 12, pp. 2409–2414, Dec. 2000. [31] M. B. Steer, S. B. Goldberg, G. Rinne, P. D. Franzon, I. Turlik, and J. S. Kasten, “Introducing the through-line deembedding procedure,” in IEEE MTT-S Int. Microw. Symp. Dig., Albuquerque, NM, Jun. 1992, pp. 1455–1458. [32] D. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998. [33] M. Wojnowski, M. Engl, V. Issakov, G. Sommer, and R. Weigel, “Accurate broadband RLCG-parameter extraction with TRL calibration,” in Proc. IEEE 71st Automat. RF Tech. Group Conf., Atlanta, GA, Jun. 2008, pp. 41–46. [34] A. Deutsch, G. Arjavalingam, and G. V. Kopcsay, “Characterization of resistive transmission lines by short-pulse propagation,” IEEE Microw. Guided Wave Lett., vol. 2, no. 1, pp. 25–27, Jan. 1992. [35] M. C. A. M. Koolen, J. A. M. Geelen, and M. P. J. G. Versleijen, “An improved de-embedding technique for on-wafer high-frequency characterization,” in Proc. Bipolar/BiCMOS Circuits Technol. Meeting, Minneapolis, MN, Sep. 1991, pp. 188–191. [36] R. Mittra and S. W. Lee, Analytical Techniques in the Theory of Guided Waves. New York: Macmillan, 1971. [37] T. S. Chu and T. Itoh, “Generalized scattering matrix method for analysis of cascaded and offset microstrip step discontinuities,” IEEE Trans. Microw. Theory Tech, vol. MTT-34, no. 2, pp. 280–284, Feb. 1986. [38] T. Shibata and T. Itoh, “Generalized-scattering-matrix modeling of waveguide circuits using FDTD field simulations,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 11, pp. 1742–1751, Nov. 1998. [39] H. Heuermann, Hochfrequentechnik. Berlin, Germany: Vieweg Verlag, 2005. [40] H. Erkens and H. Heuermann, “Mixed-mode chain scattering parameters: Theory and verification,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 8, pp. 1704–1708, Aug. 2007. [41] J. Frei, X.-D. Cai, and S. Muller, “Multiport -parameter and -parameter conversion with symmetry extension,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 11, pp. 2493–2504, Nov. 2008.

[42] R. A. Speciale, “Projective matrix transformations in microwave network theory,” in IEEE MTT-S Int. Microw. Symp. Dig., Los Angeles, CA, Jun. 1981, pp. 510–512. [43] C. D. Meyer, Matrix Analysis and Applied Linear Algebra. Philadelphia, PA: SIAM, 2001. [44] H. J. Eul and B. Schiek, “A generalized theory and new calibration procedures for network analyzer self calibration,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 4, pp. 724–731, Apr. 1991.

Maciej Wojnowski (M’06) was born in Gdynia, Poland, on July 9, 1978. He received the M.Sc. degree (cum laude) in microwave engineering from the Technical University of Gdańsk, Gdańsk, Poland, in 2004, and the Ph.D. degree (summa cum laude) from the University of Erlangen–Nuremberg, Erlangen, Germany, in 2011. Since 2005, he has been with Infineon Technologies AG, Munich, Germany. He has been responsible for electrical characterization and modeling of the eWLB technology for system-in-package applications. He has authored or coauthored over 30 papers in the areas of high-frequency packaging and measurement techniques. His current research interests include high-frequency package characterization and modeling, signal integrity, calibration and de-embedding techniques for interconnect and passive device characterization, and computational electromagnetics. Dr. Wojnowski was a corecipient of the 2007 Outstanding Paper Award of the 9th Electronics Packaging Technology Conference (EPTC 2007).

Vadim Issakov (M’07) was born in Makhachkala, Russian Federation, on August 10, 1981. He received the M.Sc. degree (cum laude) in microwave engineering from the Technical University of Munich, Munich, Germany, in 2006, and the Ph.D. degree (summa cum laude) from the University of Paderborn, Paderborn, Germany, in 2010. From 2006 to 2010, he was a Research Assistant with the Department for High-Frequency Electronics, Institute of Electrical Engineering and Information Technology, University of Paderborn. From 2010 to 2011, he was with Infineon Technologies AG, Munich, Germany. He is currently with IMEC, Leuven, Belgium. His current research interests include high-frequency analog- and mixed-signal circuits in complementary metal–oxide–semiconductor and bipolar technology, characterization and analytical analysis of passive structures for monolithic microwave ICs, signal integrity problems of interconnects, and EM numerical computation.

Grit Sommer received the Ph.D. degree in electrical engineering from the Technical University of Berlin, Berlin, Germany, in 2003. From 1996 to 2005, she was with the Fraunhofer Institute IZM (Institute for Reliability and Microintegration), Berlin, Germany She established and headed the group on RF modeling and simulation. She was responsible for RF characterization considering simulation and measurement and modeling of packages and systems. Since 2005, she has been with Infineon Technologies, Munich, Germany, where she heads the Department of Package Design and Simulation. She has authored or coauthored over 50 papers in the areas of circuit designs, packaging, and interconnect technologies. Her current research interests include RF characterization and modeling of packaging and assembly techniques, package design optimization considering thermal, mechanical, reliability, electrical performance, and virtual prototyping.

WOJNOWSKI et al.: MULTIMODE TRL CALIBRATION TECHNIQUE FOR CHARACTERIZATION OF DIFFERENTIAL DEVICES

Robert Weigel (F’02) was born in Ebermannstadt, Germany, in 1956. He received the Dr.-Ing. and Dr.Ing.habil. degrees in electrical engineering and computer science from the Munich University of Technology, Munich, Germany, in 1989 and 1992, respectively. From 1982 to 1988, he was a Research Engineer, from 1988 to 1994, a Senior Research Engineer, and from 1994 to 1996, a Professor of RF circuits and systems with the Munich University of Technology. From 1994 to 1995, he was a Guest Professor of surface acoustic wave (SAW) technology with the Vienna University of Technology, Vienna, Austria. From 1996 to 2002, he was the Director of the Institute for Communications and Information Engineering, University of Linz, Linz, Austria. In August 1999, he co-founded Danube Integrated Circuit Engineering (DICE), Linz, Austria, which was then split into an Infineon Technologies and an Intel company, devoted to the design of RF ICs (RFICs). In 2000, he became a Professor of RF engineering with Tongji University, Shanghai, China. In 2000, he cofounded the company, Linz Center of Competence in Mechatronics. Since 2002, he has been the Head of the Institute for Electronics Engineering, University of Erlangen–Nuremberg, Erlangen, Germany. In 2009, he co-founded

2247

eesy-id, a company engaged in the design of medical electronic circuits and systems. He has authored or coauthored over 750 papers and given about 300 international presentations. He has been an Editor of the Proceedings of the European Microwave Association (EuMA). His review work includes international projects and journals. He has been engaged in research and development of microwave theory and techniques, SAW technology, integrated optics, high-temperature superconductivity, digital and microwave communication and sensing systems, and automotive electromagnetic compatibility (EMC). Dr. Weigel has served on various Editorial Boards such as that of the PROCEEDINGS OF THE IEEE. He has been a member of numerous conference Steering and Technical Program committees. He cuurrently serves on several company and organization advisory boards in Europe and Asia. He is an elected scientific advisor of the German Research Foundation DFG. Within the IEEE Microwave Theory and Techniques Society (IEEE MTT-S), he has been chair of the Austrian COM/MTT Joint Chapter, Region 8 coordinator, and from 2001 to 2003, a Distinguished Microwave Lecturer. He is currently an IEEE MTT-S Administrative Committee (AdCom) member and chair of MTT-2 Microwave Acoustics. He was the recipient of the 2002 German ITG Award and the 2007 IEEE Microwave Applications Award.

2248

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Simple Test and Modeling of RFID Tag Backscatter Daniel G. Kuester, Student Member, IEEE, David R. Novotny, Jeffrey R. Guerrieri, Aniwar Ibrahim, and Zoya B. Popović, Fellow, IEEE

Abstract—We consider here worst-case analysis of backscatter from passive radio frequency identification (RFID) tags. The basis is a figure of merit “ ” to relate link power at reader ports to tag circuit parameters. A minimum bound for received monostatic backscatter can be determined by inspection from measured . The bound is general for narrowband signals in any causal linear propagation. For an assembled tag, this minimum varies only with reader transmit power, tag antenna tuning, and chip power sensitivity of different commands. To validate this model, we propose a backscatter calibration device to enable measurements with estimated 0.5 dB uncertainty. We then demonstrate how the minimum bound can inform reader sensitivity specification to help ensure reliable inventory performance. Index Terms—Backscatter, power harvesting, radio frequency identification (RFID), ultrahigh frequency (UHF).

I. INTRODUCTION

P

ASSIVE ultrahigh-frequency (UHF) radio frequency identification (RFID) is a well-known and widely deployed use of RF energy harvesting. This brings significant attention to forward (reader to tag) links. Far-field systems’ return (tag to reader) links, in contrast, are often neglected. These use an unusual backscattering mechanism that was introduced in the 1930s for aircraft transponders [1, p. 7], the 1940s for espionage [2], the 1950s for antenna measurements [3], and only later in the 1970s for inventory management [4]. Signaling in each link, illustrated in Fig. 1, is detailed in EPC Global “Class 1 Gen 2” and ISO 18000-6C standards [5]. A tag chip absorbs energy from a carrier through its antenna within 860 to 960 MHz until a reader transmits a modulated request for data. The chip then time varies the impedance loading the antenna, encoding a reply into modulation sidebands reflected back to the reader. This implementation of modulated scattering is known as load modulation. In this paper, “backscatter” refers to the reflected modulation available for reception at a reader port. Continued tag improvements have increased the range at which they can respond to readers. However, farther away, readers receive weaker backscatter. This tightens reader Manuscript received September 09, 2011; revised March 26, 2012; accepted March 30, 2012. Date of publication May 14, 2012; date of current version June 26, 2012. This work was supported in part by the Office of Standards, U.S. Department of Homeland Security Science and Technology Directorate, with the National Institute of Standards and Technology under Contract HSHQDC-09-X-00305. The work of Z. Popovic was supported by the Hudson Moore Jr. Professorship at the University of Colorado. The authors are with the National Institute of Standards and Technology, Boulder, CO 80305 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2195017

Fig. 1. Two links of half-duplex ISO 18000-6C RFID communication. (a) Forward link, in which a reader sends a modulated request to a tag, which rectifies the incident wave to power its circuitry. (b) Return link, in which the tag reflects a modulated reply to the reader.

sensitivity requirements [6] and increases vulnerability to interference [7]. Reader specification and design for adequate sensitivity, which needs robust analysis of tag backscatter in realistic environments, is therefore becoming more important. Previous work has characterized the scattering performance of a tag with “differential radar cross section (RCS)” ( ) [8], ” [10], [11] or simply “RCS” [9], sometimes known as “ is exclusively in modu[1, p. 322], [12]. Response power in lation sidebands reflected around the carrier, proportional to tag antenna gain and circuit modulation efficiency. . SpeciIn practice, current tag datasheets do not give fying reader sensitivity therefore requires either good fortune or testing by each user. Standardized performance and conformance tests [11], [13] yield approximately 2 dB of uncertainty require sweeping across six degrees [14]. Complete tests of of freedom (DOF) in incident and scattered wave orientations, which may not be practical for low-cost, mass-produced tags. leaves difficulty modeling realistic Even well-measured return links. In fading environments, modulation efficiency is meaningful [12], but use of the tag’s antenna gain pattern measured in free space becomes complicated. Few works have addressed indoor backscatter fading theory [15], and empirical data is scant [16]–[20]. Fading behavior is complicated further by the nonlinearity of tag responses with incident power. Here, we propose a straightforward alternative for test and analysis of realistic monostatic return links. Sections II and III formulate a power parameter with network theory, assuming reciprocity as [12]. The result is equivalent to the parameter of [21] for tag fingerprinting and near-field sensing, expanded to include effects of tag load compression and reader mismatch. To experimentally validate the theory with small uncertainty, Section IV introduces a measurement testbed with a new calibration method. Finally, Section VI gives example test data with application to worst case return link analysis for ensuring reliable communication.

U.S. Government work not protected by U.S. copyright.

KUESTER et al.: SIMPLE TEST AND MODELING OF RFID TAG BACKSCATTER

2249

Likewise, available power from the tag antenna to the wave into the antenna with

is related

(2) where is loaded through by the reader. The exact expression for is long and uninformative, but if the reader is well matched or transmission coefficients are small. These and are therefore related to or by Fig. 2. Linearized -parameter model of reader and tag signaling. In return (impedances ). The tag modulation, the tag chip switches between (impedance ) to the antenna, loaded slightly by the reader, presents chip. Backscatter at ports 1 and 2 is produced by interaction between the tag antenna and the switching chip load.

II. NETWORK MODEL OF POWER HARVESTING Here, we describe forward link operation in the general case with network theory. These expressions describe well-understood properties of this link, but we need to state and define them here to be able to express the limitations of the backscatter model in Section III. A network model of interaction between a reader and tag is illustrated in Fig. 2, following [12] and [22]. -parameter network contains all transmission effects between reader ports and a tag chip, including cables, antennas, and propagation effects. Ports 1 and 2 of are attached to the monostatic or bistatic reader. Port 3 is the interface between the tag’s chip and antenna. The reader transmits into ports 1 or 2; each is assumed not to load the other. This paper contains -parameter traveling waves and not power waves often found in RFID literature. These incident , for rms voltage wave and reflected waves are phasors . Normalization impedance is assumed to be real and the same at all ports. The reflection coefficient looking into some is then . Dependence on frequency in this paper is implicit and not shown for any , , or power.

A. Nonlinear Operating Point Realistic nonlinear and vary with power and feed impedance. Most generally, the feed must be considered both at the carrier and its harmonics. We neglect these harmonics, however, under the assumption that they are filtered at the chip output to avoid out-of-band reflections. Therefore, we use “large-signal” network parameters at the carrier frequency. This requires a power quantity to use as an operating point. is impractical to measure, so instead we detail how remotely measurable operating point can be defined relative to the turn-on power. Available transmit power from the reader ( ) is related to -parameter traveling waves from either port ( ) with [23] (1)

(3) State-of-the-art commercial tag chip sensitivity (minimum peak power delivered for turn-on) is on the order of 15 dBm. This is far above the noise floor, so the link is limited by power and not by signal-to-noise ratio (SNR). As a result, there is a sharply defined power available from the tag antenna, , where the tag load is at the turn-on threshold. Any can be expressed relative to this as . Excess power can be interpreted as “power level relative to turn-on.” If it is expressed in decibels, it can be read as “dB above turn-on.” A reader with adequate sensitivity can remotely detect whether a tag is on by detecting backscattered power at some power level

for

(4)

where is the minimum power to turn on. Because all terms on the right-hand side of (3) are linear, they are independent of operating point: . Therefore

(5) showing that the operating point has analagous meaning at the reader and inside the tag. This concept is exploited (though not explained) in ISO 18047-6 [11], prescribing measurements at (0.8 dB). Because wirelessly permits insight into power levels inside the tag, it is the basis for linearity test and analysis in this paper as well. B. Tag Match Efficiency The tag model shows the tag chip switching between a power harvesting rectifier load ( -parameter ) and a more reflective modulation state ( -parameter ). Realistic values are of the order of and [24]. We focus here on , which the chip presents most often to maximize time-averaged rectified power. Available power from the antenna ( ) is related to power delivered to the tag chip ( ) as , where is the

2250

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

tag matching efficiency between the antenna and the chip [25] and is given as

When excited by a steady , monostatic reader operation is equivalent to detecting changes in reflection coefficient, . Previous work [22] related this to tag circuit parameters through propagation network . At port 1, this is

(6)

(12)

If a chip and antenna are conjugate-matched, 0 dB, and all available energy from the antenna is delivered to the chip.

The left-hand side of (12) is related to transmit and backscattered power with (1) and (11) by (13)

C. Transmission Link Loss Transducer gain expresses the fraction of through [23] as

absorbed by

with the reader receive match coefficient (14)

(7) If the tag load’s detuning effect on the reader antenna is small, . With the reader transmit match coefficient, we have

, approaches 0 dB for well-matched reader at port 1. Like systems. The right-hand side of (12) resembles modulation efficiency [12], [26]

(8) and, from (6), we obtain (15)

(9) It is now possible to express reader and tag interfaces and as

entirely with terms at the

, modulation efficiency is bound by . Combining (10), (13), and (15) into (12) gives

For passive

(10) from (9),

, and

.

(16) , , and Finally, we cancel and rearrange substitute to define our figure of merit

III. BACKSCATTER MODEL

terms and as

A. Formulation We begin with analysis of monostatic operation. During a tag response, time-varying traveling wave (at ports 1 or 2) is reflected to the reader. This is an analytic signal corresponding to the modulated tag response. For bi-phase-shift-keyed (BPSK) RFID tag signaling, we idealize as switching instantaneously between two states separated by . The modulation power available to the reader is (11) We assume here that the reader antenna reflection coefficients are constant across the modulation bandwidth. The fast switching in contains broad harmonic content, so this assumption introduces error for any physically realizable antenna. This error may be negligible for antennas with low dispersion and flat over a broad bandwidth (relative to “most” power in the tag response power spectrum).

(17) To the extent that these parameters are independent of the environment, is independent of linear propagation effects at fixed frequency and . Analysis for bistatic reader operation proceeds in the same fashion and yields the same . In this case, however, refers to the reader’s receive port, where it is the hypothetical available power necessary to turn on the tag. This incorporates loss in the scattering path. Forward-link path loss is encapsulated in excess power , as through (10). If is approximately the same through both transmit and receive antennas, behaves approximately as in monostatic operation. B. Interpretation Use of through (17) and (18) applies to test and link analysis under the following conditions.

KUESTER et al.: SIMPLE TEST AND MODELING OF RFID TAG BACKSCATTER

1) Loss between reader and tag antennas loaded with are linear and causal with respect to power. 2) is flat across the backscatter bandwidth. 3) Tag turn-on is abrupt and repeatable at for each frequency and command. 4) and are independent of the tag. These assumptions are implicit in the remainder of the paper. Inventory tracking by definition requires that a tag is attached to an object. Taking this as the dominant environmental effect on tag tuning, can be considered a parameter of a “tagged object.” Complete tests with must investigate any physical effects that alter or as a function of frequency and . Tag circuit efficiencies (and therefore ) do not depend significantly on air protocol parameters [26]. is known to increase by several decibels for tag “write” operations, so is smallest for tag read operations (like queries and inventories). Tag antenna detuning may cause to either increase or decrease. is not equivalent to . Like , larger values of suggest larger typical . However, while characterizes a tag in complete isolation, describes the tag under the condition that the environment allows turn-on. This is why depends on and —they limit the maximum forward-link loss and therefore the maximum monostatic backscatter loss. One interpretation of is as a balance between forward and return links. Increasing transmission loss requires greater to turn on the tag. Correspondingly, the loss is reciprocal, so backscattered power received by the reader falls. Nature therefore maintains a tradeoff between these two links. In a fixed tag tuning state, changes in and are of equal and opposite magnitude. Increasing increases power available power for scattering by the tag and typically the backscatter received by the reader. C. Lower Bound for Monostatic Backscatter The link balance imposed by leads to its simplest and most powerful application to link analysis: the weakest backscattered power a monostatic reader can receive from a tag that is “on.” Since increases with above turn-on, the minimum nonzero backscattered power occurs at 0 dB. The corresponding minimum bound to backscattered power is dBm

0 dB dBm

dBm

(18)

Here, “ dBm ” is “dB relative to one square milliwatt” dBm

mW mW

(19)

Use of this unit is nonstandard, but allows to be determined from data “by inspection” by simply subtracting in dBm. Because they are proportional, trends in at turn-on are the same for through (18). For example, detuning that reduces reduces by the same amount. Likewise, measurement uncertainty in contributes the same uncertainty toward . As a result, of an assembled tag varies only with tag antenna tuning, frequency, and whether the tag reads or

2251

Fig. 3. (a) Test setup topology, with modulated power measurements of tag and reference scatter are referenced to the indicated calibration plane. (b) Calibration circuit block diagram.

writes. A link margin may be subtracted from estimates of when specifying reader sensitivity to account for detuning effects. IV. DESIGN OF A BACKSCATTER TESTBED The focus of this section is the design of a testbed to measure over the air with low uncertainty. Remote measurements of a tag’s require measurements of transmit power and backscattered power . To this end, we introduce a method to generate reference backscatter through a coupler to calibrate detected tag backscatter for accurate . A. Design Overview The testbed is described in the block diagram of Fig. 3(a). An interrogator transmits power and modulated query requests. Measurements use the circuit of Fig. 3(b) to calibrate results: coupled power measurements give , and reference modulation reflected to the input of the coupler calibrates from the device under test (DUT). \ An antenna on the right, selected with the transfer switch shown left of the calibration plane, transmits interrogation to the DUT. A spectrum analyzer detects backscatter through the receive antenna. Repeating measurements in each transfer switch state gives two bistatic measurements of turn-on power and , and therefore two results for . The two values are averaged together to reduce noise. The bistatic antenna topology maximizes carrier transmit/receive isolation. If the carrier at the spectrum analyzer is significantly stronger than the backscattered modulation, maintaining instrument linearity may require more attenuation, reducing backscatter measurement SNR and increasing noise uncertainty. Isolation between the two antennas is better than

2252

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

TABLE I TEST SIGNAL PARAMETERS

Fig. 5. Network analyzer calibration measurement of the change in transmisthrough the reference load modulation device of Fig. 3(b). sion coefficient The “validation” measurement is computed from measurements of each term of (12), with separate incident and return transmission coefficients.

C. Calibration Procedure Fig. 4. Illustration of gating applied to (a) coupled transmit power and and . Forward(b) DUT and reference backscatter baseband voltages link transmit modulation is shown coupled in (a) and leaked in (b) before measurements (performed during the shaded periods).

45 dB across 860–960 MHz in the unloaded anechoic environment and better than 30 dB when the chamber is loaded with a large metal plate. The spectrum analyzer and interrogator each have return loss greater than 25 dB, and the calibration circuit loaded by the antennas has return loss greater than 15 dB, so mismatch errors (and the discrepancy introduced by switching between the inputs) are below 0.06 dB (1.5%). A monostatic system could also be used, but would require an antenna with return loss greater than 30 dB over the test bandwidth or the additional complexity of a carrier cancellation circuit (e.g., [27]–[29]). B. Signals and Detection We use a commercial RFID test instrument to generate interrogation signals. Tests in this paper use the signaling parameters detailed in Table I. Each is approximately midway between the extrema permitted by [5]. Average power of the coupled interrogation wave during tag backscatter is gated as shown in Fig. 4(a). Turn-on and backscatter performance measured this way has been shown to be nearly independent of many modulation and coding parameters of the interrogation signal [26]. Commercial readers detect via in-phase and quadrature (IQ) demodulation of backscatter voltage. Likewise, our testbed detects demodulated IQ with a spectrum analyzer, gated as in Fig. 4(b). The demodulation bandwidth is set to 10 MHz, which is much larger than the 640-kHz maximum base link frequency (BLF) to shorten transient ringing at pulse edges. Averaged measurements of the demodulated complex voltages between neighboring modulation states are recorded as . Uncalibrated measurements of DUT and reference modulation are recorded as and .

into either port is measured by direcTransmit power tional coupler in the usual way. All ports of and the power sensor are matched to greater than 30-dB return loss across 860–960 MHz, so mismatch is neglected. The coupling factors and are measured by network analyzer. The power meter reading then gives transmit power as dBm

dBm

(20)

inserting the relevant coupling factor for . Measurement at DUT turn-on gives . Reference backscatter is achieved by modulating the solidstate switch in with a pulse generator set to the DUT BLF. It modulates after each DUT response until the interrogator stops emitting a CW tone. Sidebands about a carrier input into ports 1 or 2 are reflected back into both input ports, enabling monostatic or bistatic use. In selecting couplers for this application, the choice of affects only dynamic range; the balance between them is not important. High directivity is significant to minimize errors in (as in any coupled power measurement). These errors are within 0.06 dB, determined after sweeping phase shift on a 10 dB coupler load. We perform bistatic calibration by measuring between the coupler inputs with a network analyzer, at the reference plane in Fig. 3(a). The reference switching state is set with a dc voltage supply. We validate results by computing from measured parameters on the right-hand side of (12), shown in Fig. 5. Results agree to within 0.06 dB. We estimate the total uncertainty of at 0.25 dB based on analysis of manufacturer specifications. Reference backscattered power, including , is dBm

dBm

(21)

calibrated from (20). with Reference and DUT backscatter have narrow bandwidth about the same carrier, so linear, frequency-dependent losses

KUESTER et al.: SIMPLE TEST AND MODELING OF RFID TAG BACKSCATTER

2253

TABLE II TESTBED SPECIFICATIONS, 860–960 MHZ

Fig. 7. Mean and standard deviation of measured at eight positions in the to ) away from testbed antest zone, from 60 to 120 cm (approximately ) steps. At worst, standard deviation is tennas in 7.5 cm (approximately below 0.1 dB, which we believe is dominated by noise.

TABLE III EXPANDED UNCERTAINTY ESTIMATES FOR REPORTED

Fig. 6. Dynamic range tests of transmit and reference backscatter power, combining 860-, 910-, and 960-MHz results. Transmitting 2 to 29 dBm, linearity and noise errors are less than 0.1 dB. Backscatter noise is not zero-mean because the normalization is skewed by high-power compression.

are assumed to be the same for each. A calibration factor encapsulating these effects can be determined from as dB

dBm

Backscattered power from the DUT,

, is then dB

Finally, the measured data give dBm

(22)

(23)

as

dBm

dBm mW

mW (24)

D. Testbed Performance and Uncertainty Table II lists performance parameters of the testbed illustrated in Fig. 3. Because is proportional to , uncertainty in a tag’s FOM contributes the same uncertainty to backscattered power estimates in link analysis. System noise and linearity errors are estimated from input power sweeps of coupled interrogation power and reference modulation in Fig. 6. Within the specified 2–29-dBm transmit power range, these errors are within 0.1 dB. We chose a commercial coaxial switch specified with low linearity errors, which is validated by the low linearity error labeled “reference backscatter.” The range of measurable in the testbed depends largely on DUT placement in the test zone. Placing the tag co-polarized and in the main beam of the testbed antennas helps ensure turn-on and that backscatter is far above the 67 dBm testbed sensitivity. If 2 dBm, the tag must be moved farther

from the testbed antennas to maintain specified measurement linearity. Tags tested by the authors fall within 30 dBm 10 dBm , all measurable near the main beam of the 8-dBi antennas at 1 m. Future tags with smaller will tend toward smaller . To estimate the combined effects of noise and multipath in the anechoic environment, measurements of a commercial tag are swept with distance from the testbed antenna mount in Fig. 7. Deviation at each frequency point serves to demonstrate errors from a combination of thermal noise, truncation noise, and tag detuning by parasitic modes in the test zone. At worst, these errors have standard deviation of 0.1 dB. Assuming that they combine into normally distributed error, this is 0.2 dB standard uncertainty. These results contribute to the estimate of combined uncertainty of listed in Table III. The remaining uncertainty estimates are based on uncertainty analysis documentation provided by the manufacturer of the power sensor and network analyzer and with network analyzer verification impedance standards. The final combined uncertainty of 0.45 dB ( ) is computed from the quadrature sum of each uncertainty term as discussed in [22] (which assumes the largest errors are normally distributed). V. EMPIRICAL MODEL VALIDATION A tag built from a connectorized antenna and chip is shown in Fig. 8. It enables separate antenna and chip impedance measurements to validate the model without probe and bonding parasitics. A commercially available tag chip package, marketed as compliant with [5], is soldered directly onto an SMA connector. Its input impedance is transformed to near 50 within 860–960 MHz by single-stub matching. The antenna is a commercially available dipole tuned to 910 MHz with an integrated 2:1 balun. On-tag circuit parameter measurements for are not typically practical, but are necessary here to validate our model and

2254

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 8. Connectorized “validation tag,” stub-matched to 50 . Measurements are calibrated at the dashed line. The 15-cm dipole has an integrated wideband 10 dB across 860–960 MHz. 2:1 balun and

Fig. 10. Measured efficiency of the tag pictured in Fig. 8, at turn-on and at 0.8 dB. Measured data shown in (a) the 50- Smith chart were used to and . compute (b) matching and modulation efficiencies

Fig. 9. Measurement configuration for (a) , which is calibrated against . Power at network interfaces (dotted lines) are calibrated at by (b) power sensor.

testbed. Other work has addressed the problem of measuring the power harvesting impedance state [30], [31] with a network analyzer at a calibrated power level. Our network analyzer cannot excite or measure the time-varying reflective state , so we constructed a custom reflectometer like in [24] and [32]. First, the turn-on power into the chip network is determined by adjusting the power out of the interrogator in Fig. 9(a) and measuring power at the given interface with a peak power sensor as described in Section IV-B. Measurements of and , are performed at a fixed by network analyzer with 50- input like the reader. The sensitivity of the chip network is then computed from a power sensor measurement at the coupler output with , assuming that the coupler and reader are matched 50- sources. was within 0.2 dB of 13 dBm across the band. With known, reflections in each chip impedance state coupled into the spectrum analyzer can be compared to determine . If the coupler and instruments were ideally well matched, and the coupler had infinite directivity, the two reflection coefficients would be equal to the ratio of the complex IQ measurements at a given forward-wave voltage , (25) We also used the thorough directional coupler analysis in [33] to account for coupler directivity and mismatch uncertainty at the chip interface. Computing 0.8 dB and from (6) and (15) with the circuit measurements gives the performance summarized in Fig. 10. predicted by (17) from these measurements are compared against testbed measurements in Fig. 11. Fig. 11(a) shows a frequency sweep with the validation tag on a polystyrene table ( ). Fig. 11(b) compares predicted of the validation tag at three frequencies detuned by a 70 cm 70 cm aluminum

Fig. 11. Validation of (17) by measurements of . The setup detailed in are from parameters in Fig. 10. Sections IV gives “testbed” . “On-tag” Measurements in (a) an anechoic chamber normalize (b) detuning by an aluminum plate. All curves agree within the 0.5-dB testbed uncertainty.

plate. Circuit efficiencies were recalculated with measurements of the antenna reflection coefficient at each height. In all cases, the predicted agree to within 0.35 dB, which is within the 0.5-dB testbed uncertainty. VI. REALISTIC LINK TESTING A. Testing in Detuning Conditions We begin with measurements performed with the testbed in an anechoic chamber. Results, shown in Fig. 12, show frequency and linearity sweeps of for a commercial tag. This tag is the subject of tests for the remainder of this section. Of course, operation in practice will involve fading. Previous experimental work into an equivalent parameter [34] already suggests only slight variations. However, these measurements

KUESTER et al.: SIMPLE TEST AND MODELING OF RFID TAG BACKSCATTER

2255

Fig. 12. Measurements of for a commercial passive tag sample measured in an anechoic environment swept with (a) frequency (placed on polystyrene foam and a wooden box) and (b) power. Fig. 14. Shelf covered in metallic antenna mounting equipment to test detuning shown (a) from behind, with the ten test positions for the tagged object, and (b) from the side. Tests were performed on two tagged objects shown in (c): a polystyrene block (left), and a wooden test equipment box (right).

Fig. 13. Comparison of the stability of and received backscattered power for the same commercial passive tag as Fig. 12 near an aluminum plate.

use and from a commercial reader’s transmit power setting and received signal strength indicator (RSSI), for which we expect large linearity and repeatability errors. Therefore, with a focus on communication testing in reflective environments instead of sensing, and with the repeatable and linear testbed demonstrated by Fig. 7, we empirically investigate the extent of this detuning error. Consider backscatter “fading,” defined here as backscatter loss normalized to free space behavior. The theory developed in Section III predicts that should only depend on and not transmission loss. In contrast, the fading measurement depends on both . Therefore, should be more stable than fading in detuning conditions. Fig. 13 compares these near an aluminum plate in an anechoic chamber. Fading normalization is against measurements at the same tag position and operating point but without the aluminum plate. converges to within 1 dB (25%) of its free space value beyond 15 cm above the plate. In contrast, fading is still 10 dB at 30 cm above the plate. As a “realistic” example of this stability, tests were performed in a cluttered storage room. Ten positions were chosen for testing on top of metal scatterers strewn across a shelf, shown along with the tagged objects in Fig. 14. The tag is attached atop each object in Fig. 14(c), 15 cm above shelf clutter. Results are given in Fig. 15. At this range, as in the anechoic chamber near the aluminum plate, is stable to within 1 dB of its free space value.

Fig. 15. Detuning effects in the storage room pictured in Fig. 14 with the tag placed on (a) polystyrene foam and (b) wood, normalized to measurements in a semi-anechoic chamber.

Fig. 16. Contours of minimum backscattered power from the tag sample into a monostatic reader in any environment, highlighting two example points. Estimates use measured from Fig. 12 with 2.5-dB margin to account for measurement uncertainty and tag impedance detuning effects by the environment.

B. Minimum Power Bounds From Measurements We now have enough test data to bound monostatic backscatter from the tag. Inserting results from Fig. 12 into (18) gives contours for the bounds in Fig. 16.

2256

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 17. Inventory rate measurements for two commercial readers, frequencyhopping across 902–928 MHz. Results are averaged across 600 inventories after 29.5 dBm. warming 1 h in ambient 21 C at measured

Fig. 18. Inventory rates reported by the frequency-hopping readers of Fig. 17, measured in a warehouse environment. Rates are averaged across all channels containing detected tag responses.

Figs. 15 and 13 give us an idea for the stability of . If foreign objects are kept separated by at least 15 cm from the tag, the minimum may be stable to within 1 dB of the indicated value. For a more conservative “worst case” estimate, a “detuning margin” greater than 0.8 dB can be subtracted from the contour in Fig. 16. More specific tests for stability of can be tailored by application. C. Reader Sensitivity Test standards [11], [13] do not yet define reader sensitivity ( ) or suggest measurement techniques. For our tests, we arbitrarily define as “minimum power to achieve 50% inventory speed.” This could be defined differently for applications with different requirements. We performed inventories with the low-level reader protocol (LLRP), which is a standardized software protocol for controlling fixed readers that can operate with many commercial readers. We use an adapter to connect type-N connectors to our readers, which introduces small loss (below 1 dB). Contrasting measurement results from two different commercial readers in Fig. 17. We performed these sweeps by attenuating the response from our reference backscatter circuit. Each reader was configured to transmit 30 dBm, but for each we measured 29.5 dBm. The results suggest 72 dBm for “Reader 1” and 59 dBm for “Reader 2.” D. Predicting Performance Degradation With device test data, we begin to demonstrate the system-level effects of return link performance. In the readers’ 902–928-MHz band, Fig. 16 suggests minimum backscattered power at approximately 59 dBm. The sensitivity of Reader . This link 1 is therefore overspecified, 13 dB below should therefore be forward-link limited. Because of the tag’s sharp turn-on characteristic, inventory performance should be reliable if the tag can turn on. The sensitivity of Reader 2 is equal to , so we expect its inventory rate to deteriorate if the tag is near its turn-on threshold. In the presence of any detuning effects which reduce , the curve in Fig. 17 suggests rapid performance fall-off. We measured inventory rate swept with reader-tag range in a warehouse, shown in Fig. 18. Both the reader antenna (a 6-dBi circularly polarized patch antenna) and the tag (mounted on foam) were 1 m above a concrete floor and propagated using a

Fig. 19. Sample tags’ , measured in an anechoic chamber plotted against estimated year of manufacture. The size of each circle is proportional to the size of the tag. The black line at each point shows the range of measured across 860–960 MHz. Each color represents a different manufacturer.

line-of-sight nethod. Total interference within all 902–928-MHz channels with this antenna was below 80 dBm with the spectrum analyzer. As expected, Reader 1 reported an effectively constant inventory rate when the tag turned on. Reader 2 shows slightly decreased inventory performance at long range, with an outlier at 20 m that may be caused by detuning or spurious interference. The trend is not monotonic because of multipath effects. E. Performance Trends Reader 2 performance at distance did degrade, but the effect was slight—inventory rate was typically above 60% when the tag turned on. It is tempting to conclude from this that return link performance may not be important. To give some context, measurements of for some other tag samples are plotted in Fig. 19 against estimated year of manufacture. Because tag chips’ has fallen, is falling too—roughly 10 dB in five years. At 29.5 dBm, the newest tag would return a minimum 62 dBm. According to Fig. 17, Reader 2 would detect this tag at a mere 3% of its maximum rate. If future tags continue the trend of Fig. 19, the return link will soon become the dominant constraint upon passive RFID communication. VII. CONCLUSION This paper has considered in detail how the parameter can describe the balance between forward and return link performance. We have validated the proposed relationship to within

KUESTER et al.: SIMPLE TEST AND MODELING OF RFID TAG BACKSCATTER

0.5 dB measurement uncertainty with a novel backscatter measurement calibration. We have also demonstrated how the parameter applies to specifying adequate monostatic reader sensitivity to help ensure reliable inventory performance in realistic environments. This model and calibration device together enabled improved measurement accuracy. Standardized tests, with estimated uncertainty of 2 dB, are dominated by uncertainty involving antenna radiation characteristics, which are difficult and costly to measure. Even without recalibrating demodulated backscatter from a spectrum analyzer, would have uncertainty of the order of 1 dB. With the new calibration method, this is further improved to within 0.5 dB. Our testbed is more complex and costly than necessary for commercial testing. A simpler monostatic test setup would require only: 1) calibrated ; 2) detection with a “reasonably linear” IQ demodulator; and 3) the calibration device proposed in this paper. In practice, this could be nearly as accurate as that proposed in this paper. One-time calibration with the new reference device needs a single use of a network analyzer. If tag and reader manufacturers provided return link characterization data, customers could predict return link performance before purchasing equipment. Tag vendors wishing to offer meaningful performance data can specify the minimum backscattering bound for parameters based on anticipated uses under national regulations. For example, in the United States, might be specified across 902–928 MHz at 33 dBm (anticipating regulatory compliance with 3 dB of cable loss). A datasheet could provide values with the tag mounted on a variety of different objects. ACKNOWLEDGMENT The authors would like to thank R. Direen and J. Coder, NIST, Boulder, CO, and Prof. E. Kuester, University of Colorado at Boulder. Portions of this work performed by United States government employees are not subject to U.S. copyright. REFERENCES [1] D. M. Dobkin, The RF in RFID: Passive UHF RFID in Practice. Amsterdam, The Netherlands: Elsevier-Newnes, 2008, p. 7, 211. [2] A. Glinsky, Theremin: Ether Music and Espionage. Champaign, IL: Univ. of Illinois, 2000, pp. 259–273. [3] J. Richmond, “A modulated scattering technique for measurement of field distributions,” IRE Trans. Microw. Theory Tech., vol. 3, no. 4, pp. 13–15, 1955. [4] M. W. Cardullo and W. L. Parks III, “Transponder Apparatus and System,” U.S. Patent 3 713 148, 1973. [5] “Radio frequency identification for item management — Parameters for air interface communications at 860 MHz to 960 MHz,” Geneva, Switzerland, 2010, ISO/IEC 18000-6. [6] D. G. Kuester, D. R. Novotny, J. R. Guerrieri, and Z. Popovi, “Testing passive UHF tag performance evolution,” in Proc. IEEE Conf. RFID-TA, 2011, pp. 554–560. [7] M. Souryal, D. Novotny, D. Kuester, and J. Guerrieri, “Impact of RF interference between a passive RFID system and a frequency hopping communications system in the 900 MHz ISM band,” in Proc. IEEE Symp. Electromagn. Compatibil., 2010, pp. 495–501. [8] P. Nikitin and K. Rao, “Theory and measurement of backscattering from RFID tags,” IEEE Antennas Propag. Mag., vol. 48, no. 6, pp. 212–218, Jun. 2006. [9] A. Pouzin, T. P. Vuong, S. Tedjini, M. Pouyet, and J. Perdereau, “Bench test for measurement of differential RCS of UHF RFID tags,” Electron. Lett., vol. 46, no. 8, pp. 590–592, Apr. 2010.

2257

[10] S. Skali, C. Chantepy, and S. Tedjini, “On the measurement of the delta ) for UHF tags,” in Proc. Int. Conf. RFID, radar cross section Apr. 2009, pp. 346–351. [11] Radio Frequency Identification Device Conformance Test Methods — Test Methods for Air Interface Communications at 860 MHz to 960 MHz, ISO/IEC Standard 18047-6, 2006. [12] J. Bolomey, S. Capdevila, L. Jofre, and J. Romeu, “Electromagnetic modeling of RFID-modulated scattering mechanism. Application to tag performance evaluation,” Proc. IEEE, vol. 98, no. 9, pp. 1555–1569, Sep. 2010. [13] Radio Frequency Identification Device Performance Test Methods — Test Methods for Tag Performance, ISO/IEC 18046-3, 2007. [14] A. Pouzin, T. P. Vuong, S. Tedjini, M. Pouyet, J. Perdereau, and L. Dreux, “Determination of measurement uncertainties applied to the RCS and the differential RCS of UHF passive RFID tags,” in IEEE APS Int. Symp. Dig., 2009, pp. 1–4. [15] J. Griffin, “Multipath fading measurements at 5.8 GHz for backscatter tags with multiple antennas,” IEEE Trans. Antennas Propag., vol. 58, no. 11, pp. 3693–3700, Nov. 2010. [16] L. W. Mayer, M. Wrulich, and S. Caban, “Measurements and channel modeling for short range indoor UHF applications,” in Proc. Eur. Conf. Antennas Propag., Nov. 2006, vol. 2006, pp. 1–5. [17] H. Wang, C. Pei, and C. Zhu, “A link analysis for passive UHF RFID system in LOS indoor environment,” in Proc. Int. Conf. Wireless Commun., Networking Mobile Computing, Oct. 2008, pp. 1–7. [18] K. Y. Jeon and S. H. Cho, “Performance of RFID EPC C1 Gen2 anticollision in multi-path fading environments,” in Proc. 2nd Int. Conf. Commun. Theory, Reliability, Quality Service, Jul. 2009, pp. 125–128. [19] W. Su, K. Beilke, and T. Ha, “A reliability study of RFID technology in a fading channel,” in Conf. Rec. Signals, Systems Computers, 2008, pp. 2124–2127. [20] J. Jung, J. Hwang, Y. Moon, H. Kwak, H. Roh, J. Park, and M. Kang, “Multipath fading measurement on the circularly propagated UHF RFID reader antennas in a practical area,” in Proc. Asia–Pacific Symp. Electromagn. Compatibil., 2008, pp. 315–318. [21] G. Marrocco, “RFID grids : Part I — Electromagnetic theory,” IEEE Trans. Antennas Propag., , vol. 59, no. 3, pp. 1019–1026, Mar. 2011. [22] D. Kuester, D. Novotny, J. Guerrieri, R. Direen, and Z. Popovic, “Reference modulation for calibrated measurements of tag backscatter,” in Proc. IEEE Conf. RFID, 2011, pp. 154–161. [23] D. Kerns and R. Beatty, Basic Theory of Waveguide Junctions and Introductory Microwave Network Analysis. Oxford, U.K.: Pergamon, 1967, pp. 56–60. [24] L. Mayer and A. Scholtz, “Sensitivity and impedance measurements on UHF RFID transponder chips,” in Proc. Int. EURASIP Workshop RFID Technol., 2007, pp. 1–10. [25] R. Beatty, “Insertion loss concepts,” Proc. IEEE, vol. 52, no. 6, pp. 663–671, Oct. 1964. [26] P. Nikitin and K. Rao, “Effect of Gen2 protocol parameters on RFID tag performance,” in Proc. Int. Conf. RFID, Apr. 2009, pp. 117–122. [27] T.-W. Xiong, X. Tan, J.-t. Xi, and H. Min, “High TX-to-RX isolation in UHF RFID using narrowband leaking carrier canceller,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 2, pp. 124–126, Feb. 2010. [28] H.-S. Jang, W.-G. Lim, and J.-W. Yu, “Transmit/receive isolator for UHF RFID reader with wideband balanced directional coupler,” in Proc. Asia–Pacific Microw. Conf., Dec. 2009, pp. 233–236. [29] W.-G. Lim, S.-Y. Park, W.-I. Son, M.-Q. Lee, and J.-W. Yu, “RFID reader front-end having robust Tx leakage canceller for load variation,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 5, pp. 1348–1355, May 2009. [30] P. Nikitin, K. Rao, R. Martinez, and S. Lam, “Sensitivity and impedance measurements of UHF RFID chips,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 5, pp. 1297–1302, May 2009. [31] X. Qing, C. K. Goh, and Z. N. Chen, “Impedance characterization of RFID tag antennas and application in tag co-design,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 5, pp. 1268–1274, May 2009. [32] H. Yojima, Y. Tanaka, Y. Umeda, O. Takyu, M. Nakayama, and K. Kodama, “Dynamic impedance measurement of UHF passive RFID tags for sensitivity estimation,” in Proc. Int. Symp. Commun. Inf. Technol., 2010, pp. 344–349. [33] R. W. Beatty and D. M. Kerns, “Recently developed microwave impedance standards and methods of measurement,” IRE Trans. Instrum., vol. I-7, no. 3, pp. 319–321, Dec. 1958. [34] S. Caizzone, “RFID grids : Part II — Experimentations,” IEEE Trans. Antennas Propag., vol. 59, no. 8, pp. 2896–2904, Aug. 2011.

2258

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Daniel G. Kuester (S’11) was born in Boulder, CO, in 1984. He received the B.S.E.E. and B.M. degree in music performance simultaneously and M.S.E.E. degree from the University of Colorado, Boulder, in 2007 and 2010, respectively, where he is currently working toward the Ph.D. degree. He is currently with the National Institute of Standards and Technology, Boulder, CO, under a research fellowship, where he is working with the RF fields group in the electromagnetics division. His work has focused on electromagnetic metrology, modulated scattering, power harvesting, interference, and link reliability.

David R. Novotny was born in Minneapolis, MN, in 1968. He received the B.S.E.E. and M.S.E.E. degrees from the University of Colorado, Boulder. His graduate work focused on designing specialized, nonperturbing dielectric mounts for antennas. He is currently with the Physical Measurement Laboratory, National Institute of Standards and Technology, Boulder, CO, where his major research topics include RFID, antenna design and calibration, and millimeter-wave and terahertz measurement systems.

Jeffrey R. Guerrieri received the B.S. degree in electrical engineering from Colorado State University, Fort Collins, in 1986. He has been with the Electromagnetics Division, National Institute of Standards and Technology, Boulder, CO, for 25 years. Most of this time was spent in the Antenna Laboratory performing near-field measurements and implementing new measurement systems. He is currently the leader of the Antenna Metrology Project, which has expanded into EMC and RFID Technologies. Mr. Guerrieri is a member of the Antenna Measurement Techniques Association, of which he was president in 2009. He received the Department of Commerce Gold Medal in 2007 and the Department of Commerce Bronze Medal twice in 2009.

Aniwar Ibrahim received the B.S. degree in electrical engineering from the University of Texas at Austin in 2010. During the summer of 2009, he was with the National Institute of Technology, Boulder, CO, under the Summer Undergraduate Research Fellowship (SURF) program in the RF Antenna Laboratory.

Zoya B. Popović (S’86–M’90–SM’99–F’02) received the Dipl.Ing. degree from the University of Belgrade, Serbia, Yugoslavia, in 1985, and the Ph.D. degree from the California Institute of Technology, Pasadena, in 1990. Since 1990, she has been with the University of Colorado, Boulder, where she is currently a Distinguished Professor and holds the Hudson Moore Jr. Chair in the Department of Electrical, Computer and Energy Engineering. In 2001, she was a Visiting Professor with the Technical University of Munich, Munich, Germany. Since 1991, she has graduated 42 Ph.D. students. Her research interests include high-efficiency, low-noise, and broadband microwave and millimeter-wave circuits, quasi-optical millimeter-wave techniques for imaging, smart and multibeam antenna arrays, intelligent RF front ends, and wireless powering for batteryless sensors. Prof. Popovic was the recipient of the 1993 and 2006 Microwave Prizes presented by the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) for the best journal papers, and received the 1996 URSI Issac Koga Gold Medal. In 1997, Eta Kappa Nu students chose her as a Professor of the Year. She was the recipient of a 2000 Humboldt Research Award for Senior U.S. Scientists from the German Alexander von Humboldt Stiftung. She was elected a Foreign Member of the Serbian Academy of Sciences and Arts in 2006. She was also the recipient of the 2001 Hewlett-Packard/American Society for Engineering Education Terman Medal for combined teaching and research excellence.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

2259

Broadband Tissue-Equivalent Phantom for BAN Applications at Millimeter Waves Nacer Chahat, Student Member, IEEE, Maxim Zhadobov, Member, IEEE, and Ronan Sauleau, Senior Member, IEEE

Abstract—The extension of body area networks from microwaves to millimeter waves requires to develop experimental phantoms emulating the dielectric properties of human skin for the accurate, reproducible, and well-controlled characterization of wearable antennas, on-body propagation channel, and absorption of the electromagnetic power by the human body. Here we introduce a broadband skin-equivalent semisolid phantom whose composition is optimized to coincide with measured values of the human skin permittivity in the 55–65-GHz range. To confirm the accuracy of this phantom, specific absorption rate measurements are performed at 60 GHz using a temperature-based approach. An excellent agreement between the experimental and numerical results is demonstrated. Index Terms—Body-area network (BAN), body-centric wireless communications, experimental phantom, millimeter waves (MMWs), specific absorption rate (SAR).

I. INTRODUCTION

B

ODY-CENTRIC wireless communication systems refer to wireless networking between wearable and/or implanted sensors and another sensor located on, off, or in the body. Near-future applications include personal healthcare, entertainment, identification systems, sport, smart home, space, and military applications [1], [2]. Several studies have been conducted in various directions, including the design and optimization of on- and off-body wearable antennas [3]–[7], the characterization of the on-body channel [8], the analysis of the effects of the human body on wireless links [9], [10], and on-body diversity studies [11]. Although wearable antennas for body area networks (BANs) have been characterized on the body up to 17 GHz [12], most of the research works have been focused on industrial–scientific–medical (ISM) bands at 2.45 GHz [13] and 5.5 GHz [14], and on ultra-wideband (UWB) applications [5], [6]. At these frequencies, the on-body channel has been characterized extensively, e.g., [1], [6], [8], [15]. Manuscript received April 03, 2012; accepted April 06, 2012. Date of publication May 16, 2012; date of current version June 26, 2012. This work was supported by the Agence Nationale de la Recherche (ANR) under Grant ANR-09-RPDOC-003-01 (Bio-CEM Project) and Grant ANR-09-VERS-003 (METAVEST Project), and by the Centre National de la Recherche Scientifique (CNRS). The authors are with the Institute of Electronics and Telecommunications of Rennes (IETR), Unité Mixte de Recherche (UMR), Centre National de la Recherche Scientifique (CNRS) 6164, University of Rennes 1, 35042 Rennes, France (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2195196

Although many innovations for on-body communication technologies have emerged recently, it is still not possible to reach very high data rates. Developing BAN in the 60-GHz band is considered as a promising solution to overcome this limitation. This solution also offers several advantages compared to BAN at lower frequencies [16], namely, (1) confidentiality and low interference with neighboring networks due to the oxygen resonance around 60 GHz, and (2) reduced size of the on-body equipment. Recently, two 60-GHz antennas for on-body communications have been introduced [17], [18]. The interactions of millimeter waves (MMWs) with the human body have been also reviewed in [19]. In order to experimentally evaluate the performance of on-body antenna systems in -band, it is necessary to develop appropriate tissue-equivalent phantoms. Such phantoms can also be used to investigate the on-body channel in a reproducible and well-controlled manner. Recent studies have demonstrated that accurate realistic phantoms are crucial for the reliable characterization of the body-centric propagation channel at 60 GHz [20]. Indeed, the close proximity of an antenna with the human body may result in significant changes in the input impedance, radiation patterns, and antenna efficiency. Besides, measuring the energy absorption induced in real human bodies by on-body antennas is very challenging and involves some ethical issues. Furthermore, the experimental results might fluctuate due to the morphological inter-individual differences and variations of the dielectric properties of biological tissues, inducing thereby reproducibility problems [21]. Moreover, replacing the human body by a phantom is very convenient to measure radiation patterns and enables to overcome feeding problems, thereby facilitating on-body characterization [5]. At frequencies below 10 GHz, three types of experimental phantoms have been considered, namely, the liquid, semisolid, and solid ones. First, liquid phantoms require a container to hold the fluid, which does not allow the measurement of the specific absorption rate (SAR) on the phantom surface. They are well suited for measurements in the 30-MHz–6-GHz range [1], [22] but cannot be used at millimeter range because of the shell. Semisolid phantoms then do not require any bounding container and have been used for antenna and on-body channel characterization. Today, they are available for frequencies below 3 GHz (muscle, brain, and skin phantom) [23] and also cover the 3.1–10.6-GHz band (2/3 muscle equivalent phantom) [24]. Besides, a skin equivalent phantom has been introduced recently at 10 GHz [25]. Finally, solid phantoms can be designed to fit a wide range of complex permittivities [26], [27]. Compared to liquid and semisolid phantoms, solid phantoms provide longer life span with stable dielectric and mechanical

0018-9480/$31.00 © 2012 IEEE

2260

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

properties over a long time. However, such phantoms are expensive and require special and expensive equipment, along with specific high-temperature ( 260 C) and high-pressure manufacturing procedures. The main purpose of this work is to propose an experimental skin-equivalent phantom mimicking accurately the dielectric properties of the human body surface in the 55–65-GHz range. The composition of the phantom was briefly outlined in [28]. In this paper, the fabrication procedure is detailed and critical steps are discussed. Besides, the optimization procedure of its dielectric properties is explained in detail. The influence of variations of the skin permittivity on the reflection/transmission, PD, and SAR is quantitatively investigated. Furthermore, the phantom is experimentally validated trough a dosimetric study. It is demonstrated that the phantom can be used as an accurate experimental model for the power absorption and SAR measurements, representing a very convenient alternative to the direct measurements on the skin [29]. This paper is organized as follows. In Section II, we describe the experimental setup implemented to measure the skin and phantom permittivity. The measured complex permittivity values of the human skin are provided from 55 to 65 GHz. The fabrication and characterization procedures of the proposed semisolid phantom are detailed in Section III. Its dielectric properties are validated by measurements, and results of the SAR measurements are given in Section IV. Finally, conclusions are drawn in Section V. II. MEASUREMENTS OF THE HUMAN SKIN PERMITTIVITY As more than 90% of the energy transmitted to the human body is absorbed by skin at 60 GHz [19], it is necessary to determine the dielectric properties of the human skin in order to build 60-GHz equivalent phantoms. In this section, we summarize the data available in the literature and provide new experimental results. A. Review of the Skin Permittivity Data at MMWs In contrast to frequencies below 20 GHz, the already-existing data on the relative permittivity of human tissues at MMWs is very limited. In addition, the results reported thus far in the literature strongly depend on the measurement technique, the sample type (in vivo or in vitro study), and other experimental conditions such as skin temperature, location on the body, and thickness of different skin layers. Gandhi and Riazi [30] and Alabaster [31] performed in vitro measurements. The results presented by Gandhi and Riazi at 60 GHz [30] were obtained using a Debye model relying on measurements performed on rabbit skin at 23 GHz, whereas Alabaster used a free-space technique on excised samples of skin at 60 GHz [31]. Gabriel et al. [32] reported extrapolated complex permittivity values up to 110 GHz based on in vivo human skin measurements performed below 20 GHz. They reported two skin models, namely, wet skin and dry skin. For the wet skin data, they used a gel to moisture the skin. Human skin under normal environmental and physiological conditions corresponds to dry

TABLE I OVERVIEW OF THE SKIN DIELECTRIC PROPERTIES AT 60 GHz

skin model. As expected, the complex permittivity of wet skin is higher than that of dry skin. Alekseev and Zizkin [33] performed in vivo reflection measurements using an open-ended waveguide and proposed homogeneous and multilayer human skin models fitting the experimental data. Using a new in-vivo measurement technique based on heating kinetics, we have characterized the skin dielectric properties [34] at MMWs. The penetration depth of skin reported in [34] equals 0.48 mm, which corresponds, following the methodology detailed in this paper, to the complex permittivity shown in Table I. The first six lines of Table I provide a summary of the data previously reported at 60 GHz. These results show that the literature data vary significantly from one study to another depending on the sample type. Besides, since the skin consists of approximately 65% of free water [35], its complex permittivity is strongly dispersive and temperature dependent [36]; this should be also taken into account for definition of an accurate skin permittivity model. Whereas the skin temperature under normal environmental conditions is around 32.5 C, the skin permittivity of in vitro measurements is given for different temperatures, e.g., [30] and [31]. Besides, water content of in vitro skin samples might vary depending on the measurement protocol. Obviously, the most realistic skin permittivity models correspond to in vivo measurements (i.e., Gabriel et al. [32] (dry skin), Alekseev and Zizkin [33], and Chahat et al. [34]). It is worthwhile to note that these data are in a good agreement (Table I). To validate our measurement technique and obtain reference data for the skin-equivalent phantom, we performed a measurement campaign on a group of volunteers. B. Setup for Permittivity Measurements The experimental setup implemented to measure the complex permittivity of the human skin (Fig. 1) includes: 1) an Agilent 8510C 45-MHz–110-GHz vector network analyzer (VNA) operated by a PC with Agilent 85070 software through the Agilent 82357A GPIB interface; 2) an Anritsu 3670V50 coaxial cable (dc–70 GHz); and 3) an open-ended coaxial slim probe. This probe has been recently designed by Agilent Technologies, Santa Clara, CA, for permittivity measurements of lossy liquids

CHAHAT et al.: BROADBAND TISSUE-EQUIVALENT PHANTOM FOR BAN APPLICATIONS AT MMWs

2261

TABLE II POWER REFLECTION COEFFICIENT, PD, AND SAR IN THE SKIN FOR DIFFERENT DIELECTRIC MODELS AT 60 GHz (INCIDENT mW/cm )

Fig. 1. Experimental setup used to measure the skin permittivity.

Fig. 2. Comparison of our experimental result for the wrist skin permittivity ) with Gabriel et al. (dry skin) and Alekseev and Ziskin models. ( Error bars represent 5% deviations around Gabriel’s reference values.

and compared with Gabriel et al. [32] and Alekseev and Ziskin [33] data. A very good agreement is demonstrated for the three data sets. The absolute deviations compared to Gabriel’s data at 60 GHz are 0.5% and 3.7% for the real and imaginary parts, respectively. It is worthwhile to note that these results are different from the skin permittivity model previously reported by our research team [38] as here an improved calibration procedure has been used [34]. However, the data reported here have been also recently confirmed using the measurement technique based on the heating kinetic [34], as shown in Table I. The measured skin permittivity can be described by a Debye equation with a single relaxation time equal to that of free water at the same temperature [33] (1)

and semisolids up to 67 GHz. The accuracy of this tool has been recently demonstrated [37]. Before measuring the skin permittivity, the experimental setup is calibrated using three standard materials, namely, the surrounding air, a short circuit, and distilled water with known complex permittivity. Specific care has been taken to ensure a perfect contact between the probe tip and the sample under test to avoid any air gap that could alter the measurement accuracy. All experiments have been performed under normal environmental conditions. A Reflex optical fiber thermometer (NEOPTIX, Québec, QC, Canada) with a reproducibility of 0.05 C and accuracy of 0.5 C have been used to monitor locally the temperature of samples.

[Hz] is the frequency, In this equation, is the magnitude of the dispersion of the free water fraction of skin, is the permittivity at is the optical permittivity, F/m, and [S/m] is the ionic conductivity. The optimized parameters that fit to the measured permittivity in the 55–65-GHz range are the following: s, and S/m. The graphical representation of this model is not provided as a perfect fit with the experimental data is obtained over 55–65-GHz range. These Debye parameters are necessary for an accurate representation of the skin dielectric properties in electromagnetic software such as CST MWS or SEMCADX.

C. Measurements of the Human Skin Permittivity

D. Influence of Small Variations of the Skin Permittivity on the Reflection/Transmission, PD, and SAR

has been measured The skin permittivity on seven subjects at two specific locations, namely, the wrist and forearm. All volunteers were informed about the purpose of the measurements and have a solid background in electromagnetics. Four measurements have been performed with the same calibration at each location and for each volunteer. Thus, 28 measurements are available in total for each location. The skin temperature is equal to 32.5 0.5 C. Measurements performed from 55 to 65 GHz have demonstrated very small variations between the skin permittivities at wrist and forearm (difference lower than 4%). Therefore, only one model defined by the average of all measurements performed at wrist is considered here. It is represented in Fig. 2

Here we analytically investigate the impact of the differences between the skin permittivity models given in Table I upon: 1) reflection from the skin under normal incidence; 2) peak power density (PD) and peak SAR on the skin surface; and 3) penetration depth. The results are provided in Table II for a semi-infinite homogeneous skin model with a density of 1090 kg/m . They demonstrate a very good agreement for all considered parameters calculated for our, Gabriel et al., and Alekseev and Ziskin models. The attenuation of the PD within skin is plotted in Fig. 3 for an incident PD of 1 mW/cm . Again, very slight differences are observed between our, Gabriel et al., and Alekseev and Ziskin models. At 1 mm under the skin surface, the absorbed power is

2262

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 4. Skin-equivalent phantom representing an arm and a hand. (a) Solidified phantom in an alginate mold. (b) Final phantom several hours after fabrication. Fig. 3. Attenuation of the PD in the skin for an incident PD of 1 mW/cm at 60 GHz and for different dielectric models.

attenuated by more than 98%. This means that the thickness of the phantom can be limited to several millimeters in practice. III. SKIN-EQUIVALENT PHANTOM IN THE 55–65-GHz RANGE A. Composition of the Phantom The main components employed for the fabrication of the proposed semisolid skin-equivalent phantom are the following. 1) Deionized water. Water is the main constituent of the phantom because it is also the main skin component [35]. It primarily determines the dispersive behavior of the phantom. 2) Agar. It is employed for the retention of self-shaping, and its contribution to the phantom dielectric properties is negligible for small concentrations (typically below 4%) [34]. 3) Polyethylene powder. It is used to tune the real and imaginary parts of the phantom permittivity. 4) TX-151. Since the agar and polyethylene powder cannot be mixed directly, the viscosity is increased using TX-151. 5) Sodium azide NaN . It serves as a preservative. B. Phantom Fabrication The fabrication steps are the following. Deionized water, sodium azide, and agar are mixed in a kettle and heated on a stove, while the mixture is continuously stirred. When this liquid starts boiling, heating is stopped. TX-151 is sprinkled into the liquid and quickly mixed. The polyethylene powder is then added into the stirred liquid. Finally, the obtained mixture is poured into a mold and cooled in the same container for a few hours to room temperature for solidification. Using alginate gel powder, molds with realistic body-specific shapes can be manufactured for the phantom fabrication, as illustrated in Fig. 4. Particular attention should be paid to the following critical points. First, to avoid strong dielectric deviation from one phantom to another, the room temperature should remain identical (in our case, 20 1 C) during the fabrication and further measurements. Second, the type of polyethylene powder is important; we recommend using particles with an average diameter of 20 m and low density 900– kg/m . Finally, to preserve the dielectric properties of the phantom over time, it is important to avoid water evaporation since this would

Fig. 5. Comparison between the complex permittivity of distilled water ( ) at 20 C. and a saline (NaCl) solution (

)

result in a decrease of the permittivity. This can be for instance achieved by wrapping the phantom in a plastic film. C. Adjustment of the Electrical Properties of the Phantom Similar components have been used for the fabrication of phantoms below 11 GHz [23]. At these frequencies, sodium chloride (NaCl) is commonly used to increase the imaginary part of the permittivity. However, at MMWs, the use of NaCl is meaningless, as the ionic conductivity does not affect the complex permittivity above 25 GHz. This is demonstrated in Fig. 5 where the complex permittivity of an NaCl solution (0.5 mol/L) is compared to the one of distilled water. At MMWs, the complex permittivity of the phantom can be adjusted by tuning the concentration of the polyethylene powder (Fig. 6). The best fit with our model is obtained for a concentration of polyethylene powder of 20%. The amount of Agar and TX-151 has been optimized to obtain a homogeneous semisolid phantom for this amount of polyethylene powder. The composition leading to the best agreement with the reference permittivity values is given in Table III, and the corresponding permittivity of the phantom in the 55–65-GHz range is represented in Fig. 7. The phantom permittivity measured at 60 GHz equals to . Compared to the measured skin values, errors of 7.7% and 8.6% are found, respectively, for the real and imaginary part of the permittivity, whereas they respectively equal to 7.3% and 4.6% compared to Gabriel et al. data. These small deviations are acceptable for antenna measurement and dosimetric studies since they lead to negligible variations of the power reflection coefficient at the phantom/air in-

CHAHAT et al.: BROADBAND TISSUE-EQUIVALENT PHANTOM FOR BAN APPLICATIONS AT MMWs

Fig. 6. Dielectric properties of phantoms at 60 GHz for different concentrations of polyethylene powder at 20 C. Target values correspond to the measured permittivity of skin (see Section II.C).

TABLE III COMPOSITION OF THE PROPOSED SKIN-EQUIVALENT PHANTOM

2263

[22] shows variations of 11% within the 0.3–6-GHz range, and the carbon–silicone phantom [27] properties fall within 15% of the target values in the 0.6–6-GHz range. Finally, in order to assess the life time of the proposed phantoms, it was wrapped in a plastic film, placed in a plastic case to prevent from drying, and kept at room temperature for four weeks. The complex permittivity of the phantom was measured once a week during one month. No significant change was observed, confirming thereby the stability of the phantom. Other solutions could be explored to obtain more accurate dielectric and/or mechanical properties. For instance, it would be interesting to investigate the feasibility of carbon phantom in the millimeter-wave band [26], [27]. However, such phantoms require special and expensive equipment whereas the proposed phantom is inexpensive, easy to fabricate in laboratory environments, and provides sufficient accuracy for reliable on-body antenna measurements and dosimetric studies. IV. VALIDATION OF THE PHANTOM BY SAR MEASUREMENTS To confirm the relevance of the proposed skin-equivalent phantom for experimental dosimetry, the SAR is measured on the phantom surface using an infrared (IR) camera. A. Setup for SAR Measurements

Fig. 7. Measured skin permittivity (•) and dielectric properties of the proposed phantom ( ) in the 55–65-GHz range. Error bars represent 10% of the measured skin permittivity.

terface (1.4% for the normal incidence at 60 GHz) and of the penetration depth (8%) compared to skin. Furthermore, they result in SAR deviations of only 6.8% and 4.5% compared to the measured skin permittivity and Gabriel et al. data, respectively. As similar variations are experienced for different locations on the body and due to the inter-individual changes (because of the difference of water concentration in tissues), this phantom can be used successfully as a representative body surface model for antenna measurement, on-body channel characterization, and determination of the power absorption in the body. It is worthwhile to note that the accuracy of this phantom is sufficient for dosimetric studies and similar to the one of phantoms developed at microwaves. For instance, the phantom proposed in [24] shows discrepancies of % within the 3.1–10.6-GHz range, the broadband tissue-equivalent liquid

The experimental setup developed for SAR measurements is similar to that presented in [34]. A cylindrical phantom with a thickness of 1 cm and a diameter of 14 cm is exposed at 60 GHz using a WR-15 open-ended rectangular waveguide located at 15 mm above the phantom. A continuous-wave signal is generated at 60 GHz by a narrowband Gunn oscillator. This signal is amplified and transmitted towards the open-ended waveguide used as an antenna through a set of WR-15 waveguides. Here the input power of the antenna equals 500 mW. It was controlled and adjusted before each experiment using an Agilent E4418B power meter (Agilent Technologies). An FLIR SC5000 high-resolution infrared (IR) camera (FLIR Systems, Portland, OR) operating in the 2.5–5.1- m spectral range is used for recording the heating pattern and dynamics on the phantom surface. The thermal sensitivity of the camera equals 0.025 C. The sequence of the thermal images is recorded at 25 frames/s rate with a spatial resolution of 640 512 pixels. B. SAR Determination The SAR is proportional to the initial temperature rise rate and can be determined as follows [29]: (2) where is the specific heat [J/(kg K)], is the temperature rise [ C], and is the heating time [s]. The most accurate way to determine is to fit the experimental heating kinetics to an appropriate theoretical thermal model [29]. To determine the peak surface SAR on the phantom surface, the experimental results Fig. 8(a) are fitted to the theoretical solution of the 1-D bio-heat transfer equation [39], assuming that the thermal conductivity, specific heat, and density of the proposed phantom are equal to 0.5 W/(m C), 3.5 kJ/(kg C), and

2264

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

The tissue-equivalent phantom possesses a density, which is different from that of actual skin tissue ( kg/m ). Consequently, the SAR estimated in the skin-equivalent phantom, , using the phantom density , differs from the SAR that would occur in the skin, . Hence, the relationship yields the SAR in the skin [40]. The measured Fig. 8(b) and computed Fig. 8(c) SAR distributions are in very good agreement. In particular, the measured peak SAR equals 3.01 kW/kg, whereas the value computed using CST Microwave Studio is 2.97 kW/kg (relative deviation %). These results confirm that the proposed phantom can be employed successfully for SAR measurement. V. CONCLUSION A semisolid broadband skin-equivalent phantom has been designed and fabricated in order to emulate the permittivity values of human skin in -band. It can be used for on-body antenna measurements and propagation channel characterization for BAN, as well as for electromagnetic dosimetry studies in the 55–65-GHz range. First, the dielectric properties of the human skin have been measured in vivo using a coaxial slim probe. An excellent agreement was demonstrated with other reported skin models in the 55–65-GHz range. Second, a water-based skin-equivalent phantom has been designed using our measured human skin permittivity values as a reference. This phantom has been manufactured using deionized water, agar, polyethylene powder, TX-151, and sodium azide. The maximum deviations of the phantom permittivity with respect to the human skin are of 7.7% (real part) and 8.6% (imaginary part) at 60 GHz. They are below 10% in the 55–65-GHz range. Such deviations are acceptable for antenna measurement and dosimetric studies since they lead to small variations of the power reflection coefficient, penetration depth, and SAR compared to skin. Finally, SAR measurements have been performed using the proposed skin-equivalent phantom. An excellent agreement has been demonstrated between experimental and numerical results. This validates and confirms the very satisfactory characteristics of the proposed phantom. REFERENCES

Fig. 8. (a) Temperature rise dynamics in the area of maximal temperature elevation: • • • experimental result, — theory (solution of the 1-D heat transfer equation). (b) Measured SAR distribution on the skin-equivalent phantom. (c) Simulated SAR distributions on the surface of the skin exposed at 60 GHz mW). by an open-ended waveguide (

880 kg/m , respectively. The fitting procedure consists in minimizing the standard deviation value between the experimental heating kinetics and the theoretical one. The corresponding results are plotted in Fig. 8(a).

[1] P. S. Hall and Y. Hao, Antennas and Propagation for Body Centric Communications Systems. Norwood, MA: Artech House, 2006. [2] D. Guha and Y. M. M. Antar, Microstrip and Printed Antennas: New Trends, Techniques and Applications. New York: Wiley, 2011. [3] C. Hertleer, H. Rogier, L. Vallozzi, and L. Van Langenhove, “A textile antenna for off-body communication integrated into protective clothing for firefighters,” IEEE Trans. Antennas Propag., vol. 57, no. 4, pp. 919–925, Apr. 2009. [4] C. Hertleer, A. Tronquo, H. Rogier, L. Vallozzi, and L. Van Langenhove, “Aperture-coupled patch antenna for integration into wearable textile systems,” IEEE Antennas Wireless Propag. Lett., vol. 6, pp. 392–395, 2007. [5] N. Chahat, M. Zhadobov, R. Sauleau, and K. Ito, “A compact UWB antenna for on-body applications,” IEEE Trans. Antennas Propag., vol. 59, no. 4, pp. 1123–1131, Apr. 2011. [6] A. Alomainy, Y. Hao, C. G. Parini, and P. S. Hall, “Comparison between two different antennas for UWB on-body propagation measurements,” IEEE Antennas Wireless Propag. Lett., vol. 4, pp. 31–34, 2005.

CHAHAT et al.: BROADBAND TISSUE-EQUIVALENT PHANTOM FOR BAN APPLICATIONS AT MMWs

[7] N. Haga, K. Saito, M. Takahashi, and K. Ito, “Characteristics of cavity slot antenna for body-area networks,” IEEE Trans. Antennas Propag., vol. 57, no. 4, pp. 837–843, Apr. 2009. [8] P. S. Hall, Y. Hao, Y. I. Nechayev, A. Alomalny, C. C. Constantinou, C. Parini, M. R. Kamarudin, T. Z. Salim, D. T. M. Hee, R. Dubrovka, A. S. Owadally, W. Song, A. Serra, P. Nepa, M. Gallo, and M. Bozzetti, “Antennas and propagation for on-body communication systems,” IEEE Antennas Propag. Mag., vol. 49, no. 3, pp. 41–58, Jun. 2007. [9] Q. H. Abbasi, A. Sani, A. Alomainy, and Y. Hao, “Arm movements effect on ultra wideband on-body propagation channels and radio systems,” in Antennas Propag. Conf., Loughborough, U.K., Nov. 2009, pp. 261–264. [10] Q. Abbasi, A. Alomainy, and Y. Hao, “Effect of human body movements on performance of multiband OFDM based ultra wideband wireless communication system,” in Antennas Propag. Conf., Loughborough, U.K., Nov. 2010, pp. 145–148. [11] I. Khan, P. S. Hall, A. A. Serra, A. R. Guraliuc, and P. Nepa, “Diversity performance analysis for on-body communication channels at 2.45 GHz,” IEEE Trans. Antennas Propag., vol. 57, no. 4, pp. 956–963, Apr. 2009. [12] S. Cheng, E. Ojefors, P. Hallbjorner, S. Ogden, J. Margell, K. Hjort, and A. Rydberg, “Body surface backed flexible antennas for 17 GHz wireless body area networks sensor applications,” in Eur. Wireless Technol. Conf., Amsterdam, The Netherland, Oct. 2007, pp. 55–58. [13] G. A. Conway and W. G. Scanlon, “Antenna for over-body-surface communication at 2.45 GHz,” IEEE Trans. Antennas Propag., vol. 57, no. 4, pp. 844–855, Apr. 2009. [14] S. Zhu and R. Langley, “Dual-band wearable textile antenna on an EBG substrate,” IEEE Trans. Antennas Propag., vol. 57, no. 4, pp. 926–935, Apr. 2009. [15] Q. Wang, T. Tayamachi, I. Kimura, and J. Wang, “An on-body channel model for UWB body area communications for various postures,” IEEE Trans. Antennas Propag., vol. 57, no. 4, pp. 991–998, Apr. 2009. [16] S. L. Cotton, W. G. Scanlon, and B. K. Madahar, “Millimeter-wave soldier-to-soldier communications for covert battlefield operations,” IEEE Commun. Mag., vol. 47, no. 10, pp. 72–81, Oct. 2009. [17] X. Y. Wu and P. S. Hall, “Substrate integrated wavegide Yagi–Uda antenna,” Electron. Lett., vol. 11, no. 23, pp. 1541–1542, Nov. 2010. [18] X. Y. Wu, L. Akhoondzadeh-Asl, and P. S. Hall, “Printed Yagi–Uda array for on-body communication channels at 60 GHz,” Microw. Opt. Technol. Lett., vol. 53, no. 12, pp. 2728–2730, Dec. 2011. [19] M. Zhadobov, N. Chahat, R. Sauleau, C. Le Quément, and Y. Le Dréan, “Millimeter-wave interactions with the human body: State of knowledge and recent advances,” Int. J. Microw. Wireless Technol., vol. 3, no. 2, pp. 237–247, 2011. [20] C. Gustafson and F. Tufvesson, “Characterization of 60 GHz shadowing by humanbodies and simple phantoms,” in Eur. Antennas Propag. Conf., Prague, Czech Republic, Mar. 26–30, 2012, Sec. P09. [21] N. Chahat, M. Zhadobov, R. Sauleau, and K. Mahdjoubi, “Variability analysis of on-body antenna characteristics for different adult and child voxel models,” in Eur. Antennas Propag. Conf., Rome, Italy, Apr. 11–15, 2010, pp. 437–440. [22] “MCL-T broadband tissue equivalent liquid: 30 MHz to 6 GHz,” MCL-T, London, U.K. [Online]. Available: http://www.mcluk.org/ pdfs/bbl.pdf [23] K. Ito, K. Furuya, Y. Okano, and L. Hamada, “Development and characteristics of a biological tissue-equivalent phantom for microwaves,” Electron. Commun. Japan, vol. 84, no. 4, pp. 67–77, Apr. 2001. [24] T. Takimoto, T. Onishi, K. Saito, M. Takahashi, S. Uebayashi, and K. Ito, “Characteristics of biological tissue equivalent phantoms applied to UWB communications,” Electron. Commun. Japan, vol. 90, no. 5, pp. 48–55, May 2007. [25] J. Yonebayashi, S. Takamatsu, K. Saito, M. Takahashi, and K. Ito, “Development of dynamic phantom for evaluation of breath detection Doppler radar,” in 32nd Annu. Bioelectromagn. Soc. Meeting, Seoul, Korea, Jun. 2010, pp. 297–299. [26] T. Kobayashi, T. Nojima, K. Yamada, and S. Uebayashi, “Dry phantom composed of ceramics and its application to SAR estimation,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 1, pp. 136–140, Jan. 1993. [27] C. Gabriel, “Tissue equivalent material for hand phantoms,” Phys. Med. Biol., vol. 53, no. 14, pp. 4205–4210, Jul. 2007. [28] N. Chahat, M. Zhadobov, S. Alekseev, and R. Sauleau, “Human skinequivalent phantom for on-body antenna measurements in the 60-GHz band,” Electron. Lett., vol. 48, no. 2, pp. 67–68, Jan. 2012. [29] S. I. Alekseev and M. C. Ziskin, “Local heating of human skin by millimeter waves: A kinetics study,” Bioelectromagnetics, vol. 24, no. 8, pp. 571–581, Oct. 2003.

2265

[30] O. P. Gandhi and A. Riazi, “Absorption of millimeter waves by human beings and its biological implications,” IEEE Trans. Microw. Theory Tech., vol. MTT-34, no. 2, pp. 228–235, Feb. 1986. [31] C. M. Alabaster, “Permittivity of human skin in millimetre wave band,” Electron. Lett., vol. 39, no. 21, pp. 1521–1522, Oct. 2003. [32] S. Gabriel, R. W. Lau, and C. Gabriel, “The dielectric properties of biological tissues: III. Parametric models for the dielectric spectrum of tissues,” Phys. Med. Biol., vol. 41, no. 11, pp. 2271–2293, Nov. 1996. [33] S. I. Alekseev and M. C. Ziskin, “Human skin permittivity determined by millimeter wave reflection measurements,” Bioelectromagnetics, vol. 28, no. 5, pp. 331–339, Jul. 2007. [34] N. Chahat, M. Zhadobov, R. Sauleau, and S. I. Alekseev, “New method for determining dielectric properties of skin and phantoms at millimeter waves based on heating kinetics,” IEEE Trans. Microw. Theory Tech., vol. 60, no. 3, pp. 827–832, Mar. 2012. [35] F. A. Duck, Physical Properties of Tissues. Bath, U.K.: Academic, 1990. [36] R. Buchner, J. Barthel, and J. Stauber, “The dielectric relaxation of water between 0 C and 35 C,” Chem. Phys. Lett., vol. 306, no. 1–2, pp. 57–63, Jun. 1999. [37] M. Zhadobov, R. Augustine, R. Sauleau, A. Di Paola, C. Le Quément, Y. Soubere Mahamoud, and Y. Le Dréan, “Complex permittivity of representative biological solutions in the 2–67 GHz range,” Bioelectromagnetics, vol. 33, no. 4, pp. 346–355, May 2012. [38] N. Chahat, M. Zhadobov, R. Augustine, and R. Sauleau, “Human skin permittivity models for millimetre-wave range,” Electron. Lett., vol. 47, no. 7, pp. 427–428, Mar. 2011. [39] K. R. Foster, H. N. Kritikos, and H. P. Schwan, “Effect of surface cooling and blood flow on the microwave heating of tissue,” IEEE Trans. Biomed. Eng., vol. 25, no. 3, pp. 313–316, May 1978. [40] IEEE Recommended Practice for Determining the Peak Spatial-Average Specific Absorption Rate (SAR) in the Human Head From Wireless Communications Devices: Measurement Techniques, IEEE Standard 1528-2003, 2003.

Nacer Chahat (S’09) was born in Angers, France, in 1986. He received the Electrical Engineering and Radio Communications degree from the Ecole Supérieur d’ingénieurs de Rennes (ESIR), Rennes, France, in 2009, the Master’s Degree in telecommunication and electronics from the University of Rennes 1, Rennes, France, in 2009, and is currently working toward the Ph.D. degree in signal processing and telecommunications at the Institute of Electronics and Telecommunications of Rennes (IETR), University of Rennes 1. His current research fields are electrically small antennas, millimeter-wave antennas, and the evaluation of the interaction between the electromagnetic field and human body. In 2009, he completed a six-month master’s training period as a special research student at the Graduate School of Engineering, Chiba University, Chiba, Japan. Mr. Chahat was the recipient of the 2011 Best Paper Award from the Bioelectromegnetics Society, the 2011 CST University Publication Award, and the 2012 IEEE Antenna and Propagation Society Doctoral Research Award.

Maxim Zhadobov (S’05–M’07) received the M.S. degree in radiophysics from Nizhni Novgorod State University, Nizhni Novgorod, Russia, in 2003, and the Ph.D. degree in bioelectromagnetics from the Institute of Electronics and Telecommunications of Rennes (IETR), University of Rennes 1, Rennes, France, in 2006. In 2008, he completed post-doctoral training with the Center for Biomedical Physics, Temple University, Philadelphia, PA. He then rejoined IETR as an Associate Scientist with the Centre National de la Recherche Scientifique (CNRS). He has authored or coauthored over 80 scientific papers. His main scientific interests are in the field of biocompatibility of electromagnetic radiations, including interactions of microwaves, MMWs and pulsed radiations at the cellular and sub-cellular levels, health risks and environmental safety of emerging wireless communication systems, biocompatibility of wireless noninvasive biomedical techniques, bioelectromagnetic optimization of body-centric wireless systems, experimental and numerical

2266

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

electromagnetic dosimetry, and therapeutic applications of nonionizing radiations. Dr. Zhadobov was the recipient of the 2005 Best Poster Presentation Award from the International School of Bioelectromagnetics, the 2006 Best Scientific Paper Award from the Bioelectromegnetics Society, and Brittany’s Young Scientist Award in 2010.

Ronan Sauleau (M’04–SM’06) received the Electrical Engineering and Radio Communications degree from the Institut National des Sciences Appliquées, Rennes, France, in 1995, the Agrégation degree from the Ecole Normale Supérieure de Cachan, Cachan, France, in 1996, and the Doctoral degree in signal processing and telecommunications and Habilitation à Diriger des Recherche degree from the University of Rennes 1, Rennes, France, in 1999 and 2005, respectively. From September 2000 to November 2005 and December 2005 to October 2009, he was an Assistant Professor and Associate Pro-

fessor, respectively, with the University of Rennes 1. Since November 2009, he has been a Full Professor with the University of Rennes 1. He has authored or coauthored over 100 journal papers and 240 contributions to national and international conferences and workshops. He holds five patents. His current research fields are numerical modeling [mainly finite difference time domain (FDTD)], millimeter-wave printed and reconfigurable [microelectromechanical systems (MEMS)] antennas, lens-based focusing devices, periodic and nonperiodic structures (electromagnetic-bandgap materials, metamaterials, reflect arrays, and transmit arrays), and biological effects of MMWs. Prof. Sauleau was the recipient of the 2004 ISAP Conference Young Researcher Scientist Fellowship (Japan) and the first Young Researcher Prize in Brittany, France, in 2001 for his research on gain-enhanced Fabry–Perot antennas. In September 2007, he was elevated to Junior Member of the Institut Universitaire de France. He was also the recipient of the Bronze Medal of the CNRS in 2008.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

2267

Mechanisms of RF Electromagnetic Field Absorption in Human Hands and Fingers Chung-Huan Li, Member, IEEE, Mark Douglas, Senior Member, IEEE, Erdem Ofli, Member, IEEE, Nicolas Chavannes, Member, IEEE, Quirino Balzano, Life Fellow, IEEE, and Niels Kuster, Fellow, IEEE

Abstract—The absorption of electromagnetic fields in the hand is investigated over the 900–3700-MHz frequency range. This enables the determination of the envelope of the peak spatial specific absorption rate in the hand. It also provides a basis for deriving measurement procedures for evaluating compliance of wireless devices with specific absorption rate limits in the hands. Both plane waves and dipole antennas are used to investigate the patterns of RF absorption in hand and finger tissue models for farand near-field exposures. The results demonstrate that absorption enhancements are found in the hand that are not present in a standardized flat phantom. Enhancements of several decibels are observed, depending on the model parameters. A method to conservatively estimate the exposure in the hand based on flat phantom measurements is proposed. Index Terms—Finite-difference time-domain (FDTD) methods, hand phantoms, mobile communication, mobile device antennas, numerical electromagnetic (EM) analysis, specific absorption rate (SAR).

I. INTRODUCTION

T

HE PEAK spatial specific absorption rate (psSAR) averaged over any 10 g of tissues (psSAR10g) is limited to 4 W/kg for general public exposure of the hands of a person [1], [2]. A standardized test method has recently been defined in IEC 62209-2 [3] for evaluating compliance with this limit in a user’s hand holding a wireless device. The test method calls for measurements in a well-defined flat phantom. The flat phantom is filled with a homogeneous liquid to allow for scanning of the electric field probe. The dielectric parameters of the liquid are standardized to ensure that the psSAR in the phantom is conservative compared to that in a person [3]. Several aspects of the measurement standard are chosen to ensure low measurement uncertainty. Measurements in a hand model are not recommended because the electric field probe is large compared to the finger dimensions, resulting in higher measurement uncertainty due to boundary effects and insufficient volume of material in Manuscript received September 30, 2011; revised March 16, 2012; accepted March 19, 2012. Date of publication June 01, 2012; date of current version June 26, 2012. C.-H. Li, E. Ofli, and N. Chavannes are with Schmid & Partner Engineering AG (SPEAG), 8004 Zürich, Switzerland (e-mail: [email protected]; ofli@speag. com; [email protected]). M. Douglas and N. Kuster are with the IT’IS Foundation and the Swiss Federal Institute of Technology (ETH) Zürich, CH-8092 Zürich, Switzerland (e-mail: [email protected]; [email protected]). Q. Balzano is with the Electrical and Computer Engineering Department, University of Maryland at College Park, College Park, MD 20742 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2012.2197019

the measurement region [3]. The standard document acknowledges that the use of a flat phantom to represent a conservative exposure of psSAR in the hand lacks supporting data, and our initial simulations of psSAR10g in anatomical hand models found that, in some cases, the flat phantom can underestimate the specific absorption rate (SAR) in the hand. This study analyzes in detail the causes of higher psSAR in the hand and proposes correction factors to be applied to the flat phantom measurements. The hand has a complex anatomy, and the myriad possible hand positions on a wireless device can have a dramatic effect on the antenna performance [4], [5] and psSAR [6]–[9]. The SAR in biological tissues in the near field of an RF source are determined from the induction of magnetic fields generated from source currents [10]. The psSAR in the hand may be affected by standing waves in tissue layers [11], [12] and partial-body resonance effects. Resonance effects for the whole body [13] and partial-body regions (e.g., torso and legs) [14] have been quantified, but possible resonances in fingers and hands have not been studied in detail. An objective of this paper is to investigate the mechanisms of RF absorption in the human hand. A further objective is to estimate the maximum psSAR10g value in a hand relative to that in the flat phantom defined in IEC 62209-2. After introducing the applied method and models, the first part of this paper is an initial investigation of psSAR10g in an anatomical hand model exposed to dipole antenna sources at several frequencies. The results show that the psSAR10g could be substantially higher in the fingers than in a flat phantom so further investigation is warranted. Cylindrical and brick models of the fingers and palm are used to investigate the physical mechanisms of increased psSAR in more detail. The exposure of fingers in the far- and near-field are also studied using plane waves and dipole antennas, respectively. Finally, we present the maximum value of the psSAR10g computed in the human hand, normalized to that in the standardized flat phantom. II. METHOD AND MODELS 2-D and 3-D numerical modeling has been performed with the full-wave finite-difference-time-domain (FDTD)-based SEMCAD X platform [15] that is jointly developed between the IT’IS Foundation and Schmid & Partner Engineering AG, Zürich, Switzerland, and commercialized by the latter. The frequencies of interest are 900, 1800, 2450, and 3700 MHz. At least eight layers of uniaxial perfectly matched layers (UPMLs) are placed at 0.15 wavelength away from the simulated objects. The grid resolution is always less than 1 mm and it is finer

0018-9480/$31.00 © 2012 IEEE

2268

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 1. Hand models simulated in this study include: (a) right hand of the Duke model from the virtual family and (b) homogeneous cylinder to represent an isolated finger.

at higher frequencies. 1-D modeling has also been performed analytically using transmission-line theory [16]. The investigation examines the RF absorption of anatomical hand models, simplified cylindrical and brick models, and a standardized flat phantom defined in IEC 62209-2 [17]. The detailed anatomical hand model and dielectric cylindrical model representing the fingers are shown in Fig. 1. The flat phantom is represented as a lossy medium, with permittivity and conductivity corresponding to head tissue simulating liquid (HTSL) [17], and a lossless 2-mm-thick shell (relative permittivity of 3.0) between the lossy medium and the source. For the 1-D and 2-D analysis, the shell is not modeled. The influence of the lossless shell on the psSAR is negligible for frequencies below 2 GHz. At frequencies between 2–3.8 GHz, the absence of the shell underestimates the psSAR by 8% or less [18]. The influence of the shell is therefore small compared to the other enhancements observed in this paper. The size of the flat phantom is at least 20% larger than the dipole antenna sources used, as per the requirements of IEC 62209-2 [17]. The flat phantom is set as an infinite half-space. Unless stated otherwise, the cylindrical models used in this paper have a defined length and diameter and hemispherical caps on either end, with a radius equal to the radius of the cylinder. The anatomical hand is acquired from the Duke model of the virtual family [19]. There are seven tissues in this hand model: blood, bone, fat, muscle, marrow, ,skin and subcutaneous adipose tissue (SAT). While it is obvious that a hand and a flat phantom have very different geometries, there is a need to evaluate whether the flat phantom can provide a conservative value for psSAR10g in the hand so as to guide the development of future revisions of IEC 62209-2. The homogeneous cylindrical model is used for a parametric study of the finger within the statistical variations of human finger length and width. The fifth and 95th percentile lengths and widths of the five fingers have been published by Greiner [20] and are shown in Fig. 2. Based on these values, we have chosen to evaluate cylinders having six diameters between 16–26 mm and six lengths between 55–95 mm, as shown by the dots in Fig. 2. The material properties (relative permittivity, conductivity, and density) of the homogeneous cylinders are calculated as a volume-weighted average of the five tissues in the finger (bone,

Fig. 2. Shaded boxes showing the range of fifth to 95th percentile of the five fingers (from [20]). The parameters of the cylindrical model, having six diameters from 16 to 26 mm and six lengths from 55 to 95 mm, are shown as dots.

TABLE I MATERIAL PROPERTIES OF THE HOMOGENEOUS CYLINDRICAL FINGER MODELS, DETERMINED FROM A VOLUME-WEIGHTED AVERAGE OF THE TISSUES IN THE FIVE RIGHT-HAND FINGERS OF THREE ANATOMICAL MODELS. (DENSITY:1200 kG M )

fat, muscle, skin, and SAT). The averaging was performed for the five right-hand fingers of three of the adult models of the virtual population [Duke, Ella and Fats (an obese model)] [21]. The properties of individual tissues correspond to the consolidated tissue data base [22]. The resulting material parameters are shown in Table I for each frequency investigated. All models under test (MUTs) are illuminated by a plane wave or a half-wavelength dipole antenna for far- and near-field exposure, respectively. The dipole antennas have 2-mm diameter and they are driven with constant current source. The length of each dipole antenna is tuned to optimize the impedance match in free space. Although the near-field of contemporary mobile phones is more complex than that of a dipole antenna, the dipole antenna sufficiently represents the mechanism of absorption for the purposes of this study. The results are analyzed in terms of the ratio between the psSAR10g of the MUT and that of a flat phantom, i.e., W kg W kg

(1)

where and are the psSAR10g in the MUT and in a flat phantom under the same exposure condition. A greater than 1 indicates that the flat phantom underestimates the psSAR in the hand. To validate the cylindrical model, the index finger and thumb from the Duke model are compared with the homogeneous cylinders of similar dimensions. The exposure source is a plane wave with direction of propagation normal to the axis of the

LI et al.: MECHANISMS OF RF EM FIELD ABSORPTION IN HUMAN HANDS AND FINGERS

2269

Fig. 3. Isolated finger models of: (a) index finger (length: 70 mm, diameter: 22 mm) and (b) thumb (length: 60 mm, diameter: 22 mm) and corresponding homogeneous cylindrical models having material properties in Table I. Fig. 5. Hand and dipole models used in this study to examine the psSAR10g in the hand compared with a defined flat phantom.

Fig. 6.

of the hand in Fig. 5 at different distances and frequencies.

III. EXPOSURE OF A HAND FROM A DIPOLE ANTENNA

Fig. 4. Comparison between of homogeneous cylinder models and anatomical models of: (a) index finger and (b) thumb at the four frequencies investigated.

cylinders and the -field parallel to it. The simulation models are shown in Fig. 3. The fingers are not attached to the hand to compare their absorption pattern to that of the cylindrical model. From Fig. 4, the average difference in psSAR10g between the cylindrical and anatomical models is 21%, which is small compared with the enhancements shown later in this paper. It can therefore be concluded that the cylindrical model is a suitable representation of anatomical fingers in terms of over the frequency range of interest.

An anatomical hand model exposed to a resonant half-wave dipole antenna is simulated to determine whether the approach of using a flat phantom provides conservative results. The geometry is shown in Fig. 5. The distance from the antenna to the middle finger ranges from 2 to 200 mm. The results are shown in Fig. 6. The values are above unity for nearly all frequencies and distances shown, indicating that the flat phantom is not a conservative representation for these cases. Christ et al. found that enhancements in psSAR10g by a factor of 2 are possible due to standing waves within tissue layers (e.g., skin, fat, and muscle) having different dielectric properties [11]. However, such enhancements are not expected at very close distances for the hand models investigated here. Investigations of layered finger models by the authors also did not produce significantly higher SAR enhancements than those found with homogeneous models. Additionally, the possible enhancements observed in Fig. 6 are more than a factor of 4. The influence of the finger geometry clearly plays a dominant role in the SAR enhancements. For example, Kühn et al. demonstrated that whole-body resonance can induce high whole-body SAR [23] and the resonant frequency depends on the height of the human model. In the next sections, simplified finger and hand models will be used. The use of simplified

2270

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 7. Slab and a cylinder with thickness and diameter a plane wave at 900 MHz with normal incidence.

are illuminated by

models enables parametric studies of the salient parameters to be conducted, using statistics of hand geometry. IV. POWER ABSORPTION IN CYLINDRICAL TISSUE MODELS The mechanisms of power dissipation in a cylindrical model under plane-wave exposure are investigated first. For further simplification, the cylinders used in this section have no round end caps because these add little to the understanding of the bulk absorption mechanisms. This study is focused mainly on the fields in cylindrical dielectric models. It will be shown that psSAR in the simulated fingers could be much higher than that in the palm of the hand. There is practically no literature on this topic. Authors have dealt with the electromagnetic (EM) field propagation along the axis of dielectric cylinders [24] or with the backscattering from dielectric cylinders [25], but no analysis is available for EM field inside dielectric cylinders excited by plane waves with direction of propagation normal to the cylindrical axis. The magnitude of -field of the plane wave is 1 V/m. A. Fields in the Cross Section of an Infinitely Long Cylinder 1-D and 2-D scenarios are studied, as shown in Fig. 7. The excitation is a plane wave at 900 MHz with incidence normal to the cylinder axis ( -direction). The slab is referred to as a flat phantom if it is infinitely thick. Both the slab and cylinder have the material properties of Table I. Note that HTSL is not used here for the slab in order to study the effect of the geometry alone. The cylinder is illuminated by plane waves with both and -polarization. The power dissipated in a slab (1-D) and a cylinder (2-D) were calculated analytically and numerically, respectively. The definition of dissipated power density (DPD) as given in (2) is applied to evaluate the absorbing efficiency of a cylinder of diameter as compared to a slab with width ; both have the same length (2)

W m

where is total power dissipated in this region. Since both structures are infinitely long in the -direction, DPD can be rewritten as W m

(3)

Fig. 8. DPD (in mW m ) in the following structures illuminated by a plane V/m : a flat phantom, a slab with thickness , and a cylinder wave with diameter . For the cylinder, both - and -polarizations are shown at 900 MHz, and -polarization is shown at 1800 MHz.

where represents the power dissipation W m in the cross section of the slab or the cylinder at . Fig. 8 shows a comparison of DPD between flat phantom, slab, and cylinder models having identical dielectric parameters. is normalized to the unit of the wavelength in the material of the cylinder, or . The DPD caused by a plane wave with -polarization can be significantly higher in cylinders than that in slabs. Thus, a cylinder of diameter is electrically wider than a slab with width regarding absorbed power, or has higher absorbing efficiency. This implies that fingers are potentially under greater exposure than the palm from a normally incident plane wave. When a cylinder is illuminated by a plane wave, the phase of the field inside the surface of the cylinder is quite uniform if the cylinder has a small diameter in terms of the wavelength in the dielectric material. Thus, in a first approximation, the -field generated by the external sources inside a cylinder of small radius has a radial distribution given by the function , Bessel function of the first kind of order 0. The absorption (or equivalent area) of the cylinder increases with its radial dimension and reaches its peak when [i.e., , see Fig. 9(a)]. This condition supports standing waves circling the interior cylindrical surface. Two more peaks are observed for [ , see Fig. 9(b)] and for [ , see Fig. 9(c)]. The value of 2.4 is recognized as the first 0 of the Bessel function and 3.8 as the first zero of the Bessel function. The two Bessel functions and dominate the transverse absorption of infinitely long dielectric cylinders with the radii of our interest. The radial solution of the wave equation in cylindrical coordinates is given by , where , the coordinates of and are shown in Fig. 7. This clearly is seen in Fig. 9(b) where the strong excitation of first causes the shift of a single focus and then the presence of two focal areas within the cylindrical cross section. As the excitation of is less pronounced with respect to that of and of other incipient Bessel functions of higher order, a single major focal area emerges. It is worth noticing that with this last diameter , the dielectric cylinder starts to cast a shadow at its back side.

LI et al.: MECHANISMS OF RF EM FIELD ABSORPTION IN HUMAN HANDS AND FINGERS

2271

Fig. 9. RMS values of the -field in the cylinders when the diameter is: , (b) 48 mm and (c) 76 mm (the (a) 18 mm maximum values are 0.92, 0.5, and 0.4 V/m, respectively. Each color step dB).

Fig. 11. -field (rms value) along the axis of the cylinders when the length (solid), 2 (dash), and 3 (dot). The diameter is 20 mm and the is 1.5 plane-wave frequency is 900 MHz.

Fig. 10. -field (rms value) along the axis of a dielectric cylinder with length , solid), 330 mm ( , wavelength in free space) diameter 5 mm ( , dash) illuminated by an -polarized plane wave at and 20 mm ( 900 MHz.

The same simulation was also conducted at 1800 MHz (see Fig. 8). The results are very similar with that at 900 MHz, which confirms the analysis above. The focusing effects are insignificant for the -polarization compared to those of the -polarization as the cylinder is not thick enough to support high displacement current in direction. If is very large, e.g., several wavelengths, the power dissipated in the cylindrical model and the slab converge to that of the flat phantom. B. Fields in Dielectric Cylinders of Finite Length The effect of the length of the cylindrical models is also studied in light of the theory of partial-body resonance. It is well known that whole-body resonance can cause substantially high whole-body SAR [23]. Moreover, partial-body resonance may also play an important role in psSAR [14]. Fig. 10 shows the component of the -field along the -axis of a 330-mm long ( , wavelength in free space) cylinder illuminated by an -polarized plane wave source at 900 MHz. Cylinder diameters of 5 and 20 mm are shown. is much higher than the other field components inside the cylinders. The spikes at both ends are due to the boundary conditions on the electric field. The continuity of the normal component of the vector (electric displacement) causes a substantial electric field discontinuity equal to the dielectric constant of the cylinder . In the case of 5-mm diameter, the incident field is not disturbed considerably by an electrically thin dielectric cylinder so is quite uniform along

Fig. 12. psSAR10g W/kg in cylinders with mm and different V/m at lengths illuminated by a plane wave with -polarization four frequencies.

the cylinder. This phenomenon is independent of the length of a thin cylinder. The field changes significantly within a thick cylinder ( mm or ). The -field distribution along the axis of the 20-mm-thick cylinder follows a sinusoidal function. If a cylinder is infinitely long, no standing waves are expected in its axial direction. When the cylinder has finite length and large enough diameter, the accumulated charge at the ends of cylinder drives the displacement current as standing waves in the axial or -direction. The total , incident plus scattered must be identical just outside both bases of the cylinder. This boundary condition can be satisfied only if the -field is supported by spatial harmonics of the type , , where is the free-space wavelength and . This phenomenon is similar to what happens in a transmission line open at both ends. The fields supported by dielectric cylinders with or greater are quite complex. The field excites a magnetic field in the circumferential direction , or , which, in concomitance with the incident component, gives rise to the radial components, and . Only is absent from this structure. If the cylinder is shorter than , only the first spatial harmonic exists, as seen in Fig. 10. More spatial harmonics can be

2272

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 13. -field in the cylinder ( V/m, each color step dB).

mm,

mm) at 2.45 GHz, incident wave traveling in the

observed in longer cylinders. Fig. 11 shows the -field in the cylinders when the length is 1.5, 2, and 3 . The third and fifth harmonic can be clearly observed when the length is 2 and 3 , respectively. In the case of 1.5 , the third harmonic is weak and combined with the first harmonic resulting in flat field distribution at the center. Note that although the fields presented are inside the cylinder, the periodicity of the spatial harmonics follows . This result agrees with previous studies that conclude that partial-body resonance depends on the wavelength in free space [14]. In order to evaluate the influence of the spatial harmonics on psSAR10g, cylinders of different lengths with a fixed diameter (20 mm, corresponding approximately to the average finger width, as shown in Fig. 2) were simulated at four frequencies: 900, 1800, 2450, and 3700 MHz. The simulation results are shown in Fig. 12. The partial-body resonance appears periodically. The first peaks at 900 and 1800 MHz are caused by the first spatial harmonic. They do not occur at the same electrical length as the electrical sizes of the diameters are different. At 900 MHz, there is a local minimum at the length 1.5 . The first spatial harmonic is dominant when the cylinder is shorter than this length so the psSAR is located at center of the cylinder (see Fig. 10). However, increasing the length of the cylinder enhances the third harmonic so the psSAR location shifts toward the end of the cylinder (see Fig. 11). The results at 900 and 1800 MHz show that only the first partial-body resonance is important as it causes the highest psSAR considering different lengths. The psSAR patterns versus cylinder length at 2450 and 3700 MHz are significantly different from those at the lower frequencies due to their electrically large diameter. As mentioned in Section IV-A, has a significant role in the field distribution on the cross section as the diameter is close to 1 (20 mm is about 0.85 at 2450 MHz). The combination of and the third longitudinal spatial harmonics leads to the field distribution seen in Fig. 13. The spatial harmonic causes more absorption peaks, which makes the averaged power dissipation more uniform in the -direction. Thus, if the cylindrical diameter is larger than , the psSAR value has less dependence on the cylinder length. This can be observed in Fig. 12 for the frequency of 3.7 GHz. Given the difference in the geometry of the boundary conditions of the field incident on a slab and those on a finite cylinder, it is not surprising that the results presented above show a substantial divergence in absorbed peak power levels versus frequency in the two dielectric structures. V. FAR-FIELD EXPOSURE This section consists of two parts. In the first part, the cylindrical models in Fig. 1(b) are simulated with the dimensions of the fingers shown in Fig. 2. In the second part, the fingers are attached to a parallelepiped solid of simulated tissue representing the palm of a hand and the arm. , as defined in (1), is

direction with

-polarization (

used to evaluate the psSAR10g in the MUT. The source is an -polarized plane wave propagating in the direction normal to the axes of the fingers. A. Absorption of Fingers The dimensions and material parameters of the cylinders are set as that in Fig. 2 and Table I, respectively. Simulation results are shown in Fig. 14. According to the study above, the behaviors of power dissipation depends on the length and diameter normalized to and , respectively. The normalized values are shown in Table II. The results in Figs. 8 and 12 can be used to interpret the results in Fig. 14 considering the ranges shown in this table. The enhancement of the psSAR10g in the cylinder models compared to the flat phantom is most pronounced at 1800 and 2450 MHz due to the first partial-body resonance; the resonance occurs for in Fig. 12. Enhancement factors more than 10 can be observed for plane-wave exposure under certain conditions. In addition, a strong dependence on the cylinder diameter is observed at 1800 MHz. At 900 MHz, as the cylinders are electrically short, the is predominantly sensitive to the cylinder length. At 3700 MHz, the cylinders have diameter and length electrically larger than and , respectively, so influence of length is not as significant. However, high is still observed at this frequency. B. Fingers, Palms, and Arms An isolated cylinder was used to understand the physical mechanisms of psSAR enhancement, but it is not a realistic model for the human finger that is attached to the hand. To better represent the palm and arm, parallelepiped solids (blocks) are attached. Three models are used, as seen in Fig. 15. They represent finger and palm (model 1), finger and palm perpendicular to the arm (model 2), and finger and palm parallel to the arm (model 3). The sizes of the palm and arm (derived from the dimensions of the Duke model) are fixed as shown in Fig. 15, while the dimensions of the cylinder change in the ranges defined in Fig. 2. All models are homogeneous in dielectric properties, as defined in Table I. Although the material properties do not match those of a palm, the models have a shape resembling that of the metacarpal geometry. The plane waves illuminating the three models travel in the -direction with -field parallel to the fingers (see Fig. 15). For each model at each frequency, the cylinder geometry causing the highest is recorded and the results are shown in Fig. 16. The psSAR10g is still substantially underestimated by flat phantom measurements in the three models at all the frequencies. In the cylinder-only model, partial-body resonance is pronounced at 1800 and 2450 MHz. However, in model 1, adding the palm causes a downward shift in the frequency at which the absorption effects are most pronounced with a maximum enhancement more than 20 at 900 MHz. Besides, the models

LI et al.: MECHANISMS OF RF EM FIELD ABSORPTION IN HUMAN HANDS AND FINGERS

Fig. 14.

2273

of the cylinders illuminated by a plane wave at: (a) 900, (b) 1800, (c) 2450, and (d) 3700 MHz. TABLE II RANGE OF LENGTH AND DIAMETER IN FIG. 14 IN TERMS OF AND , RESPECTIVELY

are electrically thinner at lower frequencies so that at 900 MHz in model 1 is greater than in the cylinder-only model at 1800 MHz. At 900 MHz, is higher in model 2 than in model 3 as the plane wave has higher coupling in model 2 than that in model 3. This happens because the sharp bend in model 2 prevents the arm from being tightly coupled with the palm so the finger and palm can have a damped partial-body resonance. In model 3, the resonance of the palm is damped by the presence of the arm. The structure in model 3 is expected to have its partial-body resonance at about 220 MHz. This structure is of little interest in the band from 900 to 3700 MHz and is given no further consideration. When the frequency is higher than 1800 MHz, the difference among the three models is negligible. The hand models with single finger (models 1 to 3) were used for the reasons of simplicity, and more important, worst case evaluation. This is demonstrated with the simulation of the models having the other fingers and thumb (models 4 and 5 in Fig. 15). The finger dimensions resulting in the highest psSAR found in model 1 are applied to these two models at the four frequencies. Model 4 can be thought to represent a simplified model of a hand gripping a device. Model 5 is for evalu-

Fig. 15. Models represent hand and arm (in millimeters). (a) Hand-only (model 1). (b) Hand perpendicular to arm (model 2). (c) Hand parallel to arm (model 3). (d) Models 4 and (e) 5 have five fingers in different grips and the index fingers have the dimensions resulting in the highest psSAR in model 1. (a) Model 1. (b) Model 2. (c) Model 3. (d) Model 4. (e) Model 5.

ating the influence of the other fingers. As seen in Fig. 16, the psSAR induced in model 4 is similar with that in model 1 (within 14%), and model 4 always has higher psSAR than model 5

2274

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 16. Highest value of for plane-wave exposure of the cylinderonly model and models 1–3 shown in Fig. 15 when cylinder dimensions vary in the range shown in Fig. 2. The finger dimensions on models 4 and 5 are fixed. The index fingers on these two models have the dimensions causing the highest psSAR in model 1.

Fig. 18. of the cylinders when illuminated by the dipole antenna from different distances. The markers on the right side are the results of farfield exposure of the cylinder-only case in Fig. 16 (solid circle: 900 MHz, solid square: 1800 MHz, hollow circle: 2450 MHz, hollow square: 3700 MHz).

Fig. 17. Setup for near-field exposure; a dipole antenna illuminates: (a) cylinder only. (b) Model 2 defined in Fig. 15.

(by 14%–154%) due to absorption by adjacent fingers. Thus, model 1 is a reasonable choice for conservative estimation and for identifying the physical mechanisms because of its simple geometry. VI. NEAR-FIELD ABSORPTION Plane waves were used to investigate the mechanism of SAR in a hand and arm exposure in the far-field, but the most common scenario of exposure is in the vicinity of a radiating device such as a mobile phone. Dipole antennas are used to represent the radiator for near-field exposure (see Fig. 17). The simulated psSAR in each case is normalized to a reference current as magnetic induction is the major mechanism of the power absorption in the near-field. The cylinder models causing the results of the cylinder-only case at each frequency in Fig. 16 were exposed to a dipole antenna with different distances [see Fig. 17(a)]. One cylinder model was used at each frequency. Simulation results of in the cylinder models are shown in Fig. 18. When the dipole is electrically far, the is, as expected, close to that for far-field exposure. When the dipole is close to the cylinder, the SAR is much closer to that in a flat phantom. As mentioned before, magnetic induction is the dominant mechanism for SAR in near-field and geometry of the tissue is less significant under this mechanism. Thus, the difference of psSAR10g between cylinder and flat phantom is much

Fig. 19. of model 2 (see Fig. 15) when illuminated by the dipole antenna at different distances.

less in the near-field, but the underestimation by flat phantom measurement is still considerable in most cases. As stated earlier, model 2 is of greater interest for partial resonances than model 3. Thus, for obtaining a realistic worst case envelope of psSAR10g, model 2isalsoexposedtothe same dipole antennas. The cylindrical finger models were simulated with dimensions described in Fig. 2 and the geometry having the highest is shown in Fig. 19 at each frequency and each distance. The presence of palm and arm reduces the effect of partial-body resonances of the fingers and therefore lower values are observed than in Fig. 18. The slight variations of the plots in Fig. 19 are due to the changes of beam shape in the near-field of the dipole and its repositioning with respect to the target tissue as different fingers maximize for different distances from the RF source. Comparing the results of Figs. 19 and 6, it is observed that the values of Fig. 19 are higher. This is expected, as Fig. 6 represents only a single hand geometry. Comparing Fig. 19 to Fig. 6, it is clear that is higher in Fig. 19. This is expected, as Fig. 6 represents only one fixed hand model and Fig. 19 is a more thorough assessment using a parametrized model based on statistics of hand geometry. Although measurements with a flat phantom may not provide a conservative psSAR result, the flat phantom is convenient to

LI et al.: MECHANISMS OF RF EM FIELD ABSORPTION IN HUMAN HANDS AND FINGERS

use in the laboratory. Measurements in a hand model are impractical, due to the need to insert electric field probes in the dielectric medium with sufficient volume of material around it to prevent errors due to air–tissue boundary effects. Instead, the results of Fig. 19 can be used as correction factors from measurements in a flat phantom to conservative values in the hand. Results can easily be generated for other frequencies and distances using the models described. A closed-form expression could also be developed to describe the correction factor. VII. CONCLUSION The mechanisms of SAR enhancement in the hand have been thoroughly investigated in this paper over the 900–3700-MHz frequency range. It is clearly shown that psSAR10g in the hand can be significantly underestimated by measurements in a flat phantom unless correction factors are applied. The results demonstrate that fingers have their own resonance modalities for the absorption of RF energy. The absorption of the fingers is much larger than predicted by simple flat phantom models used so far to estimate the exposure of these organs. Using homogeneous models, the effects of finger geometry have been investigated statistically, and formulas have been used to describe the SAR enhancement due to cylindrical geometry and partialbody resonance. The analysis in this paper shows the physical mechanism of the enhanced absorption that would remain otherwise hypothetical or unexplained in the existing literature. The models were exposed to plane waves and dipole antennas for far- and near-field exposures, respectively. Published statistics on finger length and width were used, together with weightedaverage dielectric parameters from anatomical models, to conduct parametric numerical analyses of the issue. When hand and arm models were included, the changes in the frequency and magnitude of the psSAR10g enhancement were described. The simulation results of hand models exposed to fields from dipole antennas at different distances provide the envelope of psSAR10g in the hand compared to a flat phantom. This information could serve as database for correction factors from widely accepted flat phantom measurements to conservative exposure values in the hand of a wireless device user. REFERENCES [1] “ICNIRP, guidelines for limiting exposure to time-varying electric, magnetic and electromagnetic fields (up to 300 GHz),” Health Phys., vol. 74, pp. 494–522, 1998. [2] IEEE Standard for Safety Levels With Respect to Human Exposure to Radio Frequency Electromagnetic Fields, 3 kHz to 300 GHz, International Committee on Electromagnetic Safety, The Institute of Electrical and Electronics Engineers, IEEE Standard c95.1b-2005 , Apr. 2006. [3] Human Exposure to Radio Frequency Fields From Hand-Held and Body-Mounted Wireless Communication Devices—Human Models, Instrumentation, and Procedures—part 2: Procedure to Determine the Specific Absorption Rate (SAR) for Wireless Communication Devices Used in Close Proximity to the Human Body (Frequency Range of 30 MHz to 6 GHz), IEC Standard 62209-2, Feb. 2010. [4] M. Pelosi, O. Franek, M. B. Knudsen, M. Christensen, and G. F. Pedersen, “A grip study for talk and data modes in mobile phones,” IEEE Trans. Antennas Propag., vol. 57, no. 4, pp. 856–865, Apr. 2009. [5] C.-H. Li, E. Ofli, N. Chavannes, and N. Kuster, “Effects of hand phantom on mobile phone antenna performance,” IEEE Trans. Antennas Propag., vol. 57, no. 9, pp. 2763–2770, Sep. 2009. [6] O. Kivekas, J. Ollikainen, T. Lehtiniemi, and P. Vainikainen, “Bandwidth, SAR, and efficiency of internal mobile phone antennas,” IEEE Trans. Electromagn. Compat., vol. 46, no. 1, pp. 71–86, Feb. 2004.

2275

[7] S. I. Al-Mously and M. M. Abousetta, “Anticipated impact of handhold position on the electromagnetic interaction of different antenna types/positions and a human in cellular communications,” Int. J. Antennas Propag., vol. 2008, 2008, Art. ID 102759 (22 pp). [8] C.-H. Li, E. Ofli, N. Chavannes, and N. Kuster, “SAR and efficiency performance of mobile phone antenna with different user hand positions,” in IEEE Antennas Propag. Soc. Int. Symp., Jun. 2009, pp. 1–4. [9] C.-H. Li, M. Douglas, E. Ofli, B. Derat, S. Gabriel, N. Chavannes, and N. Kuster, “Influence of the hand on the specific absorption rate in the head,” IEEE Trans. Antennas Propag., vol. 60, no. 2, pp. 1066–1074, Feb. 2012. [10] N. Kuster and Q. Balzano, “Energy absorption mechanism by biological bodies in the near field of dipole antennas above 300 MHz,” IEEE Trans. Veh. Technol., vol. 41, no. 1, pp. 17–23, Feb. 1992. [11] A. Christ, A. Klingenbock, T. Samaras, C. Goiceanu, and N. Kuster, “The dependence of electromagnetic far-field absorption on body tissue composition in the frequency range from 300 MHz to 6 GHz,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 5, pp. 2188–2195, May 2006. [12] A. Christ, T. Samaras, A. Klingenbock, and N. Kuster, “Characterization of the electromagnetic near-field absorption in layered biological tissue in the frequency range from 30 MHz to 6000 MHz,” Phys. Med. Biol., vol. 51, pp. 4951–4966, 2006. [13] C. K. Chou, H. Bassen, J. Osepchuk, Q. Balzano, R. Petersen, M. Meltz, R. Cleveland, J. C. Lin, and L. Heynick, “Radio frequency electromagnetic exposure: Tutorial review on experimental dosimetry,” Bioelectromagnetics, vol. 17, pp. 195–206, 1996. [14] R. P. Findlay and P. J. Dimbylow, “FDTD calculations of specific energy absorption rate in a seated voxel model of the human body from 10 MHz to 3 GHz,” Phys. Med. Biol., vol. 51, pp. 23–39, 2006. [15] SEMCAD X: EM/T Simulation Platform. Schmid & Partner Engineering AG (SPEAG), Zürich, Switzerland, 2012. [Online]. Available: www.semcad.com [16] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1997, ch. 2. [17] Human Exposure to Radio Frequency Fields from Handheld and BodyMounted Wireless Communication Devices—Human Models, Instrumentation, and Procedures Part 1: Procedure to Determine the Specific Absorption Rate (SAR) for Hand-Held Devices Used in Close Proximity to the Ear (Frequency Range of 300 MHz to 3 GHz), IEC Standard IEC62209-1, 2005.. [18] T. Onishi and S. Uebayashi, “Influence of phantom shell on SAR measurement in 3–6 GHz frequency range,” IEICE Trans. Commun., vol. 88, no. 8, pp. 3257–3256, Aug. 2005. [19] A. Christ, W. Kainz, E. G. Hahn, K. Honegger, M. Zefferer, E. Neufeld, W. Rascher, R. Janka, W. Bautz, J. Chen, B. Kiefer, P. Schmitt, H.-P. Hollenbach, J.-X. Shen, M. Oberle, D. Szczerba, A. Kam, J. W. Guag, and N. Kuster, “The virtual family—Development of surface based anatomical models of two adults and two children for dosimetric simulations,” Phys. Med. Biol., vol. 55, no. 2, pp. N23–N38, Dec. 2009. [20] T. M. Greiner, Hand Anthropometry of U.S. Army Personnel. Natick, MA: U.S. Army Res., Dev., Eng. Center, 1991. [21] “Virtual population project.” IT’IS Foundation, , Zürich, Switzerland, 2012. [Online]. Available: www.itis.ethz.ch/vip [22] “Dielectric properties of body tissues,” IT’IS Foundation, , Zürich, Switzerland, 2012. [Online]. Available: http://www.itis.ethz.ch/services/tissue-properties/database/ [23] S. Kühn, W. Jennings, A. Christ, and N. Kuster, “Assessment of induced radio-frequency electromagnetic fields in various anatomical human body models,” Phys. Med. Biol., vol. 54, pp. 875–890, 2009. [24] R. E. Collin, Field Theory of Guided Waves, 2nd ed. New York: IEEE Press, 1991. [25] A. Lind and J. Greenberg, “Electromagnetic scattering by obliquely oriented cylinders,” J. Appl. Phys., vol. 37, no. 8, pp. 3195–3203, 1966.

Chung-Huan Li (M’10) was born in Taipei, Taiwan, in May 1979. He received the B.Sc. and M.Sc. degrees in electronic engineering from the National Taiwan University of Science and Technology (NTUST), Taipai, Taiwan, in 2002 and 2004, respectively, and is currently working toward the Ph.D. degree at the Swiss Federal Institute of Technology (ETH) Zürich, Zürich, Switzerland. In April 2007, he joined the Foundation for Research on Information Technologies in Society (IT’IS), ETH. His interests include antenna design, as well as the study of EM waves and theory.

2276

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Mark Douglas (S’86–M’98–SM’05) received the B.Eng degree from the University of Victoria, Victoria, BC, Canada, in 1990, the M.Sc. degree from the University of Calgary, Calgary, AB, Canada in 1993, and the Ph.D. degree from the University of Victoria Victoria, BC, Canada, in 1998, all in electrical engineering. In 2009, he joined the IT’IS Foundation, Swiss Federal Institute of Technology (ETH) Zürich, Zürich, Switzerland, as a Project Leader in the area of EM dosimetry. From 2002 to 2009, he was an Engineering Manager with the Corporate Electromagnetic Energy (EME) Research Laboratory, Motorola, where he led advancements in RF dosimetry research and testing. Prior to joining Motorola, he was a Senior Technical Leader with the Antenna Development Group, Ericsson, and a member of the Ericsson EMF Research Group, Stockholm, Sweden. His research has resulted in over 60 authored or coauthored papers for scientific conferences and peer-reviewed journals. He also holds five patents. Erdem Ofli (M’02) received the B.Sc. and M.Sc. degrees in electrical engineering from Bilkent University, Ankara, Turkey, in 1995 and 1997, respectively, and the Ph.D. degree in electrical engineering from the Swiss Federal Institute of Technology (ETH) Zürich, Zürich, Switzerland, in 2004. In 2005, he joined Schmid and Partner Engineering AG (SPEAG), Zürich, Switzerland, where he is currently a Senior Engineer. He research interests are numerical techniques in electromagnetics, microwave and millimeter-wave components and systems design, and wireless communications.

Nicolas Chavannes (S’95–M’01) was born in Bern, Switzerland, in April 1972. He received the M.Sc. and Ph.D. degrees in electrical engineering from the Swiss Federal Institute of Technology (ETH) Zürich, Zürich, Switzerland, in 1998 and 2002, respectively. In 1996, he joined the Bioelectromagnetics/EMC Group (BIOEM/EMC), ETH Zürich, where he was involved in computational electrodynamics and related dosimetric applications. From 1998 to 2002, he was with the Laboratory for Electromagnetic Fields and Microwave Electronics (IFH), as well as the Laboratory for Integrated Systems (IIS), ETH Zürich, where his research activities were focused on the development of FDTD local refinement techniques and their application to numerical near-field analysis. In late 1999, he joined the Foundation for Research on Information Technologies in Society (IT’IS), Zürich, Switzerland, where he is currently in charge of the development and extension of a simulation platform targeted for antenna modeling and mobile telecommunications equipment (MTE) design in complex environments, dosimetry, and optics applications. In early 2002, he joined Schmid & Partner Engineering AG (SPEAG), Zürich, Switzerland, as Head of the software research and development team. His primary research interests include the development, implementation, and application of computational modeling and simulation techniques to electromagnetics in general, and antennas, as well as bioelectromagnetic interaction mechanisms in particular. Quirino Balzano (S’63–M’72–SM’83–F’02– LF’06) was born in Rome, Italy, in December 1940. He received the Doctorate degree in electronics engineering from the University of Rome, Rome, Italy, in 1965. During 1966, he was with FIAT, SpA, Turin, Italy. From 1967 to 1974, he was with the Missile Systems Division, Raytheon Corporation, where he was involved in the research and development of planar and conformal phased arrays. In 1974, he joined Motorola Inc., Plantation, FL, where he was the Corporate Vice

President and Director of the Florida Research Laboratories. In February 2001, he retired from Motorola Inc., as a Consulting Scientist. Since August, 2002, he has been with the Electrical and Computer Engieering Department, University of Maryland at College Park, where he is currently a Senior Staff Researcher and teaches a graduate course on antennas. He has authored or coauthored over 100 publications and has additionally authored or coauthored over 50 papers on RF dosimetry near EM sources and the biological effects of RF energy. He holds 27 patents in antenna and integrated circuit (IC) technology. His main interests are EM fields, antenna design, and the biological effects of human exposure to RF energy. Dr. Balzano was on the Board of Directors of the Bioelectromagnetics Society, a scientific society dedicated to the research of the biological effects of EM fields. He is the past chair (2005) of Commission A , International Union of Radio Science. He was the recipient of the IEEE Vehicular Technology Society Paper Prize Award (1978 and 1982) and a Certificate of Merit from the Radiological Society of North America (1981) for the treatment of tumors with RF energy. Niels Kuster (F’11) received the M.S. and Ph.D. degrees in electrical engineering from the Swiss Federal Institute of Technology, ETH Zürich (ETHZ), Zürich, Switzerland. From 1993 to 1999, he was an Assistant Professor with the Department of Electrical Engineering, ETHZ. In 2001, he became a Professor with the Department of Information Technology and Electrical Engineering, ETHZ. Since 1999, he has been the Founding Director of the Foundation for Research on Information Technologies in Society (IT’IS), Zïrich, Switzerland. In 2010, he initiated the sister institute IT’IS USA, a nonprofit research unit incorporated in the State of Maryland, of which he is currently the President. During his career, he has been an Invited Professor with the Electromagnetics Laboratory of Motorola Inc., Fort Lauderdale, FL, and, in 1998, with the Metropolitan University, Tokyo, Japan. He also founded several spin-off companies: Schmid & Partner Engineering AG, MaxWave AG, NFT Holding AG, and Zurich MedTech AG. He advises other companies as a board member, such as IMRICOR Inc. and TheraBionic LLC. He is currently building up a new research team in computational life science in biology. He has authored or coauthored over 600 publications (books, journals, and proceedings) on measurement techniques, computational electromagnetics, dosimetry, exposure assessments, and bioexperiments. His primary research interests include safe and beneficial applications of EM fields in health and information technologies. He is particularly interested in measurement technology, computational electrodynamics for the evaluation of close near fields in complex environments (e.g., handheld or body-mounted transceivers, residential/work environments), safe and reliable wireless communication links within the body or between implanted devices and exterior equipment for biometric applications, development of exposure setups and quality control for bioexperiments to evaluate interaction mechanisms, therapeutic effects and potential health risks, exposure assessments, EM safety of medical devices, medical diagnostic and therapeutic applications of EM, in particular EM cancer treatment modalities, and virtual patient applications. Dr. Kuster is a member of several standardization bodies and acts as a consultant to government agencies around the globe on the safety of mobile communications. He was a board member of various scientific societies and boards. He was the Bioelectromagnetics Society (BEMS) president (2008–2009). He is a delegate of the Swiss Academy of Science. He is currently an associate editor for the IEEE TRANSACTIONS ON ELECTROMAGNETIC COMPATIBILITY.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

2277

Low-Power Wireless Power Delivery Erez Falkenstein, Student Member, IEEE, Michael Roberg, Student Member, IEEE, and Zoya Popović, Fellow, IEEE

Abstract—This paper addresses design and implementation of integrated rectifier-antennas (rectennas) for wireless powering at low incident power densities, from 25 to 200 W/cm . Source–pull nonlinear measurement of the rectifying devices is compared to harmonic-balance simulations. Optimal diode RF and dc impedances for most efficient rectification, as a function of input power, are obtained. This allows optimized antenna design, which can eliminate or simplify matching networks and improve overall efficiency. As an example of the design methodology, Schottky diodes were characterized at 1.96 GHz and an antenna is matched to the optimal complex impedance for the most efficient rectifier. For incident power density range of interest, the optimal impedance is , with an RF to dc conversion efficiency of the rectifying circuit alone of 63% and total rectenna efficiency of 54%. Index Terms—Harmonic balance, rectenna, rectification, source–pull, wireless powering.

I. INTRODUCTION

T

HERE HAS been an increased demand for wireless sensors for data gathering and transmission where running wires to power a device or changing/charging batteries is difficult. Often, the data is gathered at locations that are difficult to access, that need to be covert, and/or where the sensors cannot be easily maintained. Some examples are implanted sensors for medical diagnostics and therapy [1], structural monitoring sensors [2], sensors inside hazardous manufacturing or other hazardous environments, sensors for health monitoring of patients or in assisted living environments [3], aircraft health monitoring [4], and sensors for covert operations. Two extremes in terms of incident power levels are RF identifications (RFIDs) and power beaming. In RFIDs, an interrogating RF wave, typically in the UHF range, delivers power to the sensor at short range [5], [6]. Often the antennas are in each other’s near fields and the power transfer is accomplished through capacitive, inductive, or resonant coupling. The results presented in this paper are for low power levels as in RFID tags and could allow more functionality in active RFIDs. On the other hand, far-field power beaming has been demonstrated for various applications requiring higher power [7]–[11]. In most of this work, directive antennas were used with high power densities, on the order of a few 10 W/cm with efficiencies ranging from 20% to 80%.

Manuscript received November 02, 2011; accepted February 01, 2012. Date of publication May 09, 2012; date of current version June 26, 2012. This work was supported by the National Science Foundation (NSF) under Collaborative Grant ECCS 0701780, and by RERC 0000072549/FY10.050.006/2-5-58393. The authors are with the Department of Electrical, Computer and Energy Engineering, University of Colorado at Boulder, Boulder, CO 80309-0425 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2193594

Fig. 1. Block diagram of a wireless powering system. An RF power transmitter transmits a plane wave incident on a rectenna element or array (RF power receiver). Following rectification is a dc stage with power management. In this paper, we consider a narrowband low-power nondirectional power transmitter. The electronic application for this study is a wireless sensor platform. The power density at the receiver is between 25–200 W/cm .

For any low power sensor that operates at a low duty cycle and in an environment with low levels of light or vibration, RF wireless powering offers the potential for maintenance-free operation. This paper focuses on a methodology for designing low-power nondirectional power-receiving devices, which consist of an antenna integrated with a rectifier and dc load, as shown in Fig. 1. The electronic application (e.g., sensor and data transceiver) is connected to the rectifier through an adaptive power management circuit described in Section V. Table I gives an overview of example power receiving devices described in the literature. It is important to note that the efficiencies are listed as reported in the papers, but they cannot be directly compared due to the different power levels, and perhaps more critically, different efficiency definitions. For example, most work does not include the antenna efficiency or coupling efficiency from antenna to the rectifier. The power incident on the rectifier is measured in a circuit with no antenna or estimated from simulation, e.g., [12]. In some cases, the incident power density is also provided. In [13]–[17], power levels incident on the diode are in the 100-mW range with 40%–82% rectification efficiency. In [17], the rectifier was directly connected to a generator, with no antenna. In [18], the 42% efficiency is measured as the ratio of the dc power and the estimated input power to the diode of 0.1 mW for a fairly large antenna area whose total dimensions are not given in the paper. In [19], a 48-element dipole array with a corporate feed was coupled to a single diode, allowing high input power to the diode at low power densities (5 W/cm ), but with a strong dependence on antenna orientation due to high antenna array gain. In [20], the diode impedance is optimized at the fundamental frequency with harmonic-balance nonlinear simulations for 1 mW of power incident on the diode, and then the diode is matched to a 50- antenna. The efficiency was calculated by estimating antenna gain when the antenna is not connected to the rectifier so at least a part of the antenna efficiency is taken into account by simulations. Reference [21] reports rectification, as well as overall integrated rectenna efficiency also found

0018-9480/$31.00 © 2012 IEEE

2278

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

TABLE I OVERVIEW OF RECTENNAS AND RECTIFIERS DESCRIBED IN THE LITERATURE

• The optimal complex antenna impedance validation method and dc collection circuit design method are demonstrated on one antenna example with three different matching circuits (Section III). The method can be applied to any antenna and rectifier combination in a straightforward manner. • Integrated antenna-rectifier characterization and calibration is presented in Section IV, including a proposed efficiency definition that gives the most conservative estimate useful for practical powering systems. We show experimentally that following the presented design methodology gives the highest efficiency. II. RECTIFYING ELEMENT CHARACTERIZATION The goal of this section is to present a method for measuring the nonlinear diode impedance and to accurately define and measure rectification efficiency. The optimal complex impedance presented to a rectifying element is a function of frequency and incident RF power (1) The diode impedance that results in best rectification efficiency is, in addition, a function of dc load (2)

-rectification efficiency; includes antenna efficiency, mismatch, and coupling. linear/circular polarization (LP/CP).

from simulated unloaded antenna gain for 10-mW estimated incident power on a four-diode rectifier. The rectifier is integrated with the antenna on the same substrate, and the overall device is compact. Reference [22] presents 64 dc-connected dual-circularly polarized broadband spirals with diodes directly connected at the feeds and with very low incident power density and with at most 20% efficiency calculated from incident power density and total antenna area. This paper reports both single and dual linearly polarized patch antennas with total efficiencies over 50%, including antenna, coupling, rectification, and dc network losses. For 25–200 W/cm , to the best of our knowledge, the efficiencies reported here are the highest demonstrated for these power levels. Due to the multiple efficiency definitions present in the literature, it is difficult to compare the efficiency numbers directly. The authors feel that clarifying and standardizing the efficiency definitions is important for practical applications of RF energy harvesting, and an attempt of defining the most conservative approach is given in Section IV-A. The contributions of the work presented in this paper can be summarized as follows. • Comprehensive nonlinear rectifier experimental characterization in good agreement with harmonic-balance simulations allowing prediction of an optimal dc load (Section II). • A time-domain theoretical analysis is presented, and appears to also agree with load–pull measurements and nonlinear simulations. Time-domain analysis has been presented in, e.g., [13], used to predict the diode RF impedance. In Section II-C, we use the time-domain analysis to show tradeoffs needed for maximizing efficiency.

The dc rectified power will be maximized for a specific load. A network analyzer can be used to measure the impedance of the diode directly, provided enough power range is available at the network analyzer port and proper calibration is performed. However, there are several problems that make this measurement inadequate for rectifier design. These are: 1) the diode impedance can be found only for a specific RF pre-matching condition at the diode terminals; 2) rectified power trends for varying RF load conditions cannot be obtained; 3) for different incident power levels, the match to the diode varies, and thus the exact power across the diode is not known; and 4) it is not straightforward to include both a power amplifier and a variable dc load. Thus, a modified load–pull technique is used here to fully characterize the rectifier element. A. Rectifying Diode Impedance Measurements In order to determine the optimal diode impedance for rectification, a Focus Microwave load–pull system is used in a modified source–pull RF-to-dc configuration, as shown in Fig. 2(a). Calibration standards bring the reference plane to the diode, and the input power is varied while directly measuring dc power into a variable dc load. First, rough measurements in a 50- environment are used to predict the range of impedances. Once this is estimated, a pre-matching circuit is designed to bring the impedance close to the range where reflections are low. This enhances the measurement accuracy, but it requires careful calibration. Thru-reflect-line (TRL) calibration standards are fabricated on a Rogers 4003c substrate, with machined calibrateable fixtures that are long. In order to obtain accurate diode characterization, the substrate permittivity and thickness are chosen so that a 80- microstrip line is close in width to the diode

FALKENSTEIN et al.: LOW-POWER WIRELESS POWER DELIVERY

2279

Fig. 3. Simulated constant rectified power contours at 2-dB increments at 1.96 GHz for a 460- dc load resistance. The results are for a 10-dBm input power for a single Skyworks SMS7630-79 Schottky diode connected to an 80- input line. The maximal rectified power is 7.7 dBm. In this case, the RF to dc conversion efficiency is 58%. The Smith chart is normalized to 120 .

Fig. 2. Block diagram of the: (a) source–pull RF-dc measurement and (b) corresponding harmonic-balance simulations. The rectified voltage is measured across a variable dc load resistor while the RF power to the diode (DUT) and the impedance presented at the diode input are varied. This is repeated for several values of the dc load resistor.

leads. Effectively every impedance from the 50- environment is transformed through the 80- line, which concentrates the measured points at higher impedances and give an even distribution on a 128- Smith chart. To obtain the impedance that needs to be presented to the diode for most efficient rectification, the input RF power is varied through a calibrated power amplifier. The voltage across the dc load is measured while the input RF power, input impedance, and dc load resistance are varied at a given frequency. B. Harmonic-Balance Simulations The source–pull measurements give useful design data, but they take a long time and need to be repeated for different configurations of the diodes and at different frequencies. To establish a nonlinear simulation that is validated by measurements, a SPICE diode model [23] using manufacturer’s data is used in harmonic-balance simulations in Agilent ADS, as shown in Fig. 2(b). At a given input frequency, and for each input power and dc load, the magnitude of the reflection coefficient at the port is varied from 0 to 0.95, and for each value, the angle is swept from 0 to 360 in steps of 5 , as shown via the blue points (in online version) in Fig. 3. In this way, constant output dc power contours, shown in red (in online version), are obtained for a particular input RF power at a given frequency. Fig. 4 shows results obtained by simulations for the mismatch between the source–pulled diode impedance and a 120nominal impedance. The contours describe constant dc rectified power (in dBm) for a range of mismatched amplitude and phase. Note that the same dc power output can be obtained for two RF

Fig. 4. Simulated contours of constant dc power for a range of magnitude and phase mismatch between diode impedance and reference impedance, for two values of input power [red and blue traces (in online version)]. The plot shows that the same rectified power can be obtained for multiple values of diode impedance mismatch relative to a 120- nominal impedance.

reflection coefficients, but the maximum output power is obtained within a magnitude range of 0.1 and a phase range of a few degrees. C. Time-Domain Analysis Time-domain voltage waveforms as a function of input RF voltage and dc load and the diode I–V curve give quantitative insight into efficiency improvement possibilities. In [13], time-domain analysis was used to determine rectifying diode impedance. Fig. 5 shows the simulated I–V curve of the diode, where is the forward bias voltage, and is the reverse breakdown voltage. The simplified model of a rectifying diode is shown in Fig. 6(a), while Fig. 6(b) shows an example input voltage waveform across the diode terminals, as well as the voltage across the nonlinear elements of the diode model. The dashed lines indicate the dc voltage across the load and the dc voltage

2280

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 5. I–V curve for the SMS7630 diode obtained from simulations using the model from [23].

Fig. 7. Simulated time-domain voltage and current waveforms and cor, (b) , and responding voltage spectra for: (a) for an input power of (c) terminated even harmonics and 10 dBm. Note that the best efficiency is obtained for the harmonically terminated case when the time-domain waveform is closest to a pure sinusoid.

Fig. 6. (a) Simplified diode model. (b) Example voltage waveforms: input across nonlinear voltage across diode [red (in online version)] and voltage portion of the diode model [blue (in online version)]. The dashed red line (in online version) shows the dc components of the input waveform and the blue line (in online version) is the dc component of the voltage across the nonlinear portion of the diode while it is reverse biased.

across the nonlinear part of the diode model. The voltage across the nonlinear elements of the diode can be expressed as diode off diode on

(3)

is the dc component and is the fundamental amwhere plitude during the reverse-bias period, is the forward-bias voltage, is the forward-bias period, and is the phase shift with respect to the input voltage. At the transition points between forward and reverse bias (4) assuming the other and minimizing implies an increase in parameters do not change. The dc voltage on the load is in reverse polarity to the forward-bias voltage . Since the magnitude of the reverse breakdown voltage is much larger than the forward-bias voltage , the dc voltage can be larger

than . The limit on increasing the dc voltage during the reverse-bias period is the reverse breakdown voltage . The sum of the fundamental amplitude and the dc component should be smaller than in order to prevent an additional source of harmonic generation. This means that for improved efficiency, the diode should be in forward bias as little as possible during each cycle; however, it still needs some amount of forward bias to provide the nonlinearity. The assumptions made while minimizing in (4) are valid for an ideal diode since is a constant that is determined by the diode used and is determined primarily by the RF impedance presented to the source at the fundamental frequency. In the model in Fig. 6(a), the diode can be replaced by its Norton equivalent current source, and thus a larger implies a larger dc output voltage and higher efficiency. For a larger value of , the blue waveform (in online version) in Fig. 6(b) shifts upwards, and the diode is forward biased during a smaller portion of the period, thus increasing the dc rectified voltage and increasing the efficiency. It is interesting to note the similarities to reduced-conduction angle efficient power amplifiers [24]. Harmonic-balance simulations using ADS were performed with a varying dc load for a constant input RF power of 10 dBm. Fig. 7(a) and (b) shows the diode voltage and current waveforms for and 460 . The spectral content of the voltage shows that for the optimal load, the ratio of the dc rectified voltage and the fundamental voltage amplitude is small and . The efficiencies corresponding to the two loads are 41% and 52%, respectively. As in the case of power amplifiers, harmonic terminations can be used to improve efficiency. Fig. 7(c) is the result for a high

FALKENSTEIN et al.: LOW-POWER WIRELESS POWER DELIVERY

2281

TABLE II EFFICIENCY SIMULATION RECTIFIER RESULTS FOR SEVERAL INPUT POWERS. IS THE OPTIMAL LOAD

impedance presented at all even harmonics, resulting in a 65% efficiency. For this increase in efficiency, the optimal load is reduced to 360 to avoid voltage breakdown. The harmonic content of the dissipated power is similar in all cases, but the distribution of power between the harmonics can differ. In the optimal case, all the harmonic power will be converted to dc. When the input power is reduced, if the load can be adjusted to maintain , the efficiency can be maintained. As the input power decreases further, the efficiency will drop, as summarized in Table II. For the simulated case, the best efficiency of 70% is achieved for dBm for . D. Comparison of Harmonic Balance and Source–Pull Harmonic-balance simulations are compared to source–pull data for several cases. An example is shown in Fig. 8 for two different dc loads. Over a range of dc loads from 10 to 1250 and for input power levels at 0 and 10 dBm, the measured and simulated contours track well. For both power levels and all dc loads (60 and 460 are shown in Fig. 8 as two examples), the simulated and measured contours track each other. Fig. 8(b) shows the case for the optimal dc impedance, and the antenna designed for the RF impedance that gives the highest rectified power will give the most efficiency at a given incident power density. III. ANTENNA DESIGN FOR INTEGRATION WITH RECTIFIERS For the rectennas presented in, e.g., [25], the design involves matching to a 50- antenna load. The method developed in this paper attempts to design the matching to the experimentally determined optimal diode impedance by antenna impedance co-design. The remainder of this section is devoted to detailing the design procedure on the example of a narrowband 1.96-GHz cell-phone frequency patch antenna integrated with a Schottky diode. The steps of the procedure are antenna design for complex impedance feed point and validation of antenna impedance performance (see Section III-A) and validation of integrated antenna, matching circuit, and bias line performance (see Section III-B). A. Antenna Feed Point Design and Performance Validation Antenna full-wave simulations (Ansoft HFSS) are used with the goal of determining the complex feed impedance presented to the diode for best conversion efficiency, as determined by harmonic-balance simulations or source–pull measurements. Most antenna simulations refer to the magnitude of the reflection coefficient, while in the rectenna design process, both the real and imaginary part of the impedance are of interest. Rather than matching to the standard 50 , the design attempts to obtain a match to the optimal diode complex impedance.

Fig. 8. Simulated [blue (in online version)] and measured [red (in online version)] rectified power contours for 10-dBm input power and for a (top) and (bottom) dc load at 1.96 GHz. The Smith chart is normalized to 120 .

Fig. 9(a) shows the geometry of a patch antenna in which several feed points (labeled 1–7) and antenna widths are simulated and measured in order to determine the sensitivity of the complex impedance to patch width, feed location, and parasitic associated with the connector. Fig. 9(b) shows the simulated and measured complex impedances for one patch width mm . The dashed line is the result of a simulation where a 50- coaxial feed excited with a wave port, while the solid line, which closely matches the experimental data, includes the full 3-D SMA connector simulation. From these results, it is clear that the complex impedance of the antenna is extremely sensitive to parasitics associated with the feed connector, even at this relatively low frequency. Notice also that the reactance is much more sensitive than the resistance, and a plot of would not show this sensitivity. B. Antenna, Matching, and DC Line Design Validation The impedance range shown in Fig. 9(b) does not exactly reach the optimal diode impedance. There is an additional degree of freedom in choosing the antenna feed point and in the design presented here, a point 14 mm off the center of the patch

2282

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 9. (a) Geometry of patch antenna showing seven feed points for a width mm. (b) Simulated and measured results of the antenna complex of impedance. The dashed line shows the simulation without the full SMA connector implemented in HFSS. The Smith chart is normalized to 120 .

is used, it is chosen so that the matching network to the diode is simple. In addition, simulation and measurement have excellent agreement at that point. Note that with other antennas and diodes, it might be possible to eliminate the matching circuit altogether. The next step in the design procedure is validation of the full passive part of the rectenna, including the matching circuit and dc output line. A series of steps are taken to validate the performance of the passive part of the rectenna prior to adding the diode. First, a trace from a connector to the calibration reference plane where the diode will be connected is inserted (this trace is not needed after validation and can be cut off). This TRL-calibrated measurement validates that the exact source–pull determined diode impedance is obtained with the fabricated antenna and matching circuit. The next step is to add the dc collection line, which consists of a quarter-wave microstrip section and shunt resonant surface mount capacitor to isolate the dc from the RF. The resonant capacitor and the quarter-wave-long transformer presents an open to the diode

Fig. 10. (a) Layout of the matching circuit used to transform the antenna complex impedance to the optimal diode complex impedance. (b) Measured impedance presented at the diode reference plane is within a few ohms from the desired complex impedance. The red data point (in online version) shows the antenna impedance at the feed point. The red symbol (in online version) represents the impedance at the antenna feed point and the blue trace shows the transformation due to the matching circuit. The curves connecting the measured points show the matching procedure. The Smith chart is normalized to 120 .

plane while providing a dc path. The TRL calibrated measurement allows validation that the fundamental impedance does not change regardless of the load connected past the resonant capacitor. Finally, after validation the TRL trace is cut and a diode is soldered between the diode plane and ground. The specific matching circuit is shown in Fig. 10(a), the measurement results are shown in Fig. 10(b) with the red data point (in online version) being the antenna feed point impedance. The blue trace (in online version) is a set of transformations for a multiple-section transmission line match to the desired impedance , as shown in the inset. The measured impedance is within a few ohms with a difference in reflection coefficient below 3%. IV. RECTENNA CHARACTERIZATION To validate that optimal impedance gives indeed best rectification, three integrated rectifier-antennas were tested. The antenna and matching circuit are connectorized for measurement purposes and allow validation of each part. Additional line length between the antenna and matching circuit move the diode

FALKENSTEIN et al.: LOW-POWER WIRELESS POWER DELIVERY

2283

Fig. 12. Block diagram of measurement setup for obtaining calibrated rectified power levels and efficiencies over a range of normally incident power densities and dc loads.

Fig. 11. Measured diode load–pull contours for rectified dc power with superimposed impedances that antennas A1–A3 are matched to (black symbols). This data is for the optimal dc load for antenna A1, and sub-optimal load for A2 and A3. The Smith chart is normalized to 120 .

match from the optimal A1 to suboptimal A2 and A3, as seen in Fig. 11. The impedances were chosen to be on dc power contours separated by approximately 2 dB. All three test antennas (A1–A3) use the same antenna, matching circuit and dc circuit, but they have different matching to the diode, reducing uncertainty due to parasitics and fabrication tolerances. For each case, the integrated antenna/rectifier was fully characterized, and the efficiencies compared.

As in (6), the antenna gain is found for a fixed (usually 50 ) load, and does not take in to account gain changes due to nonlinear rectifier loading. A comparison of (5)–(7) for a specific rectenna is given in Section IV-C. B. Measurements and Calibration Antennas A1–A3 were characterized in a calibrated setup, as illustrated in Fig. 12. The source is an HP83650A synthesizer feeding a 40-dB gain power amplifier (maximum power 30 W), allowing far-field measurements to be performed for a range of incident power densities on the rectenna at normal incidence. The calibration procedure is performed with two equal linear polarized calibrated AEL H-1498 broadband horn antennas with GHz dB. The power density at the plane of the rectenna is found from (8)

A. Efficiency Definition The efficiency is found from (2) by assuming that the effective area of the rectenna is the largest possible, i.e., equal to the geometric area , (5) The denominator in (5) overestimates the RF power delivered to the diode, therefore the efficiencies reported here are conservative lower bounds, and are precisely calibrated for normally co-polarized incident powering waves. Other efficiency definitions have been reported in the literature. For example, in [21] and [26], is the RF power delivered to the diode based on the Friis formula (6) (6) where , , and are the transmitter co-polarized power, gain, and distance of the transmitter, respectively. is found from measurement or simulation of an equivalent antenna without the rectifier. Thus, this definition does not take into account the nonlinear loading of the antenna by the feed, coupling between the rectifier and antenna, mismatch and ohmic losses. Small errors in have a large effect. Another definition in the literature, e.g., [18], uses measured power density at the rectenna plane and estimates the antenna effective area obtained through gain measurement or simulation (7)

is the gain of the receiving calibrated horn antenna where and is the RF power measured at the receiving horn output when the aperture is at the reference plane. The rectenna is next placed at the reference plane and the rectified power is measured over precisely controlled dc load values for incident power densities from 25 to 200 W/cm . The measurements are performed in an anechoic chamber. C. Results Fig. 13(a) shows measured rectified power for the optimally matched rectenna (A1). The optimal dc load for 200 W/cm is 460 and changes by 30 for lower power levels. The corresponding lower bounds on peak conversion efficiencies calculated from (5) are shown in Table III. This result confirms that the optimal match between the antenna and rectifier yields considerably higher efficiencies than the sub-optimal match cases. Fig. 13(b) shows the rectified output power for antennas A1 and sub-optimally matched antennas A2 and A3 at two power densities. As the impedance deviates from the optimum, the rectified power decreases significantly. The optimally complex-impedance matched rectenna gives the highest rectified power. Note that the optimal dc load shifts, as expected from the source–pull. For practical applications, it is important to notice that the value of decreases with increasing incident power Fig. 14, as expected from the discussion in Section II-C. The efficiency definition (5) reported in this study gives measured values for A1 between 43%–54% for power densities of

2284

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 14. Measured rectenna optimal dc load sensitivity to incident RF power for the three antennas.

Fig. 13. (a) Measured rectified power for Antenna A1 at broadside for power densities 25–200 W/cm . (b) Antennas A1 [red dashed line (in online version) ] A2 (blue dashed–dotted line (in online version)] and A3 (black solid line) at power densities of 25 and 200 W/cm .

TABLE III MEASURED OPTIMAL EFFICIENCIES FOR THE THREE ANTENNAS

25–200 W/cm , as shown in blue (in online version) in Fig. 15. Compared to results obtained from (6), (7) with the same measured data shows that (5) cannot overestimate the total RF–dc efficiency. V. DISCUSSION In summary, a design methodology for low-power integrated antenna rectifiers was presented on the example of a patch antenna integrated with a single Schottky diode at 1.96 GHz. In this section, some additional design options and practical parameters are discussed. All the measurements of rectennas in this work are done for given power densities, not transmitted power. For example, the Federal Communications Commission (FCC) specifies an allowed transmitter power of 1 W with up

Fig. 15. Measured efficiency of A1 using definitions (5) [blue (in online version)], (7) [red (in online version)] and (6) (black). The RF power in (7) is found by multiplying the power density by the effective area of the antenna obtained from HFSS simulations with a fixed 50- port impedance. The input power in (6) is obtained from measured power from a reference patch antenna with same geometry as the rectenna, but matched to 50 and connected to a power meter.

to 6-dB antenna gain, the corresponding power densities will be similar to the ones measured in the paper for a distance of up to a few meters. We have tested the system with an FCC-compliant industrial–scientific–medical (ISM) band transmitter with an ISM-band rectenna in [27] with ranges of several meters. In the example in this paper, we are using the cellular frequency and the rectenna was intended for power scavenging close to base stations. The presented efficiency-optimized rectenna was made modularly for the purpose of being able to separately validate all parts of the design. A more integrated version is shown in Fig. 16 along with the measured characterization curves. A. Rectifier Topologies The paper focuses on simulation and experiments on a single diode rectifier. Other rectifier configurations can also be considered [18], [28], and two examples are shown in Fig. 17. The source–pull measurements were repeated for these configurations and are summarized and compared to the single diode rectifier in Table IV. From this measured data, verified

FALKENSTEIN et al.: LOW-POWER WIRELESS POWER DELIVERY

2285

be mounted on a structure and the ground plane of the patch gives the needed isolation. Other antennas more appropriate for wideband powering or harvesting are spirals or capacitively loaded (fat) dipoles, as discussed in [22] and [29]. In cases where omni-directional reception is a possibility, a dipole, slot, or dipole array can be used. In the case of patches and slots, the ground is convenient for placement of circuitry [27], [30]. C. DC Power Management and Powering Applications

Fig. 16. More integrated version of a dual-polarized patch rectenna at 2.45 GHz (last column in Table I), where the patch antenna and rectifier circuit share a ground plane. The solid blue line (in online version) and dashed red line (in online version) are measured dc output power for the two linear polarizations for 175- W/cm incident power density.

Fig. 17. Three diode rectifier topologies were measured and simulated using the same method. (a) Single SMS7630-79 Schottky diode, described in detail throughout this paper. (b) SMS7621-74, two-diode package. (c) Two antiparallel SMS7630-79 diodes.

TABLE IV SUMMARY OF MEASURED MAXIMUM RECTIFIED POWER FOR OPTIMAL RF AND DC IMPEDANCE AT THE DIODE TERMINALS

The applications for the rectennas presented in this paper are for low-maintenance and low-power wireless sensors that are located where photovoltaics (PVs) cannot be used, such as inside a structure (e.g., in an aircraft wing [31]). This powering method is appropriate for low transmit duty cycle and for cases when RF power density varies. In order to keep efficiency high, the on-board energy storage must be charged optimally [27]. This can be accomplished by emulating an optimal load resistance for different power levels. In [32], a custom integrated circuit (IC) is demonstrated for this application with 35% –70% efficiency for 1.5–30 W, respectively. In [27], a power management circuit that is based on low-cost commercial components senses the available rectified power and adapts the data transmission duty cycle accordingly with converter efficiencies of over 50% at an input power of 100 W. The powering is not very sensitive to alignment with the RF-power transmitter, for low-gain (small) antennas; the transmitter used for power does not load the powering receiver since the two antennas are in each other’s far fields. Finally, it is important to note that the design method presented in this paper relies on the exact order of the different steps. The source–pull of the rectifier for varying dc load over incident RF power levels of interest must be performed first, experimentally and/or in simulation. The next step is the design of the dc power collection circuit. Following this step, the antenna complex impedance is designed to match the optimal rectifier impedance. For best efficiency, this might require an additional matching circuit. The passive part of the rectenna is then validated by experiment. After the rectifier is integrated with the passives, careful rectenna characterization for versus and is performed. This results in a Thevenin equivalent for the rectenna, as described in Fig. 13(a). As detailed in [33], the dc power management circuit can subsequently be designed from this data. ACKNOWLEDGMENT

with simulations, a single diode gives the best efficiency for the input power levels of interest, which, in turn, correspond to the 25–200- W/cm incident power density for the example patch antenna. In (b) and (c), the losses are increased, so it takes more power to turn both diodes on, and the diodes are most likely different resulting in different bias conditions. It is likely that for high power levels, with well-matched diodes, two-diode rectifiers would result in higher efficiency. B. Antenna Types The patch antenna discussed in this paper is a good option for a narrowband powering application where the device needs to

The authors would like to thank Dr. J. Hagerty, Urban RF, Seattle, WA, for helpful discussions. The authors also thank L. Howe, University of Colorado at Boulder, for help with rectenna measurements, and Prof. R. Zane, University of Colorado at Boulder, and his graduate student D. Costinett, who are addressing the power management extensions of this study. REFERENCES [1] U. Lee, K. D. Song, Y. Park, V. K. Varadan, and S. H. Choi, “Perspective in nanoneural electronic implants with wireless power-feed and sensory control,” J. Nanotechnol. Eng. Med. vol. 1, no. 2, 2010 [Online]. Available: http://link.aip.org/link/?NEM/1/021007/1, Art. ID 021007

2286

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

[2] J. Bernhard, K. Hietpas, E. George, D. Kuchima, and H. Reis, “An interdisciplinary effort to develop a wireless embedded sensor system to monitor and assess corrosion in the tendons of prestressed concrete girders,” in IEEE Wireless Commun. Technol. Top. Conf., 2003, pp. 241–243. [3] T. Paing, J. Morroni, A. Dolgov, J. Shin, J. Brannan, R. Zane, and Z. Popović, “Wirelessly-powered wireless sensor platform,” in Eur. Microw. Conf. , 2007, pp. 999–1002. [4] C. Walsh, S. Rondineau, M. Jankovic, G. Zhao, and Z. Popović, “A conformal 10 ghz rectenna for wireless powering of piezoelectric sensor electronics,” in IEEE MTT-S Int. Microw. Symp. Dig., 2005, 4 pp. [5] K. Finkenzeller, RFID Handbook—Fundamentals and Applications in Contactless Smart Cards and Identification. West Sussex, U.K.: Wiley, 2003, pp. 41–53. [6] D. M. Dobkin, The RF in RFID: Passive UHF RFID in Practice. Newton, MA: Newnes, 2007, pp. 19–34. [7] W. Brown, “The history of power transmission by radio waves,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 9, pp. 1230–1242, Sep. 1984. [8] N. Shinohara and H. Matsumoto, “Experimental study of large rectenna array for microwave energy transmission,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 3, pp. 261–268, Mar. 1998. [9] J. McSpadden, F. Little, M. Duke, and A. Ignatiev, “An in-space wireless energy transmission experiment,” in Proc. 31st Intersoc. Energy Conversion Eng. Conf., Aug. 1996, vol. 1, pp. 468–473. [10] L. Epp, A. Khan, H. Smith, and R. Smith, “A compact dual-polarized 8.51-GHz rectenna for high-voltage (50 V) actuator applications,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 1, pp. 111–120, Jan. 2000. [11] Y. Fujino, T. Ito, M. Fujita, N. Kaya, H. Matsumoto, K. Kawabata, H. Sawada, and T. Onodera, “A driving test of a small DC motor with a rectenna array,” IEICE Trans. Commun., vol. E77-B, no. 4, pp. 526–528, Apr. 1994. [12] V. Rizzoli, D. Masotti, N. Arbizzani, and A. Costanzo, “Cad procedure for predicting the energy received by wireless scavenging systems in the near- and far-field regions,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2010, pp. 1768–1771. [13] J. McSpadden, L. Fan, and K. Chang, “Design and experiments of a high-conversion-efficiency 5.8-GHz rectenna,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 2053–2060, Dec. 1998. [14] B. Strassner and K. Chang, “5.8 ghz circular polarized rectenna for microwave power transmission,” in IECEC 35th Intersoc. Energy Conversion Eng. Conf. and Exhibit, 2000, vol. 2, pp. 1458–1468. [15] J. Zbitou, M. Latrach, and S. Toutain, “Hybrid rectenna and monolithic integrated zero-bias microwave rectifier,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 147–152, Jan. 2006. [16] J. Akkermans, M. van Beurden, G. Doodeman, and H. Visser, “Analytical models for low-power rectenna design,” IEEE Antennas Wireless Propag. Lett., vol. 4, pp. 187–190, 2005. [17] Y. Hiramatsu, T. Yamamoto, K. Fujimori, M. Sanagi, and S. Nogi, “The design of mw-class compact size rectenna using sharp directional antenna,” in Eur. Microw. Conf., Sep. 29–Oct. 1, 2009, pp. 1243–1246. [18] G. Vera, A. Georgiadis, A. Collado, and S. Via, “Design of a 2.45 GHz rectenna for electromagnetic (EM) energy scavenging,” in IEEE Radio Wireless Symp., 2010, pp. 61–64. [19] W. Brown, “An experimental low power density rectenna,” in IEEE MTT-S Int. Microw. Symp. Dig., Jul. 1991, vol. 1, pp. 197–200.

[20] J. Heikkinen, P. Salonen, and M. Kivikoski, “Planar rectennas for 2.45 GHz wireless power transfer,” in IEEE Radio Wireless Conf., 2000, pp. 63–66. [21] H. Takhedmit, B. Merabet, L. Cirio, B. Allard, F. Costa, C. Vollaire, and O. Picon, “A 2.45-GHz low cost and efficient rectenna,” in Proc. 4th Eur. Antennas Propag. Conf., 2010, pp. 1–5. [22] J. Hagerty, F. Helmbrecht, W. McCalpin, R. Zane, and Z. Popović, “Recycling ambient microwave energy with broadband rectenna arrays,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 1014–1024, Mar. 2004. [23] “Surface mount Schottky diode model, Skyworks SMS7621-079 Schottky diode, SC79 package,” Modelithics Inc., Tampa, FL, Modelithics COMPLETE v7.0 Help File, Rev. 050302, 2005. [24] S. Cripps, RF Power Amplifiers for Wireless Communications. Norwood, MA: Artech House, 2006, pp. 39–65. [25] D. H. Li and K. Li, “A novel high-efficiency rectenna for 35 GHz wireless power transmission,” in Proc. 4th Int. Microw. Millimeter-Wave Technol. Conf., 2004, pp. 114–117. [26] H.-K. Chiou and I.-S. Chen, “High-efficiency dual-band on-chip rectenna for 35- and 94-GHz wireless power transmission in 0.13- m CMOS technology,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 12, pp. 3598–3606, Dec. 2010. [27] D. Costinett, E. Falkenstein, R. Zane, and Z. Popović, “RF-powered variable duty cycle wireless sensor,” in Eur. Microw. Conf., 2010, pp. 41–44. [28] U. Olgun, C.-C. Chen, and J. Volakis, “Wireless power harvesting with planar rectennas for 2.45 GHz RFIDs,” in URSI Int. Electromagn. Theory Symp., 2010, pp. 329–331. [29] W.-H. Tu, S.-H. Hsu, and K. Chang, “Compact 5.8-GHz rectenna using stepped-impedance dipole antenna,” IEEE Antennas Wireless Propag. Lett., vol. 6, pp. 282–284, 2007. [30] T. Paing, J. Morroni, A. Dolgov, J. Shin, J. Brannan, R. Zane, and Z. Popović, “Wirelessly-powered wireless sensor platform,” in Eur. Wireless Technol. Conf., 2007, pp. 241–244. [31] C. Walsh, S. Rondineau, M. Jankovic, G. Zhao, and Z. Popović, “A conformal 10 GHz rectenna for wireless powering of piezoelectric sensor electronics,” in IEEE MTT-S Int. Microw. Symp. Dig., 2005, 4 pp. [32] T. Paing, E. Falkenstein, R. Zane, and Z. Popović, “Custom IC for ultra-low power rf energy harvesting,” in 24th Annu. IEEE Appl. Power Electron. Conf. and Expo., 2009, pp. 1239–1245. [33] A. Dolgov, R. Zane, and Z. Popović, “Power management system for online low power RF energy harvesting optimization,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 57, no. 7, pp. 1802–1811, Jul. 2010. Erez Falkenstein (S’07), photograph and biography not available at time of publication.

Michael Roberg (S’10), photograph and biography not available at time of publication.

Zoya Popović (F’02), photograph and biography not available at time of publication.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

2287

Multilayer Antenna-Filter Antenna for Beam-Steering Transmit-Array Applications Luigi Boccia, Member, IEEE, Ivan Russo, Member, IEEE, Giandomenico Amendola, Member, IEEE, and Giuseppe Di Massa, Senior Member, IEEE

Abstract—This paper presents a study on reconfigurable transmit-array (TA) antennas based on multilayer tunable elements. The proposed configuration can be referred to as a multilayer antenna–filter antenna (MAFA) and it can be viewed as an extension of the antenna-filter antenna concept. It consists of multiple antenna layers cascaded to form a spatially distributed bandpass filter. Phase agility is achieved by tuning the resonant frequency of each layer. The main objective of this paper is to introduce a new design technique for MAFA TA antennas. As will be shown, the key feature of the proposed approach is that it allows to simultaneously take into account three key design parameters: the phase tuning range, the maximum acceptable losses per unit cell, and the operating bandwidth. Simulations and experimental results for MAFAs based on varactor-loaded slot antennas will be illustrated to prove the validity of the proposed method. In particular, numerical results will demonstrate that MAFA cells can be designed to provide full phase agility over operating bandwidths of up to 10% with maximum insertion losses of 3 dB. Furthermore, a specific example will show how introducing an inter-layer medium with a higher dielectric permittivity it is possible to reduce the array longitudinal size. Finally, experimental results obtained using a waveguide simulator will be presented for a 1 3 five-layer MAFA cell. Index Terms—Beam steering, constrained lens, lens antenna, phase shifter, reconfigurable antenna, slot antenna, transmit array (TA), varactor.

I. INTRODUCTION

T

RANSMIT-ARRAY (TA) antennas are planar discrete lenses that operate a phase-front transformation by converting an incident spherical wavefront into an outgoing plane wave propagating in a specified direction. TAs are an attractive solution for achieving high gain at millimeter-wave frequencies where the free-space feeding improves the radiation efficiency by eliminating the losses that occur with corporate feed networks. The first example of a TA was the HAPDAR radar antenna [1] developed in the late 1960s. In this work, input and output radiating elements were located on a curved and on a flat radiating surface, respectively. Interconnections Manuscript received July 27, 2011; revised March 15, 2012; accepted March 20, 2012. Date of publication May 24, 2012; date of current version June 26, 2012. L. Boccia, G. Amendola, and G. Di Massa are with the Dipartimento di Elettronica, Informatica e Sistemistica (DEIS), Università della Calabria, Rende 87036, Italy (e-mail: [email protected]). I. Russo is with the Institute for Microwave and Photonic Engineering, Graz University of Technology, Graz 81010, Austria. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2195673

between pairs of transmit (Tx) and receive (Rx) cells were done by means of coaxial lines of specific lengths combined with phase shifters. The basic concept of planar discrete lens was formalized two decades later in [2]. That pioneering study provided a description of the general planar-lens array concept and a detailed discussion of the array’s focusing properties. Further examples of fixed-beam printed discrete lenses can be found in the literature. Slot coupled microstrip antennas were proposed in [3]–[5], while an implementation based on multilayer holographic structures and a wideband configuration were introduced in [6]–[9], respectively. However, it is only in recent years that TAs have received renewed interest, with their earliest concept evolving toward more sophisticated solutions. In particular, significant advances have been realized through the integration of active elements and by the merging of efforts stemming from the area of quasi-optical (QO) systems [10]–[13]. In particular, Tx-amplifier arrays were initially proposed in [14]–[16] with the intent to reduce spill-over losses in QO power-combining amplifiers through the use of a focal point feed. Therefore, QO lens amplifiers receive a spherical wave, process the signal at each element, and re-radiate an amplified collimated beam. As work in the field has progressed, the functionalities of active TAs have diversified to include Tx–Rx front-ends [17], [18], as well as optically controlled multibeam/beam-switching systems in which the adaptive processing of the received signals is performed by dynamic holographic optical circuitry [19]. Renewed interest in TAs has been motivated by the attempt to develop beam-scanning TA antennas. In principle, a reconfigurable planar lens requires that the phase of the signal transmitted by each array element is tuned over a wide range with low insertion losses. The earliest conception of a planar TA with beam-steering capability was proposed in [20], where a switch-loaded multilayer grid was introduced. In this configuration, the phase of the propagating wave is controlled across a metallic screen surface by activating or de-activating the switches of the individual cells. This solution was verified for prototypes operating at 3 and 5 GHz, successfully demonstrating focusing, beam scanning, and beam-splitting functions. However, the major limiting factor of this technique is related to its high insertion losses, which are estimated to be 16 dB at 5 GHz for an eight-fold 3-bit 360 system [21]. More recently, a new type of active Tx array was developed using so-called antenna–filter–antenna (AFA) elements [21]–[24]. Each AFA cell is designed to work as a reconfigurable filter where the input and the output ports are the Tx and the Rx antennas. Phase delay at the cell level can be controlled

0018-9480/$31.00 © 2012 IEEE

2288

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

acting on a reconfigurable circuit. Two different AFA implementation approaches have been proposed in the literature. The first class of reconfigurable AFA elements [21], [23], [25], [26] is based on slot antennas coupled to a stripline resonator controlled by multiple switches. The operating mode of the resonator can be reconfigured by activating or deactivating the switches on each cell to obtain variable phase responses. Thus far, this class of active lenses has only been demonstrated for 2-bit configurations, yielding 90 discrete phase steps. The second class of AFAs, as proven in various studies [27]–[29], has the potential to yield continuous phase variations. It consists of two varactor-loaded patch radiators coupled through a varactor-tuned slot. This structure acts as a three-pole tunable filter where a phase shift between input and output fields is imparted by controlling the varactor biases. This unit cell was proved to achieve 245 of phase range with 3 dB of loss over a bandwidth of 2%. Further examples of reconfigurable TAs employing phase shifters were recently introduced in [30]. In this paper, we propose a new design approach for a phasetunable TA element based on multilayer antenna–filter antennas (MAFAs). Conceptually, MAFAs can be viewed as an extension of the AFA concept wherein multiple reconfigurable antennas are cascaded to form a space-based tunable beamformer. The use of beam-steering multilayer tunable structures was already introduced in [31] where a three-layer frequency-selective surface (FSS) based on varactor-loaded slot antennas was demonstrated to produce up to 270 of phase shift at a given frequency. Other examples were proposed in [32]–[35] where up to five layers were employed, obtaining wider phase ranges and employing uniform layers. However, in all previous examples, the MAFA cells were designed without any synthesis procedure and without providing an insight of the problem. Moreover, bandwidth operation was never taken into account. In this study, the MAFA concept will be elaborated and expanded upon in two directions. Firstly, a systematic design methodology that allows mapping the MAFA cell to a quarter-wave-coupled filter will be presented. With this approach, the reconfigurable TA cell can be synthesized simultaneously controlling the phase tuning range and the transmission loss over a given bandwidth. Secondly, the possibility to reduce the longitudinal array size by using a medium with higher dielectric permittivity will be demonstrated. This paper is organized as follows. The equivalent circuit used to design the TA unit cell and the basic design rationale will be presented in Sections II and III, respectively. In Section IV, two design examples for different multilayered structures will be reviewed to show how the proposed MAFA configuration can be designed to operate with different bandwidths and with different inter-layer dielectrics. In Section V, experimental results obtained in a waveguide simulator will be presented and discussed. II. CIRCUIT MODEL MAFAs are reconfigurable lenses that can be used in a TA system, as shown in the sketch of Fig. 1(a). MAFAs can be viewed as a multilayer extension of the AFA concept. In general, MAFAs can be implemented using cascading arrays of tunable antennas excited by a feed horn. The field propagates throughout

Fig. 1. Geometry of the MAFA. (a) MAFA TA conceptual layout. (b) Single cell geometry.

all the layers and is radiated into free space by the last layer. As will be shown, the field amplitude and phase across the radiating surface can be controlled by electronically tuning the characteristics of the individual cells to achieve beam steering. This study focuses on the characterization of a MAFA implemented using arrays of varactor-loaded slot antennas. This antenna type was preferred over other more complex geometries, as it is the simplest one to characterize and to fabricate. For the case at hand, each MAFA layer consists of a dielectric slab having relative dielectric permittivity and thickness , while the inter-layer distance, , is defined as in Fig. 1(a). In principle, the inter-layer distance can be filled by another dielectric. It is worth noticing that the use of materials with higher dielectric permittivity can be particularly important to reduce the inter-layer distance, and in turn, the longitudinal length of the MAFA array. Although different materials can be used for each layer, in this paper, identical dielectrics for all layers were employed. The elementary cell for a generic layer is shown in Fig. 1(b), where a rectangular slot antenna of width and length is shown. Each cell is loaded by a varactor diode placed across the center of the slot and biased by a reverse voltage , where the indices , define the cell location in terms of row and column. For simplicity, it is assumed that all the varactor-loaded slot antennas located on a certain layer are identical. Moreover, the bias of each cell has to be set individually depending on the position on the surface but not on the layer. The behavior of the proposed TA was studied using the hybrid model shown in Fig. 2. Each layer was modeled considering its elementary cell in an infinite array environment. This type of analysis was carried out so as to differentiate the simulation of the passive part and the active part of the circuit. The passive slot, including the substrate and the inter-layer

BOCCIA et al.: MAFA FOR BEAM-STEERING TA APPLICATIONS

2289

Fig. 2. MAFA equivalent circuit.

medium, was thus analyzed by means of commercial full-wave software [36] that is capable of simulating the actual antenna geometry, including the bias circuitry, and which can handle periodic boundary conditions. The slot simulation setup thus includes three ports: an input and an output port supporting Floquet modes that model the incident and outgoing plane wave, as well as a lumped port located at the same position of the diode. Impedance at the two Floquet ports was de-embedded at the lower and higher plane of the slot dielectric slab. Phase-shift walls [36] are used to enforce periodic boundary conditions that can be configured to represent different angles of incidence. The solution of the full-wave analysis is then cast in the form of a three-port scattering matrix and embedded in a circuital simulator. The diode is included in the circuit simulation using a specific model provided by the manufacturer. In general, nonlinear effects exerted on the varactor diodes should be evaluated. However, higher order distortions were not considered in this study because their evaluation is strictly related to the specific application. As shown in Fig. 2, different MAFA layers are thus interconnected by transmission lines having the characteristic impedance, of the medium, and lengths equal to the inter-layer distance . The amplitude and phase of the field transmitted through each cell can be thus approximated by the transmission coefficient observed between the input and the output ports of the equivalent circuit. It should be noticed that this representation does not take into account the cross-polarized transmission. Nevertheless, owing to the high polarization purity of slot antennas, cross-polar radiation is usually very weak and can be overlooked. The equivalent circuit representation given in Fig. 2 is similar to other models proposed for multilayer FSSs [37], [38]. Indeed, both cases can be more generically regarded as propagation through a stratified periodic structure [39]. As such, there are a number of phenomena that have to be carefully taken into account. In particular, it is important to note that the proposed approach is valid only in absence of evanescent modes and parallel-plate modes between two consecutive layers. This assumption implicitly sets a lower and higher bound on the spacing between consecutive layers. The minimum and maximum inter-layer distances depend on the dielectric characteristics, on the angle of incidence, and on the slot array geometry. III. DESIGN PRINCIPLE A MAFA’s capability to electrically control the transmission phase across the radiating surface can be explained by reference to the characteristics of tunable bandpass filters. In a tunable bandpass filters when the capacitance of the varactor diodes is

Fig. 3. Simulated transmission characteristics of the varactor-loaded slot antenna for different values of the junction reverse bias. The results were obtained mm, mm, mm and mm. (a) Amplitude. with (b) Phase.

changed, the filter frequency response can be tuned within a specific range. Shifting the filter’s passband also changes the phase of the transmitted field, thus yielding dynamic phase control. In this section, it will be shown how the proposed MAFA configuration can be synthesized as a passband filter and how the dependence of the phase response is related to the filter characteristics. A. Single-Layer MAFA Analysis In this section, the behavior of a single MAFA layer is reviewed taking as reference an operation frequency, , of 4 GHz and an MDT MV39002 M46 abrupt diode. The diode’s junction capacitance varies within the range 0.27–0.15 pF when it is reverse-biased between 7–18 V. For the case at hand, the diode was included in the circuit simulation using the model provided by the manufacturer. In general, the resonant frequency of each varactor loaded slot cell depends on the slot length and on the junction capacitance, while the width of the slot mainly controls the radiation bandwidth. An example of the transmission-coefficient magnitude and phase versus frequency is illustrated in Fig. 3 for different varactor bias voltages. As it can be seen, when the diode reverse bias is set to 10 V, the slot resonates at a frequency of about 4 GHz, where the transmission coefficient is at a maximum and insertion losses are negligible. As the varactor bias is changed, the slot resonance varies accordingly, and thus the phase of the transmitted field seen at a given frequency can be

2290

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 5. Quarter-wave coupled filter model.

Fig. 4. Simulated radiation patterns of a varactor-loaded slot antenna unit cell for different values of the junction reverse bias. Analysis of the single element was performed in an infinite array scenario. Normalized results were obmm, mm, mm, and tained at 4 GHz with mm. (a) -plane. (b) -plane. Solid line: 0.15 pF; dashed line: 0.21 pF; dashed–dotted line: 0.27 pF.

controlled within a certain range. Although a maximum phase range of 180° is achievable with a single MAFA layer, this ideal value can be reached only at the cost of reduced transmission magnitude. Conversely, if a threshold is defined for transmission losses (e.g., 3 dB), the available phase range diminishes. In principle, the dynamics of both the amplitude and phase are related to the quality factor of the antenna. For example, in the case shown in Fig. 3, a phase shift of about 90° is achieved when maximum acceptable losses are set to 3 dB. As will demonstrated, the phase response of this type of structure can be improved by cascading multiple layers. It is also important to note that the ability to provide active phase control should not compromise the radiation features of each active slot. In order to verify this, the simulated radiation patterns of the varactor-loaded slot antenna are shown in Fig. 4 for different values of the reverse bias. Results were obtained simulating a single slot antenna unit cell in an infinite array scenario with a commercial software [36]. The varactor diode was modeled including the footprint and the equivalent junction capacitance. As can be observed, the diode’s capacitance has a very limited impact on the antenna’s radiation characteristics. Cross-polar fields remain below 40 dB as the diode capacitance changes. Furthermore, the small resonant size of the antenna (in the present example, about at 4 GHz) enables close element packing, which improves the stability of the angular response [37]. If necessary, the impact of back radiation could be avoided by using a back shield or a hard horn [17]. B. Multilayer MAFA Analysis The MAFA structure can be recognized as a spatially distributed tunable bandpass filter. In principle, each layer introduces a pole in the transmission response, thus improving the passband phase dynamic and the out-of-band response. In particular, the proposed multilayer configuration can be viewed as a filter consisting of tunable resonant elements coupled by quarter-wave-long transmission-line sections (Fig. 5). In fact, each active slot antenna behaves as a tunable resonator wherein a phase shift of 90° between two adjacent layers can be easily obtained at a given frequency by opportunely setting the interelement distances. MAFA TAs can thus be easily analyzed by

appropriately mapping a lumped-element ladder structure into the circuit shown in Fig. 5, as was done in [40] for waveguide filters based on cavity resonators. This conversion can be operated for an -layer MAFA structure in three steps as follows. Firstly, derive the bandpass ladder structure—as is normally done for most practical filters—following any of the available methods of network synthesis. Secondly, replace each series resonator with a shunt resonator followed by a quarter-wave coupling line. Finally, replace each resonator with a varactor-loaded slot antenna designed to behave in approximately the same manner over the frequency band of interest. The most suitable way to operate this last transformation is by expressing the resonator characteristics in terms of loaded quality factor. In [41], it is shown how the loaded factor of the th resonator can be written as (1) where is the center-band frequency, and are the lower and higher edges of the MAFA passband, while is the - normalized reactance as is derived from the low-pass lumped-element filter synthesis. It is worth noticing that (1) works properly only when as for odd-order Butterworth or Chebyshev filters. Implementation of even-order MAFA prototypes would still be possible provided additional matching layers were added. Once the value of the loaded -factor is defined for each MAFA layer, the varactor-loaded slot antennas have to be designed to match this value. The synthesis procedure outlined above allows for the designing of a MAFA structure that behaves like a passband filter with a given frequency response. However, this transformation does not take into account the bias dependence of individual resonators. Nevertheless, acceptable results can be obtained by carrying out the steps above at a fixed diode reverse bias and by setting the center band frequency of the filter equal to the MAFA operating frequency. Under these conditions and assuming a uniform varactor bias for all the layers, the lumped-element ladder network and the associated MAFA are equivalent at the frequency when the inter-layer distances are one-quarter wavelength. In general this equivalence does not hold at other frequencies, thus leading to differences between bandwidths for ladder circuits and MAFAs [40]. However, these discrepancies can be compensated by optimizing the ’s. C. Determining the

of a Single MAFA Layer

The possibility of analyzing the MAFA as a passband filter depends on the ability to adjust the active slot antenna configuration so as to produce a specific value of loaded quality factor, as outlined in the filter synthesis procedure.

BOCCIA et al.: MAFA FOR BEAM-STEERING TA APPLICATIONS

2291

Fig. 6. Loaded -factor of a varactor-loaded slot antenna for different values of the slot width, , and different vertical spacing, .

In general, the loaded factor is related to the bandwidth and can be calculated as [42] (2) where is the 3-dB bandwidth of the th layer calculated through the scattering matrix . In order for the MAFA-equivalent circuits shown in Figs. 2 and 5 to have the same response, (1) and (2) should provide the same value for each layer. Different methods can be applied to control the of the slots. The most common technique is to change the width, , thus altering the stored energy and therefore the quality factor. A similar effect can be achieved by modifying the inter-element coupling. Actually, this coupling is mainly affected by the magnetic coupling between any two adjacent slots, and it can be modified simply by varying the vertical size, , of the unit cell. In order to show the dependence of the factor on slot width and inter-element spacing, several simulations were performed of a single MAFA unit cell printed on a substrate 0.762-mm high and with a relative permittivity of . The horizontal unit-cell size, , and the varactor reverse bias were fixed at 37 mm and 10 V, respectively, while the slot lengths, , were tuned to keep the resonance at the same frequency . Results are presented in Fig. 6, where it can be seen that a broad range of -factor values can be obtained by adjusting the unit-cell geometry. As will be shown, the available values are sufficient to permit a wide range of MAFA structures, yet the factor can be further controlled by changing the relative dielectric constant of the substrate or by using bow-tie- or dog-bone-shaped slots. D. Simplified Design Procedure for the MAFA TA Cell In Sections III-A–III-C, it was shown how the proposed MAFA architecture can be analyzed as a passband quarter-wavelength-coupled resonator. In this section, it will be illustrated how the passband filter characteristics can be related to the phased-array specifications in terms of transmission bandwidth and phase range. As a starting hypothesis, it can be

Fig. 7. Amplitude and phase transmission responses of a generic -layer MAFA obtained with the varactor diodes biased to achieve the lowest (solid line) and highest (dashed line) capacitance values.

assumed that the beam-steering TA will be used in a system with a bandwidth and a central frequency . Within the operating band, the required phase shift is . The MAFA phase dynamics are strictly related to the number of layers. In principle, each layer should increase the overall phase range by 180°. However, when transmission losses are taken into account, the available phase span is limited by the MAFA passband. In order to obtain the mathematical relation between the filter phase dynamics and the passband width as a function of the number of elements, the filter transfer function should be examined along with the locations of poles and zeros. Such an approach, however, is beyond the scope of this paper, and instead an approximate method is employed here. The validity of this approach will be demonstrated using some real design cases. If one takes as a reference the behavior of a single layer, it can be roughly estimated that each additional layer increases the available phase range, , by approximately 90°. If the phase response of the MAFA is assumed to be linear within the passband , then the portion of the phase range, , lying within the system bandwidth, , can be simply derived as (3) and is the number of layers. As is repwhere resented in Fig. 7, if the change in the phase and amplitude profiles is negligible when the capacitance of the varactors is tuned, then the same phase difference, , will be available over the whole system bandwidth, . The main MAFA design parameters can thus be deducted using this procedure. In particular, for a given operating bandwidth, , and phase range, , the MAFA bandwidth should be fixed to be at least twice the system bandwidth, while the number of required layers can be calculated by inverting (3) (4)

2292

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 9. Simulated phase response of a single MAFA layer for various angles of incidence. (a) -plane. (b) -plane.

E. Considerations on the MAFA TA Design Fig. 8. MAFA cell design flow diagram.

Once the number of layers is defined, the designing of the MAFA cell can proceed following the methods outlined in preceding sections for quarter-wave-coupled filters using distribution. A flow diagram of the whole MAFA cell design procedure is shown in Fig. 8. It is worth noticing that the key feature of the proposed design approach is that it allows the MAFA to be designed by simultaneously taking into account the phase tunability requirements, operating bandwidth, and unit-cell losses. The proposed design technique is based on two types of approximations. The first set of simplifications apply to the assumptions used for (3) and (4), which are based on the linearity of the phase response within the passband and on the invariance of the amplitude and phase behavior of the tunable MAFA versus bias. As will be shown in the following sections, these simplifications prove to be reasonably accurate for a significant variety of examples. A second type of approximations is related to the design procedure used for synthesizing the MAFA as a passband filter. In particular, the procedure proposed here results in an inaccurate prediction of the total for the filter, a factor which, in turn, affects the MAFA bandwidth. This is a well-known limitation of this particular design procedure based on distribution. Indeed, the accuracy of this technique remains satisfactory only when the bandwidth is limited to a few percent [40] and the individual resonators have high- factors. Conversely, the MAFA bandwidths tend to be wide, thus requiring low factors. As a consequence, the MAFA response may not match the design requirements. Although more sophisticated design techniques exist [43], in this study, it has been preferred to keep the design approach as simple as possible. Nevertheless, proper MAFA operation requires the bandwidth specifications to be met with acceptable accuracy, which can be reached by iterating the outlined design procedure.

In general, radiation requirements of a beam-steering array can be expressed in terms of gain, half-power beam width (HPBW), and scanning range. The first two parameters mainly depend on the array periodicity, elements excitation, and array size. For a MAFA TA antenna, the arrangement of MAFA cells into a periodic structure is settled by the unit-cell design. Indeed, as was shown in previous sections, MAFAs are inherently designed to behave like highly coupled arrays where the cell size is related to the operational bandwidth and to the phase tuning range. For this reason, only a limited set of values can be selected to define vertical and horizontal spacing of the MAFA array. However, it is worth noticing that varactor loaded slot antennas are usually much smaller than passive slots. As will be shown in the examples proposed in Section IV, the typical size of a MAFA cell is usually below , thus avoiding the occurrence of grating lobes and limiting scan blindness effects [37]. Another important element to be taken into account designing a MAFA TA antenna is the distorted amplitude and phase response of the unit cell for some oblique angles of incidence. A first estimation of this effect can be done by evaluating the response of a single layer. Simulations were done considering the same geometry of Fig. 3 and varying the angle of incidence, , in - and -plane. Results are shown in Fig. 9. As can be observed, the single-layer response does not changes significantly for angles incidence comprised between 30 . This result is coherent with previous work on slot-based TAs [22] and FSSs [37]. Nevertheless, this result cannot be generalized to all MAFA configurations, but specific investigations have to be carried out to estimate the actual beam-scanning limits as a function of the antenna geometry. IV. DESIGN EXAMPLES The design procedure described in Section III and shown in Fig. 8 will be validated here by presenting two examples

BOCCIA et al.: MAFA FOR BEAM-STEERING TA APPLICATIONS

TABLE I EXAMPLES SUMMARY

2293

TABLE II EXAMPLE A, UNIT-CELL SIZE

mm;

mm

Fig. 10. Relation between the MAFA bandwidth and number of MAFA layers required to achieve the 360° phase shift over 4% system bandwidth. The figure is obtained by plotting (4).

of MAFAs designed to provide full phase control over different frequency ranges, namely, 4% and 10%. The bandwidth requirements were satisfied by using five and seven layers, respectively. In both test cases, an MDT MV39002 M46 abrupt diode was employed. It is interesting to note that the dielectric choice is particularly important for this type of structure. Indeed, boards based on woven Fiberglass are typically affected by small anisotropies. Specifically, this type of material is fabricated to support miscrostrip lines whose electric fields are aligned in the -direction, whereas the permittivity in the - and - directions can be significantly different. However, choosing a nonwoven material helps avoiding these types of issues. In all the proposed examples, an Arlon AR350 substrate 0.762-mm thick with a relative permittivity of was employed. In the first example, the same dielectric was used also as an inter-layer medium. This solution allows a reduction of the MAFA longitudinal size, which can become a critical parameter when a high number of layers have to be used. A summary of specifications of the two examples is reported on Table I. A. Example A The first example considers a system having its central frequency, , equal to 4 GHz and bandwidth ( GHz) of 4%. The goal was to design a MAFA-based TA cell providing full phase control with limited losses (i.e., less than 3 dB) over the entire system bandwidth. The first step is to fix the number of layers, , by employing (4) wherein the desired phase range, , is 360 . As can be observed in Fig. 10, the number of layers required to have full phase control depends on the MAFA bandwidth, . A narrowband MAFA will require a higher number of layers, which will increase system sensitivity. In any case, should be at least twice the system bandwidth, yet it cannot be increased arbitrarily as this would require extremely low values, which are not easily attainable, as shown in Fig. 6. For the case at hand,

Fig. 11. Simulated Example A MAFA transmission response observed with V. (a) amplitude response. (b) Phase response. Also shown in the figure are the system bandwidth, ideal MAFA bandwidth, and linear phase response.

it is convenient to choose a MAFA bandwidth of 700 MHz and five layers. The inter-layer distance, , has to be chosen to provide 90° of phase shift. Its value depends on the frequency and on the medium, and for the case at hand, it was fixed at 9.13 mm. Therefore, the overall longitudinal length of the MAFA cell is 40.33 cm. Once the number of MAFA layers and the passband have been fixed, the characteristics of each layer can be derived by applying the synthesis procedure described in Section III-B. In all examples, the normalized reactances, , were obtained applying the Chebyshev low-pass lumped-element filter synthesis method with a ripple of 0.3 dB, while a first estimate of the quality factors for each layer was obtained through (1). However, using these values will result in a MAFA bandwidth roughly 20% wider than the nominal value. Although several techniques exist for improving the quarter-wavelength- coupled

2294

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 13. Example A: simulated amplitude and phase response versus frequency observed with varactor reverse biases of 6.04 V and 16.68 V. (a) Amplitude transmission response along with system bandwidth mask. (b) Phase transmission response.

Fig. 12. Simulated Example A MAFA transmission response versus varactor reverse voltage. (a) Amplitude response. (b) Phase response. Also shown in the figure are the voltage-corresponding maximum transmission losses of 3 dB.

filter model accuracy [44], in this study, it was decided to correct the MAFA bandwidth by simply applying a second design iteration. This optimization was carried out by scaling down the MAFA bandwidth to compensate for the wider range obtained at the first iteration. In Table II, the nominal ’s are shown along with the optimized values. Once the quality factors were defined for each layer, the slot geometries and the cell periodicity were derived using the procedure described in Section III-B. In defining the geometry, it is most convenient to start with the layer having the lowest quality factor. Indeed, for the configuration that is proposed here, low- values can be obtained either by using thin slots or by reducing the vertical periodicity, . Yet the slot width cannot be reduced arbitrarily since it is limited by the diode footprint, which, in this case, does not allow values lower than 0.8 mm. Therefore, one should first fix the slot width, , at its minimum allowed value, and then optimize the inter-cell distance to obtain the desired value. As was discussed in Section III-E, the cell size also affects the array factor, thus having a direct impact on the radiation characteristics of the TA. For this example, the lowest value is 6.5 for layers 2 and 4. When the varactor reverse bias is fixed at 10 V, such a value can be obtained by using a slot length mm and with a cell size equal to 37 5.431 mm. Once the cell size has been

defined, it is possible to optimize the slots for the remaining layers. As shown in Table II, the higher value associated with these layers will result in shorter wider slots. The transmission characteristics of the MAFA were evaluated by simulating the circuit shown in Fig. 5 using commercial software [45]. The resulting transmission response, taken with a reverse bias of 10 V, is presented in Fig. 11. As can be observed, the MAFA’s amplitude transmission coefficient shows a passband in good agreement with the ideal mask, which is reported in the same figure. Similarly, good agreement can be noticed between the phase response and the linear response obtained by inverting (4). Within the MAFA’s bandwidth, the phase varies in a range of about 520°, which is compatible with the value of 450° derived by applying the assumption made in Section III-D. The amplitude response at the frequencies of 3.92 and 4.08 GHz versus the varactor reverse bias is reported in Fig. 12(a). The result serves to identify the voltage range that can be employed to bias the diodes while limiting losses to a maximum of 3 dB. For the case at hand, the amplitude of the transmission coefficient remains above the 3-dB limit from 6.04 and 16.68 V. Within the same range, the phase, as shown in Fig. 12(b), varies by approximately 370° at both frequencies. As a last result, Fig. 13 reports the MAFA’s transmission frequency response for varactor reverse biases of 6.04 and 16.68 V. As can be observed, the MAFA’s bandwidth shrinks as the diode capacitance increases. This is mainly due to the fact that cells in a specific location on the TA, as defined in

BOCCIA et al.: MAFA FOR BEAM-STEERING TA APPLICATIONS

TABLE III EXAMPLE B, UNIT-CELL SIZE

mm;

2295

mm

Fig. 15. Simulated Example B MAFA transmission response versus varactor reverse voltage. (a) Amplitude response. (b) Phase response. In the same figure, the voltage-corresponding maximum transmission losses of 3 dB are also shown.

B. Example B

Fig. 14. Simulated Example B MAFA transmission response observed with V. (a) Amplitude response. (b) Phase response. In the same figure, the system bandwidth, ideal MAFA bandwidth, and linear phase response are also shown.

Fig. 1(b), are biased with the same voltage for all layers. Since the slots have different widths, they respond to tuning differently, causing a distortion of the MAFA’s frequency response. Although this makes the MAFA’s bandwidth dependent on the varactor capacitive load, for all the proposed examples, the behavior of the structure remains satisfactory within the entire system bandwidth.

A second MAFA configuration was designed to provide full phase control at a frequency of 4 GHz over a 10% bandwidth. In accordance with the steps outlined in the previous example and schematized in Fig. 8, the first step was to define the number of elements. In order to meet requirements for wider bandwidth, it was necessary to employ a seven-layer MAFA configuration with a 1-GHz passband. As in the previous example, the nominal values were obtained using a Chebyshev filter synthesis procedure. Nevertheless, a second iteration was necessary to optimize the MAFA’s passband characteristics. Both nominal and ideal values, obtained by fixing the varactor reverse bias at 7 V, are reported in Table III along with the main geometrical parameters of each layer. The simulated transmission response is shown in Figs. 14–16. As can be observed, the MAFA’s amplitude response, although slightly shifted, agrees well with the passband requirements, and the phase of the transmitted field behaves in a linear fashion within the passband. The amplitude and the phase response versus the varactor reverse bias are presented in Fig. 15. As can

2296

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

TABLE IV PROTOTYPE

Fig. 16. Example B: simulated amplitude and phase response versus frequency taken with varactor reverse biases of 5.5 and 20 V. (a) Amplitude transmission response and system bandwidth mask. (b) Phase transmission response.

Fig. 18. MAFA prototype. (a) Pictorial view of the MAFA cells within the waveguide simulator. (b) MAFA prototype extracted outside the waveguide simulator.

V. EXPERIMENTAL VALIDATION AND MEASURED RESULTS Fig. 17. Layout of the MAFA layer used for the experimental validation.

be seen, the MAFA’s transmission amplitude remains above the 3-dB range for varactor bias voltages between 5.5–21 V. Within this range, the phase varies by approximately 360° over the whole bandwidth. As a further result, the amplitude and phase transmission response at 5.5 and 21 V are reported in Fig. 16.

The experimental validation of the MAFA TA architecture was carried out by conducting measurements on a TA unit cell within a waveguide simulator. This test environment simulates a plane wave that is incident on an infinite array, where the vertical and horizontal period is set by the waveguide height [46]. For a mode traveling in a WR187 standard waveguide at 4 GHz, an off-broadside illumination should be assumed with a wavepropagation angle of 52° in the -plane. In order to experimentally characterize a MAFA element, it was first necessary to customize a new MAFA configuration

BOCCIA et al.: MAFA FOR BEAM-STEERING TA APPLICATIONS

2297

Fig. 19. Experimental setup.

for the dimensions of the WR187. In particular, the horizontal spacing, , was fixed at 47.54 mm to match the horizontal size of the waveguide. As mentioned in Section IV, this parameter has a small effect on the varactor loaded slot behavior. However, making the width of the MAFA’s unit cell significantly greater than the horizontal spacing used in the previous examples helps to overcome the distortion effects generated by the lateral waveguide walls and provides more uniform illumination of the slots. The vertical spacing, , was chosen to be one-third of the waveguide’s height of 22.15 mm. Consequently, the MAFA prototype consists of a vertical array of three unit cells, as shown in Fig. 17. Since the fixing of the unit-cell size places several restrictions on the MAFA requirements, it was impossible to synthesize the cell’s geometry starting from the bandwidth requirements, as was done in Section IV. Instead, it was necessary to adapt the characteristics of the MAFA to the size of the existing cell. In particular, by maintaining a minimum slot width of 0.8 mm and fixing the varactor’s reverse voltage at 7 V, a value equal as low as 8.9 can be obtained at a frequency of 4 GHz. This value was used as the starting point to design a five-layer MAFA configuration with a bandwidth of 0.3 GHz. The values required to implement the passband quarter-wave coupled filter are shown in Table IV along with the geometrical parameters of each layer. Full-wave simulations were carried out using the same approach outlined in Section III-B. Unlike the previous two examples, a 1 3 varactor loaded slot array was taken into account to perform the characterization of each layer. This configuration, where perfect electric conducting (PEC) boundary conditions were applied on the four lateral walls, was employed to make the simulated MAFA match the experimental setup. The bias network, described in the next paragraph, was also included into the full-wave simulation. A prototype of the MAFA configuration was fabricated for testing. One of the main design issues involved the bias paths, which usually require additional de-coupling slots. If not properly designed, these slots can generate unwanted contributions to the transmitted field. For the case at hand, dc paths were quite simple to design since all diodes were biased with the same voltage. As can be observed in Fig. 17, thin vertical cuts were

Fig. 20. Simulated and measured transmission response of the MAFA protoV. (a) Amplitude response. (b) Phase response. The type taken with system bandwidth is shown in the same figure, the ideal MAFA bandwidth, and the linear phase response.

made at the corners of the antenna to decouple the anodes and cathodes of the varactors. Since such slot lines are then cross-polarized with respect to the exciting field, they exert only negligible effects on the transmitted field. As a further precaution, SMD capacitors of 1 pF were used as RF chokes to avoid unwanted coupling effects between adjacent slots. The MAFA prototype, shown in Fig. 18, was tested following a procedure similar to that used in [29]. An inter-layer distance of 30 mm (i.e., ) was created using foam spacers. Different foam and dielectric layers were held together using the Arlon CuClad 6250 bonding film. As illustrated in Fig. 18(a), a single couple of dc lines was used to feed all layers. In particular, bias lines were positioned at two opposite foam corners so as to have minimal interactions with the waveguide fields. For this reason, an additional foam spacer was used to hold in place bias lines up to the waveguide flange. The measurement setup is shown in Fig. 19. Two sections of WR187 waveguide were connected to the vector network analyzer Anritsu 37269C through coaxial cables included into a short-open-load-thru (SOLT) calibration. The MAFA prototype was inserted within one waveguide section so as Layer 1 was positioned to be flush against

2298

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 21. Simulated and measured prototype MAFA transmission response versus varactor reverse voltage. (a) Amplitude response. (b) Phase response. The voltage corresponding maximum transmission losses of 3 dB is also shown in the same figure.

Fig. 22. Prototype: amplitude and phase response versus frequency taken with a varactor reverse bias of 5.9 and 13.4 V. (a) Amplitude transmission response along with system bandwidth mask. (b) Phase transmission response.

the waveguide flange. Phase reference for measurements was fixed at the interface between the two waveguide sections so that the simulation and the measurements would have the same phase-reference plane [47]. Both simulated and measured transmission responses are shown in Fig. 20. As can be seen from the amplitude mask of the transmission coefficient, the measured result for the MAFA passband is 0.4 GHz, as compared against an expected value of 0.3 GHz. This discrepancy, which was also observed in the previous examples, is mainly due to model inaccuracies. Nevertheless, the authors preferred to evaluate the MAFA characteristics by taking the actual bandwidth as a reference rather than design a new prototype. Indeed, simply by inverting (4) and setting , GHz, and the operating bandwidth GHz (1%), a tunable phase range, , of 405° can be estimated for the MAFA cell. As can be observed in Fig. 20, the simulated MAFA phase response is a close match to ideal linear behavior, and the behavior of the MAFA prototype closely resembles the numerical result obtained. The major differences regard the out-of-band response, which is much smoother in the prototype than in the simulated

model. Other discrepancies can be associated with the poor phase linearity, a factor that is very sensitive to inaccuracies in manufacturing and assembly. Furthermore, ripples are present in all the measured data. Most likely, they are caused by small gaps present between the printed circuit board (PCB) and the waveguide walls. Such small gaps introduce a capacitive coupling between each layer and the to the top and bottom walls of the waveguide. A further source of measurement errors can be associated to small displacements of the bias cables from their nominal position. Both amplitude and phase responses versus varactor reverse bias for both the simulated and the measured MAFAs are reported in Fig. 21. From the amplitude response of the simulated unit cell, it is possible to identify the tuning range of the MAFA, which extends from 5.9 to 13.4 V. Within this range, the phase varies by approximately 405° in the whole band. Although several differences can be noticed between the simulated and the measured behavior, the general characteristics of the experimental unit are nevertheless valid. Indeed, if one considers the same bias range for the simulations, the amplitude response of the prototype remains within the 3-dB threshold

BOCCIA et al.: MAFA FOR BEAM-STEERING TA APPLICATIONS

throughout the range, except for a few points at which transmission losses reach 4.2 dB. The phase range of the measured unit seems to be smaller than that of the simulations. In particular, phase shifts of approximately 350 and 360 are achieved at 3.98 and 4.02 GHz, respectively. Simulated and measured amplitude and phase response versus frequency for varactor biases equal to 5.9 and 13.4 V are shown in Fig. 22. Although the numerical and experimental data are in good agreement, the MAFA prototype does tend to have a lower (i.e., a wider bandwidth). Nevertheless, overall the behavior within the operating bandwidth is acceptable. VI. CONCLUSION In this paper, we have presented a study on a reconfigurable unit cell for beam-steering TA antennas. The approach proposed, which has been referred to as a MAFA, is based on multilayer tunable radiating elements designed to behave as tunable filters. Although the proposed concept is of wide applicability, this paper has focused on a specific antenna type, namely, a varactor-loaded slot element, due to its simplicity. It has been shown that MAFAs can be designed by applying a simple technique derived from quarter-wavelength-coupled resonator filters based on distribution. The main novelty aspect of the proposed approach is that it permits the MAFA cell to be synthesized by simultaneously taking into account three important parameters: operating bandwidth, phase tunability range, and transmission loss per unit cell. The entire design flow has been validated both numerically and experimentally. Numerical examples of MAFA cells have been proposed with bandwidths ranging from 1% to 10%. In particular, in one of the design examples, an inter-layer medium with higher dielectric constant was employed as a possible solution for reducing the longitudinal array size. A prototype was also designed and tested in a waveguide simulator, and both numerical and experimental data confirm the validity of the proposed concept in terms of phase agility, insertion losses, and operating bandwidth. In general, each MAFA layer is very simple to design and fabricate. The complexity of the proposed solution arises from the arrangement in multiple layers. Indeed, although a single voltage control for each TA cell is required for all layers, their number increases with the bandwidth requirements. Nevertheless, the use of multilayer arrangements remains particularly advantageous for applications in the millimeter-wave range, where the features of TAs in general and MAFAs in particular can be better exploited. ACKNOWLEDGMENT The authors are particularly grateful to Prof. A. AbbaspourTamijani, Arizona State University, Tempe, for his valuable suggestions and discussions. REFERENCES [1] P. J. Kahrilas, “HAPDAR—An operational phased array radar,” Proc. IEEE, vol. 56, no. 11, pp. 1967–1975, Nov. 1968. [2] D. McGrath, “Planar three-dimensional constrained lenses,” IEEE Trans. Antennas Propag., vol. AP-34, no. 1, pp. 46–50, Jan. 1986. [3] D. M. Pozar, “Flat lens antenna concept using aperture coupled microstrip patches,” Electron. Lett., vol. 32, no. 23, pp. 2109–2111, 1996.

2299

[4] K.-W. Lam, S.-W. Kwok, Y. Hwang, and T. K. Lo, “Implementation of transmit array antenna concept by using aperture-coupled microstrip patches,” in Proc. Asia–Pacific Microw. Conf., 1997, vol. 1, pp. 433–436. [5] H. L. Sun and W. X. Zhang, “Design of broadband element of transmit-array with polarization transform,” in Int. Antenna Technol.: Small and Smart Antennas Metamater. Appl. Workshop, 2007, pp. 287–290. [6] N. Gagnon, A. Petosa, and D. A. McNamara, “Thin microwave quasi-transparent phase-shifting surface (PSS),” IEEE Trans. Antennas Propag., vol. 58, no. 4, pp. 1193–1201, Apr. 2010. [7] N. Gagnon, A. Petosa, and D. A. Mcnamara, “Thin microwave phaseshifting surface lens antenna made of square elements,” Electron. Lett., vol. 46, no. 5, pp. 327–329, 2010. [8] N. Gagnon, A. Petosa, and D. McNamara, “Phase hologram composed of square patches on a thin dielectric sheet,” in Int. Antennas Propag. Symp., Taipei, Taiwan, 2008, pp. 678–681. [9] C. G. M. Ryan, M. R. Chaharmir, J. Shaker, J. R. Bray, Y. M. M. Antar, and A. Ittipiboon, “A wideband transmit array using dual-resonant double square Rings,” IEEE Trans. Antennas Propag., vol. 58, no. 5, pp. 1486–1493, May 2010. [10] P. F. Goldsmith, “Quasi-optical techniques,” Proc. IEEE, vol. 80, no. 11, pp. 1729–1747, Nov. 1992. [11] M. P. DeLisio and R. A. York, “Quasi-optical and spatial power combining,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 929–936, Mar. 2002. [12] K. Song, Y. Fan, and X. Zhou, “Broadband radial waveguide power amplifier using a spatial power combining technique,” Microw., Antennas Propag., vol. 3, no. 8, pp. 1179–1185, 2009. [13] R. A. York and Z. B. Popovic, Active and Quasi-Optical Arrays for Solid-State Power Combining. New York: Wiley, 1997. [14] J. S. H. Schoenberg and Z. B. Popovic, “Planar lens amplifier,” in IEEE MTT-S Int. Microw. Symp. Dig., 1994, vol. 1, pp. 429–432. [15] J. S. H. Schoenberg, S. C. Bundy, and Z. B. Popovic, “Two-level power combining using a lens amplifier,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 12, pp. 2480–2485, Dec. 1994. -band active transmit-array [16] M. E. Bialkowski and H. J. Song, “A module with a horn or patch array as a signal launching/receiving device,” IEEE Trans. Antennas Propag., vol. 49, no. 4, pp. 535–541, Apr. 2001. [17] Z. Popovic and A. Mortazawi, “Quasi-optical transmit/receive front ends,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 11, pp. 1964–1975, Nov. 1998. [18] S. Hollung, A. E. Cox, and Z. B. Popovic, “A bidirectional quasi-optical lens amplifier,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 12, pp. 2352–2357, Dec. 1997. [19] E. Fotheringham, S. Romisch, P. C. Smith, D. Popovic, D. Z. Anderson, and Z. Popovic, “A lens antenna array with adaptive optical processing,” IEEE Trans. Antennas Propag., vol. 50, no. 5, pp. 607–617, May 2002. [20] J. Mazotta, M. DeLisio, and J.-C. Chiao, “Quasi-optical discrete beam steering grids,” in IEEE MTT-S Int. Microw. Symp. Dig., 1999, vol. 4, pp. 1825–1828. [21] C.-C. Cheng and A. Abbaspour-Tamijani, “Study of 2-bit antenna–filter–antenna elements for reconfigurable millimeter-wave lens arrays,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 12, pp. 4498–4506, Dec. 2006. [22] A. Abbaspour-Tamijani, K. Sarabandi, and G. M. Rebeiz, “Antenna-filter-antenna arrays as a class of bandpass frequency-selective surfaces,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 8, pp. 1781–1789, Aug. 2004. [23] C.-C. Cheng, A. Abbaspour-Tamijani, and C. Birtcher, “Millimeterwave beam-steering using an array of reconfigurable antenna-filter-antenna elements,” in IEEE MTT-S Int. Microw. Symp. Dig., 2006, pp. 449–452. [24] T. Jiang, Z. Wang, D. Li, J. Pan, B. Zhang, J. Huangfu, Y. Salamin, C. Li, and L. Ran, “Low-DC voltage-controlled steering-antenna radome utilizing tunable active metamaterial,” IEEE Trans. Microw. Theory Tech., vol. 60, no. 1, pp. 170–178, Jan. 2012. [25] C.-C. Cheng and A. Abbaspour-Tamijani, “Evaluation of a novel topology for MEMS programmable reflectarray antennas,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 12, pp. 3333–3344, Dec. 2009. [26] C.-C. Cheng, B. Lakshminarayanan, and A. Abbaspour-Tamijani, “A programmable lens-array antenna with monolithically integrated MEMS switches,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 8, pp. 1874–1884, Aug. 2009.

2300

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

[27] J. Y. Lau and S. V. Hum, “A low-cost reconfigurable transmit array element,” in IEEE Int. Antennas Propag. Soc. Symp., 2009, pp. 1–4. [28] J. Y. Lau and S. V. Hum, “A planar reconfigurable aperture with lens and reflectarray modes of operation,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 12, pp. 3547–3555, Dec. 2010. [29] J. Lau and S. V. Hum, “Analysis and characterization of a multipole reconfigurable transmit array element,” IEEE Trans. Antennas Propag., vol. 59, no. 1, pp. 70–79, Jan. 2011. [30] P. Padilla, A. Muñoz-Acevedo, M. Sierra-Castañer, and M. SierraPérez, “Electronically reconfigurable transmit array at band for microwave applications,” IEEE Trans. Antennas Propag., vol. 58, no. 8, pp. 2571–2579, Aug. 2010. [31] L. Boccia, I. Russo, G. Amendola, and G. Di Massa, “Tunable frequency-selective surfaces for beam-steering applications,” Electron. Lett., vol. 45, no. 24, pp. 1213–1215, 2009. [32] I. Russo, D. Gaetano, L. Boccia, G. Amendola, and G. Di Massa, “Investigation on the transmission beam-steering capabilities of tunable impedance surfaces,” in Eur. Microw. Conf. , 2009, pp. 1033–1036. [33] L. Boccia, I. Russo, G. Amendola, and G. Di Massa, “Varactor tuned frequency selective surface for beam steering applications,” in IEEE Int. Antennas Propag. Soc. Symp., 2010, pp. 1–4. [34] I. Russo, L. Boccia, G. Amendola, and G. Di Massa, “Tunable passband FSS for beam steering applications,” in Proc. 4th Eur. Antennas Propag. Conf., 2010, pp. 1–4. [35] L. Boccia, I. Russo, G. Amendola, and G. D. Massa, “Preliminary results on tunable frequency selective surface for beam steering transmit array applications,” in 5th Eur. Antennas Propag. Conf., Rome, Italy, 2011, pp. 1002–1005. [36] Ansys HFSS. ver. 14, Ansys Corporation, Canonsburg, PA, 2011. [37] B. A. Munk, Frequency Selective Surfaces: Theory and Design. New York: Wiley, 2005. [38] A. Alu and N. Engheta, “Evanescent growth and tunneling through stacks of frequency-selective surfaces,” IEEE Antennas Wireless Propag. Lett., vol. 4, pp. 417–420, 2005. [39] P. Yeh, A. Yariv, and C.-S. Hong, “Electromagnetic propagation in periodic stratified media. I: General theory,” J. Opt. Soc. Amer., vol. 67, no. 4, pp. 423–438, Apr. 1977. [40] R. M. Fano and A. W. Lawson, “Microwave filters using quarter-wave couplings,” Proc. IRE, vol. 35, no. 11, pp. 1318–1323, Nov. 1947. [41] R. E. Collin, Foundations for Microwave Engineering, 2nd ed. Piscataway, NJ: IEEE Press, 2000. [42] J. Papapolymerou, J.-C. Cheng, J. East, and L. P. B. Katehi, “A micromachined high-band resonator,” IEEE Microw. Guided Wave. Lett., vol. 7, no. 6, pp. 168–170, Jun. 1997. [43] J. M. Drozd and W. T. Joines, “Maximally flat quarter-wavelengthcoupled transmission-line filters using distribution,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 12, pp. 2100–2113, Dec. 1997. [44] J.-S. G. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications, 1st ed. New York: Wiley, 2001. [45] Ansoft Designer. ver. 3.5, Ansys Corporation, Canonsburg, PA. [46] P. Hannan and M. Balfour, “Simulation of a phased-array antenna in waveguide,” IEEE Trans. Antennas Propag., vol. AP-13, no. 3, pp. 342–353, Mar. 1965. [47] L. Boccia, G. Amendola, and G. Di Massa, “Design a high-precision antenna for GPS,” Microw. RF, vol. 42, no. 1, pp. 91–93, 2003.

Luigi Boccia (S’00–M’03) was born in Lungro, Italy, in 1975. He received the Information Technology Engineering degree from the Università della Calabria, Rende, Italy, in 2003, and the Ph.D. degree in electronic engineering from the University “Mediterranea” of Reggio Calabria, Italy, in 2003. Since January 2005, he has been an Assistant Professor of electromagnetics with the Faculty of Engineering, Università della Calabria. He coedited Space Antenna Handbook (Wiley, 2012). He has been a Technical Reviewer for many international journals and conferences. His current research interests include low-multipath global navigation satellite system (GNSS) antennas, reflectarrays, beam scanning antennas, and microwave and millimeter-wave integrated circuit (IC) design. Dr. Boccia is a member of the European Microwave Association (EuMA) and the Società Italiana di Elettromagnetismo (SIEm).

Ivan Russo (S’08–M’12) was born in Vibo Valentia, Italy, in 1982. He received the Laurea degree in electrical engineering and Laurea Specialistica degree in telecommunications engineering from the Università della Calabria, Cosenza, Italy, in 2003 and 2007, respectively, and the Ph.D. degree in electrical engineering from the University “Mediterranea,” Reggio Calabria, Italy, in 2011. During his studies, he was involved with QO amplifiers, active FSSs, and beam-forming networks for antenna arrays. From December 2010 to November 2011, he was with the Department of Microwave Techniques, University of Ulm, Ulm, Germany, where he developed high-resolution near-field probes and analysis techniques for overmoded waveguides. Since December 2011, he has been with the Institute for Microwave and Photonic Engineering, Graz University of Technology, Graz, Austria, as a University Assistant. Dr. Russo has been a member of the European Microwave Association (EuMA) since 2008.

Giandomenico Amendola (M’96) received the Electrical Engineering degree from the Università della Calabria, Rende (CS), Italy, in 1987. From 1988 to 1992, he was a Research Fellow with the Proton Synchrotron Division, European Center for Nuclear Research (CERN), Geneva, Switzerland. He is currently with the Dipartimento di Elettronica, Informatica e Sistemistica (DEIS), Università della Calabria, where he is currently an Associate Professor. His main research interests are in the area of antennas, phased arrays, and microwave and millimeter-wave circuits.

Giuseppe Di Massa (M’86–SM’93) was born in Barano d’Ischia (Na), Italy, in 1948. He received the Laurea degree in electronic engineering from the University of Naples, Naples, Italy, in 1973. From 1978 to 1979, he was a Professor of antennas with the University of Naples. In 1980, he joined the Università della Calabria, Rende, Italy, as a Professor of electromagnetic waves. Since 1985, he has been an Associate Professor, and since 1994, he has been a Full Professor with the Università della Calabria. From 1985 to 1986, he was a Scientific Associate with CERN, Geneva, Switzerland. In 1988, he was a Visiting Professor with the Brookhaven National Laboratory, Long Island, NY. From 1997 to 2002, he was the Dean of the Department of Elettronica, Informatica and Sistemistica, Università della Calabria, and the President of Programming Committee, Università della Calabria. From 2002 to 2007, he was WP Leader with the Network of Excellence Antenna Centre of Excellence (ACE), European Commission. He is currently the chairman of the “Telecommunication Engineering” course of the Università della Calabria. His scientific activity concerns applied computational electromagnetics, microstrip antennas, reconfigurable antennas, microwave integrated circuits, Gaussian beam solutions, millimeter-wave antennas, and near-field techniques. Dr. Di Massa was the Italian Delegate of European COST IC1102, Versatile, Integrated, and Signal-aware Technologies for Antennas (VISTA).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

2301

Optical Modulation of Millimeter-Wave Beams Using a Semiconductor Substrate Tom F. Gallacher, Rune Søndenå, Duncan A. Robertson, Member, IEEE, and Graham M. Smith

Abstract—Modulation of millimeter- and submillimeter-wave beams via an optically excited semiconductor substrate is a potentially powerful technique, but typically requires high optical illumination densities. Various methods are outlined that yield reduced illumination levels and enable optimization of the substrate. As an example device, the photo-injected Fresnel zone plate antenna (piFZPA) is presented, and we discuss the realization of this spatial modulating device at millimeter-wave frequencies. Preliminary data is presented demonstrating the realization of a 100-mm aperture F/1.2 piFZPA at 94 GHz, using a retail visible data projector as a programmable broadband light source and spatial light modulator. The demonstrated antenna can be steered precisely in two dimensions and yielded a 36-dBi directivity with excellent mainlobe symmetry, and a 12-dB peak sidelobe level. Index Terms—Beam forming, beam steering, Fresnel zone plate (FZP), optically controlled antenna, opto-electronic, photo-injected Fresnel zone plate.

I. INTRODUCTION

Fig. 1. Simulations of the far-field gain patterns for a range of FZP antennas with a 300-mm-diameter F/1.5 design at 94 GHz. Phase correcting zone plate and are shown to yield similar antennas offer increased phase efficiency performance to an equivalent parabolic reflector antenna.

A. FZPs

D

YNAMIC nonmechanical manipulation of (sub) millimeter-wave beams offers enhanced reliability, speed, and flexibility to a wide range of applications. However, realization of such beam control devices at these frequencies is often limited, where current electronic methods are either inadequate or too expensive. Manipulation of millimeter-wave beams by optical reconfiguration of a semiconductor substrate has been demonstrated as a promising technique in the past [1]–[4]. However, these typically rely on illumination from a high powered laser source. Using the optically excited Fresnel zone plate (FZP) antenna [5] as an example, we discuss the realization and performance of such spatial modulating devices through optimization of the semiconductor substrate. Finally, we present a realization of a 100-mm aperture 94-GHz photo-injected Fresnel zone plate antenna (piFZPA) using a 150-mm-diameter 675- m-thick high-resistivity silicon wafer, and a retail visible data projector as the programmable light source. Manuscript received December 22, 2011; revised February 28, 2012; accepted March 05, 2012. Date of publication May 07, 2012; date of current version June 26, 2012. This work was supported by the U.K. Engineering and Physical Sciences Research Council (EPSRC). T. F. Gallacher, D. A. Robertson, and G. M. Smith are with the SUPA, School of Physics and Astronomy, University of St. Andrews, St. Andrews, Fife KY16 9SS, U.K. (e-mail: [email protected]; [email protected]; [email protected]). R. Søndenå is with the Institute for Energy Technology, NO-2027 Kjeller, Norway (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2193142

FZPs have been used to focus millimeter-wave beams for some time [6], and offer a simple planar low-cost device whose efficiency can approximate that of the classic parabolic dish [7]. The design of FZPs are known to fall within two broad categories; the blocking, or Soret, type, and the phase-correcting, or Wood, type. The simple Soret zone plate comprises an aperture containing alternating transparent and opaque/absorbing annuli, constructed such that each annulus coincides with a Fresnel half-wave boundary (zone) [8]. However, the disposal of nearly half the illuminating energy, and a phase variation of rad, result in a low efficiency, approximately 1/10th that of an ordinary lens. The Wood zone plate, however, substitutes the blocking zones of the Soret type with a phase correction mechanism, typically in the form of a grooved dielectric, thus resulting in improved efficiency. The overall efficiency is again limited by the phase variation across each zone, which can be enhanced by increasing the number of stepped grooves [9]. This yields a reduced phase variation across each zone, denoted by a phase correction constant , and results in a range of designs with varying efficiency. Fig. 1 shows a number of simulated far-field patterns in the -plane for a range of zone plate designs, using the Fresnel–Kirchoff diffraction integral [10]. The simulations indicate the gains for a Soret zone plate, half-wave correcting ), and quarter-wave correcting Wood Wood zone plate ( ) antenna, whereby an increase in (gain) zone plate ( phase efficiency, , results due to full utilization of the feed and reduced phase variation across each zone. For a sufficient phase

0018-9480/$31.00 © 2012 IEEE

2302

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

optical illuminating densities required for sufficient attenuation levels. II. piFZPAs

Fig. 2. Simulation of the complex refractive index of high-resistivity silicon at 94 GHz, with refractive index , and extinction coefficient , as a function ensues for an increase of excess carrier density. An increase in loss of the photo-injected excess carrier density, whereby the onset of metallic-like 10 cm . behavior is observed for sufficiently high carrier densities

correction scheme , the overall performance is shown to approach that of an equivalent diameter parabolic reflector. Simulations were performed at 94 GHz, with mm, mm, and a 11-dB edge taper, where the Wood-type simulations assumed a perfect phase correction mechanism. The overall antenna performance and beam direction is determined by the zone pattern of the FZP, and thus if dynamically generated can yield a spatial modulating device. In practice, however, multilevel configurations are difficult to realize in a reconfigurable device, without an increase in complexity and cost, or limited flexibility, while modulation via a photoconductive substrate limits the dynamic control of propagating beams to variations in attenuation. Such devices are restricted to half-wave ( ) zone plate schemes. Nonetheless, the low complexity, cost, and high flexibility of these devices proves attractive for a wide range of applications. B. Reconfigurable Semiconductors Optical excitation of a semiconductor, with below bandgap wavelengths, results in an increase in the excess free carrier density , which, in turn, alters the refractive index and coefficient of extinction ; where define the complex refractive index . Appropriate control of the excess carrier density can thus provide sufficient loss, preventing propagation through the medium. For example, Fig. 2 displays the optical constants at 94 GHz for a high-resistivity silicon wafer as a function of the excess carrier density . An increase in excess carrier density is shown to yield increased loss , whereby at sufficiently high excess carrier densities cm , a metallic effect is observed, resulting in a near-perfect reflecting surface . Numerical simulations have assumed a relative silicon permittivity , and were calculated using the Lorentz–Drude model [11]. Excitation of a given semiconductor substrate, increasing the local excess carrier density, permits the dynamic generation of an FZP free-carrier plasma. Thus, spatial modulation of a given carrier frequency can be achieved by dynamic reconfiguration of an optically projected zone plate pattern. However, at millimeter-wave frequencies, this is often limited due to the high

The piFZPA technique offers a flexible, precise, and low-cost solution to dynamic spatial modulation at millimeter- and submillimeter-wave frequencies. Dynamic beam forming and scanning of up to 1000 beams/s has been demonstrated previously using a reflection-type reconfigurable Soret zone plate configuration at 20 GHz [12], 35 GHz [13], and 94 GHz [5], [13] with apertures ranging from 146 mm (94 GHz) up to 300 mm (20 GHz), using an array of near infrared (NIR) LEDs. However, increased flexibility, reduced costs, and lower complexity may be achieved for excitation at visible wavelengths. Improved attenuation at such short wavelengths is made possible by suitable treatment of the semiconductor substrate, routinely applied to photovoltaics. As a result, visible wavelengths permit the use of well-established display technologies, which have obvious benefits, such as availability, pixel density, and cost. Additionally, consideration of the excess carrier density, the associated millimeter-wave loss, and the piFZPA configuration can reduce the required illumination level further, thus increasing the practicality of this technology at millimeter- and submillimeter-wave frequencies. A. Excess Carrier Density Requirements, Firstly, the required optical illumination density may be reduced by consideration of the piFZPA configuration and the relative attenuation of local optically excited silicon regions. Selective blocking of propagating millimeter-wave beams can be achieved at a suitable attenuation level of approximately 10 dB [14], which can be calculated using numerical simulations of a transmission-type piFZPA. Reasonable attenuation levels may reduce the required optical illumination density by a factor of 40, compared to a dynamically generated metallic device , albeit with a lowered efficiency [15]. In addition, the piFZPA can be configured as either a transmission-type [see Fig. 3(a)] or reflection-type [see Fig. 3(b)], with the reflection-type requiring lower illumination densities. For example, a transmission-type 94-GHz piFZPA, as shown in Fig. 3(a), consisting of a high-resistivity k cm , 467- m-thick silicon wafer with a 50- s effective free-carrier lifetime, , would require approximately 350 mW/cm illumination at 900 nm, corresponding to an excess carrier density of 1.4 10 cm , and producing 10 dB of attenuation (7% efficiency). Comparatively, a reflection-type 94-GHz piFZPA [see Fig. 3(b)] consisting of a similar high-resistivity 233- m-thick silicon wafer with a 50- s effective free-carrier lifetime would require a lower 130 mW/cm irradiation density (at 900 nm) with maximum equivalent efficiency (10%). The reflection-type configuration is constructed using an optically transparent millimeter-wave reflector placed behind the silicon wafer, thus creating a reflection-mode etalon. The etalon

GALLACHER et al.: OPTICAL MODULATION OF MILLIMETER-WAVE BEAMS USING SEMICONDUCTOR SUBSTRATE

2303

Fig. 3. Diagrams depicting three particular piFZPA configurations. (a) Transmission-type, blocking (Soret) zone plate. (b) Reflection-type, blocking (Soret) zone plate. (c) Reflection-type, correcting phase-reversal zone plate.

energy is possible, which corresponds to the Wood-type zone plate ( ). Thus, an increase in phase efficiency of up to 40% is possible in this configuration, resulting in a reduced insertion loss from 10 dB [see Fig. 3(a) and (b)] to 4 dB [see Fig. 3(c)]. B. Excess Carrier Density: Optimum Wavelength Optimization of the plasma density additionally involves appropriate selection of the illuminating wavelength. Under steady-state conditions, the excess carrier density generated by an incident optical illumination density is given by [15] Fig. 4. Numerical simulation of the reflected amplitude and phase from a reflection-type piFZPA configuration. The 233- m-thick silicon etalon was chosen to match the design frequency of 94 GHz. Changes in the complex refractive index shift the matched frequency away from the design, producing a reflection null, which occurs at a lower excess carrier density 7 10 cm than required for a transmission-type configuration.

presents increased sensitivity to changes in the complex refractive index , whereby the matched frequency (maximum reflection) is altered from the design frequency, thus producing a loss in reflected amplitude. As is shown in Fig. 4, this occurs at an excited carrier density of approximately 7 10 cm at a design frequency of 94 GHz, and is less than the required excess carrier density calculated for a transmission-type configuration; this, in turn, results in a reduction of the required optical illumination density. Although increased wafer (matched) thicknesses would suggest increased millimeter-wave attenuation due to the larger path length, the performance of the etalon produces similar overall attenuation at slightly lower carrier densities as . The reflected amplitude and relative phase, shown in Fig. 4, were modeled using the Lorentz–Drude model and the lossy matrix method [15]. Numerical simulations were performed for an n-type silicon wafer with a dark-state excess carrier density of 5 10 cm , typical for a high-resistivity silicon wafer with k cm. For carrier densities exceeding 7 10 cm , Fig. 4 shows a relative phase change of approximately 180 , and a continued increase in the reflected amplitude 100 . Hence, for an increase in optical illumination, full use of the illuminating feed

(1) with illuminating optical wavelength , effective minority carrier lifetime, , coefficient of optical absorption, , speed of light in a vacuum, , Planck’s constant, , and with reflection coefficient of the dielectric/air interface, . Using the 1-D solution to the continuity equation for the excess carrier profile [16], the average excess carrier density within a finite wafer thickness can be calculated using , yielding

(2) and define the diffusion length and surface recomwhere bination velocity (SRV), respectively, with defined by (1). Plotting (2) as a function of optical wavelength highlights the wavelength dependence of the optically excited excess carrier density. From Fig. 5, a minimum in the required illumination level is shown to occur for wavelengths approaching the bandgap of the silicon wafer 900 nm 1000 nm . With the lowering of the SRV, , as discussed later (Section II-C), the use of high absorption wavelengths (visible) becomes possible, and thus the optimal range may be extended further into the visible region, yielding an optimal optical illuminating wavelength in

2304

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 5. Modeled required illuminating density as a function of optical wavelength, for excess carrier density 3 10 cm , 1 10 cm , 3 10 cm , and 1 10 cm . Silicon wafer was chosen to consist of a 467- m thickness, and a 1000- s free carrier lifetime. Minimum optical power is shown for wave1000 nm. lengths from 500 nm

the region 500 nm 1000 nm. Fig. 5 displays the carrier illumination wavelength dependence for a few excess carrier densities, 3 10 cm , 1 10 cm , 3 10 cm , 1 10 cm , for a 467-m-thick silicon wafer with a 1000- s free carrier lifetime. The wavelength dependence of the excess carrier density was investigated experimentally by measuring the attenuation of a 94-GHz beam propagating through an illuminated silicon wafer. Measurements were performed as a function of both illuminating wavelength and density. The measurements used a quasioptical transmission line setup, whereby a 94-GHz continuous wave (CW) beam was focused over a 35-mm diameter on the surface of a high-resistivity passivated (Section II-C) silicon wafer. The transmission through the wafer was measured using a 94-GHz power detector with a focused retail projector as the light source. The output power of the projector light source was varied by altering the opacity of a broadband primary color image to a black background; the measured intensity was measured at the peak response of each primary color, characterized using an optical spectrum analyzer. The peak response was taken as 475 nm for blue (in online version), 550 nm for green (in online version) and white, and 650 nm for red (in online version). The broad spectrum of the projector output resulted in an underestimation of the power levels. The results of the variable transmission through the silicon wafer are shown in Fig. 6, where the experimental data has been modeled using the Lorentz–Drude model as before. Fig. 6 indicates excellent agreement between both model, and measured data across the full illumination spectrum. In addition, the trend of Fig. 5 is supported, whereby a higher attenuation is observed at red (in online version) (650 nm), than at green (in online version) (550 nm), and correspondingly blue (in online version) (445 nm) illumination, for similar illumination levels. Fig. 6 also highlights the importance of proper wavelength selection, as for example, 20 mW/cm of blue irradiation (in online version) yielded approximately 6-dB attenuation, while 13 dB resulted for red irradiation (in online version). Further, the XGA light source is shown to produce high levels of attenuation 15 20 dB , suitable for a transmission-type

Fig. 6. Measured (points) and simulated (dotted lines) attenuation through a passivated high-resistivity silicon wafer of 650 m, at a range of optical wavelengths, and illumination densities. Larger attenuations are observed at higher wavelengths (650 nm) than at lower wavelengths (550 and 475 nm), for the same optical density. Results are presented at a single transmission frequency of 94 GHz. Dotted lines indicate a monochromatic fit to the data measured at 475 nm (blue in online version), 550 nm (green in online version, white), and 650 nm (red in online version).

piFZPA incorporating the tested passivated wafer. The realization of this configuration is discussed further in Section III. C. Wafer Preparation: Free-Carrier Lifetime The effective free-carrier lifetime is an important parameter in the design of millimeter-wave spatial modulators as it directly controls the required illumination level. The effective free-carrier lifetime is determined by both the inherent bulk, and surface, properties of the semiconductor wafer. Untreated wafers suffer from high surface recombination states that reduce the optically excited excess carrier density. This reduces the effective lifetime to values much less than defined by the bulk properties. Suitable passivation methods are available that reduce the surface state densities, thus reducing the overall surface lifetime and increasing the effective lifetime. The effective lifetime is then a function of the surface state density, denoted by an SRV , which also varies as a function of wafer thickness. Fig. 7 displays the modeled effective carrier lifetime as a function of wafer thickness over a range of SRVs calculated using solutions of the transcendental equation for the 1-D continuity equation [17]. Two important conclusions can be drawn: firstly, reduced SRVs yield increased effective lifetimes, asymptotically approaching the bulk lifetime , as the surface lifetime is reduced; secondly, the surface degradation is shown to be more pronounced for thinner wafers, resulting in lower effective lifetimes. Thus, control of the SRV, through suitable passivation, and choice of wafer thickness can be chosen to suit a wide variety of applications. For example, a thin unpassivated wafer would yield a rapidly reconfigurable device at the cost of a high optical power density, whereas a thicker passivated wafer would benefit from reduced optical power requirements at the cost of a lower speed of reconfiguration. Furthermore, reduced SRVs yield improved attenuation of propagating millimeter-wave beams by shorter (visible) wavelengths,1 where 1Albeit

less effective than the NIR region.

GALLACHER et al.: OPTICAL MODULATION OF MILLIMETER-WAVE BEAMS USING SEMICONDUCTOR SUBSTRATE

Fig. 7. Modeling of the effective free-carrier lifetime as a function of wafer thickness and SRV. The effective lifetime is reduced by the presence of unsaturated bonds on the surface, which leads to a high recombination velocity cm/s . A reduction in the recombination velocity improves the effective minority lifetime of the silicon wafer, in addition to a thicker wafer.

Fig. 8. Measured attenuation through a -Si : H passivated silicon wafer using a retail visible data projector and NIR LED light source. At 94 GHz, approximately 15-dB attenuation is observed for 30 mW/cm NIR illumination, and 11 dB for 70 mW/cm white visible illumination.

carriers are initially generated near the surface [15]. Such application of visible illumination sources extends the practicality of such devices with reduced costs, and increased availability. For these reasons, the optimal illumination region can now encompass wavelengths in the nm nm range, as noted earlier. Substrate passivation techniques have been extensively investigated within solar cell research fields [18], where practical solutions must also yield long-term stability (years). Methods involving hydrogenated thin films, or thermally grown oxide layers, have shown great potential; however, further investigations are required. We have currently investigated two methods using standard techniques, involving thin-film passivation of hydrogenated amorphous-silicon -Si : H , and separately, hydrogenated silicon nitride SiN : H . Both methods have shown excellent passivation yields with effective carrier lifetime improvements of up to 80. These methods have also been measured to produce stable carrier lifetime improvements over periods of several 12 months. A single wafer, processed using the -Si : H technique, was characterized using a quasi-optical transmission line and a vector network analyzer across the -band frequency range.

2305

Fig. 9. Normalized excess carrier profile cm s generated by illumination of a below bandgap wavelength, and illustrates the profile without diffusion , and with diffusion .

Prior to treatment, no significant 0.1 dB attenuation was observed. Fig. 8 displays the measured attenuation through a -Si : H passivated 675- m-thick silicon wafer at a range of illuminating wavelengths and optical densities. Using the photoconductive decay technique, the effective free-carrier lifetime of the silicon wafer was measured and found to be approximately 1500 s, representing a 25 improvement from the untreated state. The illuminating wavelengths were produced by a focused high-power 3-W NIR Osram LED in the NIR region and by a focused retail 6000 ANSI lumen Sanyo XGA visible data projector in the visible region. Visible wavelengths were restricted to primary colors, which were generated by projection of a single colored image. The measured intensity levels were taken at the peak spectral response of each primary color as before. The increase in the effective free-carrier lifetime, obtained by passivation, yielded large attenuation of propagating beams (cf. un-passivated wafer, 0.1 dB). Additionally, large attenuations are observed for shorter (visible) wavelengths due to the reduction in the SRV. The attenuation observed in Fig. 8 also follows the same trend as modeled in Fig. 5 and observed in Fig. 6. The attenuation is shown to be approximately 15 dB with 30-mW/cm NIR illumination, and approximately 11 dB with 70-mW/cm white illumination, at 94 GHz. D. Carrier Diffusion Effects: FZP Mask Retention Increased effective free-carrier lifetimes result in longer diffusion lengths , which is defined by , with diffusion coefficient . Longer diffusion lengths limit the lateral plasma definition and can affect the performance of the spatial modulator. Using the piFZPA application again as an example, this limits the retainable zone widths, where, for example, the diffusion length of a 1500- s silicon wafer is of order 2 mm. Hence, optical excitation of the semiconductor substrate with an optical pattern whose spatial features are comparable to or less than 2 mm (short F/D piFZPA design) will not be retained by the photo-injected plasma. This affects the overall performance if the piFZPA design is not chosen properly.

2306

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

Fig. 10. Normalized lateral excess carrier profile within a silicon wafer, generated by an illuminating optical Gaussian beam. The profiles indicate full transfer of the Gaussian beam profile into a optically excited plasma when the beam , the waist is larger than the diffusion length. For smaller beam widths plasma transfer includes diffusion, and the profile expands in the radial direction. Fig. 11. Photograph of the experimental setup of a transmission-type piFZPA.

Fig. 9 illustrates the normalized excess carrier profile cm s generated by optical excitation throughout the depth of a silicon wafer with and without diffusion. For the case of no diffusion, as is the case of low-quality wafers (thin or poly-Si), then the diffusion length is less than the absorption depth of the illuminating wavelength , and the excess profile follows the ideal exponential decay . Alternatively, treated wafers exhibiting long free-carrier lifetimes consist of large diffusion lengths that exceed the absorption depth; in this case, diffusion dominates, and the excess profile extends through the wafer, with a reduced maximum carrier density, and increased carrier density at the rear surface . More importantly, for a piFZPA, the lateral plasma resolution determines the smallest zone widths, and thus the smallest F/D design. The lateral plasma resolution can be found by solving the 3-D ambipolar diffusion equation using the Hankel transform method [19] for a range of illumination widths. It is found that the smallest retainable feature of the optically projected mask is determined by the diffusion length of the semiconductor wafer; this is shown in Fig. 10, where the pattern feature is defined by the beam waist of an illuminating optical Gaussian beam. From Fig. 10, optical masks containing features less than the diffusion length result in pattern wash-out, and a region of lowered attenuation. The plasma resolution is then controlled via the free-carrier lifetime , where lowering the required optical illuminating density via wafer passivation potentially limits the performance of the spatial modulator, resulting from the resolvable characteristics of the optically excited plasma. Continuing the piFZPA example, wafers with high carrier lifetimes s , and thus long diffusion lengths mm , require zone plate designs that produce sufficiently large zone widths in order to maintain full transfer of the projected mask to the optically excited plasma. As a result, the ratio is restricted to (approximately) , further increasing with . The overall sidelobe performance (and possibly gain) of the device will be limited, where optimal performance is then dependent on the light source and the requirements of the application.

Fig. 12. Projected FZP mask for a 94-GHz F/1.2 100-mm aperture piFZPA and (b) in the with high directive beam forming at: (a) plane. (a) . (b) .

III. piFZPA DEMONSTRATION Incorporating the above design considerations, we present the realization of a 100-mm aperture piFZPA using a retail visible data projector as a programmable light source. The piFZPA comprised a 675- m-thick 150-mm diameter high-resistivity k cm silicon wafer with an effective free-carrier lifetime of approximately 1500 s. The antenna assembly consisted of a 94-GHz Gunn oscillator, a smooth walled conical feed horn, a large 200 sq indium–tin–oxide (ITO) coated polymer film, and a retail 6000 ANSI lumens Sanyo XGA visible projector as a programmable (broadband) light source. The ITO sheet was used as a transparent reflector with approximately 60% reflectivity at 94 GHz, and 80% transmission at visible wavelengths. The ITO sheet was angled 45 to the silicon wafer normal, with a 90 folded feed arrangement. The FZP mask was then pre-programmed and optically projected by the Sanyo projector through the ITO sheet onto the wafer. The projector focal plane distance was reduced from normal operation of 2 m to approximately 200 mm using additional optics. The projected pattern provides at least 70 mW cm of white light illumination, measured at 550 nm. This forms a transmission-type piFZPA, as shown in Fig. 3(a). The silicon wafer was

GALLACHER et al.: OPTICAL MODULATION OF MILLIMETER-WAVE BEAMS USING SEMICONDUCTOR SUBSTRATE

2307

Fresnel zones. The small number of zones and the F/D design were chosen due to the feed pattern, and to limit wash-out of the zone plate plasma due to the high diffusion length 2 mm of the wafer. Changing the optically projected zone plate pattern alters the interference condition, allowing dynamic beam forming, and control of the mainlobe throughout a 3-D volume. The projected zone plate pattern for on-axis beam forming, and for beam-forming directed 15 off axis are shown in Fig. 12(a) and (b) respectively. The far-field antenna pattern of the transmission-type piFZPA was then measured in receive mode by using a transmitter consisting of a 20-dBi WR10 corrugated feed horn and a 94-GHz Gunn oscillator. The transmitter was located in the far-field 6 m and remained fixed. The angular power response of the piFZPA was characterized by scanning the beam over a 2-D plane of 40 40 around the transmitter, in 0.25 angular increments. The power received by the piFZPA was then measured using a heterodyne receiver, and spectrum analyzer, with a 50-dB dynamic range. The peak power response of the IF was then recorded using a computer via a general purpose interface bus (GPIB) control, and data acquisition triggered in software by the display of each projected zone plate pattern. Fig. 13 displays the measured 2-D antenna pattern using the above technique, where the beam was hopped at approximately 20 beams/s, limited by the test software. The measured antenna pattern features a highly symmetrical mainlobe with a measured half-power beamwidth of 2.88 in both principle planes, which yields a directivity of approximately 36 dBi. The increased beamwidth resulted from slight diffusion effects noted previously. The peak sidelobe level was measured as approximately from 12 to 13 dB, symmetrically formed around the mainlobe. The gain of the antenna was measured using the gain transfer method [20] and a standard 20-dBi feed horn as the reference; the gain was measured as approximately 24 dBi, excluding a 4-dB loss due to the unmatched silicon thickness, which corresponds to less than 6% aperture efficiency. The low aperture efficiency results from the Soret zone plate design (10%) with additional loss induced due to the limited plasma density (i.e., nonmetallic zones) produced by the projector. Scanning the beam off axis resulted in a loss of peak power of up to 7 dB at 30 from boresight, increasing to approximately 12 dB at 45 . A measured example 2-D pattern is shown in Fig. 13(c), where the beam has been scanned 14.5 from boresight. Slight astigmatism is observed, which resulted from the inefficient mask design [14]. IV. CONCLUSION Fig. 13. Measured far-field antenna pattern for a transmission-type 100-mm aperture F/1.2 piFZPA operating in receive mode with: (a) and (b) the beam pointing on-axis and (c) the beam steered 14.5 off-axis.

supported by a custom-made HDPE mount. A photograph of the complete setup is shown in Fig. 11. The transmission-type piFZPA comprised a 100-mm aperture F/1.2 design with a 12-dB edge taper, which resulted in six

Key theories that govern the optimization, and improved realization, of (sub) millimeter-wave spatial modulators have been summarized, and the related performance trade-offs highlighted. As an example, the application of a piFZPA has been introduced and discussed. Optimization yielding improved realization of a piFZPA at high millimeter-wave frequencies discussed include: choice of the illuminating wavelength, semiconductor processing techniques, and the piFZPA antenna configuration (transmission or reflection type). The first two parameters are general to all

2308

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 7, JULY 2012

millimeter-wave spatial modulators using a semiconductor substrate. Wafer processing techniques are discussed, where wafer passivation also allows for effective (millimeter wave) attenuation by shorter (visible) wavelength excitation. Visible excitation permits the use of a wider range of technologies including preestablished display technologies, and thus lowers the overall cost, and complexity of such devices. Performance limitations imposed by the wafer treatment process are outlined with possible solutions. Finally, we demonstrate a practical realization of a spatial modulation piFZPA at 94 GHz using a 150-mm high-resistivity silicon wafer that was processed using the discussed techniques. The realization incorporates a retail visible data projector as a programmable broadband white light source. The demonstrated antenna was shown to yield an effective 24-dBi gain with a 36-dBi directivity. The low aperture efficiency (6%) resulted from the Soret zone plate design and the limited irradiation density produced by the projector. Suitable alteration of the display optics, and/or the display technology, can yield efficient dynamically reconfigurable piFZPAs with up to 40% aperture efficiency (4-dB loss), and produce beam-scanning rates exceeding 20 000 beams/s. This technique proves to be an attractive, flexible, and lowcost solution to dynamic beam control at millimeter-wave and sub-millimeter-wave frequencies.

[10] L. Leyten and M. H. A. J. Herben, “Vectorial far-field analysis of the Fresnel-zone plate antenna: A comparison with the parabolic reflector antenna,” Microw. Opt. Technol. Lett., vol. 5, no. 2, pp. 49–56, 1992. [11] F. Stern, “Elementary Theory of the Optical Properties of Solids,” in Solid State Physics: Advances in Research and Applications, ser. Solid State Phys. New York: Academic, 1963, vol. 15. [12] G. W. Webb, S. Angello, W. Vernon, M. S. Sanchez, and S. C. Rose, “Novel photonically controlled antenna for MMW communication,” in Int. Microw. Photon. Top. Meeting, Sep. 2000, pp. 97–100. [13] G. W. Webb, S. C. Rose, M. S. Sanchez, and J. M. Osterwalder, “Experiments on an optically controlled 2-D scanning antenna,” in Antenna Appl. Symp., Monticello, NY, Sep. 16–18, 1998, pp. 99–113. [14] G. W. Webb, “Millimeter wave beam deflector,” Int. Patent WO 93/26059, 1993. [15] T. F. Gallacher, “Optoelectronic modulation of mm-wave beams using a photo-injected semiconductor substrate,” Ph.D. dissertation, Dept. Phys., Univ. St. Andrews, St. Andrews, Fife, U.K., 2012. [16] W. Plattte, “Effective photoconductivity and plasma depth in optically quasi-CW controlled sicrowave switching,” IEE Proc. J. Optoelectron., vol. 135, no. 3, pp. 251––254, , 1988. [17] K. L. Luke and L.-J. Chen, “Analysis of the interaction of a laser pulse with a silicon wafer: Determination of bulk lifetime and surface recombination velocity,” J. Appl. Phys., vol. 61, no. 6, pp. 2282–2293, 1987. [18] A. G. Aberle, “Surface passivation of crystalline silicon solar cells: A review,” Progr. Photovolt., Res. Appl., vol. 8, pp. 473–487, 2000. [19] R. Gary, J.-D. Arnould, and A. Vilcot, “Semi-analytical modeling and analysis in 3-dimensions of the optical carriers injection and diffusion in a semiconductor substrate,” J. Lightw. Technol., vol. 24, no. 5, pp. 2163–2170, May 2006. [20] The Handbook of Antenna Design, ser. IEE Electromagn. Waves, 15, A. W. Rudge, K. Milne, A. D. Olver, and P. Knight, Eds. London, U.K.: Peregrinus, 1982, vol. 1.

ACKNOWLEDGMENT The first author would like to thank Dr. C. Hooley, University of St. Andrews, St. Andrews, Fife, U.K., for interesting discussions regarding Hankel transforms, and Dr. A. Holt, Institute for Energy Technology (IFE), Kjeller, Norway, for providing the facilities used during passivation of the silicon wafers. REFERENCES [1] C. H. Lee and A. P. DeFonzo, “Optical control of millimeter-wave propagation in dielectric waveguides,” IEEE J. Quantum Electron., vol. QE-16, no. 3, pp. 277–288, Mar. 1980. [2] C. H. Lee, A. M. Vaucher, M. G. Li, and C. D. Striffler, “Ultrafast optoelectronic devices for millimeter-waves,” in IEEE MTT-S Int. Microw. Symp. Dig., 1983, pp. 103–105. [3] V. A. Manasson, L. S. Sadovnik, A. Moussessian, and D. B. Rutledge, “Millimeter-wave diffraction by a photo-induced plasma grating,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 9, pp. 2288–2290, Sep. 1995. [4] V. A. Manasson, L. S. Sadovnik, V. A. Yepishin, and D. Marker, “An optically controlled MMW beam-steering antenna based on a novel architecture,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 8, pp. 1497–1500, Aug. 1997. [5] G. W. Webb, W. Vernon, M. S. Sanchez, S. C. Rose, and S. Angello, “Optically controlled millimeter wave antenna,” in Int. Microw. Photon. Top. Meeting, 1999, pp. 275–278. [6] J. C. Wiltse, “History and evolution of Fresnel zone plate antennas for microwaves and millimeter waves,” in IEEE Int. AP-S Symp. Dig. (Special Session Status and Future of Fresnel Zone Plate Antenna), 1999, vol. 2, pp. 722–725. [7] H. Hristov, Fresnel Zones in Wireless Links, Zone Plate Lenses and Antennas. Norwood, MA: Artech House, 2000. [8] G. R. Folwes, Introduction to Modern Optics, 2nd ed. New York: Dover, 1989. [9] D. N. Black and J. C. Wiltse, “Millimeter-wave characteristics of phase-correcting Fresnel zone plates,” IEEE Trans. Microw. Theory Tech., vol. MTT-35, no. 12, pp. 1122–1129, Dec. 1987.

Tom F. Gallacher was born in Glasgow, Scotland, in 1985. He received the M.Phys degree (Hons.) and Ph.D. degree in physics from the University of St. Andrews, St. Andrews, Fife, U.K., in 2008 and 2012, respectively. His Ph.D research was focused on optically excited semiconductor substrates for dynamic reconfigurable (sub) mm-wave spatial modulators with a focus on piFZPA devices. He is currently a Research Scientist with the University of St. Andrews, where he is involved with piFZPAs. His current research interests include submillimeter-wave and millimeter-wave technologies and their interaction, and use thereof, with optically excited semiconductor materials. His past research has included millimeter-wave measurement techniques, frequency-modulated continuous wave (FMCW) radar, FMCW Doppler radar, and antenna measurements at millimeter-wave and sub-millimeter-wave frequencies. Dr. Gallacher was a student member of the Institute of Physics (2003–2008) and of the IEEE Antenna and Propagation Society (2009 - 2010).

Rune Søndenå was born in Drammen, Norway, in February 1979. He received the M.S. degree in physics from the Norwegian University of Science and Technology (NTNU), Trondheim, Norway, in 2003, and the Ph.D. degree in chemistry from the University of Oslo (UiO), Oslo, Norway, in 2007. In 2007, he began a post-doctoral position with the Institute for Energy Technology (IFE), Kjeller, Norway, where he investigated the potential use of isotope separated silicon in photovoltaics. He is currently a Research Scientist with the Department of Solar Energy, IFE. His research interests include defects in silicon and their relation to the electrical properties of the material, wet chemical processes in photovoltaics, and thin-film deposition.

GALLACHER et al.: OPTICAL MODULATION OF MILLIMETER-WAVE BEAMS USING SEMICONDUCTOR SUBSTRATE

Duncan A. Robertson (S’91–M’094) was born in Aberfeldy, U.K., in 1969. He received the B.Sc degree (Hons.) in physics and electronic and Ph.D. degree in millimeter-wave physics from the University of St. Andrews, St. Andrews, Fife, U.K., in 1991 and 1994 respectively. From 1994 to 1999, he was a Research Fellow with the Millimetre Wave Group, University of St. Andrews, under contract to DERA Malvern, during which time he was involved with battlefield millimeter-wave systems. From 1999 to 2000, he was a Principal Microwave Engineer with Racal-MESL, Edinburgh, U.K., where he was involved with high-power radar duplexers. From 2000 to 2004, he was with the Photonics Innovation Centre, University of St. Andrews, where he was involved with the commercialization of millimeter-wave technology. Since 2004, he has been a Research Fellow with the Millimetre Wave and EPR Group, University of St. Andrews. His research interests include millimeter-wave radar, radiometry, imaging, electron paramagnetic resonance instrumentation, materials characterization (ferrites, dielectrics, and absorbers) and antennas (corrugated horns, quasi-optics, and nonmechanical beam steering). Dr. Robertson is a Chartered Physicist. He is a member of the Institute of Physics, the Institute of Engineering and Technology, and the International Society for Optics and Photonics (SPIE).

2309

Graham M. Smith was born in Karlsuhe, Germany, on June 23, 1963. He received the B.Sc degree in theoretical physics from York University, York, U.K., and the M.Sc degree in lasers and opto-electronics and Ph.D. degree in millimeter-wave physics from the University of St. Andrews, St. Andrews, Fife, U.K. He currently leads the Millimetre-Wave and EPR Group, University of St. Andrews. His research interests mainly involve mm-wave instrumentation system and component design and mm-wave electron paramangnetic resonance spectroscopy. Dr. Graham was the recipient of the 2011 Silver Medal for Instrumentation from the International EPR Society for his work on high-power pulsed EPR.

Editor-in-Chief George E. Ponchak, Ph.D, FIEEE IEEE Transactions on Microwave Theory and Techniques Editorial Office c/o Mrs. Kim Tanger, Editorial Assistant Ohio Aerospace Institute 22800 Cedar Point Road Cleveland, Ohio 44142 E-mail: [email protected] or [email protected] Phone: 440-962-3023 Fax: 440-962-3057

Information for Authors The IEEE TRANSACTIONS on MICROWAVE THEORY and TECHNIQUES is published monthly with a focus on that part of engineering and theory associated with microwave/millimeter-wave technology and components, electronic devices, guided wave structures and theory, electromagnetic theory, and Radio Frequency Hybrid and Monolithic Integrated Circuits, including mixed-signal circuits, from a few 100 MHz to THz. I. Paper Submission in Electronic Form Authors need to visit the website http://www.mtt.org/transactions/34-author-information-transactions.html for the author instructions. To reduce time from submission to publication of papers, the editorial office accepts manuscripts only in electronic form as .pdf files and all communications with authors will be via email. The files must not be larger than 1MB and no *.zip files are accepted. Submissions should be submitted through the Manuscript Central site at: http://mc.manuscriptcentral.com/tmtt-ieee and use the templates provided under http://www.ieee.org/publications_standards/publications/authors/authors_journals.html (Template for all Transactions (except IEEE Transactions on Magnetics), two-column template; can also be requested from the editorial office). Figures, graphs and all other necessary information for reviewing the manuscript must be included in this file (as opposed to being attached to it as separate files) and placed at appropriate locations within the text rather than at the end: • • • • • • • • • •

Figures should be large enough to be easily readable on a computer screen and on paper when printed out. A photograph of any component or circuit presented must be included. If, at the decision of the Editor, the component or circuit can be fabricated, measured characteristics must be included. All papers with theoretical contributions must have independent verification with measurement-based validation strongly preferred. Instrument screen captures are not suitable for publication and the data should be replotted. The print version of the paper will be in black and white, but color figures may be used in the electronic version of the paper. Axes should be labeled with large lettering. Whenever possible, theory and corresponding experimental results should be printed on the same graph for easy comparison. Follow the Guidelines for Author-Supplied Electronic Text and Graphics available for download at the above website. The minimum paper length is 4 pages, excluding the authors’ photos and biographies. Short papers of three pages or less should be sent to the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. The font size is specified in the templates. TeX and LaTeX users must use scalable fonts rather than bitmapped fonts to allow easy reading of .pdf files on the computer screen. Note: Manuscripts that are related to material submitted to or published at conferences are considered only if the content is significantly updated or contains material of substantially complementary nature. Authors must reference all of their previous papers that are similar. Please attach .pdf files of previous papers and clearly state (on a separate page) the difference with respect to the current submission. Failure to disclose prior papers by the authors that are similar will be rejected. II. Final Submission Format After a manuscript has been accepted for publication, the author will be requested to provide an electronic copy of the final version of the manuscript in pdf format; Microsoft Word is the preferred format for this final submission, although TEX and LATEX formats are also acceptable. Note: Although we require a .pdf file of the manuscript for the review process, this format is not acceptable (neither is .ps) for the final submission. Some additional guidelines must, however, be followed for the submission of the final manuscript in electronic form: • Include all macros (/def) that are required to produce your manuscript (TEX and LATEX). • IEEE Transaction/Journal style dictates a 21-pica (3.5 inch) column width. If mathematical expressions are produced with this in mind, they are more aesthetically pleasing in the final version. • Figures and tables must be submitted as separate files in .ps, .eps, .doc or .tiff format III. Page Charge Papers will be reviewed for their technical merit, and decisions to publish will be made independently of an author’s ability to pay page charges. Page charges of $110 (U.S.) per printed page will be requested on papers of six printed pages or less. Overlength page charges of $200 per page are mandatory for each page in excess of six pages. If the author’s organization agrees to honor the total page charge, which includes the page charges on the first six pages plus the mandatory overlength charge, the author will receive 100 reprints. If the supporting organization honors only the mandatory charge, no free reprints will be sent.

Digital Object Identifier 10.1109/TMTT.2012.2205622

Digital Object Identifier 10.1109/TMTT.2012.2205619

Digital Object Identifier 10.1109/TMTT.2012.2205621

EDITORIAL BOARD Editor-in-Chief: GEORGE E. PONCHAK Associate Editors: H. ZIRATH, W. VAN MOER, J.-S. RIEH, Q. XUE, L. ZHU, K. J. CHEN, M. YU, C.-W. TANG, J. PAPAPOLYMEROU, N. S. BARKER, C. D. SARRIS, C. FUMEAUX, D. HEO, B. BAKKALOGLU The following members reviewed papers during 2011

P. Aaen A. Abbaspour-Tamijani A. Abbosh D. Abbott A. Abdipour M. Abe M. Abegaonkar R. Abhari A. Abramowicz M. Acar L. Accatino R. Achar E. Ackerman J. Adam K. Agawa M. Ahmad H.-R. Ahn B. Ai M. Aikawa J. Aikio C. Aitchison M. Akaike T. Akin S. Aksoy I. Aksun A. Akyurtlu G. Ala L. Albasha A. Alexanian W. Ali-Ahmad F. Alimenti R. Allam K. Allen A. Alphones A. Alu A. Álvarez-Melcon A. Al-Zayed S. Amari H. Amasuga R. Amaya H. An D. Anagnostou M. Andersen K. Andersson M. Ando Y. Ando P. Andreani M. Andrés W. Andress K. Ang C. Angell I. Angelov Y. Antar G. Antonini H. Aoki V. Aparin F. Apollonio R. Araneo J. Archer F. Ares F. Ariaei T. Arima M. Armendariz L. Arnaut F. Arndt E. Artal H. Arthaber F. Aryanfar U. Arz M. Asai Y. Asano A. Asensio-Lopez K. Ashby H. Ashoka A. Atalar A. Atia S. Auster I. Awai A. Aydiner M. Ayza K. Azadet R. Azaro A. Babakhani P. Baccarelli M. Baginski I. Bahl S. Bajpai J. Baker-Jarvis B. Bakkaloglu M. Bakr A. Baladin C. Balanis S. Balasubramaniam J. Balbastre J. Ball P. Balsara Q. Balzano A. Banai S. Banba R. Bansal D. Barataud A. Barbosa F. Bardati I. Bardi J. Bardin A. Barel S. Barker F. Barnes J. Barr G. Bartolucci R. Bashirullan S. Bastioli A. Basu B. Bates R. Baxley Y. Bayram J.-B. Bégueret N. Behdad F. Belgacem H. Bell D. Belot J. Benedikt T. Berceli C. Berland M. Berroth G. Bertin E. Bertran A. Bessemoulin M. Beurden A. Bevilacqua A. Beyer M. Bialkowski

E. Biebl P. Bienstman S. Bila D. Blackham R. Blaikie M. Blank P. Blockley P. Blondy P. Blount D. Boccoli G. Boeck L. Boglione R. Boix G. Bonaguide F. Bonani G. Bonmassar O. Boos B. Borges V. Boria-Esbert O. Boric-Lubecke A. Borji S. Borm J. Bornemann W. Bosch R. Bosisio H. Boss G. Botta N. Boulejfen S. Boumaiza J. Bouny C. Boyd C. Bozler M. Bozzi R. Bradley D. Braess N. Braithwaite M. Brandolini G. Branner T. Brazil J. Breitbarth M. Bressan K. Breuer B. Bridges D. Bridges J. Brinkhoff E. Brown S. Brozovich E. Bryerton D. Budimir G. Burdge P. Burghignoli N. Buris C. C. Galup-Montoro B. Cabon P. Cabral L. Cabria C. Caloz C. Camacho-Peñalosa V. Camarchia E. Camargo R. Cameron M. Camiade C. Campbell M. Campovecchio F. Canavero A. Cangellaris A. Cantoni C. Cao F. Capolino F. Cappelluti G. Carchon J. Carmo K. Carr F. Carrez R. Carrillo-Ramirez P. Carro R. Carter N. Carvalho P. Casas R. Castello J. Catala M. Cavagnaro R. Caverly D. Cavigia J. Cazaux M. Celuch Z. Cendes D. Chadha M. Chae S. Chakraborty C. Chan C. Chang H. Chang K. Chang S. Chang T. Chang W. Chang E. Channabasappa H. Chapell W. Chappell C. Charles M. Chatras I. Chatterjee G. Chattopadhyay S. Chaudhuri S. Chebolu A. Cheldavi A. Chen C. Chen H. Chen J. Chen K. Chen M. Chen N. Chen S. Chen Y. Chen Z. Chen Z.-N. Chen H. Cheng K. Cheng M. Cheng Y. Cheng C. Cheon C. Chi M. Chia Y. Chiang J. Chiao A. Chin K. Chin H. Chiou Y. Chiou C. Chiu

H. Chiu A. Chizh C. Cho K. Cho T. Cho A. Choffrut C. Choi J. Choi W. Choi C. Chong M. Chongcheawchamnan C. Chou D. Choudhury E. Chow Y. Chow C. Christodoulou C. Christopoulos Q. Chu T. Chu H. Chuang M. Chuang Y. Chun S. Chung Y. Chung D. Chye A. Cidronali T. Cisco C. Cismaru O. Civi S. Clavijo M. Clénet D. Cogan P. Colantonio M. Cole J. Coleman J. Collantes R. Collin C. Collins B. Colpitts R. Compton G. Conciauro M. Condon D. Consonni A. Constanzo M. Converse K. Cools F. Cooray I. Corbella A. Costanzo S. Cotton C. Courtney G. Coutts J. Cowles J. Craninckx C. Crespo-Cadenas J. Cressler S. Cripps T. Crowe J. Cruz T. Cui E. Cullens T. Cunha W. Curtice J. Dabrowski W. Dai G. Dambrine P. Dankov F. Danneville I. Darwazeh A. Darwish N. Das M. Davidovich L. Davis D. Dawn J. Dawson H. Dayal F. De Flaviis D. De Zutter B. Deal A. Dearn J. Deen M. Dehan C. Dehollain C. Deibele G. Dejean M. DeLisio N. Deltimple S. Demir V. Demir J. Deng A. Dengi T. Denidni W. DeRaedt H. Deshpande Y. Deval R. Dey T. Dhaene L. Diaz A. Diaz-Morcillo L. Ding M. Dionigi C. Diskus A. Djordjevi T. Djordjevic J. Dobrowolski H. Dogan S. Donati X. Dong A. Dounavis P. Draxler R. Drayton A. Dreher J. Drewniak J. Duchamp A. Duffy L. Dunleavy J. Dunsmore S. Durden L. Dussopt C. Duvanaud J. East J. Ebel K. Eccleston I. Ederra R. Egri I. Ehrenberg N. Ehsan T. Eibert H. Eisele W. Eisenstadt G. Eleftheriades

F. Ellinger G. Ellis T. Ellis M. El-Nozahi M. Elsbury S. Elschner M. El-Shenawee T. Enoki K. Entesari L. Epp I. Erdin O. Ergul T. Eriksson C. Ernst D. Erricolo I. Eshrah M. Essaaidi H. Esteban C. Eswarappa W. Eyssa A. Ezzeddine C. Fager M. Fahmi Y. Fan D. Fang M. Farina A. Fathy M. Faulkner P. Fay A. Fazzi E. Fear P. Fedorenko D. Feld Y. Feng A. Feresidis A. Fernandez T. Fernandez M. Fernández-Barciela M. Ferndahl F. Fernez P. Ferrari E. Ferre-Pikal A. Ferrero M. Ferriss H. Fetterman J. Fiedziuszko S. Fiedziuszko G. Fikioris J. Fikioris I. Filanovsky F. Filicori D. Filipovic R. Fletcher B. Floyd H. Foltz N. Fong B. Fornberg F. Fortes K. Foster P. Foster P. Franzon A. Frappe J. Freire M. Freire A. Freundorfer F. Frezza I. Frigyes R. Frye J. Fu O. Fu R. Fujimoto O. Fujiwara C. Fumeaux C. Furse V. Fusco D. Gabbay E. Gad M. Gadringer N. Gagnon J. Gajadharsing A. Gala C. Galbraith B. Galwas J. Gambini A. Gameiro O. Gandhi B. Gao J. Gao S. Gao C. Gaquiere H. Garbe J. Garcia M. Garcia P. Garcia-Ducar F. Garcia-Vidal K. Gard P. Gardner P. Garland P. Gaudo J. Gautier S. Gedney B. Geelen F. Gekat B. Geller R. Genov A. Georgiadis N. Georgieva J. Gerdes W. Gerhard S. Gevorgian H. Ghali M. Ghanevati F. Ghannouchi K. Gharaibeh R. Gharpurey G. Ghione M. Ghovanloo F. Giannini A. Gibson I. Gil P. Gilabert B. Gimeno D. Ginste A. Goacher E. Godshalk A. Goel C. Goldsmith M. Golio M. Golosovsky R. Gómez-García A. Goncharenko X. Gong

R. Gonzalo S. Goodnick S. Gopalsami A. Gopinath A. Görür K. Gosalia M. Gouker K. Goverdhanam W. Grabherr J. Graffeuil L. Gragnani J. Grahn J. Grajal V. Granatstein A. Grbic A. Grebennikov I. Gresham A. Griol D. Grischowsky S. Grivet-Talocia E. Grossman S. Gruszczynski T. Grzegorczyk S. Guenneau T. Guerrero S. Gunnarsson J. Guo Y. Guo C. Gupta M. Gupta R. Gupta R. Gutmann W. Gwarek R. Habash S. Hadjiloucas D. Haemmerich M. Hagmann S. Hagness A. Halappa P. Hale D. Ham E. Hamidi O. Hammi H. Han T. Hancock A. Hanke G. Hanson Y. Hao Z. Hao R. Harjani L. Harle H. Harris P. Harrison O. Hartin J. Hasch H. Hashemi K. Hashimoto J. Haslett G. Hau S. Hauptmann L. Hayden L. He Y. He R. Heath E. Hegazi G. Hegazi S. Heinen W. Heinrich G. Heiter M. Hella R. Henderson F. Henkel B. Henning D. Heo K. Herrick F. Herzel J. Hesler J. Hesthaven K. Hettak H. Heuermann P. Heydari A. Hietala A. Higgins A. Hirata J. Hirokawa M. Ho K. Hoffmann R. Hoffmann E. Holzman V. Hombach J. Hong S. Hong W. Hong K. Honjo G. Hopkins Y. Horii J. Horng T.-S. Horng J. Horton K. Hosoya M. Hotta J. Hoversten J. Howard M. Høyerby H. Hsieh L. Hsieh C. Hsu H. Hsu J. Hsu C. Hsue R. Hu C. Huang F. Huang H. Huang P. Huang T. Huang J. Hubert W. Huei A. Hülsmann A. Hung C. Hung J. Hung I. Hunter I. Huynen H. Hwang J. Hwang K. Hwang R. Hwang G. Iannaccone K. Ikossi M. Isaksson T. Ishizaki

Digital Object Identifier 10.1109/TMTT.2012.2205623

S. Islam M. Ito K. Itoh T. Itoh Y. Itoh A. Ittipiboon F. Ivanek D. Iverson M. Iwamoto D. Jablonski D. Jachowski C. Jackson D. Jackson R. Jackson A. Jacob K. Jacobs S. Jacobsen D. Jaeger J. Jaeger S. Jagannathan N. Jain G. James M. Janezic S. Jang M. Jankovic D. Jansen L. Jansson H. Jantunen H. Jardon-Aguilar J. Jargon N. Jarosik B. Jarry P. Jarry A. Jastrzebski B. Jemison W. Jemison S. Jeng A. Jenkins S. Jeon D. Jeong J. Jeong Y. Jeong A. Jerng T. Jerse T. Jiang X. Jiang G. Jianjun D. Jiao J. Jin J. M. Jin J. Joe T. Johnson B. Jokanovic U. Jordan K. Joshin J. Joubert S. Jung T. Kaho S. Kanamaluru K. Kanaya S. Kang P. Kangaslahti B. Kapilevich I. Karanasiou M. Karim T. Kataoka A. Katz R. Kaul R. Kaunisto T. Kawai S. Kawasaki M. Kazimierczuk L. Kempel P. Kenington P. Kennedy A. Kerr D. Kettle A. Khalil W. Khalil S. Khang A. Khanifar A. Khanna R. Khazaka J. Khoja S. Kiaei J. Kiang B. Kim C. Kim D. Kim H. Kim I. Kim J. Kim S. Kim T. Kim W. Kim N. Kinayman R. King N. Kinzie S. Kirchoefer A. Kirilenko M. Kishihara T. Kitazawa J. Kitchen T. Klapwijk E. Klumperink D. Klymyshyn L. Knockaert R. Knoechel M. Koch K. Koh N. Kolias J. Komiak A. Komijani G. Kompa A. Konanur A. Konczykowska H. Kondoh B. Kopp B. Kormanyos J. Korvink P. Kosmas Y. Kotsuka S. Koziel A. Kozyrev V. Krishnamurthy H. Krishnaswamy C. Krowne J. Krupka D. Kryger H. Ku H. Kubo A. Kucar A. Kucharski

C. Kudsia A. Kudymov D. Kuester B. Kuhn W. Kuhn T. Kuki A. Kumar J. Kuno C. Kuo J.-T. Kuo H. Kurebayashi F. Kuroki L. Kushner S. Kusunoki D. Kuylenstierna Y. Kwon G. Kyriacou A. Lacaita J. Lamb P. Lampariello U. Langmann T. Larsen L. Larson J. Laskar C. Lau K. Lau A. Lauer D. Lautru P. Lavrador A. Lavrinenko A. Lazaro G. Lazzi R. Lech B. Lee C.-H. Lee C. Lee H. Lee J. Lee J.-H. Lee K. Lee R. Lee S. Lee T. Lee Y. Lee D. Leenaerts Z. Lei G. Leizerovich K. Leong Y. Leong R. Leoni C. Ler G. Leuzzi B. Levitas R. Levy C. Li L. Li M. Li X. Li L. Lianming C. Liao S. Liao D. Lie E. Lima E. Limiti F. Lin J. Lin K. Lin T. Lin Y. Lin S. Lindenmeier A. Lindner F. Ling D. Linkhart P. Linnér D. Linten D. Linton D. Lippens F. Little V. Litvinov C. Liu H. Liu J. Liu K. Liu Q. Liu S. Liu Y. Liu Z. Liu A. Llewandowski O. Llopis I. Lo L. Locht A. Loke K. Lonngren T. Lopetegi N. Lopez U. Lott G. Lovat D. Lovelace Z. Low C. Lu L. Lu S. Lu Y. Lu V. Lubecke S. Lucyszyn D. Ludwig N. Luhmann M. Lui J. Luy G. Lyons A. M. Niknejad K. Ma T.-G. Ma Z. Ma S. Maas P. Maccarini G. Macchiarella J. Machac B. Machiels M. Madihian A. Madjar G. Magerl S. Magierowski R. Mahmoudi I. Maio F. Maiwald A. Majedi H. Majedi M. Majewski M. Makimoto R. Makinen D. Malocha J. Manges

R. Mansour D. Manstretta J. Mao S. Mao F. Maradei A. Margomenos D. Markovic E. Márquez-Segura J. Martens F. Martin E. Martini K. Maruhashi J. Marzo D. Masotti A. Massa G. Massa F. Mastri J. Mateu A. Matsushima M. Mattes G. Matthaei K. Mayaram M. Mayer U. Mayer W. Mayer J. Mazeau S. Mazumder A. Mazzanti G. Mazzarella K. McCarthy G. McDonald I. McGregor M. McKinley J. McLean D. McQuiddy A. Mediano F. Medina M. Megahed I. Mehdi K. Mehrany A. Melcon R. Melville F. Mena D. Mencarelli C. Meng R. Menozzi W. Menzel P. Mercier B. Merkl F. Mesa R. Metaxas A. Metzger P. Meyer P. Mezzanotte E. Michielsen A. Mickelson D. Miller P. Millot J. Mingo F. Miranda D. Mirshekar A. Mirzaei S. Mitilineos R. Miyamoto K. Mizuno J. Modelski W. Moer M. Moghaddam A. Mohammadi S. Mohammadi A. Mohammadian P. Mohseni E. Moldovan M. Mollazadeh M. Mongiardo P. Monteiro J. Montejo-Garai G. Montoro J. Monzó-Cabrera J. Morente T. Morf D. Morgan M. Morgan A. Morini A. Morris J. Morsey A. Mortazawi M. Moussa M. Mrozowski Q. Mu J.-E. Mueller J. Muldavin K. Murata S.-S. Myoung M. Myslinski B. Nabet V. Nair K. Naishadham Y. Nakasha M. Nakatsugawa M. Nakhla J.-C. Nallatamby I. Nam S. Nam J. Nanzer T. Narhi A. Nashashibi A. Natarajan J. Nath A. Navarrini J. Navarro J. Nebus R. Negra J. Neilson B. Nelson P. Nepa A. Neri H. Newman G. Ng D. Ngo E. Ngoya C. Nguyen E. Nicol A. Nicolet S. Nicolson E. Niehenke M. Nielsen K. Nikita P. Nikitin N. Nikolova M. Nisenoff K. Nishikawa T. Nishino

G. Niu B. Noori C. Nordquist B. Notaros K. Noujeim D. Novak I. Novak G. Nusinovich K. O I. Obeid J. Obregon R. O’Dea M. O’Droma M. Odyniec J.-E. Oh T. Ohira E. Öjefors H. Okazaki V. Okhmatovski A. Oki M. Okumura G. Olbrich S. Olson F. Olyslager A. Omar K. Onodera B.-L. Ooi S. Ootaka H. Oraizi G. Orengo A. Orlandi R. Orta J. Ortega-Gonzalez S. Ortiz S. Otaka B. Otis K. Ozdemir T. Ozdemir O. Ozlem P. Paco R. Paknys S. Pal Y. Palaskas D. Palmer S. Pamarti G.-W. Pan S.-K. Pan A. Panariello K. Pance J. Papapolymerou S. Parisi C.-S. Park E. Park J.-S. Park M.-J. Park S. Park W. Park A. Parker T. Parker D. Pasquet M. Pastorino H. Pau S. Paulotto A. Pavio D. Pavlidis W. Pearson J.-C. Pedro S. Peik S. Pellerano G. Pelosi M. Pelosi D. Pelz R. Pengelly J. Pereda F. Pereira A. Perennec B. Perlman D. Peroulis L. Perregrini K. Per-Simon M. Persson M. Petelin A. Peterson A. Petosa O. Peverini U. Pfeiffer A.-V. Pham J. Phillips H. Pickett M. Pieraccini L. Pierantoni B. Pillans S. Pinel Z. Ping M. Pirola S. Pisa G. Pisano D. Pissoort D. Plant C. Plett J. Plumridge C. Pobanz A. Poddar F. Podevin R. Pogorzelski G. Ponchak A. Poon D. Popovic Z. Popovic J. Portilla M. Pospieszalski A. Pothier K. Pourvoyeur J. Powell H. Powen R. Prabhu L. Pradell S. Prasad D. Prather A. Priou S. Pruvost Y. Qian R. Qiang J. Qiu T. Quach X. Quan R. Quay C. Queck C. Quendo R. Quéré F. Quesada F. Raab V. Radisic

M. Raffetto A. Raffo T. Rahkonen R. Raich A. Raisanen O. Ramahi M. Ramdani R. Ranson P. Rantakari L. Ranzani P. Ratajczak H. Rategh C. Rauscher J. Rautio T. Rautio B. Rawat J. Rayas-Sanchez G. Rebeiz J. Rebollar M. Reddy J. Reid R. Reid J. Reina-Tosina S. Reising B. Rembold K. Remley R. Renaut S. Rengarajan D. Resca P. Reynaert S. Reynolds A. Rezazadeh E. Rezek S. Ricci A. Riddle L. Rienzo D. Ritter E. Rius J. Rizk V. Rizzoli M. Roberg I. Robertson P. Roblin A. Roden C. Rodenbeck W. Rodriguez F. Rodriguez-Morales M. Rodwell A. Rofougaran R. Rogers H. Rogier U. Rohde V. Rokhlin Y. Rolain J.-M. Rollin R. Romanofsky S. Romisch G. Romo Y. Rong D. Rönnow D. Root N. Rorsman M. Rosario L. Roselli A. Rosen U. Rosenberg M. Rosker T. Roste F. Rotella E. Rothwell R. Rotman P. Rovati J. Roy L. Roy M. Roy T. Rozzi T. Rubaek J. Rubio D. Rudolph M. Rudolph A. Ruehli C. Ruppel A. Rydberg J. Ryynänen C. Saavedra F. Sabath K. Sachse B. Sadler N. Safari A. Safarian A. Safavi-Naeini A. Safwat P. Saha K. Saito I. Sakagami S. Sakhnenko T. Samaras J. Sambles C. Samori A. Sanada J. Sanchez S. Sancho K. Sano A. Santarelli H. Santos S. Sanyal K. Sarabandi T. Sarkar C. Sarris H. Sato P. Saunier M. Sawan H. Sayadian A. Sayeed W. Scanlon E. Schamiloglu J. Schellenberg M. Schindler E. Schlecht E. Schmidhammer L.-P. Schmidt S. Schmidt D. Schmitt F.-J. Schmueckle J. Schoebel D. Schreurs D. Schrijver A. Schuchinsky P. Schuh L. Schulwitz K. Schünemann J. Schutt-Aine

J. Scott F. Sechi K. Sellal V. Semenov E. Semouchkina K.-S. Seo J. Sercu A. Serebryannikov J. Sevic O. Sevimli F. Seyfert L. Shafai A. Shameli O. Shanaa Z. Shao I. Shapir A. Sharma S. Sharma J. Sharp D. Sheen T. Shen Z. Shen Y. Shestopalov J. Shi Y.-Q. Shi H. Shigematsu Y. Shih H. Shin S. Shin S.-H. Shin N. Shino W. Shiroma S. Shitov K. Shu D. Shyroki D. Sievenpiper C. Silva D. Silveira M. Silveirinha K. Silvonen W. Simbuerger G. Simin R. Simons C. Simovsky J. Simpson V. Simulik D. Simunic H. Singh D. Sinnott Z. Sipus C. Siviero H. Sjöland M. Slazar-Palma R. Sloan P. Smith C. Snowden R. V. Snyder M. Sobhy A. Sodagar N. Sokal K. Solbach J. Sombrin Y.-K. Song R. Sorrentino A. Soury E. Sovero J. Sowers R. Sperlich B. Spielman K. Stadius P. Staecker D. Staiculescu D. Stancil A. Stancu A. Stanitzki S. Stapleton J. Staudinger P. Stauffer B. Stec D. Steenson P. Steenson M. Steer G. Stegmayer J. Stenarson B. Stengel K. Stephan C. Stevens N. Stevens M. Steyaert J. Stiens I. Stievano S. Stitzer M. Straayer B. Strassner A. Street W. Struble M. Stubbs M. Stuchly B. Stupfel A. Suárez G. Subramanyam T. Sudo N. Suematsu T. Suetsugu C. Sullivan F. Sullivan A. Sulyman N. Sun S. Sun X. Sun R. Sutton K. Suzuki J. Svacina M. Swaminathan D. Swanson B. Szendrenyi W. Tabbara A. Taflove Y. Tajima T. Takagi M. Takahashi I. Takenaka T. Takenaka V. Talanov S. Talisa K.-W. Tam B. Tan E. Tan J. Tan T. Tanaka C.-W. Tang W.-C. Tang

X.-H. Tang T. Taris R. Tascone P. Tasker J. Taub J. Tauritz V. Tavares S. Taylor D. Teeter R. Temkin M. Tentzeris V. Teppati J.-P. Teyssier N. Thakor H. Thal J. Tham M. Thumm M. Tiebout E. Tiiliharju M.-R. Tofighi P. Tognolatti T. Toifl T. Tokumitsu A. Tombak A. Topa E. Topsakal H. Torres-Silva G. Town S. Tretyakov R. Trew P. Troyk C. Trueman A. Truitt C.-M. Tsai Z.-M. Tsai J. Tsalamengas C.-H. Tseng T. Tsiboukis J. Tsui M. Tsutsumi S. H.-L. Tu W.-H. Tu N. Tufillaro V. Turin G. Twomey C.-K. Tzuang T. Ueda V. Urick K. U-Yen N. Uzunoglu T. Vähä-Heikkilä R. Vahldieck A. Valdovinos G. Vandenbosch K. Vanhille D. Vanhoenacker-Janvier G. Vannini L. Vardapetyan G. Vasilescu C. Vaucher J. Vaz L. Vegni G. Vendelin S. Verdeyme M. Vérez A. Verma J. Verspecht P. Vial H.-O. Vickes A. Victor L. Vietzorreck C. Vittoria S. Vitusevich R. Voelker S. Voinigescu J. Volakis A. Vorst M. Vossiek M. Vouvakis B. Vowinkel L. Vreede K. Vryssas C. Wagner B. Waldmann P. Waldow A. Walker P. Wambacq S. Wane B.-Z. Wang C. Wang C.-F. Wang C.-J. Wang E. Wang F. Wang H. Wang J. Wang K.-C. Wang N. Wang X. Wang Y. Wang Y.-H. Wang Z.-G. Wang C. Ward J. Ward W. Wattanapanitch J. Webb D. Webster R. Webster S. Wedge J. Weem X. Wei D. Weide R. Weigel R. Weikle C. Weil T. Weiland D. Weile S. Weinreb M. Weiss S. Weiss T. Weller C. Wen G. Wen S. Wentworth D. Wentzloff R. Wenzel J. Whelehan J. Whitaker J. White J. Wiart M. Wickert

A. Wiesbauer J. Wight D. Willems B. Willemsen D. Williams A. Williamson J. Wilson J. Wiltse T. Winkel K. Wise D. Wisell M. Wolf E. Wollack G. Wollenberg F. Wong K. Wong M. Wong S. Wong K. Woo J. Wood G. Woods D. Woolard C. Wu J.-M. Wu K.-L. Wu K. Wu L. Wu R.-B. Wu T. Wu T.-L. Wu R. Wylde T. Wysocki M. Xia S. Xiang J. Xiao Y. Xiao C. Xie J. Xu S. Xu Q. Xue M. Yagoub T. Yakabe A. Yakovlev K. Yamamoto K. Yamauchi W. Yan C.-L. Yang F. Yang N. Yang X. Yang Y. Yang Z. Yang F. Yanovsky H.-W. Yao J. Yao A. Yarovoy Y. Yashchyshyn K. Yashiro K. Yasumoto J. Yau S. Ye J. Yeh K.-S. Yeo S.-P. Yeo K.-W. Yeom L.-K. Yeung W.-Y. Yin X.-S. Yin S. Yngvesson D. Yongsheng D. Yoo H.-J. Yoo J.-G. Yook E. Yoon J.-B. Yoon R. York S. Yoshikado A. Young B. Young D. Young P. Young W. Young H.-K. Yu M. Yu P. Yu R. Yu W. Yu Y. Yu M. Yuan M. Yuce S.-W. Yun F. Zabini J. Zaeytijd K. Zaki P. Zampardi J. Zapata L. Zappelli C. Zelley P. Zhai C. Zhang F. Zhang G. Zhang H. Zhang J. Zhang N. Zhang Q.-J. Zhang R. Zhang Y. Zhang A.-P. Zhao Y.-J. Zhao Y. Zhao Y. Zheng Q. Zhiguo H. Zhou A. Zhu L. Zhu N.-H. Zhu X. Zhu J. Zhuang H. Zirath