[Journal] IEEE Transactions on Microwave Theory and Techniques. Vol. 64. No 8

Citation preview

AUGUST 2016

VOLUME 64

NUMBER 8

IETMAB

(ISSN 0018-9480)

MINI-SPECIAL ISSUE ON 2015 IEEE INTERNATIONAL CONFERENCE ON NUMERICAL ELECTROMAGNETIC AND MULTIPHYSICS MODELING AND OPTIMIZATION (NEMO2015) FOR RF, MICROWAVE, AND TERAHERTZ APPLICATIONS Guest Editorial .............................................................................................................. Z. Chen

2369

MINI-SPECIAL ISSUE PAPERS

Matrix-Free Time-Domain Method for General Electromagnetic Analysis in 3-D Unstructured Meshes—ModifiedBasis Formulation .......................................................................................... J. Yan and D. Jiao Modeling Lumped Sources in the Finite-Difference Time-Domain Method of the Scattered-Field Formulation ....... ............................................................................................................................ J. E. Roy The Generalized 2.5-D Finite-Element Method for Analysis of Waveguide Components .................................. ...................................................................................... G. G. Gentili, L. Accatino, and G. Bertin Mode-Matching Design of Substrate Mounted Waveguide (SMW) Components ............................................ .................................................................................. J. Schorer, J. Bornemann, and U. Rosenberg Direct Mesh-Based Model Order Reduction of PEEC Model for Quasi-Static Circuit Problems ......................... .............................................................................................................. Y. Dou and K.-L. Wu Passive Reduced Order Macromodeling Based on Loewner Matrix Interpolation ........................................... ......................................................................... M. T. Kassis, M. Kabir, Y. Q. Xiao, and R. Khazaka Multidimensional Uncertainty Quantification of Microwave/RF Networks Using Linear Regression and Optimal Design of Experiments ......................................................................... A. K. Prasad, M. Ahadi, and S. Roy Revisiting the Effect of Nickel Characteristics on High-Speed Interconnect Performance ................................. ........................................................................................................... Y. Tao and F. H. Scharf Rapid Simulation-Driven Multiobjective Design Optimization of Decomposable Compact Microwave Passives ....... ..................................................................................................... S. Koziel and A. Bekasiewicz

2371 2383 2392 2401 2409 2423 2433 2447 2454

REGULAR PAPERS

EM Theory and Analysis Techniques Rotating References for the Time-Domain Analysis of Magnetized Ferrites ................................ A. Benouatas Coupled Electromagnetic and Heat Transfer ODE Model for Microwave Heating With Temperature-Dependent Permittivity ...................................................................... J. Zhong, S. Liang, Y. Yuan, and Q. Xiong

2462 2467

(Contents Continued on Back Cover)

(Contents Continued from Front Cover) A New Linear Distorted-Wave Inversion Method for Microwave Imaging via Virtual Experiments ..................... ......................................................... L. Di Donato, R. Palmeri, G. Sorbello, T. Isernia, and L. Crocco Near-Field Orthogonality Sampling Method for Microwave Imaging: Theory and Experimental Verification .......... ˙ Akduman ................................................................................... M. N. Akıncı, M. Çayören, and I. Devices and Modeling A Design Approach for Tapered Waveguide to Substrate-Integrated Waveguide Transitions .............................. .......................................................................................................... C. Rave and A. F. Jacob On the Modeling, Characterization, and Analysis of the Current Distribution in PCB Transmission Lines With Surface Finishes ........................................... B. Curran, G. Fotheringham, C. Tschoban, I. Ndip, and K.-D. Lang An Artificial Neural Network-Based Electrothermal Model for GaN HEMTs With Dynamic Trapping Effects Consideration .............................................................. A.-D. Huang, Z. Zhong, W. Wu, and Y.-X. Guo Passive Circuits Analysis of Compact Triple-Mode Ceramic Cavity Filters Using Parallel-Coupled Resonators Approach .............. ................................................................................................ D. R. Hendry and A. M. Abbosh Quarter-Mode Cavity Filters in Substrate Integrated Waveguide Technology ................................................ ...................................................................... S. Moscato, C. Tomassoni, M. Bozzi, and L. Perregrini Reconfigurable Planar Capacitive Coupling in Substrate-Integrated Coaxial-Cavity Filters ...... A. Anand and X. Liu Ultra Compact Inline E-Plane Waveguide Bandpass Filters Using Cross Coupling ........................................ ................................................................... N. Mohottige, O. Glubokov, U. Jankovic, and D. Budimir W -Band Waveguide Filters Fabricated by Laser Micromachining and 3-D Printing ....................................... ........... X. Shang, P. Penchev, C. Guo, M. J. Lancaster, S. Dimov, Y. Dong, M. Favre, M. Billod, and E. de Rijk Compact, Low Insertion-Loss, and Wide Stopband HTS Diplexer Using Novel Coupling Diagram and Dissimilar Spiral Resonators .................................... X. Guan, F. Yang, H. Liu, Z. Ma, B. Ren, W. Huang, and P. Wen Switched Allpass-to-Bandstop Absorptive Filters With Constant Group Delay .............................................. ...................................................................................... A. C. Guyette, E. J. Naglich, and S. Shin Broadband Integrated 8 × 8 Butler Matrix Utilizing Quadrature Couplers and Schiffman Phase Shifters for Multibeam Antennas With Broadside Beam ........................................................... K. Wincza and S. Gruszczynski Hybrid and Monolithic RF Integrated Circuits A Quasi-Doherty SOI CMOS Power Amplifier With Folded Combining Transformer ..................................... ................................................................................................ K. Kim, D.-H. Lee, and S. Hong Optimized Ultralow-Power Amplifier for OOK Transmitter With Shaped Voltage Drive .................................. ..................................................................... D. Jeong, H. Lee, T. Chung, S. Lee, J. Lee, and B. Kim Two 122-GHz Phase-Locked Loops in 65-nm CMOS Technology .... N. Kim, K. Song, J. Yun, J. Yoo, and J.-S. Rieh Instrumentation and Measurement Techniques One-Port Direct/Reverse Method for Characterizing VNA Calibration Standards ........................................... ...................................................... R. A. Monsalve, A. E. E. Rogers, T. J. Mozdzen, and J. D. Bowman Extending the Characterization Bandwidth of Dynamic Nonlinear Transmitters With Application to Digital Predistortion ............. S. Bensmida, O. Hammi, A. Kwan, M. S. Sharawi, K. A. Morris, and F. M. Ghannouchi RF Systems and Applications Optimization and Design of Small Circular Coils in a Magnetically Coupled Wireless Power Transfer System in the Megahertz Frequency .................................................................... D.-H. Kim, J. Kim, and Y.-J. Park A Compact 60-GHz Wireless Power Transfer System ........................................................................... ............................... M. Nariman, F. Shirinfar, A. Papió Toda, S. Pamarti, A. Rofougaran, and F. De Flaviis Broadband Explicit Time Domain Model for Human Skin Permittivity ...................................................... ............................................................................ R. Mohammadi-Baghaee and J. Rashed-Mohassel Design of Pulse Characteristics for Near-Field UWB-SAR Imaging ......... D. Oloumi, J.-W. Ting, and K. Rambabu

2478 2489

2502 2511 2519

2529 2538 2548 2561 2572 2581 2590 2596

2605 2615 2623

2631 2640

2652 2664 2678 2684

IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society upon payment of the annual Society membership fee of $17.00, plus an annual subscription fee of $28.00 per year for electronic media only or $50.00 per year for electronic and print media. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only. ADMINISTRATIVE COMMITTEE K. W U, President A. A BUNJAILEH S. BARBIN

D. W ILLIAMS, President Elect

T. B RAZIL R. G UPTA

R. H ENDERSON W. H ONG

A. JACOB S. KOUL

J. L ASKAR G. LYONS

M. B OZZI, Secretary

M. M ADIHIAN S. PACHECO

Honorary Life Members T. I TOH R. S PARKS

G. P ONCHAK S. R AMAN

A. A BUNJAILEH , Treasurer

J. R AUTIO J. E. R AYAS -S ANCHEZ

S. R EISING M. S ALAZAR -PALMA

A. S ANADA D. S CHREURS

Distinguished Lecturers

P. S TAECKER

C. C AMPBELL R. H. C AVERLY G. C HATTOPADHYAY J.-C. C HIAO

T.-W. H UANG M. JARRAHI J. J. KOMIAK S. KOUL

A. M ORTAZAWI T. NAGATSUMA J. C. P EDRO L. P IERANTONI

M. S TEER

Past Presidents P. ROBLIN D. S CHREURS N. S HINOHARA

A. S TELZER J. W OOD H. Z IRATH

T. L EE (2015) R. W EIGEL (2014) M. G UPTA (2013)

MTT-S Chapter Chairs Albuquerque: E. FARR Argentina: A. M. H ENZE Atlanta: K. NAISHADHAM Austria: A. S PRINGER Baltimore: I. A HMAD Bangalore/India: K. V INOY Beijing: Z. F ENG Belarus: S. M ALYSHEV Benelux: G. VANDENBOSCH Boston: C. G ALBRAITH Bombay/India: M. V. P ITKE Brasilia: J. B EZERRA/ M. V INICIUS A LVES N UNES Buenaventura: C. S EABURY Buffalo: M. R. G ILLETTE Bulgaria: K. A SPARUHOVA Canada, Atlantic: Z. C HEN Cedar Rapids/Central Iowa: C. G. X IE Central & South Italy: L. TARRICONE Central No. Carolina: Z. X IE Central Texas: J. P RUITT Centro-Norte Brasil: M. V. A LVES N UNES Chengdu: Z. N EI Chicago: D. E RRICOLO Cleveland: M. S CARDELLETTI Columbus: A. O’B RIEN Connecticut: C. B LAIR Croatia: D. B ONEFACIC Czech/Slovakia: J. VOVES Dallas: R. S ANTHAKUMAR Dayton: A. T ERZUOLI Delhi/India: A. BASU

Denver: M. JANEZIC Eastern No. Carolina: T. N ICHOLS Egypt: E. H ASHEESH Finland: V. V IIKARI Florida West Coast: J. WANG Foothills: M. C HERUBIN France: D. BAJON Germany: G. B OECK Greece: R. M AKRI Gujarat/India: S. C HAKRABARTY Harbin: Q. W U Hawaii: K. M IYASHIRO Hong Kong: H. W ONG Houston: S. A. L ONG Houston, College Station: G. H. H UFF Hungary: L. NAGY Huntsville: H. S CHANTZ Hyderabad/India: S. R. N OOKALA India: D. B HATNAGER India/Kolkata: S. S ANKARALINGAM Indonesia: E. T. R AHARDJO Israel: S. AUSTER Japan: N. S UEMATSU Kansai: T. I SHIZAKI Kingston: S. P ODILCHAK Kitchener-Waterloo: R. R. M ANSOUR Lebanon: E. NASSAR Lithuania: B. L EVITAS Long Island/New York: S. PADMANABHAN Los Angeles, Coastal: V. R ADISIC Los Angeles, Metro/San Fernando: T. C ISCO

Macau: C. C. P ONG Madras/India: S. S ALIVAHANAN Malaysia: M. K. M. S ALLEH Malaysia, Penang: B. L. LIM Melbourne: R. B OTSFORD Mexican Council: R. M. RODRIGUEZ -DAGNINO Milwaukee: S. G. J OSHI Monterrey/Mexico: R. M. RODRIGUEZ -DAGNINO Morocco: M. E SSAAIDI Montreal: K. W U Morocco: M. E SSAAIDI Nagoya: J. BAE Nanjing: W. H ONG Nanjing, Hangzhou: L. S UN New Hampshire: E. H. S CHENK New Jersey Coast: J. S INSKY New South Wales: Y. R ANGA New Zealand: A. W ILLIAMSON North Italy: G. O LIVERI North Jersey: A. K. P ODDAR Northern Australia: J. M AZIERSKA Northern Canada: M. DANESHMAN Northern Nevada: B. S. R AWAT Norway: M. U BOSTAD Orange County: H. J. DE L OS S ANTOS Oregon: K. M AYS Orlando: K. K ARNATI Ottawa: Q. Z ENG Philadelphia: A. S. DARYOUSH Phoenix: S. ROCKWELL

D OMINIQUE S CHREURS KU Leuven B-3001 Leuven, Belgium

Editorial Assistants M ARCIA H ENSLEY USA

Sweden: A. RYDBERG Switzerland: M. M ATTES Syracuse: D. M C P HERSON Taegu: Y.-H. J EONG Tainan: H.-H. C HEN Taipei: C. M ENG Thailand: C. P HONGCHAROENPANICH Toronto: G. V. E LEFTHERIADES Tucson: H. X IN Tunisia: A. G HARSALLAH Turkey: B. S AKA Twin Cities: C. F ULLER UK/RI: A. R EZAZADEH Ukraine, East: N. K. S AKHNENKO Ukraine, Kiev: Y. P ROKOPENKO Ukraine, Rep. of Georgia: K. TAVZARASHVILI Ukraine, Vinnitsya: V. M. D UBOVOY Ukraine, West: I. I VASENKO United Arab Emirates: N. K. M ALLAT Uttar Pradesh/India: M. J. A KHTAR Vancouver: S. M C C LAIN Venezuela: J. B. P ENA Victoria: K. G HORBANI Virginia Mountain: T. A. W INSLOW Washington DC/Northern Virginia: T. I VANOV Western Saudi Arabia: A. S HAMIM Winnipeg: P. M OJABI Xian: X. S HI

Associate Editors

Editors-In-Chief J ENSHAN L IN Univ. of Florida Gainesville, FL 32611-6130 USA

Pikes Peak: K. H U Poland: W. J. K RZYSZTOFIK Portugal: J. C ALDINHAS VAZ Princeton/Central Jersey: W. C URTICE Queensland: K. B IALKOWSKI Rio de Janeiro: J. R. B ERGMANN Rochester: M. S IDLEY Romania: T. P ETRESCU Russia, Moscow: V. A. K ALOSHIN Russia, Nizhny-Novgorad: G. L. PAKHOMOV Russia, Novosibirsk: A. YAROSLAVTSEV Russia, Saratov/Penza: M. D. P ROKHOROV Russia, Saint Petersburg: S. P. Z UBKO Russia, Siberia: V. V. S UHOTIN Russia, Tomsk: D. Z YKOV San Diego: J. T WOMEY Santa Clara Valley/San Francisco: N. S HAMS Seattle: S. E BADI Seoul: C. S EO Serbia and Montenegro: B. M ILOVANOVI C´ Shanghai: J. M AO Singapore: Z. YANG South Africa: A. LYSKO South Australia: T. K AUFMANN South Brazil: J. R. B ERGMANN Southeastern Michigan: T. O ZDEMIR Southern Alberta: E. F EAR Spain: J. I. A LONSO Springfield: P. R. S IQUEIRA Sri Lanka: A. U. A. W. G UNAWARDENA St. Louis: D. BARBOUR

N UNO B ORGES C ARVALHO Universidade de Aveiro Aveiro, Portugal

X. C HEN Nat. Univ. Singapore Singapore

K AMRAN G HORBANI RMIT Univ. Melbourne, Vic., Australia

J ON M ARTENS Anritsu Morgan Hill, CA USA

O LGA B ORIC -L UBECKE Univ. of Hawaii at Manoa Manoa, HI USA

J.-C. C HIAO Univ. of Texas at Arlington Arlington, TX USA

ROBERTO G OMEZ -G ARCIA Univ. Alcala Madrid, Spain

F RANCISCO M ESA Universidad de Sevilla Seville, Spain

JAMES F. B UCKWALTER Univ. of California at Santa Barbara Santa Barbara, CA USA

A LESSANDRA C OSTANZO Univ. Bologna Bologna, Italy

J IASHENG H ONG Heriot-Watt Univ. Edinburgh, UK

L UCA P ERREGRINI Univ. of Pavia Pavia, Italy

E NAS K ANDIL Belgium

S HENG -F UH R. C HANG Nat. Chung Cheng Univ. Chiayi County, Taiwan A. R IDDLE, Editor-in-Chief, IEEE Microwave Magazine N. S. BARKER, Editor-in-Chief, IEEE Microwave and Wireless Component Letters BARRY L. S HOOP, President K AREN BARTLESON, President-Elect PARVIZ FAMOURI, Secretary J ERRY L. H UDGINS, Treasurer H OWARD E. M ICHEL, Past President

G ILLES DAMBRINE Univ. of Lille Lille, France

T.-W. H UANG C ARLOS S AAVEDRA Nat. Taiwan Univ. Queen’s Univ. Taipei, Taiwan Kingston, ON, Canada J. S TAKE, Editor-in-Chief, IEEE Trans. Terahertz Science and Technology R. M IYAMOTO, Web Master

IEEE Officers

S. K. R AMESH, Vice President, Educational Activities S HEILA S. H EMAMI, Vice President, Publication Services and Products WAI -C HOONG W ONG, Vice President, Member and Geographic Activities B RUCE P. K RAEMER, President, Standards Association J OSE M. F. M OURA, Vice President, Technical Activities P ETER A LAN E CKSTEIN, President, IEEE-USA W ILLIAM W. M OSES, Director, Division IV—Electromagnetics and Radiation

IEEE Executive Staff D R . E. JAMES P RENDERGAST, T HOMAS S IEGERT, Business Administration J ULIE E VE C OZIN, Corporate Governance D ONNA H OURICAN, Corporate Strategy JAMIE M OESCH, Educational Activities E ILEEN M. L ACH, General Counsel & Chief Compliance Officer S HANNON J OHNSTON, Human Resources C HRIS B RANTLEY, IEEE-USA

Executive Director & Chief Operating Officer C HERIF A MIRAT, Information Technology K AREN H AWKINS, Marketing C ECELIA JANKOWSKI, Member and Geographic Activities M ICHAEL F ORSTER, Publications KONSTANTINOS K ARACHALIOS, Standards Association M ARY WARD -C ALLAN, Technical Activities

IEEE Periodicals Transactions/Journals Department

Senior Director, Publishing Operations: F RAN Z APPULLA Director, Editorial Services: DAWN M ELLEY Director, Production Services: P ETER M. T UOHY Associate Director, Editorial Services: W ILLIAM A. C OLACCHIO Associate Director, Information Conversion and Editorial Support: K EVIN L ISANKIE Managing Editor: M ONA M ITTRA Senior Editor: C HRISTINA M. R EZES IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, Piscataway, NJ 08854-4141. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $167.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee of $31.00 is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, c 2016 by The Institute of Electrical and Electronics Engineers, Inc. write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, Piscataway, NJ 08854-4141. Copyright  All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES, IEEE, 445 Hoes Lane, Piscataway, NJ 08854-4141. GST Registration No. 125634188. CPC Sales Agreement #40013087. Return undeliverable Canada addresses to: Pitney Bowes IMEX, P.O. Box 4332, Stanton Rd., Toronto, ON M5W 3J4, Canada. IEEE prohibits discrimination, harassment and bullying. For more information visit http://www.ieee.org/nondiscrimination. Printed in U.S.A.

Digital Object Identifier 10.1109/TMTT.2016.2586979

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

2369

Guest Editorial Mini-Special Issue on the 2015 IEEE International Conference on Numerical Electromagnetic and Multiphysics Modeling and Optimization (NEMO2015) for RF, Microwave, and Terahertz Applications

E

LECTROMAGNETICS lay the foundation of electronic, electrical, and computer science technology. Without it, we would not have electric power, cars, radios, cellular phones, computers, and many more technologies that we take for granted; our world would be totally different and our professions in electrical and computer engineering would not exist. Human beings have long known about electromagnetism and early devices include the compass and signaling fire lights. A beautiful theory of the electromagnetic field was put forward by James Clerk Maxwell and later reformulated by Oliver Heaviside and Heinrich Hertz, all of them being among the 19th century greatest physicists and mathematicians. Today, when we deal with electromagnetic problems that embody power, radio, microwave, terahertz, and optical applications, we have to solve Maxwell’s equations, although approximate models of Maxwell’s equations, circuits and lump elements, are often used, especially at low frequencies. Maxwell’s equations can be very challenging and difficult to solve for irregular boundaries and materials of practical problems. As a result, numerical methods have been employed, and remarkable progress has been made over the last three decades towards accurate numerical solutions of Maxwell’s equations for modeling antennas, RF/microwave circuits, and optical structures. A number of electromagnetic simulation and optimization software packages have since been developed, thanks to the rapid advance in computer technology. Simulation and computer-aided design software packages have become indispensable in modern research and industrial design. The theory and applications of numerical modeling and optimization of electromagnetic structures have advanced so much that today’s entry barrier into the research area and the acceptance requirements for publication are significantly higher than those three decades ago. In particular, the modeling of the interaction of the electromagnetic field with other physical effects (thermal, mechanical, chemical, quantum, etc.) has been introduced for more accurate simulations of realistic problems. In support of these developments,

the IEEE Microwave Theory and Techniques Society has launched and organized the annual international conference on numerical electromagnetic and multiphysics modeling and optimization (NEMO) for RF, microwave, and terahertz applications. The intention of the conference series is to create a focused platform that brings together experts and practitioners to share new ideas on techniques for electromagnetic and multiphysics modeling, propose efficient design algorithms and tools, and anticipate the needs of modeling/analysis of future technologies and applications. This T RANSACTIONS ’ Mini-Special Issue is dedicated to NEMO2015, which was held in Ottawa, ON, the beautiful capital of Canada, in August 2015. Nine papers were accepted for this Mini-Special Issue; these nine papers were selected, after a very rigorous review process, out of 34 high-quality submissions expanded from their NEMO 2015 conference papers. Other accepted papers, which require longer revision time, will be published in subsequent regular issues. This Mini-Special Issue’s papers take us on a journey through electromagnetic modeling and optimization from RF, microwave to terahertz, and optics. In our view, with the advance of computer technology, the numerical modeling of electromagnetic and multiphysics structures will continue to be an essential part of the research and development in electrical and computer engineering. This Mini-Special Issue is intended to promote this line of research to inspire new ideas and to promote it among the younger generation of scientists and engineers. Finally, we would like to thank Editors-in-Chief Prof. Dominique Schreurs and Prof. Jenshan Lin for their editorial instructions and guidance and former Associate Editor Prof. Natalia Nikolova for her help and timely support. Z HIZHANG C HEN, Guest Editor Department of Electrical and Computer Engineering Dalhousie University Halifax, NS, Canada NS B3H 4R2

_____________________ Digital Object Identifier 10.1109/TMTT.2016.2586578 0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

2370

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

Zhizhang (David) Chen (S’92–M’92–SM’96–F’10) received the master’s degree in radio engineering from Southeast University, Nanjing, China, and the Ph.D. degree in electrical engineering from the University of Ottawa, Ottawa, ON, Canada. He was an NSERC Post-Doctoral Fellow with McGill University, Montreal, QC, Canada. He is currently a Professor with Dalhousie University, Halifax, NS, Canada, where he has served as the Head of the Department of Electrical and Computer Engineering. He has been an Adjunct Professor or a Visiting Professor with The University of Nottingham, Nottingham, U.K., the École Nationale Supérieure des Télécommunications de Bretagne, Plouzané, France, Shanghai Jiaotong University, Shanghai, China, Beihang University, Beijing, China, Fuzhou University, Fuzhou, China, and the University of Electronic Science and Technology of China, Chengdu, China. He was one of the originators of the unconditionally stable method that has been highly cited and used. He has authored or co-authored over 300 journal and conference papers in computational electromagnetics, RF/microwave electronics, antennas, and wireless technologies. His current research interests include unconditionally stable electromagnetic modeling techniques, ultra-wideband wireless communication systems, and wireless power transfer. Prof. Chen is a Fellow of the Canadian Academy of Engineering and the Engineering Institute of Canada. He was a recipient of the 2005 Nova Scotia Engineering Award, the 2006 Dalhousie Graduate Teaching Award, the 2007 Dalhousie Faculty of Engineering Research Award, and the 2013 IEEE Canada Fessenden Medal.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

2371

Matrix-Free Time-Domain Method for General Electromagnetic Analysis in 3-D Unstructured Meshes—Modified-Basis Formulation Jin Yan, Graduate Student Member, IEEE, and Dan Jiao, Fellow, IEEE Abstract— We develop a new matrix-free time-domain method, which requires no matrix solution, in unstructured meshes for general 3-D electromagnetic analysis. The method handles arbitrary unstructured meshes with the same ease as a finite-element method. Meanwhile, it is free of matrix solutions manifested by a naturally diagonal mass matrix, just like a finite-difference timedomain method. Different from our previous formulation where traditional curl-conforming vector bases are employed, modified vector bases are developed in this paper to directly connect the unknown coefficients of the vector basis functions employed to represent E (or H) with the unknowns obtained from the curl of H (or E), without any need for transformation. The proposed method employs only a single mesh. It does not require any interpolation and projection to obtain one field unknown from the other. Its accuracy and stability are guaranteed theoretically. Numerous experiments on unstructured triangular prism and tetrahedral meshes, involving both homogeneous and inhomogeneous and lossy materials, demonstrate the generality, accuracy, stability, and computational efficiency of the proposed method. The modified higher order vector bases developed in this paper can also be used in any other method that employs higher order bases to obtain an explicit relationship between unknown fields and unknown coefficients of vector bases. Index Terms— Electromagnetic analysis, finite-difference time-domain (FDTD) method, higher order vector basis, matrixfree method, time-domain finite-element method (TDFEM), time-domain method, unstructured mesh.

I. I NTRODUCTION

A

MONG time-domain methods for solving electromagnetic problems, the finite-difference timedomain (FDTD) method [1], [2] has its merits in being simple and free of a system matrix solution (matrix-free). However, it has been difficult to extend the FDTD to arbitrary unstructured meshes with theoretically guaranteed accuracy and stability. Dual mesh is, in general, required in nonorthogonal FDTD methods [3]–[15]. Such a dual mesh is not straightforward

Manuscript received November 1, 2015; revised May 2, 2016 and June 13, 2016; accepted June 16, 2016. Date of publication July 19, 2016; date of current version August 4, 2016. This work was supported by the National Science Foundation (NSF) under Grant 1619062 and Grant 1065318, and by the Defense Advanced Research Projects Agency (DARPA) under Award HR0011-14-1-0057. An earlier version of this paper was presented at the IEEE MTT-S International Conference on Numerical Electromagnetic and Multiphysics Modeling and Optimization (NEMO 2015), Ottawa, ON, Canada, August 2015. The authors are with the School of Electrical and Computer Engineering, Purdue University, West Lafayette, IN 47907 USA (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2584047

to construct for a primary mesh that must capture arbitrarily shaped material discontinuities in 3-D settings. In an arbitrary unstructured mesh, the dual mesh may not even exist. In addition, in the existing nonorthogonal FDTD schemes, both primary and dual field unknowns are placed along the edges of the mesh, and assumed to be constant. From such a discretization of field unknowns, the dual fields obtained from the primary ones are only second-order accurate at the center point of the primary-field loop, and along the direction perpendicular to the area of this loop. Elsewhere and/or along other directions, the dual fields do not have second-order accuracy. However, in a general unstructured mesh, the points and directions, where the dual field unknowns can be accurately obtained, are not coincident with the points and directions where the dual field unknowns are located. As a result, we observe that interpolation and projection are employed to obtain one field from the other field. However, the accuracy of the resultant scheme is not guaranteed in an irregular mesh. Meanwhile, the interpolation and projection techniques can also negatively affect the stability of the time marching, since they have changed the way the curl operators are discretized. In addition, the curl operator for E, in general, cannot be made reciprocal to that for H in an unstructured mesh. This results in an unsymmetrical curl–curl operator. Such an operator can support complex-valued and even negative eigenvalues. The resultant explicit time marching can be proved to be absolutely unstable [11], [16]. The time-domain finite-element method (TDFEM) [17] has great flexibility in dealing with any unstructured mesh, but it is not matrix-free, requiring the solution of a mass matrix. Mass lumping is known to be error prone. Orthogonal vector bases have been developed [18], [19]. However, an approximate integration rule is utilized to diagonalize the mass matrix. In the discontinuous Galerkin time-domain methods [20], [21], small local matrices are solved. However, this is because the field’s tangential continuity is not enforced at the same time instant. Instead, the flux is communicated between adjacent elements. Building local matrices of small sizes is equivalent to moving the crosstalk terms in the original system matrix to the right-hand side of the system matrix equation, which can also be viewed as an iterative solution of the original matrix equation. The accuracy and convergence of such a solution in time domain are dependent on the problems being simulated and the time step used. In this paper, we develop a new matrix-free time-domain method for arbitrary unstructured meshes. This method

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

2372

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

handles arbitrary unstructured meshes with the same ease as an FEM. Meanwhile, advantageous to the FEM, this new timedomain method produces a naturally diagonal mass matrix regardless of the element shape used for discretization. Hence, it facilitates a matrix-free solution of Maxwell’s equations. Different from the existing nonorthogonal FDTD methods, the new method does not involve the use of dual mesh, interpolation, and projection. It employs only a single mesh. Furthermore, the electric field unknowns obtained from the discretized magnetic fields are exactly the same as the electric field unknowns used in the discretization of Faraday’s law. Similarly, the magnetic field unknowns obtained from the discretized electric fields are exactly equal to the magnetic field unknowns used in the discretization of Ampere’s law, without any need for interpolation and projection. Such an algorithm ensures the accuracy of the resulting discretization of Maxwell’s equations. Moreover, the tangential continuity of the fields is enforced across element interfaces. In addition, the new method overcomes the absolute instability of an explicit method when simulating an unsymmetrical operator whose eigenvalues are complex and even negative. Such an operator is often unavoidable in an unstructured mesh. Higher order accuracy can also be flexibly achieved in the proposed method for both E and H fields. In our NEMO conference paper [22], we present detailed 2-D formulations of a matrix-free time-domain method in unstructured meshes, which are not given in [23]. In [16] and [24], we provide a 3-D formulation based on traditional vector basis functions. In this paper, based on our preliminary work reported in conference papers [25], [26], we develop a new 3-D matrix-free formulation by constructing a set of new vector bases modified from the original bases. As a result, the electric field unknowns obtained from the discretization of Ampere’s law are made the same as the unknown coefficients of the vector basis functions used to expand E in Faraday’s law. Hence, the transformation used in [16] is avoided between the two sets of unknowns. The discretized Faraday’s law is thereby directly connected to the discretized Ampere’s law without any need for transformation. This saves the computational cost in generating the transformation matrix and its related computation. Numerous numerical experiments have been conducted on a variety of 3-D unstructured meshes, for both homogeneous and inhomogeneous as well as lossy problems. Comparisons with analytical solutions and the results obtained from the TDFEM as well as our previous matrix-free formulation [16] have validated the proposed new matrix-free method. II. P ROPOSED M ETHOD Considering a general 3-D problem meshed into arbitrarily shaped elements, which can even be a mix of different shapes of elements, we start from the differential form of Faraday’s law and Ampere’s law ∂H ∂t ∂E ∇×H =  + σE + J ∂t ∇ × E = −μ

(1) (2)

Fig. 1.

Illustration of magnetic field points and directions for obtaining ei .

we pursue a discretization of the two equations in time domain, such that the resultant numerical system is free of matrix solutions. A. Discretization of Faraday’s Law In each element, we expand E by vector bases N j ( j = 1, 2, . . . , m), obtaining E=

m 

u jNj

(3)

j =1

where u j is the j th basis’s unknown coefficient. Substituting (3) into (1) to evaluate H at rhi point and along the hˆ i direction, with i = 1, 2, . . . , Nh , we have ∂{h} (4) Se {u} = −diag({μ}) ∂t where the i th entry of vector {h} is h i = H(rhi ) · hˆ i

(5)

{u} is of length Ne consisting of all u j coefficients, diag({μ}) is a diagonal matrix of permeability, and Se is a sparse matrix having the following entry: Se,i j = hˆ i · {∇ × N j }(rhi ).

(6)

Apparently, we have an infinite number of choices of H points and directions to build (4). However, to ensure the accuracy of the overall scheme which involves the discretization of not only Faraday’s law but also Ampere’s law, we should select the H points and directions in such a way that the resultant H fields can, in turn, generate desired E accurately. Although there are many choices to do so, the simplest choice is to choose a rectangular loop centering the E unknown and perpendicular to it, as shown in Fig. 1. Then, along this loop, we select the midpoint of each side as H point, and the unit vector tangential to each side as the H’s direction. The H fields obtained at these points and along these directions can certainly ensure the accuracy of E when we discretize Ampere’s law. In addition, regardless of the element shape, there is no difficulty to define such a rectangular loop for each E unknown. B. Discretization of Ampere’s Law From Ampere’s law, by evaluating E at rei point and along the eˆi direction (i = 1, 2, . . . , Ne ), respectively, we obtain ∂ei + σ (rei )ei + eˆi · J(rei ) (7) eˆi · {∇ × H}(rei ) = (rei ) ∂t

YAN AND JIAO: MATRIX-FREE TIME-DOMAIN METHOD FOR GENERAL ELECTROMAGNETIC ANALYSIS

2373

in which ei = E(rei ) · eˆi .

(8)

Based on the choice of H points and directions shown in Fig. 1, the eˆi · ∇ × H in (7) can be discretized accurately as eˆi · {∇ × H}(rei ) = (h m1 + h m2 )/lim + (h n1 + h n2 )/lin

(9)

where lim is the distance between h m1 and h m2 , while lin is the distance between h n1 and h n2 , as shown in Fig. 1. With (9), (7) can be rewritten as ∂{e} + diag ({σ }) {e} + { j } (10) Sh {h} = diag ({}) ∂t where { j }’s entries are eˆi · J(rei ), and diag({}) and diag({σ }) are diagonal matrices whose entries are permittivity, and conductivity, respectively. Matrix Sh is the sparse of size Ne × Nh , each row of which has four nonzero entries only being Sh,i j = 1/li j

(11)

where j is the global index of the H unknown used to generate ei , and li j is simply the distance between the E point (rei ) and the H point (rh j ) multiplied by two. C. Formulation of Modified Vector Basis Functions Can we use zeroth-order vector basis functions in (3)? The answer is negative. This is because they produce a constant H field in each element. As a result, they fail to accurately generate the H fields at an arbitrary point along an arbitrary direction, and thereby at the points and along the directions desired for generating accurate E. For example, the H fields desired at the points along the directions shown in Fig. 1 cannot be accurately obtained from zeroth-order vector basis functions. Hence, we propose to use higher order vector bases. However, they need modifications to satisfy {u} = {e}

(12)

to connect (10) with (4) directly. As shown in (3), {u} is the vector containing all the unknown coefficients of the vector basis functions; while {e} is the vector of discretized electric fields, as shown in (8). They may not be the same. If we use the normalized zeroth-order vector bases, {u} = E(rei ) · eˆi , and therefore, (12) is satisfied. However, higher order curlconforming bases [27] do not completely satisfy this property. In [16], we do not modify the original higher order vector bases. Instead, we find the relationship between {e} and {u}, which is {e} = P{u}, where P is a block diagonal matrix. We then use this relationship to connect (10) with (4). In this paper, we show by developing a set of modified higher order vector bases, we can make {u} equal to {e}, and hence bypassing the need for transformation. This saves the computational cost of generating the transformation matrix P and its related computation. To see the point why higher order curl-conforming bases do not satisfy (12) more clearly, we can substitute (3) into ei = E(rei ) · eˆi , obtaining ei =

m  j =1

u j N j (rei ) · eˆi .

(13)

Fig. 2. Illustration of the first-order curl-conforming vector bases in a tetrahedral element.

Obviously, for (12) to hold true, it is required that N j (rei ) · eˆi = δ j i .

(14)

In other words, the j th vector basis’s projection should be zero onto the direction and at the point associated with the i th vector basis’s degree of freedom. This property is naturally satisfied by edge vector basis functions. To explain, along any edge, the unit vector associated with the vector basis defined on this edge is tangential to the edge. Hence, (14) is naturally satisfied, since it is how the curl-conforming vector bases ensure the tangential continuity of the fields at the element interface. However, in higher order vector bases, there also exist face vector basis functions and basis functions defined internal to the element. They, in general, do not satisfy the property of (14). Take the face vector bases as an example, their degrees of freedom are tangential to the face. However, each pair of the face vector bases is defined at the same point, and their directions are not perpendicular to each other. Hence, they do not satisfy the property of (14), and thus require modifications. Since first-order bases are sufficient for use in terms of generating second-order accuracy in the proposed method, next, we will use this set of bases as an example to show how to modify them. However, the essential idea applies to other higher order bases. In a tetrahedral element, there are 20 first-order vector bases [27]. Among them, 12 bases are edge vector basis functions, as shown in Fig. 2. They are defined as N1 = (3ξ2 − 1)W21 N2 = (3ξ1 − 1)W21 N3 = (3ξ1 − 1)W13 N4 = (3ξ3 − 1)W13 N5 = (3ξ4 − 1)W41 N6 = (3ξ1 − 1)W41 N7 = (3ξ3 − 1)W32 N8 = (3ξ2 − 1)W32 N9 = (3ξ2 − 1)W24 N10 = (3ξ4 − 1)W24 N11 = (3ξ4 − 1)W43 N12 = (3ξ3 − 1)W43

(15)

where ξi (i = 1, 2, 3, 4) are the volume coordinates at four vertices, and Wi j denotes the zeroth-order basis associated with the edge connecting vertex i to vertex j . Basically, along each edge, there are two degrees of freedom of the vector bases, located at the points rei whose distance is

2374

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

respectively 1/3, and 2/3 edge length to any one of the two nodes forming the edge. eˆi associated with each edge basis is simply the unit tangential vector of the edge where the basis is defined. The 12 edge bases satisfy the property of (14). However, the other eight vector bases defined on the four faces of the tetrahedron do not satisfy the property of (14). These eight face bases can be written as N13 = 4.5ξ2 W43 N14 = 4.5ξ3 W24 N15 = 4.5ξ3 W41 N16 = 4.5ξ4 W13 N17 = 4.5ξ4 W21 N18 = 4.5ξ1 W24 N19 = 4.5ξ1 W32 N20 = 4.5ξ2 W13 .

(16)

The locations rei (i = 13, 14, . . . , 20) and corresponding unit vectors eˆi associated with the eight face vector bases are eˆ13 = tˆ43 r13 eˆ14 = tˆ24 r14 eˆ15 = tˆ41 r15 eˆ16 = tˆ13 r16 eˆ17 = tˆ21 r17

= (ξ2 = ξ3 = ξ4 = 1/3, ξ1 = 0) = (ξ2 = ξ3 = ξ4 = 1/3, ξ1 = 0) = (ξ1 = ξ3 = ξ4 = 1/3, ξ2 = 0) = (ξ1 = ξ3 = ξ4 = 1/3, ξ2 = 0) = (ξ1 = ξ2 = ξ4 = 1/3, ξ3 = 0)

eˆ18 = tˆ24 r18 = (ξ1 = ξ2 = ξ4 = 1/3, ξ3 = 0) eˆ19 = tˆ32 r19 = (ξ1 = ξ2 = ξ3 = 1/3, ξ4 = 0) eˆ20 = tˆ13 r20 = (ξ1 = ξ2 = ξ3 = 1/3, ξ4 = 0)

(17)

in which tˆi j stands for a unit tangential vector along the edge connecting vertex i to vertex j . As can be seen, at the center of each face, there are two vector bases defined. Obviously, they do not satisfy the property of (14). For example, N19 (r20 ) · eˆ20 is not zero. This is because at the center point of the face formed by nodes 1–3, N19 is not perpendicular to eˆ20 whose direction is along the edge connecting vertices 1–3. If we rewrite (13) as {e} = P{u}.

(18)

P matrix obviously has the following entries: Pi j = N j (rei ) · eˆi .

(19)

As shown in [16], with the first-order vector bases, P is block diagonal whose diagonal block dimension is either one or two. The diagonal block of size two corresponds to the two vector bases on each face, while each edge basis only corresponds to one diagonal entry, which is 1, in P. Next, we show how to modify the face bases to make P an identity matrix. Since the two face vector bases are defined at the same point, a linear combination of the two also makes a valid basis. The definitions of the face bases are hence not unique, which is also shown in [27]. We can modify them. To do so, we keep one face vector basis intact, but revise the other one. For a face having vertices i , j , and k, the two face bases we develop are N f1 = 4.5ξi W j k eˆ f1 = tˆj k nˆ f × W j k N f2 = cξ j ξk ∇ξi eˆ f2 = ||nˆ f × W j k ||

(20) (21)

and for both face bases, their degrees of freedom are located at the face center, and hence, r f1 = r f2 = (ξi = ξ j = ξk = 1/3).

(22)

Clearly, N f1 in (20) is kept the same as before. It is the second face basis N f2 that is changed. In (20), ξi denotes the volume coordinate associated with node i , W j k is the normalized zeroth-order edge basis with the subscripts denoting the two nodes of an edge, unit vector tˆj k points from node j to k, c is the normalization coefficient making N f2 · eˆ f2 = 1 at the face center, and unit vector nˆ f is normal to the face. With the aforementioned modification, the revised firstorder bases are equally complete, and meanwhile satisfying the desired property of (14). To see this point more clearly, now, we have N f1 (r f2 ) · eˆ f2 = 0 N f2 (r f 1 ) · eˆ f1 = 0.

(23)

The second row in the above holds true, because ∇ξi is perpendicular to tˆj k . As a result, the original nonzero off-diagonal terms in P become zero. In addition to satisfying (23), we also have to ensure that the modified second face basis does not bring any new change to the original P, i.e., changing the original zeros in P to nonzeros. If this happens, then the new bases defined in (20) cannot achieve the goal of making (12) true. This can be examined by evaluating the entries residing in the column and the row in P corresponding to the second new face basis, as other rows and columns are not affected. Essentially, we have to assess the following entries to see whether they are zero: P f2 ,i = N f2 (rei ) · eˆi (i = f2 ) Pi, f2 = Ni (r f2 ) · eˆ f2 (i = f 2 ).

(24)

The entries of P f 2 ,i = N f 2 (rei ) · eˆi reside on the row corresponding to the second face basis in P. When rei and eˆi correspond to an edge basis, N f2 = 0 since ξ j ξk = 0 on all edges except for the edge connecting j to k. On this edge, N f2 is perpendicular to the edge, and hence, N f2 (rei ) · eˆi also vanishes. When rei and eˆi belong to a face basis, N f 2 = 0 since ξ j ξk = 0 on all faces except for the two faces sharing edge connecting j to k. On the same face where N f 2 is defined, as shown in (23), the corresponding P term is zero. On the other face, N f2 is not zero; however, N f2 is perpendicular to this face since it is along the direction of ∇ξi . As a result, N f2 (rei ) · eˆi also vanishes. In summary, the modified new face basis preserves the original zeros in the row of this basis in P, while vanishing the original nonzero entry in this row. As for the entries of Pi, f2 = Ni (r f2 ) · eˆ f2 , they are located in the column corresponding to the second face basis in P. If basis i is an edge basis, it is zero at the center points of three of the four faces and perpendicular to the fourth face. Hence, Pi, f2 = 0. If basis i is a face basis, it can be either the first face basis or the second face basis. If it is the first face basis, based on its expression shown in (20), among the other three faces where it is not located, it is zero on one of the three faces, and perpendicular to the rest two. Hence, Pi, f2 = 0 if i -basis does not belong to the face where f 2 -basis is defined. If i -basis and f 2 -basis belong to the same face, from (23), Pi, f2 is also zero. If the basis i is the second face basis, among the other three faces where it is not located, it is zero on two of the three faces, and perpendicular to the

YAN AND JIAO: MATRIX-FREE TIME-DOMAIN METHOD FOR GENERAL ELECTROMAGNETIC ANALYSIS

rest one. Hence, Pi, f2 is also zero. As a result, the new change of the second face basis also preserves the original zeros in the column corresponding to the second face basis in P, while vanishing the original nonzero entry in this column. Based on (20), the complete set of modified face bases and their projection directions, in accordance with the notations of (16), can be written as follows: (nˆ 234 × W43 ) ||nˆ 234 × W43 || (nˆ 134 × W41 ) = ||nˆ 134 × W41 || (nˆ 124 × W21 ) = ||nˆ 124 × W21 || (nˆ 123 × W32 ) = ||nˆ 123 × W32 ||

combined to solve as the following: 

 σ  ∂{e} 1 ∂{ j } ∂ 2 {e} + diag + S{e} = −diag ∂t 2  ∂t  ∂t (28) where

N14 = c14 ξ3 ξ4 ∇ξ2 eˆ14 = N16 = c16 ξ1 ξ4 ∇ξ3 eˆ16 N18 = c18 ξ1 ξ2 ∇ξ4 eˆ18 N20 = c20 ξ2 ξ3 ∇ξ1 eˆ20

(25)

where nˆ i j k denotes a unit vector normal to the face formed by vertices i , j , and k. The basic idea of the aforementioned approach to make eˆi · N j (rei ) = δi j satisfied is to choose appropriate basis and projection directions of the second basis, when encountering a pair of bases defined at the same point. The projection direction of the second basis is chosen perpendicular to the first basis at the point where the second basis’s degree of the freedom is located. Meanwhile, the basis direction of the second basis is chosen to be perpendicular to the projection direction of the first basis. The essential idea of this approach is equally applicable to higher order bases in other types of elements such as the triangular prism elements. In a triangular prism element, there are 36 first-order bases. Among them, the three pairs of bases associated with the center of the upper face, the prism center, and the center of the lower face do not satisfy (14), while other bases satisfy. Similar to the treatment in a tetrahedron element, for the three sets, we keep the first basis, but modify the second basis. For the top face formed by nodes 1–3, we construct the following two bases and their projection directions: N f 1 = 4.5ξ1 ζ1 (2ζ1 − 1)W23 eˆ f1 = tˆ23 (nˆ f × W23 ) N f2 = cξ2 ξ3 ζ1 (2ζ1 − 1)∇ξ1 eˆ f 2 = ||nˆ f × W23 ||

(26)

D. Matrix-Free Time Marching With {u} = {e}, we can solve (4) and (10) in a leapfrog way, which requires no matrix solutions. The two can also be





1 1 Sh diag Se . S = diag  μ

(29)

Obviously, the matrices in front of the second- and firstorder time derivatives are both diagonal. Hence, the proposed method possesses a naturally diagonal mass matrix. Therefore, an explicit marching of (28), such as a central-difference-based time marching, is free of matrix solutions. However, a bruteforce explicit marching of (28) is absolutely unstable, because S is not symmetric in an unstructured mesh and it can support complex-valued and even negative eigenvalues. This has been proved in [16]. The stability problem can be solved as follows. Basically, we can begin with the following backward-difference-based time marching of (28): {e}n+1 − 2{e}n + {e}n−1 + t diag  σ  ({e}n+1 − {e}n ) + t 2 S{e}n+1 × 

  ∂{ j } n+1 1 = −t 2 diag .  ∂t

(30)

Rearranging the terms in (30), we obtain (D + t 2 S){e}n+1 = 2{e}n − {e}n−1 + t diag  

 σ  1 ∂{ j } n+1 × {e}n − t 2 diag   ∂t where D = I + t diag

(31)

 σ 

(32)  which is diagonal. Front multiplying both sides of (31) by D−1 , we obtain

(27)

where ζ1 = 1 on the upper face and 0 on the lower one, and W12 is the normalized zeroth-order vector basis defined on the edge connecting nodes 1and 2. With the modified vector bases, the entries in sparse matrix Se shown in (6) can be determined. Since each vector basis N j has an analytical expression, ∇ × N j and thereby Se can be analytically evaluated. In addition, when building Se , the field tangential continuity is rigorously enforced across the element interface, since {u}, which is also {e} now with the newly developed modified bases, is shared in common by adjacent elements. This is the same as how an FEM ensures the tangential continuity of the electric field.

2375

n+1 ˜ (I + M){e} = D−1 { f }

(33)

˜ = t 2 D−1 S M

(34)

where

and { f } is the right-hand side of (31). Although the backward-difference-based (31) is stable for an infinitely large time step as analyzed in [16], we choose a time step based on the stability criterion of traditional explicit time marching. This time step satisfies 1 . t < √ ρ(S)

(35)

It is also the time step required by accuracy when there is no fine feature relative to working wavelength, since the maximum eigenvalue’s square root, (|λmax |)1/2 , corresponds to the maximum angular frequency present in the system response. With such a choice of time step, the spectral radius

2376

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

Fig. 3. Illustration of the tetrahedron mesh of a 1×0.5×0.75 m3 rectangular box.

˜ is guaranteed to be less than 1. This is because in this of M case, time step satisfies (35), and hence, t 2 ρ(S) < 1

(36)

in which ρ(·) denotes the spectral radius, which is the modulus of the largest eigenvalue. D is a diagonal matrix shown in (32). Hence, 1 = 1. (37) ρ(D−1 ) = min1≤i≤Ne (1 + tσi /i ) We therefore obtain from (36) and (37) ˜ = t 2 ρ(D−1 S) ≤ t 2 ρ(D−1 )ρ(S) < 1. ρ(M)

(38)

˜ can As a result, without loss of accuracy, the inverse of I + M be evaluated by ˜ +M ˜ 2−M ˜ 3 + · · · + (−M) ˜ k ˜ −1 = I − M (I + M)

(39)

where k is guaranteed to be small since (38) is satisfied. Thus, the system matrix has an explicit inverse, and hence, no matrix solutions are required. Equation (33) can then be computed as ˜ +M ˜ 2 − · · · + (−M) ˜ k )Di { f } {e}n+1 = (I − M

(40)

where Di is the diagonal matrix D’s inverse. The computational cost of (40) is k sparse matrix-vector multiplications, since each term can be computed from the previous term recursively, thus efficient. III. N UMERICAL R ESULTS To validate the proposed new formulation-based matrixfree method, in this section, we simulate a variety of 3-D unstructured meshes. The aspect ratio of the mesh is defined as the longest edge length divided by the shortest edge length. The number of expansion terms k used in (39) is nine for all examples simulated. The time step chosen is the same as that of the explicit TDFEM. A. Wave Propagation in a Tetrahedral Mesh of a 3-D Box The first example is a 3-D free-space box of dimension 1 × 0.5 × 0.75 m3 discretized into tetrahedral elements. Its mesh is shown in Fig. 3 with 350 tetrahedral elements and 544 edges. The aspect ratio of the tetrahedral mesh is 3.67. To assess the accuracy of the proposed method, we simulate a free-space wave propagation problem, since its analytical solution is known.

Fig. 4. Simulation of a 3-D rectangular box discretized into tetrahedral elements. (a) Electric fields obtained from the proposed method as compared with analytical results. (b) Entire solution error as a function of time.

The incident E, which is also the total field in the given problem, is specified as E = yˆ f (t − x/c0 ), where f (t) = 2(t − t0 ) exp(−(t − t0 )2 /τ 2 ), τ = 6 × 10−9 s, t0 = 4τ , and c0 is the speed of light. The time step is chosen as t = 1.6×10−11 s. The proposed method takes only 2.12 MB to store sparse matrices Se and Sh , and 5.2 × 10−4 s to finish the simulation at one time step. In Fig. 4(a), we plot the 1st and 1832th entries randomly selected from the unknown {e} vector, which represent E(rei ) · eˆi with i = 1 and 1832, respectively. It can be seen clearly that the results of the proposed method agree very well with the analytical solutions. To examine the accuracy of all unknowns solved from the proposed method, and also across all time instants, we consider the relative error of the whole solution vector defined by Errorentire (t) =

||{e}this(t) − {e}ref (t)|| ||{e}ref (t)||

(41)

as a function of time, where {e}this(t) denotes the entire unknown vector {e} of length Ne obtained from this method, whereas {e}ref (t) denotes the reference solution, which is analytical result {e}anal (t) in this example. In Fig. 4(b), we plot Errorentire (t) across the whole time window in which the fields are not zero. It is evident that less than 4% error is observed at each time instant, demonstrating the accuracy of the proposed method. The center peak in Fig. 4(b) is due to the comparison with close to zero fields.

YAN AND JIAO: MATRIX-FREE TIME-DOMAIN METHOD FOR GENERAL ELECTROMAGNETIC ANALYSIS

Fig. 6.

2377

Illustration of the tetrahedron mesh of a solid sphere.

Fig. 5. (a) Entire solution error versus time of all H unknowns obtained from Se rows of equations. (b) Entire solution error versus time of all E unknowns obtained from Sh rows of equations.

This example has also been simulated in [16]. In Fig. 4(b), we compare the accuracy of the proposed new formulation with the formulation given in [16]. Obviously, the proposed new formulation with modified vector bases exhibits the same accuracy as the formulation given in [16]. In addition to the accuracy of the entire method, we have also examined the accuracy of Se , and Sh individually, since each is important to ensure the accuracy of the whole scheme. First, to solely assess the accuracy of Se , we perform the time marching of (4) only without (10) by providing an analytical {e} to (4) at each time step. The resultant {h} is then compared with analytical {h}anal at each time step. As can be seen from Fig. 5(a), where the following entire H solution error: ||h(t) − h anal (t)|| ||h anal (t)||

(42)

is plotted with respect to time, the error of all H unknowns is m s in Fig. 1. The second is that a maximum error requirement is satisfied or  < m , where  is the error with respect to a reference system based on [22], as defined in (5). A binary search is used to find the appropriate m, ˜ as shown in Algorithm 1, where m is the order of the reference system obtained based on [22] and {E, A, B, C}r is the macromodel obtained using the reduced order m. ˜ This approach has a number of advantages. First, the resulting order is typically less than what can be achieved by [23]. Second, the error is controlled based on a user-defined criterion. Finally, this approach does not require repeated computation of the eigenvalues of the (J , K) pencil. Instead, the stability and the accuracy of the system are checked at each iteration, which is more CPU efficient. The key challenge here is that the resulting macromodel may have more severe passivity violations with γ  4. This is addressed in Section IV-B with an improved and efficient passivity enforcement scheme. B. Passivity Enforcement The reduced order macromodel obtained in Section IV-A Ex˙˜ (t) = A˜x(t) + Ba(t) b(t) = C˜x(t) + Du(t)

Algorithm 1 Searching for a Reduced Order (m) ˜ Macromodel m H ← m; m L ← m/4; m t ← m/2; repeat {E, A, B, C}t extracted as (10) using m t ; Check for stability and accuracy as (5); if Macromodel stable and ( < m ) then m H ← mt ; m˜ ← m t ; {E, A, B, C}r ← {E, A, B, C}t ; step ← (m H − m L )/2; m t ← m L + r ound(step); else m L ← mt ; step ← (m H − m L )/2; m t ← m L + r ound(step); end if until (step ≤ 1)

One of the objectives of the perturbation scheme is to minimize the error in the response. In order to achieve this goal, a change of variable is used [33] dCt = dCLT

where L is obtained by performing a Choleski factorization on the controllability Gramian G pc G pc = LT L

(14)

G pc is obtained by solving the Lyapunov equation EG pc AT + AG pc ET = −BBT .

(15)

It can be shown that in order to minimize the perturbation error on the transfer function, we must minimize the change in matrix Ct [38]. Consider the case when we have γ number of imaginary eigenvalues λ of pencil (J , K) in (11). We wish to perturb a subset of k imaginary eigenvalues λi = i ωi , i = 1, 2, . . . , γ to a new set of imaginary eigenvalues λ i = i ωi , i = 1, 2, . . . , γ . We can obtain a corresponding set of k first-order approximation equations associating these desired changes of eigenvalues to the required change in matrix Ct . Denoting the change in matrix Ct as matrix dCt , the k equations can be expressed as a system of linear equations [33]

(12)

is stable. However, it is typically not passive. The goal of this section is to perturb the system {E, A, B, C, D}r in order to enforce passivity while minimizing any additional error in the response. The final macromodel will have the form ˜ A, ˜ B, ˜ C, ˜ D} ˜ as defined in (4), where E˜ = E, A ˜ = A, {E, ˜ = D, and C ˜ = C + C. In other words, B˜ = B, D only matrix C is modified. In order to achieve this goal, we propose an algorithm based on the perturbation of the imaginary eigenvalues of the pencil (J , K) defined in (11) as suggested in [33].

(13)

W × vec(dCt ) = v where

(16)



⎤ ⎡ ⎤ w1 v1 ⎢ .. ⎥ ⎢ .. ⎥ k× p m˜ W=⎣ . ⎦∈R v = ⎣ . ⎦ ∈ Rk×1 wk

vk

where T L−1 ) ⊗ z i∗ } ∈ R1×mp wi = {(x i,1

v i = (ωi − ωi ) {x ∗i,2 Ex i,1 } ∈ R

(17)

KASSIS et al.: PASSIVE REDUCED ORDER MACROMODELING BASED ON LM INTERPOLATION

where z i = S−1 (Cx i,1 + DBT x i,2 ), and x i,1 and x i,2 are the upper and lower halfs of the right eigenvector x i associated with eigenvalue λi for i = 1, 2, . . . , k. S is defined in (11). The operator vec(X) applied to a matrix X gives a column vector built by stacking the columns of X from left to right. We can find a matrix dC, which minimizes the perturbation error by first finding dCt through solving the minimization problem (18) min ||vec(dCt )||2

2427

Fig. 2. Detailed specifications for the microstrip line structures (examples 1 and 3).

dCt ∈Rm p

under k constraint equations defined as (16). This problem is a standard least-square problem, which we can solve using a pseudoinverse method WT (WWT )−1 v = vec(dCt ).

(19)

Once dCt is obtained using (19), we can compute dC from (13). Note that the perturbation of the eigenvalues of the pencil (J , K) is done iteratively in small increments until no imaginary eigenvalues remain. The remaining question is which eigenvalues to perturb at each iteration and how to perturb them in order to achieve fast convergence and a small error. In [33], a heuristic perturbation scheme was suggested where all the imaginary eigenvalues in each passivity violation region are perturbed upward toward the largest eigenvalue in that region at each iteration. This perturbation scheme was used in [23]; however, this approach had difficulty converging for large systems with a large number of ports and severe passivity violation. As a result, we limited the order reduction in [23] to a maximum of four imaginary eigenvalues. This put some limitation on how much a system can be reduced, and necessitated a passivity check instead of a simple accuracy check at each iteration when determining the appropriate order of the reduced model. This difficulty in convergence comes from two main reasons. The first is for the case when there is a passivity violation at DC. In this case, pushing the eigenvalues higher increases rather than decreases the size of the passivity violation. The second is that for systems with a large number of ports, it is common to have many purely imaginary eigenvalues in the same passivity region when there is a strong passivity violation. In such cases, we observed that the heuristic approach in [33] converges very slowly or not at all. In this paper, we propose a new scheme for perturbing the imaginary eigenvalues, which is more robust for cases with a large number of imaginary eigenvalues and including passivity violations at DC. The proposed scheme for perturbing the eigenvalues of the pencil (J , K) starts by identifying the passivity violation regions in the frequency domain, and noting that each region contains a cluster of imaginary eigenvalues. Then, the first and last eigenvalues for each violation region are perturbed in each iteration. This results in both faster CPU time per iteration and less iterations for the passivity enforcement to converge, thus improving the run-time cost. The new algorithm is also capable of handling nonpassivity around DC ( f = 0 Hz). Let (λli , λui ) be the pair of imaginary eigenvalues delimiting the i th nonpassive region, with λui being the upper bound. There are several ways to determine λli , λui for each violation region. An algorithm based on computing the slope of the maximum

Fig. 3.

Detailed specifications for the stripline structures (example 2).

singular value curve similar to [32] is applied in the proposed approach. We define a perturbed pair (λli , λ ui ) by performing the following changes: λli = λli + η(λui − λli )

λ ui = λui − η(λui − λli )

(20)

where η ∈ [0, 0.5] is a rate of change factor, which can be used to tune the rate of convergence. Typically, for smaller values of η, the algorithm requires a large number of iterations to converge. The number of iterations significantly reduces as η increases and the number eventually saturates at some value of η close to 0.5. We used η = 0.4 heuristically as this value is found to be optimum for most of the examples. An investigation on the influence of the parameter on the rate of convergence is presented in Section V. Thus, the two eigenvalues of each pair are pushed closer to each other by a percentage (η) of the distance between them. V. S IMULATION R ESULTS The efficiency and the scalability of the proposed approach are illustrated by using four numerical examples: three noisefree examples in Section V-A and one full-wave example in Section V-B. The results are compared with the algorithm proposed in [23] and the brute force application of BRBT [34]. A higher order reduction with better efficiency in terms of computational cost will be demonstrated, particularly for both noise-free data and full-wave data subject to discretization noise. A. Noise-Free Examples Examples 1 and 3 are a 10-cm-long microstripline structure with 16 and 64 coupled lines. The detailed specifications are provided in Fig. 2. Example 2, as shown in Fig. 3, is a 10-cm-long stripline with 32 coupled lines. The examples are defined in terms of per unit length parameter matrices, which are extracted using the field solver

2428

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

TABLE I S UMMARY OF THE S IMULATION R ESULTS FOR THE N OISE -F REE E XAMPLES

TABLE II A CCURACY (rms E RRORS , ) C OMPARISONS FOR THE N OISE -F REE E XAMPLES

in HSPICE; 1000 Y-parameter data spanning the bandwidth from 0.001 Hz to 10 GHz are generated using the matrix exponential method [39]. Next, the Y-parameter data are converted into S-parameter using the following transformation:

−1

G0 − Y(sk )G−1 (21) S(sk ) = G0 + Y(sk )G−1 0 0 where G0 ∈ R p× p is a diagonal matrix containing the √ entry, gi = 1/ r p ; 1 ≤ i ≤ p, and r p = 50 is the port impedance. Then, N (N 6), the analytic CPU costs are within a factor of 1.6–2 times the simulation CPU costs for the proposed approach and within a factor of 0.57–0.80 times the simulation CPU costs for the proposed approach. Finally, for the same test cases of Tables IV and V, the total PC problem is solved using three methods—the proposed linear regression approach, the original linear regression approach of [36], and the pseudo-spectral collocation

PRASAD et al.: MULTIDIMENSIONAL UNCERTAINTY QUANTIFICATION OF MICROWAVE/RF NETWORKS

2443

Fig. 8. Comparison of mean and higher order statistical moments of the transient response of Example 3 computed using the proposed linear regression approach and the MC approach. (a) Mean and statistical corners of the transient response at N1 . (b) Mean and statistical corners of the output transient power at N1 .

Fig. 9. Comparison of CPU time required by the proposed linear regression approach with that of the state-of-the-art approach. (a) Speedup achieved during matrix inverse computation by the proposed algorithm compared with expected speedup. (b) Scaling of total CPU time cost of the proposed linear regression algorithm compared with the pseudo-spectral approach and the original linear regression approach. TABLE IV S CALING OF CPU T IME FOR I DENTIFYING D-O PTIMAL N ODES U SING P ROPOSED AND C LASSICAL S EARCH A LGORITHMS

approach [26]. The total CPU time incurred by each approach is noted in Table VI and plotted in Fig. 9(b). For all methods, the time costs of the corresponding search algorithms have been added with the time cost for the 2(P + 1) SPICE

simulation costs. It is observed from Table VI that the pseudospectral collocation exhibits an exponential scalability with respect to the number of random dimensions [26]. Thus, the pseudo-spectral collocation approach runs out of memory for

2444

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

TABLE V S CALING OF CPU T IME FOR M ATRIX I NVERSE C OMPUTATION U SING P ROPOSED AND C LASSICAL S EARCH A LGORITHMS

TABLE VI S CALING OF CPU T IME C OSTS U SING P ROPOSED , C ONVENTIONAL L INEAR R EGRESSION , AND P SEUDO -S PECTRAL C OLLOCATION A PPROACHES

more than eight random variables. Similarly, for the linear regression approach of [36], the cost of the original search algorithm quickly becomes very large and also runs out of memory for more than eight random variables. The CPU costs for n = 10, 12, and 14 for these methods is estimated via extrapolation and added in Fig. 9(b) for completeness. As seen from Fig. 9(b), the proposed linear regression approach which uses a more efficient modified search algorithm provides far superior scalability of the total CPU costs with respect to the number of random dimensions than the original linear regression approach of [36] or the pseudo-spectral collocation approach [26]. Interestingly, here too the total savings in CPU times increase with the number of random dimensions, thereby validating the benefits of the proposed linear regression approach for high-dimensional problems. It is noted that this LNA example was also used to benchmark our recent work in sparse PC approach [53]. While the paper [53] deals with reducing the number of

SPICE simulations via a new sensitivity analysis scheme, this paper is focused on addressing the exorbitant computational costs required to identify the very nodes where the SPICE simulations need to be performed. Thus, this paper is completely distinct from that of [53]. By combining the current proposed approach with that of [53], the best possible reduction in the overall costs of PC analysis may be achieved. VI. C ONCLUSION In this paper, a new linear regression methodology for the fast and nonintrusive PC analysis of microwave/RF circuits is presented. This approach uses the D-optimal DoE to accurately evaluate the PC coefficients of the network responses. Fast search algorithms to identify the DoE from large multidimensional random spaces have been presented. The proposed linear regression approach has been validated through multiple examples involving lumped and distributed networks.

PRASAD et al.: MULTIDIMENSIONAL UNCERTAINTY QUANTIFICATION OF MICROWAVE/RF NETWORKS

R EFERENCES [1] G. Fishman, Monte Carlo: Concepts, Algorithms, and Applications. New York, NY, USA: Springer-Verlag, 1996. [2] Q. Zhang, J. J. Liou, J. McMacken, J. Thomson, and P. Layman, “Development of robust interconnect model based on design of experiments and multiobjective optimization,” IEEE Trans. Electron Devices, vol. 48, no. 9, pp. 1885–1891, Sep. 2001. [3] Y. Massoud and A. Nieuwoudt, “Modeling and design challenges and solutions for carbon nanotube-based interconnect in future high performance integrated circuits,” ACM J. Emerg. Technol. Comput. Syst., vol. 2, no. 3, pp. 155–196, Jul. 2006. [4] A. Nieuwoudt and Y. Massoud, “On the impact of process variations for carbon nanotube bundles for VLSI interconnect,” IEEE Trans. Electron Devices, vol. 54, no. 3, pp. 446–455, Mar. 2007. [5] A. Nieuwoudt and Y. Massoud, “On the optimal design, performance, and reliability of future carbon nanotube-based interconnect solutions,” IEEE Trans. Electron Devices, vol. 55, no. 8, pp. 2097–2110, Aug. 2008. [6] J. F. Swidzinski and K. Chang, “Nonlinear statistical modeling and yield estimation technique for use in Monte Carlo simulations [microwave devices and ICs],” IEEE Trans. Microw. Theory Techn., vol. 48, no. 12, pp. 2316–2324, Dec. 2000. [7] P. Manfredi, I. S. Stievano, and F. G. Canavero, “Time- and frequencydomain evaluation of stochastic parameters on signal lines,” Adv. Electromagn., vol. 1, no. 3, pp. 85–93, Dec. 2012. [8] S. Vrudhula, J. M. Wang, and P. Ghanta, “Hermite polynomial based interconnect analysis in the presence of process variations,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 25, no. 10, pp. 2001–2011, Oct. 2006. [9] I. S. Stievano, P. Manfredi, and F. G. Canavero, “Stochastic analysis of multiconductor cables and interconnects,” IEEE Trans. Electromagn. Compat., vol. 53, no. 2, pp. 501–507, May 2011. [10] I. S. Stievano, P. Manfredi, and F. G. Canavero, “Parameters variability effects on multiconductor interconnects via Hermite polynomial chaos,” IEEE Trans. Compon., Packag., Manuf. Technol., vol. 1, no. 8, pp. 1234–1239, Aug. 2011. [11] D. V. Ginste, D. De Zutter, D. Deschrijver, T. Dhaene, P. Manfredi, and F. Canavero, “Stochastic modeling-based variability analysis of on-chip interconnects,” IEEE Trans. Compon., Packag., Manuf. Technol., vol. 2, no. 7, pp. 1182–1192, Jul. 2012. [12] I. S. Stievano, P. Manfredi, and F. G. Canavero, “Carbon nanotube interconnects: Process variation via polynomial chaos,” IEEE Trans. Electromagn. Compat., vol. 54, no. 1, pp. 140–148, Feb. 2012. [13] A. Biondi, D. V. Ginste, D. De Zutter, P. Manfredi, and F. G. Canavero, “Variability analysis of interconnects terminated by general nonlinear loads,” IEEE Trans. Compon., Packag., Manuf. Technol., vol. 3, no. 7, pp. 1244–1251, Jul. 2013. [14] P. Manfredi, D. V. Ginste, D. De Zutter, and F. G. Canavero, “Uncertainty assessment of lossy and dispersive lines in SPICE-type environments,” IEEE Trans. Compon., Packag., Manuf. Technol., vol. 3, no. 7, pp. 1252–1258, Jul. 2013. [15] P. Manfredi, D. V. Ginste, D. De Zutter, and F. G. Canavero, “Stochastic modeling of nonlinear circuits via SPICE-compatible spectral equivalents,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 61, no. 7, pp. 2057–2065, Jul. 2014. [16] M. R. Rufuie, E. Gad, M. Nakhla, and R. Achar, “Generalized Hermite polynomial chaos for variability analysis of macromodels embedded in nonlinear circuits,” IEEE Trans. Compon., Packag., Manuf. Technol., vol. 4, no. 4, pp. 673–684, Apr. 2014. [17] T.-A. Pham, E. Gad, M. S. Nakhla, and R. Achar, “Decoupled polynomial chaos and its applications to statistical analysis of high-speed interconnects,” IEEE Trans. Compon., Packag., Manuf. Technol., vol. 4, no. 10, pp. 1634–1647, Oct. 2014. [18] D. Spina, F. Ferranti, G. Antonini, T. Dhaene, and L. Knockaert, “Efficient variability analysis of electromagnetic systems via polynomial chaos and model order reduction,” IEEE Trans. Compon., Packag., Manuf. Technol., vol. 4, no. 6, pp. 1038–1051, Jun. 2014. [19] D. Spina, F. Ferranti, T. Dhaene, L. Knockaert, G. Antonini, and D. V. Ginste, “Variability analysis of multiport systems via polynomialchaos expansion,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 8, pp. 2329–2338, Aug. 2012. [20] A. Rong and A. C. Cangellaris, “Transient analysis of distributed electromagnetic systems exhibiting stochastic variability in material parameters,” in Proc. 30th General Assembly Sci. Symp., Aug. 2011, pp. 1–4.

2445

[21] M. A. H. Talukder, M. Kabir, S. Roy, and R. Khazaka, “Efficient generation of macromodels via the Loewner matrix approach for the stochastic analysis of high-speed passive distributed networks,” in Proc. IEEE 18th Workshop Signal Power Integrity, May 2014, pp. 1–4. [22] M. A. H. Talukder, M. Kabir, S. Roy, and R. Khazaka, “Efficient stochastic transient analysis of high-speed passive distributed networks using Loewner matrix based macromodels,” in Proc. IEEE Int. Conf. Signal Power Integrity, Aug. 2014, pp. 209–212. [23] A. K. Prasad and S. Roy, “Multidimensional variability analysis of complex power distribution networks via scalable stochastic collocation approach,” IEEE Trans. Compon., Packag., Manuf. Technol., vol. 5, no. 11, pp. 1656–1668, Nov. 2015. [24] D. Spina, F. Ferranti, G. Antonini, T. Dhaene, and L. Knockaert, “Non intrusive polynomial chaos-based stochastic macromodeling of multiport systems,” in Proc. IEEE 18th Workshop Signal Power Integrity, May 2014, pp. 1–4. [25] D. Spina, D. De Jonghe, D. Deschrijver, G. Gielen, L. Knockaert, and T. Dhaene, “Stochastic macromodeling of nonlinear systems via polynomial chaos expansion and transfer function trajectories,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 7, pp. 1454–1460, Jul. 2014. [26] M. Ahadi et al., “Non-intrusive pseudo spectral approach for stochastic macromodeling of EM systems using deterministic full-wave solvers,” in Proc. 23rd IEEE Conf. Elect. Perform. Electron. Packag. Syst., Oct. 2014, pp. 235–238. [27] A. Rong and A. C. Cangellaris, “Interconnect transient simulation in the presence of layout and routing uncertainty,” in Proc. 20th IEEE Conf. Elect. Perform. Electron. Packag. Syst., Oct. 2011, pp. 157–160. [28] J. S. Ochoa and A. C. Cangellaris, “Fast analysis of the impact of interconnect routing variability on signal degradation,” in Proc. 21st IEEE Conf. Elect. Perform. Electron. Packag. Syst., Oct. 2012, pp. 315–318. [29] P. Sumant, H. Wu, A. Cangellaris, and N. Aluru, “Reduced-order models of finite element approximations of electromagnetic devices exhibiting statistical variability,” IEEE Trans. Antennas Propag., vol. 60, no. 1, pp. 301–309, Jan. 2012. [30] X. Chen, J. S. Ochoa, J. E. Schutt-Ainé, and A. C. Cangellaris, “Optimal relaxation of I/O electrical requirements under packaging uncertainty by stochastic methods,” in Proc. 64th Electron. Compon. Technol. Conf., May 2014, pp. 717–722. [31] H. Bagci, A. C. Yucel, J. S. Hesthaven, and E. Michielssen, “A fast Stroud-based collocation for statistically characterizing EMI/EMC phenomena on complex platforms,” IEEE Trans. Electromagn. Compat., vol. 51, no. 2, pp. 301–311, May 2009. [32] A. C. Yücel, H. Ba˘gcı, and E. Michielssen, “An adaptive multi-element probabilistic collocation method for statistical EMC/EMI characterization,” IEEE Trans. Electromagn. Compat., vol. 55, no. 6, pp. 1154–1168, Dec. 2013. [33] M. Ahadi, M. Vempa, and S. Roy, “Efficient multidimensional statistical modeling of high speed interconnects in SPICE via stochastic collocation using stroud cubature,” in Proc. IEEE Symp. Electromagn. Compat. Signal Integrity, Mar. 2015, pp. 300–305. [34] P. Manfredi, D. V. Ginste, D. De Zutter, and F. G. Canavero, “Generalized decoupled polynomial chaos for nonlinear circuits with many random parameters,” IEEE Microw. Wireless Compon. Lett., vol. 25, no. 8, pp. 505–507, Aug. 2015. [35] M. Ahadi, M. Kabir, S. Roy, and R. Khazaka, “Fast multidimensional statistical analysis of microwave networks via stroud cubature approach,” in Proc. IEEE Int. Conf. Numer. Electromagn., Multiphys. Modeling Optim., Aug. 2015, pp. 1–3. [36] A. K. Prasad, M. Ahadi, B. S. Thakur, and S. Roy, “Accurate polynomial chaos expansion for variability analysis using optimal design of experiments,” in Proc. IEEE Int. Conf. Numer. Electromagn., Multiphys. Modeling Optim., Aug. 2015, pp. 1–4. [37] Z. Zhang, T. A. El-Moselhy, I. M. Elfadel, and L. Daniel, “Stochastic testing method for transistor-level uncertainty quantification based on generalized polynomial chaos,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 32, no. 10, pp. 1533–1545, Oct. 2013. [38] P. Manfredi and F. G. Canavero, “Efficient statistical simulation of microwave devices via stochastic testing-based circuit equivalents of nonlinear components,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 5, pp. 1502–1511, May 2015. [39] Z. Zhang, T. A. El-Moselhy, I. M. Elfadel, and L. Daniel, “Calculation of generalized polynomial-chaos basis functions and Gauss quadrature rules in hierarchical uncertainty quantification,” IEEE Trans. Comput.Aided Design Integr. Circuits Syst., vol. 33, no. 5, pp. 728–740, May 2014.

2446

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

[40] Z. Zhang, X. Yang, I. V. Oseledets, G. E. Karniadakis, and L. Daniel, “Enabling high-dimensional hierarchical uncertainty quantification by ANOVA and tensor-train decomposition,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 34, no. 1, pp. 63–76, Jan. 2015. [41] A. C. Yücel, H. Ba˘gcı, and E. Michielssen, “An ME-PC enhanced HDMR method for efficient statistical analysis of multiconductor transmission line networks,” IEEE Trans. Compon., Packag., Manuf. Technol., vol. 5, no. 5, pp. 685–696, May 2015. [42] D. Xiu, Numerical Methods for Stochastic Computations: A Spectral Method Approach. Princeton, NJ, USA: Princeton Univ. Press, 2010. [43] M. S. Eldred, “Recent advances in non-intrusive polynomial chaos and stochastic collocation methods for uncertainty analysis and design,” in Proc. 50th AIAA/ASME/ASCE/AHS/ASC Struct., Struct. Dyn., Mater. Conf., May 2010, pp. 1–37. [44] D. Xiu and G. E. Karniadakis, “The Wiener–Askey polynomial chaos for stochastic differential equations,” SIAM J. Sci. Comput., vol. 24, no. 2, pp. 619–644, Jul. 2002. [45] M. Ahadi and S. Roy, “Sparse linear regression (SPLINER) approach for efficient multidimensional uncertainty quantification of high-speed circuits,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., Jan. 2016. [46] N.-K. Nguyen and A. J. Miller, “A review of some exchange algorithms for constructing discrete D-optimal designs,” Comput. Statist. Data Anal., vol. 14, no. 4, pp. 489–498, Nov. 1992. [47] A. J. Miller and N.-K. Nguyen, “Algorithm AS 295: A Fedorov exchange algorithm for D-optimal design,” J. Roy. Statist. Soc. C, vol. 43, no. 4, pp. 669–677, 1994. [48] V. V. Fedorov, Theory of Optimal Experiments. New York, NY, USA: Academic, 1972. [49] R. D. Cook and C. J. Nachtsheim, “A comparison of algorithms for constructing exact D-optimal designs,” Technometrics, vol. 22, no. 3, pp. 315–324, Aug. 1980. [50] W. H. Press, S. A. Teukolsky, W. T. Vetterling, and B. P. Flannery, Numerical Recipes: The Art of Scientific Computing, 3rd ed. New York, NY, USA: Cambridge Univ. Press, 2007. [51] S. Smolyak, “Quadrature and interpolation formulas for tensor products of certain classes of functions,” Sov. Math. Dokl., vol. 4, no. 5, pp. 240–243, 1963. [52] N. S. Kuek, A. C. Liew, E. Schamiloglu, and J. O. Rossi, “Circuit modeling of nonlinear lumped element transmission lines including hybrid lines,” IEEE Trans. Plasma Sci., vol. 40, no. 10, pp. 2523–2534, Oct. 2012. [53] A. K. Prasad and S. Roy, “Global sensitivity based dimension reduction for fast variability analysis of nonlinear circuits,” in Proc. 24th IEEE Conf. Elect. Perform. Electron. Packag. Syst., Oct. 2015, pp. 97–100. Aditi Krishna Prasad (S’14) received the B.Eng. degree in electrical engineering from the Dhirubhai Ambani Institute of Information and Communication Technology, Gandhinagar, India, in 2008, and the M.Eng. degree in very large scale integration from Jawaharlal Nehru Technological University, Hyderabad, India, in 2010. She is currently pursuing the Ph.D. degree in electrical engineering at Colorado State University, Fort Collins, CO, USA. Her current research interests include algorithms for uncertainty quantification of high speed systems, global sensitivity analysis using generalized polynomial chaos, and modeling and simulation of high speed interconnects and power distribution networks.

Majid Ahadi (S’14) received the bachelor’s degree in electrical engineering from the K. N. Toosi University of Technology, Tehran, Iran, in 2013, and the M.S. degree in electrical and computer engineering from Colorado State University, Fort Collins, CO, USA. His current research interests include stochastic analysis of high speed microwave and RF circuits and electronic packaging systems using generalized polynomial chaos theory. Mr. Ahadi was a recipient of the Best Poster Paper Award at the 2014 IEEE International Conference on Electrical Performance of Electronic Packaging and Systems.

Sourajeet Roy (S’11–M’13) received the B.Tech. degree in electrical engineering from Sikkim Manipal University, Gangtok, India, in 2006, and the M.E.Sc. and Ph.D. degrees in electrical engineering from Western University, London, ON, Canada, in 2009 and 2013, respectively. He currently serves as an Assistant Professor with the Department of Electrical and Computer Engineering, Colorado State University, Fort Collins, CO, USA. His current research interests include modeling and simulation of high speed circuits, signal and power integrity analysis of electronic packages, and uncertainty quantification of microwave/RF circuits. Dr. Roy was a recipient of the Vice-Chancellors Gold Medal at the undergraduate level in 2006, the Queen Elizabeth II Graduate Scholarship in Science and Technology in 2012, and the Ontario Graduate Scholarship in 2012. He serves as the Reviewer of the IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES , the IEEE T RANSACTIONS ON C OMPONENTS , PACKAGING AND M ANUFACTURING T ECHNOLOGY, the IEEE T RANSACTIONS ON E LECTROMAGNETIC C OMPATIBILITY, and the IEEE T RANSACTIONS ON C IRCUITS AND SYSTEMS—I: R EGULAR PAPERS . His student was the recipient of the Best Poster Paper Award at the 23rd IEEE Conference on Electrical Performance of Electronic Packaging and Systems in 2014. He serves as the Guest Associate Editor of the IEEE T RANSACTIONS ON C OMPONENTS , PACKAGING AND M ANUFACTURING T ECHNOLOGY.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

2447

Revisiting the Effect of Nickel Characteristics on High-Speed Interconnect Performance Yuming Tao, Senior Member, IEEE, and Frank H. Scharf, Member, IEEE

Abstract— It has been observed that some surface finish like nickel–gold plating has exhibited significantly higher losses than expected on high-speed interconnects like printed circuit board (PCB) trace and packaging. The investigation has revealed that this extra loss results from the ferromagnetic characteristics of nickel material with large resistivity and nonunit frequencydependent relative permeability. As a result, a high-speed PCB design must take into account the board surface finish effect, solder-mask coating, and the physical geometry deformation of copper related to the etching process when modeling the PCB trace, which can be accomplished by using 3-D electromagnetic field simulation tools. Simulation results are correlated with vector network analyzer (VNA) measurements on several test cases and also on the actual application boards. In our experimental studies on PCB test structures with electroless nickel/immersiongold (ENIG) and electroplated nickel–gold plating, there is no observation of resonating anomalies in the insertion loss and group delay related to nickel permeability. Both simulation and measurement results have demonstrated that PCB board with ENIG and solder mask over bare copper finish has less signal loss than that with ENIG or hard-gold finish. Index Terms— High-speed interconnect, insertion loss (IL), printed circuit board (PCB), skin effect, surface finish.

I. I NTRODUCTION RINTED circuit boards (PCBs), as part of high-speed digital interconnects and communication channels, have significant influence on the signal quality travelling along the signal path from the transmitter to the receiver or vice versa. In the past decade, we have observed the evolution of PCB industry in this particular area of PCB surface finishes and experienced the pinch as a result of switch from one board surface finish to another. In reality, it has been observed that some PCB surface finishes like electroless nickel/immersiongold (ENIG) plating have exhibited significantly higher losses than expected along transmission lines on the PCB surface layers. Nickel is one of the most mysterious metals widely used in electronic industry. DC resistivity of pure nickel varies from four to five times higher than the resistivity of copper.

P

Manuscript received October 30, 2015; revised March 11, 2016 and June 18, 2016; accepted June 26, 2016. Date of publication July 21, 2016; date of current version August 4, 2016. An earlier version of this paper was presented at the 2015 IEEE MTT-S International Conference on Numerical Electromagnetic and Multiphysics Modeling and Optimization, Ottawa, ON, Canada, August 2015. Y. Tao was with PMC-Sierra Inc., Burnaby, BC V5A 4V7, Canada. He is now with Nokia/Alcatel-Lucent Inc., Ottawa, ON K2K 2E6, Canada (e-mail: [email protected]). F. H. Scharf is with CST of America Inc., Framingham, MA 01701 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2586943

The plated nickel layer on PCB surface finish could have more resistivity than the pure nickel by three to ten times. A survey reported in [1] reveals that the permeability of nickel is even ranging from 1 to 600 at dc and from 1 to 20 at microwave frequencies. The explanation of such a difference is attributed to the samples, process impurities, and differences in investigation methods. There are several peer studies or investigations regarding the board surface finish effects in recent years with a few publications. Staiculescu et al. [2] in 1999 MTT-S paper present a theoretical model of analysis of conductive losses in ENIG surface finish. The conductor loss has been extracted from Q-measurements on series microstrip resonators with varying nickel thickness (0 to 13.97 μm) and the measurement results have supported the theoretical approaches. Shlepnev and McMorrow [3], Moreira et al. [4], Wu et al. [5], and Aguayo [6] in recent papers talk about the broadband characteristics of nickel in PCB and packaging interconnects made of copper plated with nickel and gold (ENIG finish). In this paper, we report a resonant behavior of high-speed interconnects made of copper plated with ENIG finish. An electromagnetic (EM) model is constructed for the microstrip line segment built up with plated nickel and gold on the copper trace. Parameters of the Landau–Lifshitz (L-L) ferromagnetic (permeability) model are identified by matching the measured and computed S-parameters of the nickel-plated microstrip line segment. In our experimental studies of nickel characteristic effect on high-speed interconnects performance, we have measured a dozen of microstrip coupled-line pairs on various PCBs with either ENIG or hard-gold coating finish. The thickness of nickel–gold plating layer varies from 6.35 (ENIG) to 18.8 μm (hard gold) while gold layer is around 0.38 μm thick. However, none of the cases observed shows a resonant anomaly between 2 and 3 GHz in the insertion loss (IL) and group delay (GD). Consequently, a high-speed interconnects design must take into account the surface finish effect when modeling the PCB trace and physical connections. EM simulation tools can be of great help during the design process. They allow predicting the losses and they grant further insight into the underlying causes for the losses. Suitable software tools require an ease to use fully parameterized front end to set up the model with all variations in a timely fashion. Due to the high frequency, 3-D full-wave methods need to be applied to ensure accurate results. The wide frequency range asks for accurate dispersive material models.

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

2448

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

This paper is an expanded version of our 3-D EM modeling and correlation works on the effect of nickel characterization on high-speed PCB surface finish performance [7]. In the detailed works in Section II, a few PCB traces with different surface finish were measured and simulated. Results showed good agreement between simulated and measured data. This was done to verify the simulation setup. In the next step, three different gold layer thicknesses are simulated to study the effect on the differential IL, as described in Section III. We also studied the effect of an L-L model for a dispersive permeability model for nickel, since resonant effect was reported in literature, which is also in Section III. In Section IV, more experiments have been performed to evaluate the surface finish effects on high-speed PCB electrical performance by using PM8020 SRCv 8 × 6G evaluation boards. As a result of this measurement comparison study, ENIG/solder mask over bare copper (SMOBC) finish has demonstrated the superior performance than that of ENIG or hard-gold, which is concluded in Section V. II. B OARD F INISH AND N ICKEL E FFECTS The increasing demand on high-speed data rates has driven the PCB industry working on the selection of alternative surface finish processes in the consideration of various aspects, including the electrical performance requirements, cost effectiveness, manufacture, assembly, and environment. Under www.ddmconsulting.com/design_guides/hasl_alt.pdf, the publication presents a brief comparison of the available PCB surface finish options of hot air solder leveling, organic solderability preservatives, ENIG, electroplated nickel–gold (hard gold), immersion silver, and ENIG/SMOBC. Among these options, ENIG is most widely used high-speed interconnect surface finish in the past decade as a lead-free alternative to protect copper PCB and packaging interconnects. ENIG is actually a two-layer metallic coating over catalyzed copper, becoming a common PCB surface finish to protect the copper trace from oxidation, where nickel is used as a barrier layer to prevent the gold migrating into the copper on the traces. However, it has been frequently reported that the ENIG coated PCBs produce much higher signal loss than the trace copper due to the nickel–gold coatings. Nickel is a ferromagnetic metal with relatively large resistivity and nonunit frequency-dependent relative permeability. One specific example in this paper [3] is the report of the experimental observation of the anomaly in IL and GD in interconnects plated with nickel and gold. It was shown that the resonance between 2 and 3 GHz is visible on measured IL as well as on GD graphs, as shown in Fig. 1. As explained in this paper [3], the resonance might be attributed to ferromagnetic properties of the nickel layer. An EM model of plated interconnects is thus built based on the physics-based dispersive L-L permeability model to characterize the nickel layer in this paper. In a similar experimental investigation of nickel characteristics and its effect on PCB surface performance, we have used VNA and Picoprobes, as shown in Fig. 2 to measure a dozen of differential microstrip coupled-line pairs on various PCBs with ENIG, hard-gold coating finish, and bare copper. The thickness

Fig. 1. Anomalies in IL and GD of nickel–gold plated microstrip line reported in this paper [3].

Fig. 2.

Measurement set up with Picoprobes and VNA.

of a nickel–gold plating layer varies from 6.35 (ENIG) to 18.8 μm (hard gold) while gold layer is around 0.38 μm thick. Fig. 3 shows five plots of IL and GD corresponding to five microstrip test lines in various lengths of 50.8 to 152.4 mm, of which two are 100- differential line pairs and the rest are 86- lines. One can easily identify that the three plotted IL curves with ripples are reflections related to 86- differential line pairs with respect to the 100- reference system. In our experimental studies of the nickel–gold effects on the PCB surface finish performance, none of the cases has observed this kind of resonating anomaly in IL and GD. Thus our conclusion is that this anomaly, resonance between 2 and 3 GHz as reported would be either a result of test fixture related issues like the board launch or a result of dispersive characteristics of nickel material that may contain 7%∼10% of phosphorous and some other impurities, as claimed in [3]. However, our test cases cover PCB traces with electroless,

TAO AND SCHARF: REVISITING EFFECT OF NICKEL CHARACTERISTICS ON HIGH-SPEED INTERCONNECT PERFORMANCE

2449

there is always a very thin gold layer above the nickel. As a result, the electric surface current will be flowing across gold– nickel layers. Therefore, a multilayer metal model is used in the simulation based on the approach found in [9]. The surface impedance models described by (1) or (2) are valid for a single metal layer. Equation (2) is still valid for multilayered structures if the outermost layer is thick compared with the skin depth (t  δ). In this case, the fields have decayed considerably when they reach the inner layer and the layer’s material properties play no longer a role. However, in today’s PCB one often finds very thin layers or coatings (t  δ) at the outermost of traces and a modification of the impedance calculation is necessary. One possible approach for a symmetric multilayer conductor can also be found in [9]. By treating the layer structure as a cascade of transmission lines, the author arrives at a general formula for the surface impedance of the kth layer Fig. 3. Measured differential IL and GD of microstrip lines with nickel–gold coating layers.

electroplated nickel plating, and bare copper and there is no observation of this type of resonating anomalies in the IL and GD. III. EM M ODELING OF PCB S URFACE F INISH 3-D EM modeling methodology is proposed and set up to simulate the PCB loss that includes dielectric, metallic, and nickel–gold plated surface finish skin-effect losses. The critical aspect of this analysis is to focus on the characterization of the conductor losses due to multistacked metal layers on the copper traces and the anomalies of trace cross sections. Such cross section profiles can be captured by X-ray imaging available in most PCB shops. The PCB conductor loss is attributed to two primary factors: the conductor bulk or dc resistivity and the skin effect. The bulk resistance has the dominant effect at the dc or low frequency range but at high frequencies the current flowing in a conductor tends to migrate toward the thin periphery of the conductor, called as skin effect. The skin-effect phenomenon has brought in the frequency dependence of the resistance and the inductance of a conductor. For the nickel-gold coating PCB surface finish, the surface impedance can be expressed as [8]   t (1) η = Z s coth ϒ 2 where ϒ = ((1 + j )/δ) is the propagation constant in the metal layer, t is the thickness of metal layer, and δ = (1/π f μσ )1/2 is the skin depth. When t  δ, the surface impedance of (1) becomes  ωμ = (1 + j )Rs (2) Z s ≈ (1 + j ) 2σ where μ is the permeability of the conductor, σ is the bulk conductivity, ω = 2π f , and f is the frequency. The surface impedance model described above is valid for a single metal layer. For PCB copper trace with ENIG finish,

Z k+1 = Z kintr

Z k + Z kintr tanh (γk dk )

(3)

Z kintr + Z k tanh (γk dk )

where Z kintr = (sμ/σk )1/2 is the wave impedance and γk = (sμσk )1/2 is the complex propagation constant of the kth layer bounded by the interfaces k and k + 1. The simplest symmetric multilayer conductor has three layers: Layer 1 in the center with thickness d1 , propagation constant γ1 , and wave impedance Z 1intr . Layers 2a and 2b on top and bottom, with thickness d2 , propagation constant γ2 , and wave impedance Z 2intr . The cascaded surface impedance on top or bottom can then be calculated from Z = Z 3 = Z 2intr

Z 2 + Z 2intr tanh (γ2 d2 ) Z 2intr + Z 2 tanh (γ2 d2 )

.

(4)

A typical PCB trace has only two layers, namely, one thicker layer and one coating layer. However, by mirroring this two-layer structure, one arrives at a symmetric three-layer conductor and (4) can be used to calculate the surface impedance of the two-layer structure. Due to this symmetry, the thickness of Layer 1 needs to be doubled for the calculation of Z 2 . The results obtained so far assume perfectly smooth surfaces, which is usually not the case. Instead, the metal surfaces exhibit a certain roughness (predominantly at the metal-dielectric boundary) caused by the fabrication process. This roughness increases the effective metal surface, which in return leads to an increase of the surface impedance. Hammerstad found empirically that this increase can be taken into account by means of a correction factor [10], [11]  

2 2 rough −1 1.4 = 1 + tan (5) C π δ where is the rms value of metal roughness and δ is the skin depth. The modified surface impedance Z rough can then be calculated by multiplying the result from (4) with this factor Z rough = Z ∗ C rough .

(6)

This approach is valid for a single layer or if surface roughness exists only on the outer surfaces of

2450

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

Fig. 4. EM modeling setup of PCB copper trace and surface finish coating.

Fig. 6.

PCB layout snapshots and X-ray picture of the trace cross section. TABLE I R ELATIVE P ERMEABILITY OF N ICKEL

Fig. 5.

Tabulated and approximated values of μr in CST MWS.

a multilayer conductor, as it is assumed in this paper. It might be interesting to investigate further how surface roughness on interlayer boundaries should best be taken into account, but this goes beyond the scope of this paper. The modeling efforts include some of the mentioned PCB surface finish options and various signal trace cross sections and copper surface roughness by using EM simulation tools like CST Microwave Studio. Fig. 4 shows the 50.8 mm part of the simulated PCB. An electric boundary condition at Z min was used and open boundary conditions were applied everywhere else. Additional space of 0.508 μm was included between the PCB surface and the PML at Z max . Fig. 4(a) and (b) shows 3-D view of the simulated trace cross section with and without solder mask layer, respectively. The x-ray cross section is displayed in Fig. 6(c). The differential line impedance is either 86 or 100 , as shown in Fig. 4(d) with short sections of single-ended line. The lines end in a horseshoe shaped ground where they are terminated by a 50- discrete port [see Fig. 4(c)]. The ferromagnetic characteristics of nickel were modeled in [1], originally reported in [13], μr denotes the real part of the complex relative permeability, μr = μr − j μr . The values listed in Table 1 were approximated by   f2 . (7) μr ≈ 1 + 16 ∗ Exp − 15

A matching imaginary part that satisfies Kramers–Kronig was added by means of a Hilbert transform. The corresponding integrals were evaluated numerically. All simulations were performed using the FEM-based frequency domain solver in CST Microwave Studio 2015. The solver employs a tetrahedral mesh and third-order curved elements were used where curved geometry was present. The initial mesh was created with six lines per wavelength and an adaptive meshing algorithm was then employed to ensure mesh convergence. The problem could have been solved on a single 64-b desktop PC in reasonable time but a dedicated server was used to boost performance. IV. PCB T EST L INES AND C ORRELATION R ESULTS Measurement and simulation were performed on differential impedance of 86- or 100- microstrip lines on a few test boards that are fabricated with different surface finish methods, including electroplated nickel–gold, ENIG, and ENIG/SMOBC. Fig. 6(a) shows the layout snapshots captured on one of the boards, in which two test lines of 50.8 and 101.6 mm long are marked by yellow arrows, of which 50.8 mm has the zoomed-in-layout artwork. Fig. 6(c) is the X-ray image taken along the 101.6-mm coupled microstrip line pair as marked by yellow squares in Fig. 6(a), including the top portion

TAO AND SCHARF: REVISITING EFFECT OF NICKEL CHARACTERISTICS ON HIGH-SPEED INTERCONNECT PERFORMANCE

Fig. 7. EM model of 100- PCB trace with ENIG coating layers and the IL correlation between simulation and test.

of PCB substrate, solder mask, copper trace, plated nickel– gold layer, and copper plane layer. As observed, the copper conductor is no longer a rectangular shape as a result of PCB etching process, and plated conductive surface finish layer is over sized to compensate the etching loss of conductor width. The actual geometry parameters of the conductor pair is shown in Fig. 6(b), which are used as the dimensions in EM simulations. In the simulation, the PCB substrate is ∼83.6 μm thick (out of the X-ray measurement) that is made of 370HR prepreg in original thickness of 90.7 μm with properties of ( r = 4.4 and tan δ = 0.021 at 5 GHz). The traces consist of a trapezoidal copper base and a flat layer of ENIG on top. A surface roughness of 1.0 μm was assumed at the copper-substrate boundary. Substrate and traces are covered by a solder mask layer of 12.7–17.8 μm (εr = 3.6 and tan δ = 0.019 at 5 GHz). The nickel–gold layer has thickness of 18.8 μm of which gold layer is only 0.127 μm. The measurement has been performed on the 100- test lines with ENIG surface finish by using Pico probe and vector network analyzer (VNA). The simulated trace IL is correlated reasonably well with the VNA measurements on the test line, as shown in Fig. 7, in which the rippled line is the outcome of the VNA measurement. After this verification step, the effect of the gold layer thickness on the IL was studied by a few more simulations. To this aim, two additional cases (gold layer thickness 0.254 and 0.381 μm) were simulated. The results for the 50.8 and 101.6 mm 86- lines are shown in Figs. 8 and 9, respectively. As expected, thicker gold layers lead to an improvement in the IL. At 10 GHz, the improvement is about 0.3 dB for the 50.8-mm case and 0.4 dB for the 101.6-mm case. It can also be seen that the results approach the IL for a rectangular bare copper trace with 0.146 mm width. The latter case is actually ENIG/SMOBC board finish, which will have ∼0.8 dB or 1.2-dB less loss than the normal nickel–gold (0.127 μm) finish for 50.8- and 101.6-mm PCB traces, respectively. A resonant L-L model [13] with the parameters suggested in [11] has been also used in the course of the investigations for single and coupled microstrip lines but no noticeable effect

2451

Fig. 8. Study of the effect of the Au coating thickness (0.127, 0.254, and 0.381 μm) on the IL for 50.8-mm PCB trace.

Fig. 9. Study of the effect of the Au coating thickness (0.127, 0.254, and 0.381 μm) on the IL for 101.6-mm PCB trace.

Fig. 10. Correlation of the measured and simulated 6 Gb/s eye-diagrams considering PCB surface finish with nickel–gold plating. (a) Simulation. (b) Measurement.

could be observed around the resonant frequency of 2.5 GHz (see Figs. 8 and 9). This is in agreement with the fact that the measured data do not exhibit a resonant behavior, either. However, the resonant L-L model produces worse correlation at high frequencies compared with the exponential function model proposed in this paper. From the S-parameter data extracted of the constructed EM model of PCB trace with nickel–gold surface finish, transient simulations have been conducted to predict the eye diagram at the end of signal trace. As shown in Fig. 10, this model produces quite good correlation between the measured

2452

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

Fig. 11. Measured 6 Gb/s eye-diagrams at the end of 203-mm PCB trace with two different surface finishes. (a) ENIG/SMOBC finish. (b) Hard-gold finish.

Fig. 12. Measured 6-Gb/s eye-diagrams with TX deemphasis at the end of 203-mm PCB trace (3.2 dB for ENIG/SMOBC finish, 5.4 dB for hardgold finish) (a) ENIG/SMOBC finish. (b) Hard-gold finish.

and simulated eye diagrams in vertical and horizontal eye openings. It should be noticed that the random jitter element is not taken into account in the simulation. More experiments have been performed to evaluate the surface finish effects on high-speed PCB electrical performance by using PMC-Sierra’s PM8020, which is a PCIe Gen 3 to 8-port and 6-Gb/s SAS RAID on-chip (Roc) controller designed for volume servers and blade applications. Two variants of PCB with different surface finishes-ENIG/SMOBC and electroplated nickel/gold (hard gold), are fabricated and mounted with PM8020 devices, which are configured exactly the same for the test. The captured eye diagrams at the end of 203-mm PCB trace on two boards are shown in Fig. 11, in which ENIG/SMOBC finish provides much better performance than the hard-gold finish by having larger swing level, wider eye opening, and faster edge rate at 6-Gb/s SAS-2 data rate. The electrical performance has been improved by almost 50% with the adequate board finish option—ENIG/SMOBC, as demonstrated in the two plotted eye diagrams. This comparison test has been conducted without using the transmitter deemphasis. By taking the advantage of deemphasis features available with PM8020 product, to counteract the intersymbol interference resulted from PCB trace loss, more clean eye diagrams have been achieved and shown in Fig. 12. Once again, PCB board with ENIG/SMOBC finish has much better electrical performance than that with hard-gold finish in aspects of the voltage swing and eye opening. V. C ONCLUSION After a brief review of the available PCB surface finish options and short discussion of the pros and cons of each

of them in regards to PCB board surface protection and the influence on the PCB electrical performance, measurements and FEM-based simulations were then carried out to study the effect of gold–nickel layers on the IL in PCB transmission lines. In particular, a 50.8-mm section and a 101.6-mm section were measured and simulated. Results showed good agreement between simulated and measured data. This was done to verify the simulation setup. In the next step, three different gold layer thicknesses were simulated to study the effect on the differential IL. It was found that increasing the gold layer thickness by 0.254 μm would improve the IL by 0.3 and 0.4 dB for the 50.4- and 101.6-mm case, respectively. Simulation results also indicate that PCB board with ENIG/SMOBC finish has less signal loss than that with ENIG or hard-gold finish as SMOBC would yield no Ni/Au plating layer on PCB copper trace. Shlepnev et al. [3] also studied the effect of an L-L model for a dispersive permeability model for nickel, since resonant effect was reported in literature. However, neither measurement nor simulation showed a mentionable resonant behavior. It might be interesting to investigate further, which differences in the models or test structures considered here and in [3] are mainly responsible for the different results. More experiments have been performed to evaluate the surface finish effects on high-speed PCB electrical performance by using PM8020 SRCv 8x6G evaluation boards. As a result of this measurement comparison study, ENIG/SMOBC finish has demonstrated superior performance than that of ENIG or hard gold. Thus, it has been recommended as one of preferable board surface finishes for high-speed PCB designs. ACKNOWLEDGMENT The authors would like to thank M. McMaster, T. Shelton at Viasystems, C. Nwachukwu and M. Lake at Isola Group USA for their valuable discussion on nickel–gold plating PCB surface, E. Guerrera at CMR summit for his review on the surface finish options, and J. Plasterer at PMC-Sierra for the draft review and advisable comments. The authors are grateful to their employers and PMC-Sierra’s Product-Validation and Product-Engineering groups for their support of this work. R EFERENCES [1] S. Lucyszyn, “Microwave characterization of nickel,” PIERS Online, vol. 4, no. 6, pp. 686–690, 2008. [2] D. Staiculescu, J. Laskar, J. Mendelsohn, E. Sweetman, D. Rudy, and I. Anaki, “Ni-Au surface finish effects on RF performance,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1999, pp. 1909–1912. [3] Y. Shlepnev and S. McMorrow, “Nickel characterization for interconnect analysis,” in Proc. IEEE Int. Symp. EMC, Aug. 2011, pp. 524–529. [4] J. Moreira, M. Tsai, J. Kenton, H. Barnes, and D. Faller, “PCB loadboard design challenges for multi-gigabit devices in automated test applications,” in Proc. DesignCon, Santa Clara, CA, USA, 2006. [5] X. Wu, D. Cullen, G. Brist, and O. M. Ramahi, “Surface finish effects on high-speed signal degradation,” IEEE Trans. Adv. Packag., vol. 31, no. 1, pp. 182–189, Feb. 2008. [6] A. Aguayo, “Advances in high frequency printed circuit board materials,” in Proc. Microw. Eng. Eur., Dec. 2009, pp. 11–14. [7] Y. Tao and F. Scharf, “Revisit nickel characterization effect on highspeed interconnect performance,” in Proc. IEEE NEMO, Ottawa, ON, Canada, Aug. 2015, pp. 1–3. [8] M. K. Vde, “Surface impedances for planar conductors in volume discretization methods without frequency limitations,” in Proc. 6th German Microw. Conf., Mar. 2011, pp. 1–4.

TAO AND SCHARF: REVISITING EFFECT OF NICKEL CHARACTERISTICS ON HIGH-SPEED INTERCONNECT PERFORMANCE

[9] W. Thiel and L. P. B. Katehi, “A surface impedance approach for modeling multilayer conductors in FDTD,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2002, pp. 759–762. [10] E. O. Hammerstad and F. Bekkadal, “Microstrip handbook,” Norwegian Inst. Technol., Trondheim, Norway, ELAB Rep. STF44 A74169, 1975. [11] E. Hammerstad and O. Jensen, “Accurate models for microstrip computer-aided design,” in IEEE MTT-S Int. Microw. Symp. Dig., Washington, DC, USA, May 1980, pp. 407–409. [12] G. F. Hodsman, G. Eichholz, and R. Millership, “Magnetic dispersion at microwave frequencies,” Proc. Phys. Soc. B, vol. 62, no. 6, pp. 377–390, 1949. [13] L. Landau and E. Lifshits, “On the theory of the dispersion of magnetic permeability in ferromagnetic bodies,” Phys. Zs. Sowjet., vol. 8, pp. 153–169, 1935. [14] Y. Tao and F. H. Scharf, “EM modeling of board surface finish effect on high speed PCB performance,” in Proc. DesignCon, 2012, pp. 760–785, paper 6-WA4. Yuming Tao (M’04–SM’08) was a Senior Member of the Technical Staff with the Altera Corporation, SPAR Aerospace Ltd., EMS Technologies Canada Inc., and Nortel Networks. He was an Associate Professor with the Nanjing University of Science and Technology, Nanjing, China, from 1993 to 1995, where he finished more than ten research projects and also taught several courses for undergraduates and co-supervised graduated students. He is currently with Nokia/Alcatel-Lucent Inc., Ottawa, ON, Canada, and was a Team Leader of the Signal Integrity Group with PMC-Sierra. He has been involved in various academic and engineering domains, such as electromagnetic theory and modeling, signal integrity and power integrity analysis design on high-speed signal interface at silicon, package, and PCB level, broadband RF circuits and modules for optical network system, active and passive components and subsystems for microwave and millimeter wave radar and communication systems, and antenna engineering and microstrip patch antenna array. He has authored over 40 papers and reports in these areas and holds 6 U.S. patents, 1 patent pending, and 2 Chinese patents.

2453

Frank H. Scharf (M’15) received the Ph.D. degree in electrical engineering (with a focus on modeling and simulating the plasma sheath in highintensity discharges) from Ruhr-Universität Bochum, Bochum, Germany. He was a Scientific Employee with RuhrUniversität Bochum for five years. He has been with CST of America Inc., Framingham, MA, USA, since 2008. He is currently leading one of the support teams at CST of America Inc. and focuses on optical applications. He has authored or co-authored several peer-reviewed papers and international conference contributions.

2454

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

Rapid Simulation-Driven Multiobjective Design Optimization of Decomposable Compact Microwave Passives Slawomir Koziel, Senior Member, IEEE, and Adrian Bekasiewicz

Abstract— In this paper, a methodology for fast multiobjective optimization of the miniaturized microwave passives has been presented. Our approach is applicable to circuits that can be decomposed into individual cells [e.g., compact microstrip resonant cells (CMRCs)]. The structures are individually modeled using their corresponding equivalent circuits and aligned with their accurate, EM simulated representations, by means of implicit space mapping (ISM). The ISM-corrected cells are then assembled into the entire structures and their Pareto-optimal solutions (here, representing the best possible tradeoffs between the structure size and electrical performance) are obtained using evolutionary methods. The refinement is then carried out for the selected structure realizations using, again, SM. The latter stage is necessary, because the cell-based equivalent circuit models do not account for EM cross-couplings between the cells. The proposed methodology allows for rapid identification of compromise geometries concerning size-performance tradeoffs and, more importantly, permits quality comparison of particular CMRC realizations from the point of view of their suitability for a given compact circuit implementation. Our approach is demonstrated using several variations of the three-section wideband impedance matching transformers consisting of two types of CMRC structures. Numerical validation of the results is provided. Index Terms— Compact circuits, computer-aided design, decomposable circuits, impedance transformers, multiobjective optimization, surrogate-based optimization, variable-fidelity simulations.

I. I NTRODUCTION

D

ESIGN optimization of compact microstrip circuits is a challenging task. Perhaps the most popular way of achieving size reduction is to replace the building blocks of a conventional structure with their corresponding miniaturized counterparts [1]. One of the most frequently utilized types of the geometry modifications are the compact microstrip

Manuscript received October 7, 2015; revised January 12, 2016 and June 9, 2016; accepted June 16, 2016. Date of publication July 11, 2016; date of current version August 4, 2016. This work was supported in part by the Icelandic Centre for Research (RANNIS) under Grant 163299-051, and in part by the National Science Centre of Poland under Grant 2013/11/B/ST7/04325 and Grant 2014/15/B/ST7/04683. An earlier version of this paper was presented at the 2015 IEEE MTT-S International Conference on Numerical Electromagnetic and Multiphysics Modeling and Optimization (NEMO 2015), Ottawa, ON, Canada, August 2015. The authors are with the School of Science and Engineering, Reykjavik University, 101 Reykjavik, Iceland, and also with the Faculty of Electronics, Telecommunications and Informatics, Gda´nsk University of Technology, 80233 Gda´nsk, Poland (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2583427

resonant cells (CMRCs) [1]–[5]. Their important advantages are single layer topologies and substantial size reduction rates they offer compared with conventional transmission lines (TLs) [2]. On the other hand, because of the considerable cross-coupling effects, accurate evaluation of such structures requires high-fidelity EM analysis [2], which is computationally expensive. Moreover, compact designs, including those CMRC-based exhibit complex topologies described by many parameters [3], [5], [6]. Consequently, their efficient adjustment cannot be realized using the traditional hands-on methods, such as parameter sweeps, but only by means of the numerical optimization techniques [2], [5]. Unfortunately, optimization may be impractical due to a large number of EM simulations involved in the process, particularly when using the conventional methods [5]. It can be accelerated by means of surrogate-based optimization techniques such as space mapping (SM) [2], [5], [7]. However, the equivalent circuit models typically used as a fast representation of the structure at hand are rather inaccurate in the case of the miniaturized structures with densely arranged layouts [2], [7]. Optimization of the CMRC-based compact structures is always a compromise between miniaturization rate and electrical performance of the circuit [4], [6], [8]–[10]. In order to gain an insight into the capabilities of a given structure, objectives related to geometry and electrical properties should be considered simultaneously, which calls for multiobjective optimization, where a so-called Pareto-optimal design representing the best possible tradeoffs between conflicting objectives is sought for [11] and [12]. The most popular solution approaches for multiobjective optimization are populationbased metaheuristic (e.g., genetic algorithms [11]–[14], or particle-swarm optimizers [15]–[18]). Although populationbased methods allow for identifying the entire representation of the Pareto front in a single algorithm run, they require thousands of objective function evaluations [12], [13]. Therefore, direct utilization of metaheuristics for numerical optimization of the compact circuits is prohibitive when EM analysis is utilized for structure characterization. Recently, the surrogate-assisted techniques for efficient multiobjective EM-driven optimization have been proposed in the literature [19], [20]. These methods utilize variable-fidelity electromagnetic simulations and the response surface approximation (RSA) models. The RSA is constructed using data acquired from the simulations of the low-fidelity model that is considerably faster than the high-fidelity one. The correction of

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

KOZIEL AND BEKASIEWICZ: RAPID SIMULATION-DRIVEN MULTIOBJECTIVE DESIGN OPTIMIZATION

the Pareto-optimal responses obtained at the RSA model level is conducted either using the output SM (OSM) methodology [19], or co-kriging [20]. In [21], the technique of [19] and [20] has been successfully adopted, upon combining it with the design space reduction methodology [22], for multiobjective numerical optimization of the compact impedance transformers. In [21], no equivalent circuit model has been utilized, and the 10-element Pareto set representation has been found at the cost corresponding to around 130 evaluations of the high-fidelity EM model of the structure. Because of a variety of CMRCs available, another issue, which is an appropriate selection of a cell topology for implementation of a given compact circuit, becomes important. Clearly, the optimum cell selection affects possible miniaturization rate, circuit performance, and the possible tradeoffs between these two objectives. Thus, the comparison of various CMRC structures from the point of view of their suitability for a given circuit requires individual optimization, preferably in a multiobjective sense. Fast optimization algorithms are indispensable for such a purpose. In this paper, we introduce and demonstrate a technique for rapid multiobjective optimization of compact structures. Our approach is oriented toward decomposable circuits described in Section II. The methodology described in Section III relies, as opposed to [21], on the equivalent circuit cells representations that are corrected using implicit SM (ISM) to align them with the high-fidelity EM simulation models. A Surrogate model of the entire compact circuit is then optimized using the evolutionary methods to yield initial approximation of the Pareto set. There is no need for constructing the auxiliary RSA models, as it was the case in [21]. It is further refined using (output) SM, which is necessary in order to account for EM cross-couplings between the cells, neglected at the initial stage of the process. Because of using the equivalent circuit models, the optimization is faster than in [21], which allows for computationally feasible comparison of various circuit architectures and selection of the optimum one. Our approach is demonstrated in Section IV using several examples of the CMRC-based wideband impedance matching transformers and utilized to compare various transformer configurations. The results are numerically validated using five different EM solvers. II. CMRCs-BASED D ECOMPOSABLE M INIATURIZED C IRCUITS Several classes of microwave structures realized in microstrip technology, such as couplers [23], [24], impedance transformers [25], [26], certain filters structures [27], [28] as well as power dividers [29], [30] feature modular topology, that is, can be decomposed into simpler blocks, usually in the form of TLs or coupled sections, as well as the interconnections between them [5]. This can be utilized for circuit miniaturization. A popular option [2], [5], [7] is to replace the conventional TLs by more compact cells that exhibit similar electrical performance (for frequency bands of interest) and smaller size (especially length). In the literature, such compact cells are referred to as slow wave resonant structures [7], CMRCs [23], photonic bandgap [31],

Fig. 1.

2455

Exemplary realizations of the CMRCs [1].

Fig. 2. CMRC component (Cell A). (a) Parameterized geometry and the preassigned parameters used for ISM correction of the TL model. (b) Equivalent circuit model.

Fig. 3. CMRC component (Cell B). (a) Parameterized geometry and the preassigned parameters used for ISM correction of the equivalent model. (b) Equivalent circuit model.

or electromagnetic bandgap structures [32]. Here, we use the acronym CMRC. A. CMRC Structures The idea behind utilization of the CMRC components is to replace the TL sections of the conventional circuit in order to allow size reduction [6]. This is achieved due to the slow wave phenomenon, which reduces the phase velocity at certain operating frequency, and consequently, shortens the entire section with respect to its conventional counterpart [31]. Although a large variety of cells are available in the literature [1], [3], [6], most of them share common geometrical properties. A typical CMRC consists of a high- and low-impedance TL that can be interconnected in variety of configurations. It should be noted that shortening of the circuit is obtained at a cost of increased width of the cells compared with the conventional lines [5], [23], [32]. Moreover, complex topology, multiple couplings, and high-impedance lines increase losses within the miniaturized structure. Exemplary CMRC structures are shown in Fig. 1. Interested readers can find more detailed information on CMRCs in the literature [1], [10]. Here, to illustrate the key concept of this paper, we select two cells shown in Figs. 2 and 3 along with the corresponding equivalent circuit models (utilized in the optimization process). B. Circuit Decomposition and Miniaturization Using CMRCs Design miniaturization by means of the CMRCs is conceptually shown in Fig. 4. The method is based on selection/construction of the cells that mimic the behavior of

2456

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

Fig. 4. Conceptual illustration of circuit miniaturization using CMRCs. (a) Compact rat-race coupler obtained using two types of asymmetrical CMRC components. (b) Shortened impedance transformer constructed using cascade connection of the symmetrical CMRC structures.

the decomposed TLs within the frequency range of interest. Subsequently, the circuit is reconstructed using the prepared CMRCs and optimized to meet given the design specifications. More detailed discussion on miniaturization by means of decomposition can be found in [5] and [7]. C. Design Challenges Utilization of CMRCs may lead to considerable miniaturization rates of up to ∼90%, and it is applicable for a range of microwave structures [7], [10]. On the other hand, substitution of TLs with their corresponding CMRCs increases the number of adjustable parameters [5], [21]. This makes the circuit design more complex in terms of finding the optimum values of its geometry parameters. Another issue is that considerable EM cross-couplings present in the miniaturized layouts cannot be accurately accounted for using the equivalent circuit models, so that their accurate responses can be obtained only by means of expensive EM simulations [2]. Finally, there is a large variety of CMRC components available and described in the literature [1]–[10], and the problem of selecting the most appropriate one(s) for a specific application is far from trivial. Normally, the cell selection is carried out quite arbitrarily, and no systematic approaches for reliable performance- and suitability-driven cell assessment exist. Consequently, the decision on the final configuration of the compact circuit is normally based on subjective preferences of the engineer. One of the objectives of this paper is to address the aforementioned problems by providing the fast EM-driven methods (here, in multiobjective setting) that can be used not only for circuit optimization but also to performance-driven comparison of various CMRC configurations, so that selection of the most advantageous one can be made in a systematic manner. III. R APID M ULTIOBJECTIVE O PTIMIZATION OF C OMPACT C IRCUITS In this section, we formulate a multiobjective optimization problem, discuss CMRC correction using ISM, and outline the proposed optimization procedure. Numerical examples are provided in Section IV. A. Problem Formulation The goal is simultaneous minimization of the objectives Fk (R f (x)), k = 1, . . . , Nobj , where R f (x) is a high-fidelity

Fig. 5. ISM correction of the type A CMRC structure of Fig. 2. Equivalent circuit model (- - -), EM simulation model (—), and ISM-corrected circuit model (◦) at (a) selected training points and (b) random test points.

EM model of a compact structure under design and x is a vector of relevant geometry parameters to be adjusted. If Nobj > 1, then any two designs x(1) and x(2) for which Fk (R f (x(1))) < Fk (R f (x(2) )) and Fl (R f (x(2))) < Fl (R f (x(1))) for at least one pair k = l are noncommensurable, i.e., none is better than the other in multiobjective sense. Comparison of solutions in multidimensional feature space can be realized using a Pareto dominance relation ≺ defined as follows: x ≺ y (x dominates y) if Fk (R f (x)) ≤ Fk (R f (y)) for all k = 1, . . . , Nobj , and Fk (R f (x)) < Fk (R f (y)) for all at least one k [33]. The multiobjective optimization task is to find a representation of a Pareto front X P composed of the designs in the search space that are not dominated by any other ones [33]. B. CMRC Correction by Implicit Space Mapping The first stage of the process is to obtain alignment between the equivalent circuit and the EM simulation models for the CMRC structure. For that purpose, we utilize ISM [34] with the substrate parameters as the preassigned parameters. The ISM is chosen because of its flexibility (any number of variables, even for individual microstrip components, can be used if necessary) and the fact that it does not alter (as opposed to the input SM [35]) the model variable space. In this paper, we use six preassigned parameters (three substrate heights and three permittivities) p = [h 1 h 2 h 3 ε1 ε2 ε3 ]T (see Figs. 2 and 3). The SM surrogate Rs.cell of a CMRC component is obtained as (y represents the geometry parameters of the cell) (1) Rs.cell (y) = Rs.I.cell (y, p∗ ) where Rs.I.cell (y, p) denotes an equivalent circuit cell model with explicit dependence on its preassigned parameter p. The optimum variable vector p∗ is obtained by solving the nonlinear parameter extraction process p∗ = arg min p

N cell 

||Rs.I.cell (y(k) , p) − Rf.cell (y(k) )||

(2)

k=1

where the vectors y(k) , k = 1, . . . , Ncell , denote the training (or base) designs. Here, we use the star-distributed [36] base with Ncell = 2n+1, where n is the number of components in y. The training points are allocated at the center of the parameter space of the cell and the centers of its faces. Fig. 5 shows the responses of the CMRC component (Cell A): its equivalent circuit model before and after

KOZIEL AND BEKASIEWICZ: RAPID SIMULATION-DRIVEN MULTIOBJECTIVE DESIGN OPTIMIZATION

2457

Pareto front representation. The termination condition of the algorithm is based on the average number of nondominated individuals created in several subsequent iterations [38]. Typically, the algorithm converges in less than 100 iterations. The execution time is ∼10 min per run. E. Pareto Set Refinement

Fig. 6. Three-section wideband impedance matching transformer consisting of one type A cell and two type B cells [see Fig. 8(d)]. The plots show the responses of the equivalent circuit surrogate model assembled using the ISM-corrected CMRC components (- - -), the high-fidelity EM transformer model (—), and the frequency scaled surrogate (◦) at two different designs (left- and right-hand side plots).

ISM correction, as well as the corresponding responses of the EM simulation model of the cell. Verification performed using the random testing points indicate good generalization capability of Rs.cell .

The Pareto set obtained in Section III-D is merely an approximation of the true Pareto front, corresponding to the ISM-corrected equivalent circuit model of the compact structure under design. The refinement procedure [19], [20] described in the following aims at elevating a set of the (k) selected designs xs , k = 1, . . . , K extracted, from the initial Pareto front representation found by MOEA, to the highfidelity EM model level. The refinement stage exploits the additive response correction (OSM) [19] of the form    (k.i+1) = arg min F1 Rs (x) + R f (x(k.i) ) − Rs (x(k.i) ) (3) xf s s x

C. Surrogate Modeling of Entire Structure A surrogate model Rs (x) of the entire compact structure is assembled from individual CMRC components corrected using ISM, as described in Section III-B. The geometry parameter vector x = [y1T . . . yTp ]T , where p is the number of CMRC structures and yk is the parameter vector of the kth cell. Each cell has its own preassigned parameter vector p∗k determined using (2). In order to improve the alignment between Rs (x) and the high-fidelity model of the entire structure, the surrogate can be additionally corrected using frequency SM [37], which is a simple operation and requires only two parameters (here, we use affine frequency SM being a composition of a shift and frequency scaling [7]). Moreover, the cross-couplings between the CMRC components not accounted for at the level of individual cells usually lead to the frequency shifts between Rs and R f . The advantages of this approach can be observed in Fig. 6 showing the response of a three-section impedance matching transformer consisting of two type A cells and one type B cell [see Fig. 8(d)] at two different designs before and after frequency SM is applied. Note that frequency scaling improves model alignment in terms of the frequency allocation of the steep parts of the response (obviously, it does not affect the response levels). This is helpful for subsequent design refinement as described in Section III-E. D. Initial Pareto Set Representation Using Evolutionary Algorithms Having the surrogate model Rs , an initial approximation of the Pareto set is obtained by optimizing Rs using a multiobjective evolutionary algorithm (MOEA). Here, we use a standard MOEA with fitness sharing, Pareto-dominance tournament selection, and mating restrictions [19], [33]. It should be noted that, because the circuit model representation is very fast, one can afford execution of the population-based metaheuristics at this stage of the process. We utilize a 200-element population, which is sufficient to yield a good-quality

s.t. Fq (x) ≤ Fq (x(k.i) ), q = 2, . . . , Nobj s

(4)

is the refined version of x(k) obtained in the where x(k.i) f f i th iteration of (3). The optimization process (3) is constrained (k) not to increase the remaining objectives as compared with x s . The surrogate model Rs is corrected using the OSM term R f (x s(k.i) ) – Rs (x s(k.i) ) (here, x(k.0) = x(k) s ). Consequently, the f corrected surrogate coincides with R f at the beginning of each iteration. In practice, two or three iterations of (3) are sufficient to find a refined high-fidelity model design x(k) f . After completing the refinement stage, a set of Paretooptimal high-fidelity model designs is created. This set is the final outcome of the multiobjective optimization process. It should be noted that in the case of very tight arrangement of the cells (leading to considerable cross-coupling effects), the refinement process (3) may not work properly because of significant discrepancies between the surrogate and the high-fidelity model. On the other hand, for a typical compact structure for which the TL sections are replaced by a CMRC without considerable modification of the circuit architecture (e.g., to obtain heavily packed layout), cross-couplings are not very strong and can be accommodated by both global SM correction (see Section II-C) and OSM in (3). F. Design Optimization Flow The entire multiobjective design optimization algorithm can be summarized as follows. 1) Perform ISM correction of the CMRC components (Section III-B). 2) Assemble the surrogate model Rs of the entire compact structure (Section III-C). 3) Obtain the initial Pareto set by optimizing Rs using MOEA (Section III-D). (k) 4) Refine selected elements of the Pareto set xs to obtain (k) the corresponding high-fidelity model designs x f (Section III-E). It should be emphasized that Step 1 of the above procedure is performed only once, i.e., the ISM-corrected cells can

2458

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

Fig. 8. Eight realizations of a three-section wideband impedance transformer using the CMRC components of Fig. 2 (cell A) and Fig. 3 (cell B).

Fig. 7. Flow diagram of multiobjective optimization of decomposable compact structures. Note that ISM correction of the cell is performed only once and its results can be reused for other projects. Dashed line: ISM step is repeated for all the CMRCs considered for construction of a given compact structure.

be reused in various compact structures. The high-fidelity model R f is not evaluated until the refinement stage (Step 4). Furthermore, the cost of finding the high-fidelity model Paretooptimal set is only about three to five evaluations of the highfidelity model per design, and it is pretty much independent of the dimensionality of the design space. As mentioned in Section III-E, additional SM correction of the entire structure surrogate Rs may be useful in some situations. The flow diagram of the entire optimization process is shown in Fig. 7. IV. S IMULATION C ASE S TUDIES In this section, we demonstrate the multiobjective optimization procedure of Section III using several variations of the three-section wideband impedance matching transformers. A. CMRC Components and Transformer Structures For the sake of illustration of the proposed optimization procedure, we consider eight realizations of a three-section wideband 50–130 ohm impedance matching transformer implemented on a Taconic RF-35 substrate (εr = 3.5, tanδ = 0.0018, and h = 0.762 mm) using various combinations of the two CMRC components of Fig. 2 (cell A) and Fig. 3 (cell B). The realizations will be referred to as AAA, AAB, ABA, ABB, BAA, BAB, BBA, and BBB. They are shown in Fig. 8. Each structure will be optimized in a multiobjective sense, as described in Section III. Their respective Pareto fronts are subsequently compared with respect to the structure size and its electrical performance. B. CMRC Component Correction The first stage of the process is to carry out ISM correction of the CMRC components. The type A and B cells have

Fig. 9. ISM correction of the type B CMRC structure (Fig. 3). Equivalent circuit model (- - -), EM simulation model (—), and ISM-corrected circuit model (◦) at (a) selected training points and (b) random test points.

five geometry parameters y = [w1A w2A w3A l1A l2A ]T (cell A) and y = [w1B w2B w3B l1B l2B ]T (cell B). The lower and upper bounds for these parameters are identical for both the cell types: l = [0.1 0.1 0.1 2.0 0.1]T mm, and u = [1.0 1.2 1.0 0.5 1.0]T mm. Detailed dimensions of the considered CMRCs are shown in Figs. 2 and 3. The ISM correction is realized using 11 star-distributed high-fidelity training points and results in the following values of the preassigned parameters p∗A = [h 1 h 2 h 3 ε1 ε2 ε3 ]T = [0.979 0.158 0.219 4.28 2.00 2.00]T and ∗ p B = [1.023 0.172 0.219 4.48 2.32 2.61]T (substrate height in mm). The cell responses before and after ISM corrections are shown in Fig. 5 (for the A cell) and in Fig. 9 (B cell). It can be observed that ISM performs well for both cells ensuring good approximation and generalization of the surrogate. C. Multiobjective Optimization of Equivalent Circuit Surrogates The initial Pareto front approximations have been obtained by optimizing the transformer surrogates, as described in Section III-D. The design objectives are as follows. 1) F1 —circuit size defined as the area of the smallest rectangle encompassing the structure. 2) F2 —maximum in-band return loss, max(|S11|), for 2–5.5-GHz frequency range.

KOZIEL AND BEKASIEWICZ: RAPID SIMULATION-DRIVEN MULTIOBJECTIVE DESIGN OPTIMIZATION

2459

Fig. 10. Initial approximations of the Pareto fronts for eight realizations of the three-section wideband impedance matching transformer shown in Fig. 8. Acceptable level of the maximum in-band return loss marked using horizontal line.

Fig. 11. Final Pareto set representations (at the high-fidelity EM simulation model level) for eight realizations of the three-section wideband impedance matching transformer shown in Fig. 8. Acceptable level of the maximum in-band return loss marked using horizontal line.

Fig. 10 shows the comparison of the Pareto fronts obtained for all the eight transformer structures. The cost of evolutionary optimization was up to 20 000 surrogate model evaluations (population size 200 and maximum number of iterations 100), which takes ∼20 min on a standard PC machine. In all cases, the evolutionary algorithm was initialized in part randomly and in part using the optimum design of the surrogate model with respect to its electrical performance (design objective F2 ). This was done in order to ensure that the Pareto front will contain the F2 -optimized geometry (which is not guaranteed without appropriate initialization because of complexity of the optimization problem at hand). The plots in Fig. 10 indicate some fundamental differences between various configurations of the impedance transformer of Fig. 8. While the range of maximum in-band return loss along the Pareto fronts is similar for all cases (from about −8 to −23 dB), the structures differ considerably with respect to the size. It can be observed that the three realizations, specifically, AAA, AAB, and ABB exhibit similar performance. On the other hand, all the configurations with the type B cell at the beginning of the structure are characterized by significantly larger size. Clearly, it is expected that the situation may be slightly differ after design refinement; however, the general conjecture about the advantages of the Axx configurations versus Bxx ones seems to be reasonable.

was shown in Fig. 10 (except the configurations BAB and BBA that perform better than at the level of the surrogate model). The maximum in-band return loss is lower than for the surrogate models (from around −15 to −23 dB), which is partially due to the fact that the refinement procedure is focus on more precise local optimization, which allows to improve the electrical performance of the structure more than in the case of evolutionary optimization. It can be observed that the two configurations, specifically, AAB and ABB are superior compared with others with ABB being an overall winner (its corresponding Pareto front dominates over all the other configurations). This means that ABB configuration is the most advantageous for realizing the considered structure of the three-section impedance matching transformer (for the selected frequency range). In particular, it offers the best tradeoffs between the structure size and its electrical performance. Fig. 12 shows the frequency responses at the three designs selected from the Pareto front of the ABB transformer configuration. The designs correspond to the smaller transformer size (design x(1)), the best electrical performance (design x(3)), and the intermediate solution (design x(2)). The sizes and the maximum in-band return loss levels are given in Table I. The detailed transformer dimensions are gathered in Table II. It can be noted that the range of the transformer sizes is from about 18 to over 34 mm2 (almost 50% of size reduction from the largest to the smallest design), whereas the corresponding variation of the maximum in-band return loss levels is from around −16 to −23 dB. The results shown in Fig. 12 have been validated using a time domain solver based on finite integration technique (FIT)—implemented in CST Microwave Studio. Structures have also been simulated using four frequency domain solvers: two based on finite-element method (FEM) of CST Studio and Ansys HFSS, respectively, and two based on method of moments (MoM) implemented in Agilent ADS and Sonnet. The vertical misalignments between the obtained

D. Pareto Front Refinement Discussion and Comparisons In the last stage of the multiobjective optimization process, ten designs selected from the initial Pareto front representation have been refined using the algorithm of Section III-E. The final Pareto sets obtained this way for all the eight realizations of the impedance transformer are shown in Fig. 11. The cost of the refinement stage is 50 high-fidelity transformer model evaluations (five per design) for each configuration. The Pareto sets presented in Fig. 11 indicate a general agreement in terms of the front arrangements compared with what

2460

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

TABLE II PARAMETERS OF S ELECTED PARETO -O PTIMAL D ESIGNS OF THE T RANSFORMER (ABB C ONFIGURATION )

terms of their suitability to implement compact microwave structures (here, an impedance matching transformer). V. C ONCLUSION

Fig. 12. Frequency responses of the ABB transformer configuration at design (a) x(1) , (b) x(2) , and (c) x(3) . Characteristics have been obtained using FIT-based time domain and the FEM-based frequency domain simulators, both implemented in CST Microwave Studio. The responses have been also obtained using FEM of HFSS (gray), and through simulations based on the MoM method implementations available in Sonnet (black) and Agilent ADS (gray). TABLE I P ERFORMANCE C OMPARISON OF S ELECTED PARETO -O PTIMAL T RANSFORMER D ESIGNS (ABB C ONFIGURATION )

In this paper, a technique for rapid multiobjective optimization of the compact microwave passive components has been presented. The main application areas of our methodology are decomposable structures, e.g., those constructed using the CMRC components. ISM correction of the cells is followed by Pareto optimization of the enhanced equivalent circuit model of the entire structure. The initial Pareto front obtained this way is further refined using the response correction techniques leading to a set of geometries representing the best possible tradeoffs between the structure size and its electrical performance. Apart from rapid identification of such design alternatives, our approach permits quality comparison of particular CMRC realizations as shown using the three-section wideband impedance transformers. The methodology can be used for handling the other types of compact structures, such as microstrip couplers. These and other applications will be demonstrated in a separate work. ACKNOWLEDGMENT

responses within the frequency range of interest are ∼1, 2, and 2.2 dB for the designs x(1), x(2) , and x(3) , respectively. As mentioned before, configuration AAB exhibits similar (although not as good) performance. Configurations relying on identical cells (AAA and BBB) are comparable with each other in terms of their size-performance tradeoffs although the BBB configuration offers lower return loss. In addition, these configurations are considerably larger (29 versus 23.5 mm2 , i.e., ∼25% difference) than the ABB configuration for a maximum in-band return loss of −20 dB. The examples considered in this section demonstrate that the fast design optimization methods allow systematic and comprehensive comparison of various CMRC components in

The authors would like to thank Computer Simulation Technology AG, Darmstadt, Germany, for making the CST Microwave Studio available. R EFERENCES [1] P. Kurgan, J. Filipcewicz, and M. Kitlinski, “Development of a compact microstrip resonant cell aimed at efficient microwave component size reduction,” IET Microw., Antennas Propag., vol. 6, no. 12, pp. 1291–1298, Sep. 2012. [2] S. Koziel, A. Bekasiewicz, and P. Kurgan, “Rapid EM-driven design of compact RF circuits by means of nested space mapping,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 6, pp. 364–366, Jun. 2014. [3] C. H. Tseng and C. L. Chang, “A rigorous design methodology for compact planar branch-line and rat-race couplers with asymmetrical T-structures,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 7, pp. 2085–2092, Jul. 2012.

KOZIEL AND BEKASIEWICZ: RAPID SIMULATION-DRIVEN MULTIOBJECTIVE DESIGN OPTIMIZATION

[4] M. A. Salari, O. Manoochehri, and S. Abbasiniazare, “Miniaturized microstrip ring hybrid with defected microstrip structure,” Microw. Opt. Technol. Lett., vol. 55, no. 10, pp. 2245–2248, Oct. 2013. [5] A. Bekasiewicz, P. Kurgan, and M. Kitlinski, “New approach to a fast and accurate design of microwave circuits with complex topologies,” IET Microw., Antennas Propag., vol. 6, no. 14, pp. 1616–1622, Nov. 2012. [6] C.-H. Tseng and H.-J. Chen, “Compact rat-race coupler using shuntstub-based artificial transmission lines,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 11, pp. 734–736, Nov. 2008. [7] A. Bekasiewicz, S. Koziel, and B. Pankiewicz, “Accelerated simulationdriven design optimisation of compact couplers by means of two-level space mapping,” IET Microw., Antennas Propag., vol. 9, no. 7, pp. 618–626, May 2015. [8] C. F. Zhang, “Planar rat-race coupler with microstrip electromagnetic bandgap element,” Microw. Opt. Technol. Lett., vol. 53, no. 11, pp. 2619–2622, Nov. 2011. [9] P. Kurgan and M. Kitlinski, “Doubly miniaturized rat-race hybrid coupler,” Microw. Opt. Technol. Lett., vol. 53, no. 6, pp. 1242–1244, Jun. 2011. [10] A. Bekasiewicz and P. Kurgan, “A compact microstrip rat-race coupler constituted by nonuniform transmission lines,” Microw. Opt. Technol. Lett., vol. 56, no. 4, pp. 970–974, Apr. 2014. [11] S. H. Yeung and K. F. Man, “Multiobjective optimization,” IEEE Microw. Mag., vol. 12, no. 6, pp. 120–133, Oct. 2011. [12] S. Koziel, A. Bekasiewicz, and P. Kurgan, “Rapid multi-objective simulation-driven design of compact microwave circuits,” IEEE Microw. Wireless Compon. Lett., vol. 25, no. 5, pp. 277–279, May 2015. [13] Y. Kuwahara, “Multiobjective optimization design of Yagi–Uda antenna,” IEEE Trans. Antennas Propag., vol. 53, no. 6, pp. 1984–1992, Jun. 2005. [14] H. Choo, R. L. Rogers, and H. Ling, “Design of electrically small wire antennas using a Pareto genetic algorithm,” IEEE Trans. Antennas Propag., vol. 53, no. 3, pp. 1038–1046, Mar. 2005. [15] S. Chamaani, M. S. Abrishamian, and S. A. Mirtaheri, “Time-domain design of UWB Vivaldi antenna array using multiobjective particle swarm optimization,” IEEE Antennas Wireless Propag. Lett., vol. 9, pp. 666–669, 2010. [16] N. Jin and Y. Rahmat-Samii, “Advances in particle swarm optimization for antenna designs: Real-number, binary, single-objective and multiobjective implementations,” IEEE Trans. Antennas Propag., vol. 55, no. 3, pp. 556–567, Mar. 2007. [17] S. Koulouridis, D. Psychoudakis, and J. L. Volakis, “Multiobjective optimal antenna design based on volumetric material optimization,” IEEE Trans. Antennas Propag., vol. 55, no. 3, pp. 594–603, Mar. 2007. [18] S. K. Goudos and J. N. Sahalos, “Pareto optimal microwave filter design using multiobjective differential evolution,” IEEE Trans. Antennas Propag., vol. 58, no. 1, pp. 132–144, Jan. 2010. [19] S. Koziel and S. Ogurtsov, “Multi-objective design of antennas using variable-fidelity simulations and surrogate models,” IEEE Trans. Antennas Propag., vol. 61, no. 12, pp. 5931–5939, Dec. 2013. [20] S. Koziel, A. Bekasiewicz, I. Couckuyt, and T. Dhaene, “Efficient multiobjective simulation-driven antenna design using co-Kriging,” IEEE Trans. Antennas Propag., vol. 62, no. 11, pp. 5900–5905, Nov. 2014. [21] A. Bekasiewicz, S. Koziel, and L. Leifsson, “Fast multi-objective design optimization of compact UWB matching transformers using variable-fidelity EM simulations and design space reduction,” in Proc. IEEE MTT-S Int. Conf. Numer. Electromagn. Multiphys. Modeling Optim. (NEMO), Ottawa, ON, Canada, Aug. 2015, pp. 1–3. [22] S. Koziel, A. Bekasiewicz, and W. Zieniutycz, “Expedited EM-driven multiobjective antenna design in highly dimensional parameter spaces,” IEEE Antennas Wireless Propag. Lett., vol. 13, pp. 631–634, 2014. [23] W. Nie, S. Luo, Y.-X. Guo, and Y. Fan, “Miniaturized rat-race coupler with harmonic suppression,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 11, pp. 754–756, Nov. 2014. [24] B. F. Zong, G. M. Wang, C. X. Zhang, and Y. W. Wang, “Miniaturised branch-line coupler with ultra-wide high suppression stopband,” Electron. Lett., vol. 50, no. 19, pp. 1365–1367, Sep. 2014. [25] N.-C. Kuo, C.-N. Chiu, H.-C. Hsieh, and J.-S. Chen, “Radiation minimization of single-stub microstrip impedance transformers,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 3, pp. 1018–1029, Mar. 2013. [26] H.-R. Ahn, “Modified asymmetric impedance transformers (MCCTs and MCVTs) and their application to impedance-transforming three-port 3-dB power dividers,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 12, pp. 3312–3321, Dec. 2011.

2461

[27] M.-I. Lai and S.-K. Jeng, “Compact microstrip dual-band bandpass filters design using genetic-algorithm techniques,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 1, pp. 160–168, Jan. 2006. [28] R. Gómez-García and A. C. Guyette, “Reconfigurable multi-band microwave filters,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 4, pp. 1294–1307, Apr. 2015. [29] L.-S. Wu, Y.-X. Guo, and J.-F. Mao, “Balanced-to-balanced Gysel power divider with bandpass filtering response,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 12, pp. 4052–4062, Dec. 2013. [30] X. Ren, K. Song, M. Fan, Y. Zhu, and B. Hu, “Compact dual-band Gysel power divider based on composite right- and left-handed transmission lines,” IEEE Microw. Wireless Compon. Lett., vol. 25, no. 2, pp. 82–84, Feb. 2015. [31] S. Opozda, P. Kurgan, and M. Kitlinski, “A compact seven-section ratrace hybrid coupler incorporating PBG cells,” Microw. Opt. Technol. Lett., vol. 51, no. 12, pp. 2910–2913, Dec. 2009. [32] D. Nesic, “Slow-wave EBG microstrip rat-race hybrid ring,” Electron. Lett., vol. 41, no. 21, pp. 1181–1183, Oct. 2005. [33] K. Deb, Multi-Objective Optimization Using Evolutionary Algorithms. New York, NY, USA: Wiley, 2001. [34] J. W. Bandler, Q. S. Cheng, N. K. Nikolova, and M. A. Ismail, “Implicit space mapping optimization exploiting preassigned parameters,” IEEE Trans. Microw. Theory Techn., vol. 52, no. 1, pp. 378–385, Jan. 2004. [35] J. W. Bandler et al., “Space mapping: The state of the art,” IEEE Trans. Microw. Theory Techn., vol. 52, no. 1, pp. 337–361, Jan. 2004. [36] Q. S. Cheng, S. Koziel, and J. W. Bandler, “Simplified space-mapping approach to enhancement of microwave device models,” Int. J. RF Microw. Comput.-Aided Eng., vol. 16, no. 5, pp. 518–535, Sep. 2006. [37] J. W. Bandler, N. Georgieva, M. A. Ismail, J. E. Rayas-Sanchez, and Q.-J. Zhang, “A generalized space-mapping tableau approach to device modeling,” IEEE Trans. Microw. Theory Techn., vol. 49, no. 1, pp. 67–79, Jan. 2001. [38] C. C. Coello, G. B. Lamont, and D. A. van Veldhuizen, Evolutionary Algorithms for Solving Multi-Objective Problems. New York, NY, USA: Springer, 2007.

Slawomir Koziel (M’03–SM’07) received the M.Sc. and Ph.D. degrees in electronics engineering, the M.Sc. degrees in theoretical physics and mathematics, and the Ph.D. degree in mathematics from the Gda´nsk University of Technology, Gda´nsk, Poland, in 1995 2000, 2000, 2002, and 2003, repectively. He is currently a Professor with the School of Science and Engineering, Reykjavik University, Reykjavik, Iceland, and a Visiting Professor with the Gda´nsk University of Technology. His current research interests include CAD and modeling of microwave circuits, simulation-driven design, surrogate-based optimization, space mapping, circuit theory, analog signal processing, evolutionary computation, and numerical analysis.

Adrian Bekasiewicz received the M.Sc. degree in electronics engineering from the Gda´nsk University of Technology, Gda´nsk, Poland, in 2011, where he is currently pursuing the Ph.D. degree in wireless communication engineering. He is currently a Research Associate with the School of Science and Engineering, Reykjavik University, Reykjavik, Iceland. He has authored or co-authored over 150 peer-reviewed papers. His current research interests include multiobjective optimization, metaheuristic algorithms, design of compact microwave antennas, and miniaturization of microwave/RF components.

2462

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

Rotating References for the Time-Domain Analysis of Magnetized Ferrites Abdelwahab Benouatas

Abstract— In this paper, the use of a reference rotating around the axis of magnetization, with the resonance frequency γ H 0 (γ is the gyromagnetic ratio and H 0 is the applied magnetic field), allows reducing the number of equations and fields needed for the time-domain analysis of magnetized ferrites compared with the usual case, where a fixed reference is used. The finite-difference time-domain method is used to implement the obtained equations for the analysis of some guiding structures. Numerical results show good agreement with those obtained using the equations of the fixed reference, with an improvement in the efficiency of the simulation. Index Terms— Equation of motion, magnetized ferrites, resonance frequency, rotating references.

I. I NTRODUCTION

M

AGNETIZED ferrites are the essential element in many microwave devices, such as circulators, phase shifters, isolators, and filters [1]. Those devices have been analyzed, in the past, with many frequency-domain numerical methods. Recently, due the progress made in the time-domain methods, which allowed the integration of dispersive materials [3]–[9], the time-domain analysis of ferrite devices has become of interest. Nevertheless, the analysis involves many fields: E, B, H, and M, which increases computational costs and code amount [10]. For the solution of this problem, we propose, in this paper, to use a reference rotating around the axis of magnetization with the resonance frequency (see Fig. 1). A transformation of the time-derivative operator will be introduced in Maxwell equations and the constitutive relation based on the equation of motion of the usual fixed reference. This will reduce the coupling effect between the fields involved, which allows obtaining a couple of equations involving only the electric and the magnetic fields. To validate the obtained equations, we shall implement them using the finite-difference time-domain (FDTD) method to analyze some guiding structures. Numerical results will be given and compared with other available results. II. T IME -D OMAIN E QUATIONS As usual, we suppose that the space is related to a fixed reference R(x, y, z): the electromagnetic field in a ferrite Manuscript received March 10, 2015; revised August 13, 2015, December 18, 2015, and June 8, 2016; accepted June 11, 2016. Date of publication June 30, 2016; date of current version August 4, 2016. The author is with the School Abdessalam Abdellah, Bordj Bou Arréridj 34000, Algeria (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2581164

Fig. 1.

Ferrite sample in two different references R and R  .

medium can be described by the two curl Maxwell equations ∂E 1 = ∇ ∧H (1) ∂t ε0 εr ∂B = −∇ ∧ E (2) ∂t where ε0 and εr are the permittivity of vacuum and the relative permittivity of the medium, respectively, and the constitutive relation B = μ0 (H + M).

(3)

Taking the time derivative of both sides of (3), then using the equation of motion ∂M = −γ M ∧ H ∂t we obtain ∂B = μ0 ∂t



 ∂H − γM ∧ H ∂t

(4)

(5)

where γ is the gyromagnetic ratio, and M and H are the magnetization and the magnetic field, respectively, defined as

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

BENOUATAS: ROTATING REFERENCES FOR TIME-DOMAIN ANALYSIS OF MAGNETIZED FERRITES

2463

the sum of their static components (M S and H0 , respectively) and alternative components M = Ms uz + m H = H0 u z + h

(6) (7)

where uz is the unit vector of the z-direction, considered here as the direction of magnetization. Substituting (6) and (7) in (5), then neglecting m ∧ h (small signal approximation [3], [10]), we obtain   ∂H ∂B = μ0 − γ Ms uz ∧ h − m ∧ γ H0uz . (8) ∂t ∂t Using (6) and (7) in (8) to replace m and h, we obtain   ∂H ∂B = μ0 − γ Ms uz ∧ H − M ∧ γ H0 uz . ∂t ∂t

(9)

Eliminating M in (9) using (3), we obtain ∂B ∂H = μ0 − μ0 γ (Ms + H0 ) uz ∧ H + γ H0uz ∧ B. (10) ∂t ∂t Now, consider another reference R (x , y , z ), where the axis Oz  coincides with Oz, rotating around it with the angular frequency γ H0 . Since γ is either positive or negative, the sense of rotation can be either clockwise or counterclockwise. To analyze the ferrite sample in this reference, we need to make, in (1), (2), and (5), the following operator transformation [11]–[13]:   ∂ ∂ → + γ H0 uz ∧ (11) ∂t ∂t we obtain ∂E 1 ∇∧H + γ H0 uz ∧ E = ∂t ε0 εr ∂B + γ H0 uz ∧ B = −∇ ∧ E ∂t   ∂B ∂H = μ0 − γ Ms uz ∧ H . ∂t ∂t

(12) (13) (14)

In the Appendix, we show that if we use (14) to eliminate the magnetic flux density in (13), we will obtain the following equation:  t 1 ∂H +γ 2 H0 Ms Ht dt + γ (H0 − Ms )uz ∧ H = − ∇ ∧ E ∂t μ0 0 (15) where Ht refers to the components of the magnetic field transverse to the direction of magnetization. Thus, as a final result: in the reference R, we need three equations [(1), (2), and (10)], with three field variables (E, B, and H); in the reference R , we need only two equations [(12) and (15)], with only two field variables (E and H), which is more efficient. It should be noted that the use of a rotating reference will cause, in the frequency domain, a frequency shift by the amount ω → ω − γ H0

(16)

Fig. 2.

Transversely magnetized ferrite-loaded structures.

which must be considered when calculating the resonance frequencies of the considered guiding structures. This frequency shift is equivalent to the variable transformation introduced in the constitutive relation based on Polder tensor in [14] that allowed obtaining an equation identical to (15). It was shown in [15] and later in [16] that this variable transformation resulted from the use of a reference rotating around the axis of magnetization with the resonance frequency. In this paper, we used a different approach for the obtention of (15). First, we derived (10) from the constitutive relation based on the equation of motion using the usual fixed reference and showed the presence of coupling effect between the magnetic field and the magnetic flux density. Then, we applied the operator transformation (11) proper to the rotating reference to reduce the coupling effect and obtain later (15) involving only the electric and the magnetic fields. III. FDTD I MPLEMENTATION For the FDTD implementation of (12) and (15), we propose to use a direct approach based on a rectangular approximation and using the two approximating formulas En − En−1 ∂E |t =nt ≈ ∂t t

1 1  ∂H   Hn+ 2 − Hn− 2  ≈ 1 ∂t t = n+ 2 t t



t 0

1 m=n− 2    Hdt   1  ≈ t Hm t = n+ t 2

(17) (18)

(19)

1 m= 2

where t is the time step whose value is limited by the stability criterion. The derivation of the stability criterion as well as the numerical dispersion relation for this algorithm

2464

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

Fig. 4. Propagation constant versus frequency for the dominant mode in the waveguide of Fig. 2(b).

Note that in (20), uz ∧ En−1 is not located at the same grid point as En−1 , and similarly, in (21), uz ∧ Hn−1 is not located at the same point as Hn−(1/2) . Their values can be calculated using interpolation [3]. IV. N UMERICAL R ESULTS

Fig. 3. Propagation constant versus frequency for the dominant mode in the completely filled waveguide. (a) Longitudinal magnetization. (b) Transverse magnetization.

is beyond the scope of this paper; nevertheless, in order to ensure the stability of the simulation, it would be better to choose a value for the time step sufficiently smaller than the largest value allowed by the CFL criterion. For (12) and (15), we obtain the following approximating equations: En = En−1 − tγ H0uz ∧ En−1 + H =H n

n−1

− γ H0 Ms t 2

2

m=n−1 

t ∇ ∧ Hn−1 ε0 εr

(20)

Htm

m=0

− tγ (H0 − Ms )uz ∧ Hn−1 −

t ∇ ∧ En . μ0

(21)

The rotational terms can be discretized as usual using central differencing.

To validate the method proposed earlier, we calculate the variation of the propagation constant in function of the frequency for the dominant mode in some structures, completely or partially filled with magnetized ferrites. For this purpose, we used the compact FDTD scheme, which is the most suitable. The first structure is a completely filled rectangular waveguide, with a = 6.89 mm and b = 3.06 mm [Fig. 2(a)]. Ferrite parameters are: ε f = 11, Ms = 85.27 kA/m, and H0 = 39.80 kA/m. For the simulation of this structure, a mesh resolution x = y = 0.255 mm (27 × 12 grid points) was used and the number of time iterations was set to 10 000. In order to ensure the stability of the simulation, the value of the time step t for this structure and for the other ones was set t = 0.85.tmax , where .tmax is the largest value allowed by the CFL criterion. The obtained results for two different states of magnetization, longitudinal and transverse, are shown in Fig. 3(a) and (b), respectively. For the transversemagnetization case, good agreement was obtained with the exact results. For the longitudinal-magnetization case, good agreement was obtained also with other results obtained using the transverse operator method in [17]. The second structure [Fig. 2(b)] is a WR90 waveguide, partially filled with transversally magnetized concentric ferrite slab of the same width. The obtained results are shown in Fig. 4 and compared with the exact results. Good agreement is obtained. The last structure is a finline with a composite dielectricferrite substrate [Fig. 2(b)], with dl = 1.625 mm,

BENOUATAS: ROTATING REFERENCES FOR TIME-DOMAIN ANALYSIS OF MAGNETIZED FERRITES

2465

V. C ONCLUSION In this paper, we have shown that the use of a reference rotating around the axis of magnetization of magnetized ferrites with the resonance frequency allows reducing the number of equations and fields involved in time-domain analysis. The implementation of the obtained time-domain equations for the rotating reference, using the FDTD method, yielded numerical results in good agreement with those obtained with the fixed reference equations with significant reduction in computational costs and code amount. A PPENDIX From (14), we obtain

Fig. 5. Propagation constant versus frequency for the dominant mode in the finline of Fig. 2(c). Top: back forward wave. Bottom: forward wave.

B =H− μ0

TABLE I

t

γ Ms uz ∧ H.

(22)

0

Substituting (14) and (22) in (13), we obtain −

C OMPARISON OF E FFICIENCY



∇∧E ∂H − γ Ms uz ∧ H + γ H0 uz = μ0 ∂t    t ∧ H− γ Ms uz ∧ H 0

∂H + (γ H0 − γ Ms ) uz ∧ H = ∂t  t − γ H0 uz ∧ γ Ms uz ∧ Hdt.

(23)

0

The term in the integral can be simplified as follows: (γ H0uz ∧ γ Ms uz ∧ H) = γ 2 Ms H0 (H.uz ) uz − γ 2 Ms H0 (uz .uz ) H = γ H0 γ Ms Hz uz − γ H0 γ Ms H = γ 2 H0 Ms Hz − γ 2 H0 Ms H l f = 0.5 mm, lr = 0.25 mm, d2 = 2.375 mm, b = 2.392 mm, and s = 1.196 mm. The characteristics of ferrite are: ε f = 12.5, Ms = 397.887 kA/m, and H0 = 39.788 kA/m. The permittivity of the dielectric is εr = 9.6. A spatial resolution x = y = 0.125 mm (38 × 19 grid points) was used for the simulation of this structure and the number of time iterations was set to 12 000 for each simulation. The result obtained for this structure is shown in Fig. 5, which shows good agreement with those obtained in [18] using the finite-difference frequency-domain method. It should be noted that in the case of partially magnetized ferrite, in nonmagnetic regions of the considered structure, the value of the magnetization Ms must be set to zero. A comparison of efficiency between the fixed and the rotating reference formulations in terms of time, memory space, and code amount required for the simulation of the completely filled waveguide is shown in Table I. As we indicated, the simulation was performed using a compact 2-D scheme; the computational costs of a simulation using the 3-D scheme would be much more significant. The simulation was performed on a computer having a processor speed of 2.816 GHz.

= −γ 2 H0 Ms Ht .

(24)

Substituting (24) in (23), we obtain (15). R EFERENCES [1] V. G. Harris et al., “Recent advances in processing and applications of microwave ferrites,” J. Magn. Magn. Mater., vol. 321, no. 14, pp. 2035–2047, Jul. 2009. [2] V. G. Harris, “Modern microwave ferrites,” IEEE Trans. Magn., vol. 48, no. 3, pp. 1075–1104, Mar. 2012. [3] M. Okoniewski and E. Okoniewska, “FDTD analysis of magnetized ferrites: A more efficient algorithm,” IEEE Microw. Guided Wave Lett., vol. 4, no. 6, pp. 169–171, Jun. 1994. [4] J. Schuster and R. Luebbers, “FDTD for three-dimensional propagation in a magnetized ferrite,” in Antennas Propag. Soc. Int. Symp. (AP-S) Dig., Jul. 1996, pp. 1648–1651. [5] T. Hruskovec and Z. Chen, “FDTD modeling of magnetized ferrites using Z transforms,” in Proc. IEEE Antennas Propag. Soc. Int. Symp., vol. 2. Jul. 1999, pp. 1324–1327. [6] A. M. Attiya and H. H. Abdullah, “Shift-operator finite difference time domain: An efficient unified approach for simulating wave propagation in different dispersive media,” in Proc. IEEE Middle East Conf. Antennas Propag. (MECAP), Cairo, Egypt, Oct. 2010, pp. 1–4. [7] A. Benouatas and M. L. Riabi, “Efficient MT-based compact FDTD algorithm for longitudinally-magnetized ferrite-loaded waveguides,” J. Electromagn. Anal. Appl., vol. 5, no. 1, pp. 16–22, 2013. [8] A. Benouatas, “Wave equation-based compact FDTD algorithm for longitudinally magnetized ferrite-loaded structures,” IEEE Trans. Magn., vol. 51, no. 12, Dec. 2015, Art. no. 4005707.

2466

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

[9] A. Benouatas, M. L. Riabi, and F. Z. Siabah, “Time domain analysis of magnetized ferrites using a new shift operator method,” in Proc. Mediterranean Microw. Symp. (MMS), Marrakesh, Morocco, Dec. 2014, pp. 1–4. [10] R. S. Adams and T. K. Lai, “A second-order accurate time-stepping algorithm for dominant mode propagation in ferrite media,” IEEE Trans. Antennas Propag., vol. 60, no. 6, pp. 3052–3055, Jun. 2012. [11] T. Shiozawa, “Phenomenological and electron-theoretical study of the electrodynamics of rotating systems,” Proc. IEEE, vol. 61, no. 12, pp. 1694–1702, Dec. 1973. [12] H. Goldstein, C. P. Poole, Jr., and J. L. Safko, Classical Mechanics, 3rd ed. Reading, MA, USA: Addison-Wesley, Jul. 2000, p. 173. [13] I. I. Rabi, N. F. Ramsey, and J. Schwinger, “Use of rotating coordinates in magnetic resonance problems,” Rev. Mod. Phys., vol. 26, no. 2, pp. 167–171, Apr. 1954. [14] A. Benouatas, “Alternative formulations of the fields’ constitutive relations for the efficiency of the time domain analysis of magnetized ferrites,” IEEE Trans. Magn., vol. 51, no. 10, Oct. 2015, Art. no. 1300407. [15] A. Benouatas, “Correction to ‘alternative formulations of the fields constitutive relations for the efficiency of the time domain analysis of magnetized ferrites,”’ IEEE Trans. Magn., vol. 52, no. 1, Jan. 2016, Art. no. 9700101.

[16] A. Benouatas, “Correction to ‘alternative formulations of the fields constitutive relations for the efficiency of the time domain analysis of magnetized ferrites,”’ IEEE Trans. Magn., vol. 52, no. 5, May 2016, Art. no. 9700401. [17] H. Sakli, H. Benzina, T. Aguili, and J. W. Tao, “Propagation constant of a rectangular waveguides completely full of ferrite magnetized longitudinally,” Int. J. Infr., Millim., Terahertz Waves, vol. 30, no. 8, pp. 877–883, Aug. 2009. [18] H. Al-Barqawi, N. Dib, and M. Khodier, “A two-dimensional full-wave finite-difference frequency-domain analysis of ferrite loaded structures,” Int. J. Infr. Millim. Waves, vol. 29, no. 5, pp. 443–456, May 2008.

Abdelwahab Benouatas, photograph and biography not available at the time of publication.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

2467

Coupled Electromagnetic and Heat Transfer ODE Model for Microwave Heating With Temperature-Dependent Permittivity Jiaqi Zhong, Shan Liang, Member, IEEE, Yupeng Yuan, and Qingyu Xiong

Abstract— In the traditional microwave heating partial differential equation (PDE) model, one of the main characteristics is the infinite-dimensional nature, which does not allow to readily design and implement a controller. Motivated by this obstruction, this paper proposes a microwave heating finite-dimensional ordinary differential equation (ODE) model, which can not only describe the thermodynamics field with nonhomogeneous boundary conditions but also be coupled with the variation of electromagnetic field in temperature-dependent dielectric media. Initially, the equivalent PDE model with a homogeneous boundary condition is derived by constructing an auxiliary function in order to directly derive the eigenspectrum of the spatial differential operator. With the help of model-reduction techniques, the dominant dynamics of temperature distribution are subsequently captured with a reasonable Galerkin truncation. The simulation results on microwave heating a water prototype show that the temporal and the spatial evolution of the temperature profile can be described by solving the temperature-dependent electromagnetic field and the finite-dimensional ODE model. Moreover, the effectiveness of the model is verified by comparing with the numerical results from the traditional COMSOL model. A further development of this ODE model may provide a useful numerical tool for the design and synthesis of microwave heaters to avoid thermal runaway phenomena. Index Terms— Microwave heating, model reduction, spectral Galerkin method, temperature dependent.

I. I NTRODUCTION

O

VER the last few decades, the microwave energy with the ability to rapidly heat dielectric media is widely used as a source of heat in domestic or industrial fields [1]. Due to the high-frequency electric field, the realignment of molecules generates energy transformation, which brings instantaneous volumetric temperature rise. However, the major drawback associated with microwave heating is the existence of nonuniform temperature distribution and permittivity variations, which will lead to some problems, such as overheating and thermal runaway in the heated media. Manuscript received October 29, 2015; revised May 9, 2016 and June 17, 2016; accepted June 21, 2016. Date of publication July 14, 2016; date of current version August 4, 2016. This work was supported by the National Basic Research Program of China under Grant 2013CB328903. (Corresponding author: Shan Liang.) J. Zhong, S. Liang, and Y. Yuan are with the Key Laboratory of Dependable Service Computing in Cyber Physical Society, Ministry of Education and the College of Automation, Chongqing University, Chongqing 400044, China (e-mail: [email protected]; [email protected]; [email protected]). Q. Xiong is with the College of Software Engineering, Chongqing University, Chongqing 401331, China (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2016.2584613

In general, the temperature distribution is influenced not only by the multiphysical field (i.e., electromagnetic field and thermodynamic field) coupling but also by the permittivity of the material. Especially for the process of transient heating, the permittivity variations are the primary factors leading to the phenomenon of thermal runaway, which could seriously destroy the microwave-heated materials [2], [3]. In order to describe uneven temperature distribution, many researchers have proposed many mathematical models for temperaturedependent dielectric media [4]–[7]. But most of the literature in the control field still do not consider the permittivity variations [8]–[11]. Just because of this, current control algorithms cannot effectively restrain the problem of thermal runaway. From the view of cybernetics, controller design for the temperature-dependent permittivity (TDP) model can be based on the following thoughts: on the one hand, the controller can be directly derived by the analysis of original partial differential equations (PDEs) [12]. Due to the inherent infinite-dimensional nature and time-varying characteristics of the dissipated power, the difficulty of designing is undoubtedly increased by a large margin [13]; on the other hand, most of parabolic PDEs model can be transformed into a set of ordinary differential equations (ODEs). Based on these equivalent ODEs, control engineers can readily design the controller to online regulate the incident power. Motivated by this consideration, Akkari et al. [9] apply a finite-volume scheme to transform the microwave heating PDE model into a set of nonlinear ODEs, which can be subsequently used for the controller design. However, the TDP is not involved into the closed-loop microwave heating system. With the development of numerical simulations, some traditional asymptotic methods, such as the finite-difference time-domain method [14]–[16], the finite-element method [17], [18], and MoM [19]–[21], have the ability to solve many kinds of thermal processes (such as microwave heating process, curing process, and thawing process) with the different kinds of boundary conditions (such as Dirichlet condition, Neumann condition, and Stefan moving condition [22], [23]). There is no doubt that the aforementioned numerical methods have been well developed and can accurately describe global temperature distribution at any time. However, the intrinsic drawbacks of the above methods, which usually lead to an approximate system with thousands of linear/nonlinear ODEs, have become major obstructions for the controller design. Therefore, the purpose of this paper proposes a linear finite-dimensional

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

2468

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

ODE model coupled with the thermodynamic-electromagnetic field in the temperature-dependent dielectric material. It will provide an efficient tool for designing the controller in the next step. Mathematically, the main characteristics of the microwave heating process are that the inputs, outputs, boundary conditions, and process parameters can vary temporally and spatially. But the existence of indeterminate convection boundary conditions may imply that a direct derivation of eigenfunctions for the spatial differential operator is impossible [24]. In order to obtain a novel ODE temperature model, it is essential to transform the nonhomogeneous convection boundary conditions as the homogeneous boundary condition. Assuming that the orthogonal basis for the solution of PDE is constituted of a cosinusoid function, the time differential operator and the nonhomogeneous term can also be rewritten as a form of Fourier series [25]. By this way, the PDE model can be expressed as an infinite-dimensional ODE model, which can be divided into a finite-dimensional (slow) complement and an infinitedimensional (fast) one [26] by using Galerkin’s method. Moreover, Christofides [27] demonstrated that the dominant dynamics performance of the model can be described by the finite-dimensional ODE model. Inspired by these results, Zhong et al. [28], [29] developed the dimensionality reduction method for the 1-D microwave heating PDE model with temperature-independent permittivity. However, in [29]–[31], a 1-D spatial differential operator was studied by introducing a class of Hilbert space, while a 3-D spatial differential operator was not derived further. In the microwave heating field, it is important, in particular, to obtain the 3-D temperature model in order to predict the positions of thermal runaway or hotspots. Therefore, in this paper, based on the 3-D microwave heating model with TDP, a finite-dimensional ODE model is derived by using the spectral Galerkin method to achieve time-space separation and model reduction. The rest of this paper is organized as follows. The traditional microwave heating model with TDP is addressed in Section II, where the 3-D heating transport model and the dissipated power term are discussed and simplified. In Section III, based on an intermediate PDE model, which is derived by constructing an auxiliary function, an approximate finite-dimensional ODE model is proposed to describe the temperature distribution. In Section IV, numerical simulations on microwave heating a water prototype are provided to illustrate the effectiveness of the finite-dimensional ODE model by comparing with the numerical results of the traditional COMSOL model. Besides, the theoretical analysis and the simulation results show that the proposed model can be effectively used to identify hot and cold spots with less calculated load, thereby helping in the development of temperature control systems to achieve better heating performance in terms of heating uniformity, material quality, and safety. II. T RADITIONAL M ICROWAVE H EATING M ODEL As a typical volumetric heating system, the temperature distributions of the materials are usually described by the heat transport equation with a nonhomogeneous term. Due to the

comprehensivity and complexity of modeling, the following assumptions in microwave heating are proposed in order to simplify the model: homogenous and isotropic for the media, no mass transfer and volume changes, temperatureindependent thermal properties, and temperature-dependent dielectric properties during heating. According to the heat transport law, the traditional temperature dynamics model for hexahedron in the Cartesian coordinate system can be obtained by the following heat transport equation [32]–[35]: ∂T = ∇ (κ∇T ) + Q (x, y, z, t) (1) ∂t subject to the convection boundary conditions of heating transport [36] ρC p

∂T = h (T ∂x ∂T = h (T −κ · ∂x ∂T = h (T κ· ∂y ∂T = h (T −κ · ∂y ∂T = h (T κ· ∂z  ∂T =h T −κ · ∂z with the initial condition κ·

− Ta ) , at x = 0

(2a)

− Tb ) , at x = x 0

(2b)

− Tc ) , at y = 0

(2c)

− Td ) , at y = y0

(2d)

− Te ) , at z = 0

(2e)

 − T f , at z = z 0

(2f)

T (x, y, z, 0) = T0 (x, y, z)

(3)

where T = T (x, y, z, t) denotes the temperature at each time t and each location (x, y, z); ρ, C p and κ are material density, specific heat capacity, and thermal conductivity, respectively; Q (x, y, z, t) is the term of the dissipated power, which depends on the local electromagnetic field, permittivity, and permeability; h is the local heat transfer coefficient. Ta , Tb , Tc , Td , T f , and Te are the boundary temperatures at different sides. The term ∇ (κ∇T ) represents the conduction of heat transfer, which is the primary heat transfer mechanism inside the heated media. In order to analyze and discuss distinctly, (1) can be simplified as  2  ∂ T ∂T ∂2T ∂2T =κ + + 2 + Q (x, y, z, t). (4) ρC p ∂t ∂x2 ∂ y2 ∂z For the nonmagnetic material, the associated term of dissipated power, which is mainly determined by the electric field and permittivity, can be expressed as [37] 1 · ω · ε0 · ε (T ) · E · E ∗ 2 = π f · ε0 · ε (T ) · tan δ (T ) · E · E ∗

Q (x, y, z, t) =

(5)

where f is the microwave frequency; ε0 = 8.854 × 10−14 F/cm is the free space permittivity; ε (T ) is the relative dielectric constant, which represents the material’s ability to store electrical energy, and ε (T ) is the relative dielectric loss, which accounts for the dielectric loss through energy

ZHONG et al.: COUPLED ELECTROMAGNETIC AND HEAT TRANSFER ODE MODEL FOR MICROWAVE HEATING WITH TDP

dissipation; tan δ = ε /ε represents the ratio of the dielectric loss to the dielectric constant; E and E ∗ denote the electric field and its complex conjugate, respectively. III. I MPLEMENTATION OF F INITE -D IMENSIONAL ODE M ODEL

For the traditional microwave heating model (4) with convection boundary conditions (2) and initial condition (3), it is impossible to directly derive the eigenfunction of the spatial operator. It is essential to derive an equivalent model with the homogeneous boundary condition. To facilitate the following analysis, some computational variables could be defined: h h (T − Ta ) b  = − (T − Tb ) κ κ h h   c = (T − Tc ) d = − (T − Td ) κ κ  h h   e = (T − Ta ) f = − T − T f . (6) κ κ Next, the equivalent PDE model with the homogeneous boundary condition is stated in the following theorem. Theorem 1: Assuming that the nonhomogeneous convection boundary conditions in (2) are constant and can be obtained, then there exists the following relationship:    b − a 2  x +a x T (x, y, z, t) = (x, y, z, t) + 2x 0      d − c 2  f  − e 2  + y +c y + z +e z (7) 2y0 2z 0 a =

and the traditional model (2)–(4) can be equivalent to the following intermediate model:  2 ∂ (x, y, z, t) ∂ (x, y, z, t) ∂ 2 (x, y, z, t) = k1 + ∂t ∂x2 ∂y 2  2 ∂ (x, y, z, t) + + k2 · Q (x, y, z, t) ∂z 2    b − a   d  − c  f  − e  + k1 i+ j+ k (8) x0 y0 z0 subject to the homogeneous boundary condition x (0, y, z, t) = 0 x (x 0 , y, z, t) = 0 y (x, 0, z, t) = 0 y (x, y0 , z, t) = 0 z (x, y, 0, t) = 0 z (x, y, z 0 , t) = 0 with the initial condition



B. Formulation of an Infinite-Dimensional Model For the typical parabolic PDE (8) with the homogeneous Neumann boundary condition (9), we first determine solutions in the time-space decoupled form [24] (x, y, z, t) = φ I (x) · φ J (y) · φ K (z) · G(t)

A. Equivalent PDE Model With Homogeneous Boundary Condition

(9)



b − a  2 x + a x (x, y, z, 0) = T0 (x, y, z) − 2x 0       f − e 2 d − c 2   y +c y − z +e z − 2y0 2z 0 (10) where k1 = κ/ρC p and k2 = 1/ρC p , i, j, and k denote the vectors in the x-axis, y-axis, and z-axis, respectively. The proof can be found in Appendix A.

2469

(11)

where φ I (x), φ J (y), and φ K (z) are the only functions of x, y, and z, respectively; G(t) is only a function of t. The eigenvalue problem for the spatial differential operator can be analytically solved and its solutions can be expressed as   2 Iπ 1/2, I =0 λI = − φ I (x) = (12a) x0 cos (I π x/x 0 ) , I = 0    Jπ 2 1/2, J =0 φ J (y) = (12b) λJ = − y0 cos (J π y/y0 ) , J = 0    Kπ 2 1/2, K =0 λK = − φ K(z) = (12c) z0 cos (K π z/z 0 ) , K = 0 where λ I , λ J , and λ K denote the eigenvalues in different directions; φ I (x), φ J (x), and φ K (x) represent the orthogonality of eigenfunctions. Remark 1: For a class of parabolic PDEs with the nonhomogeneous term or the homogeneous term, they all have the same type of solution. Thus, the relevant eigenvalue of the homogeneous heating transport equation with the fixed homogeneous boundary condition is (12), which is just the problem of eigenvalues and eigenfunctions for (8). Based on the above analysis, (11) can be written in a series of the related homogeneous eigenfunctions ∞  ∞ ∞   ¯ I J K (t)φ I (x)φ J (y)φ K (z), (x, y, z, t) = I =0 J =0 K =0

I = 0, 1, . . . , J = 0, 1, . . . , K = 0, 1, . . . (13)

¯ I J K (t) is the generalized Fourier coefficients where ∞ ∞ ∞ ¯ for (x, y, z, t). In (13), I =0 J =0 K =0 I J K (t) φ I (x)φ J (y)φ K (z) means a linear combination of all the eigenfunctions. Then, substituting (13) into (8), the infinite-dimensional ODE can be expressed as .

¯ I J K (t) ¯ I J K (t) = k1 λ I J K (t) 8 + x 0 · y0 · z 0 (g + k2 · Q (x, y, z, t)) φ I (x) φ J (y) φ K (z) d x d ydz

(14)

where λ I J K is realigned in the order of magnitude and is equal to λ I + λ J + λ K . In order to obtain a more intuitive expression, it is necessary to define some dimensionless variables

¯ (t) = ¯ 0 (t) , ¯ 1 (t) , ¯ 2 (t) , . . . , ¯ n (t) , . . . T ,

¯ n (t) = ¯ I J K (t) with φn (x, y, z) = {φ I (x) φ J (y) φ K (k)} , with φ0 > φ1 > φ2 > · · · φn > · · · λn = {λ I J K } , with λ0 > λ1 > λ2 > · · · > λn > · · ·

2470

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

Hence, (14) can be rewritten as the following matrix formulation: . ¯ (t) = A · ¯ +B·u+ F (15) with the initial condition 8 ¯ (x, y, z, 0) [φ0 (x, y, z) , (0) = x 0 · y0 · z 0 φ1 (x, y, z) , . . . , φn (x, y, z) , . . .]T d x d ydz

m+1 (x, y, z, t) → 0, m+2 (x, y, z, t) → 0, . . ., for all t ≥ 0. Then, (2)–(4) has approximate solutions, which are determined by the following finite-dimensional ODE model: .

(16)

where A = k1 · diag(λ0 , λ1 , λ2 , . . . , λn , . . .) 8k2 Q (x, y, z, t) [φ0 (x, y, z) , B·u = x 0 · y0 · z 0 φ1 (x, y, z) , . . . , φn (x, y, z) , . . .]T d x d ydz 8 g · [φ0 (x, y, z) , φ1 (x, y, z) , F = x 0 · y0 · z 0 φ2 (x, y, z) , . . . , φn (x, y, z) , . . .]T d x d ydz. Thus, the accurate solution of (8)–(10) can be expressed as follows: ¯ (t) (x, y, z, t) = C · (17) where C = [2φ0 (x, y, z) , φ1 (x, y, z) , . . . , φn (x, y, z) , . . .]. Remark 2: In the above-mentioned definition, φn (x, y, z) is a complete orthogonal basis in the x-axis, y-axis, and z-axis. For different eigenvalues (i.e., λ1 , λ2 , and λ3 ), their eigenfunctions are orthogonal, relative to the weight σ (σ = 1) over the entire region , whose mathematical expression is  ¯ φλ1 φλ2 φλ3 d x d ydz = 0 if λ1  = λ2  = λ3 . (t) is a set of the generalized Fourier coefficients with an initial condition and can also be represented as a column vector.

C. Galerkin’s Method One of the main problems for infinite-dimensional ODEs is that it is hard to achieve numerical simulations in the computer, so it is necessary to find a way to obtain approximate finite-dimensional ODEs. In this paper, the spatial differential operator ∇ (∇T ) can be divided into a finite-dimensional (slow) complement and an infinite-dimensional (fast) one, ¯ requires considering the following whose eigenspectrum A assumptions [38]. Assumption 1: Re{λ0 } ≥ Re{λ1 } ≥ Re{λ2 } ≥ · · · ≥ Re{λn } ≥ · · · , where Re{λn } represents the real part of λn . ¯ ¯ Assumption 2: σ (A) can be divided as σ (A) = ¯ ¯ ¯ σ1 (A) + σ2 (A), where σ1 (A) consists of first n (with ¯ = {λ0 , λ1 , . . . λn } and n finite) eigenvalues, i.e., σ1 (A) |Re{λ1 }|/|Re{λn }| = O(l). Assumption 3: Re{λn+1 } < 0 and |Re{λn }|/|Re{λn+1 }| = O(ε), where ε ≡ |Reλ1 |/|Reλn+1 | < 1 is a small positive parameter. Then, the traditional PDE model can be approximately transformed into a finite-dimensional ODE model by the following theorem. Theorem 2: Let Assumptions 1–3 hold. Assumption 4: There exists the m-order Galerkin truncation, which makes the infinite-dimensional complement

¯ s (t) = As ¯ s (t) + Bs · u + Fs (18) ¯ s (t) s (x, y, z, t) = Cs (19)    b − a 2 x + ax Ts (x, y, z, t) = s (x, y, z, t) + 2x 0       d − c 2 f − e 2   + y +c y + z +ez 2y0 2z 0 (20) where

¯ s (t) = ¯ 0 (t) , ¯ 1 (t) , ¯ 2 (t) , . . . , ¯ m (t) T As = k1 · diag {λ0 , λ1 , λ2 , . . . , λm } 8k2 Q (x, y, z, t) [φ0 (x, y, z) , Bs · u = x 0 · y0 · z 0 φ1 (x, y, z) , . . . , φm (x, y, z)]T d x d ydz Cs = [2φ0 (x, y, z) , φ1 (x, y, z) , . . . , φm (x, y, z)] 8 g · [φ0 (x, y, z) , φ1 (x, y, z) , Fs = x 0 · y0 · z 0 φ2 (x, y, z) , . . . , φm (x, y, z)]T d x d ydz 8 ¯ s (0) = (x, y, z, 0) [φ0 (x, y, z) , x 0 · y0 · z 0 φ1 (x, y, z) , . . . , φm (x, y, z)]T d x d ydz. The proof can be found in Appendix B. Remark 3: For the finite-dimensional model derived by the parabolic PDE, the relationship between the order and the model accuracy needs to be considered. In general, higher order m will lead to more accurate values. But a suitable order can not only describe the dynamic characteristics for temperature but also decrease the computation time substantially. IV. S IMULATION AND A NALYSIS In this section, the proposed finite-dimensional ODE model will be applied to microwave heating of media to demonstrate its effectiveness. As shown in Fig. 1, the thermodynamic field and the electromagnetic field are mutually coupled through the dielectric properties. When the local temperature rises, the electromagnetic field will also change with TDP. In turn, the distribution of the electromagnetic field could continuously affect the temperature distribution in the medium. In the end, the changes in electromagnetic field distribution could also increase or decrease the rate of temperature changes. Thus, the proposed finite-dimensional model can approximately describe the temperature spatial distribution based on the known dissipated It ispower. noted that the different directions of heat conduction and transient dissipated power in the proposed ODE model can be transformed as energy spectrum, which is based on the selected basis function in different coordinate axes. Besides, if the spatial spectrum of the electromagnetic field can be substituted into the proposed ODE model, the transient dissipated power must be regarded as continuously differentiable in spatial domain. Due to the influence of temperature-dependent

ZHONG et al.: COUPLED ELECTROMAGNETIC AND HEAT TRANSFER ODE MODEL FOR MICROWAVE HEATING WITH TDP

Fig. 1. Calculation process of microwave heating for temperature-dependent media.

dielectric properties and the characteristics of microwave propagation, it is difficult for us to obtain an explicit expression of electromagnetic distributions. Inspired by these problems, we apply a strategy of repeated iteration to first solve the electromagnetic distribution. Then, the proposed ODE model will be coupled to the approximate transient power to obtain the temperature distribution. In particular, the detailed algorithmic procedure for the finite-dimensional ODE model is proposed in Algorithm 1. Remark 4: For designing an online temperature tracking controller, control engineers usually need to analyze the system structure of the spatial-temporal separation, boundary disturbances, and global temperature distribution. However, due to the limitation of inherent physical characteristics, the sampling periods of temperature sensors are usually fixed [39], [40]. The tracking controller usually adopts the same fixed periods to online refresh incident power or electric field. Therefore, different from the nonlinear iteration methods in other numerical simulations, the linear iteration methods would be more suitable for controller design. As suggested in step 8 of Algorithm 1, the iteration steps are linear. Remark 5: This paper is the first to investigate the problem of spectrum dimension reduction for the microwave heating model with TDP. In designing the controller based on the proposed model, the microwave heating process can be regarded as the coupled effect of the electromagnetic submodel and the thermodynamic one. From a macroscopic perspective, the electromagnetic submodel can be reconsidered as the input part of the microwave heating system. Therefore, most of numerical methods can also be used to solve the local electric field if they can provide the corresponding global dissipated power. Due to the characteristics of TDP, it would be better that the meshing criteria of the electromagnetic field needs to be involved at any point of thermometry, i.e., the position of temperature sensor, for online updating the local permittivity. Different from the

2471

Algorithm 1 Detailed Algorithmic Procedure for the Coupled Electromagnetic and Thermal ODE Model Step 1: Initialize the parameters of thermodynamics and electromagnetism, i.e., ρ, C p , κ, ε0 , ε (T ) and ε (T ); Step 2: Initialize the partition to the whole media and uniformly select finite points x 0 , x 1 , · · · , x i , · · · , x L , y0 , y1 , · · · , y j , · · · , y M and z 0 , z 1 , · · · , z k , · · · , z N as the solution domain; Step 3: Obtain the electric field intensity and phase in the solution domain based on Maxwell’s equation and the local temperature-dependent dielectric constant; Step 4: Obtain the  local transient dissipated power  Q x i , y j , z k , t based on (5); Step 5: Apply linear approximation and compute the global transient dissipated power Q (x, y, z, t); Step 6: Substitute the transient term Q (x, y, z, t) into the proposed finite-dimensional ODE model (18)-(20).   Then, obtain transient temperature T x i , y j , z k , t in the solution domain; Step 7: Combine the local temperature T (x i , yi , z i , t) and Debye law to update the dielectric constant; Step 8: Define t = t + t and go to Step 3.

traditional numerical methods, the thermodynamic submodel does not need to be meshed in the proposed finite-dimensional ODE model. For matching the solution domains between the thermodynamic field and the electromagnetic field, it is also essential to focus on the placement of the temperature sensors, because the local transient dissipated powers are always dependent on the local temperatures. Aforementioned analysis has shown that the 3-D heat conduction in the Cartesian coordinate system is based on a set of orthogonal eigenfunctions. In other words, the heat conduction in three directions can be regarded as three mutually independent processes to calculate. Therefore, the proposed finite-dimensional ODE model and the algorithmic procedure can also be applied to the 1-D and 2-D conditions. In order to explicitly illustrate the algorithm implementation scheme and the finite-dimensional ODE model, we especially present a 1-D heating model to describe the global temperature rise curve. The detailed schematic, which consists of the media, geometry, electric field distribution, and nonhomogeneous boundary conditions, is shown in Fig. 2. In the last two decades, deionized water has been usually chosen as the experiment or simulation media in the microwave sterilization process [41], the microwave melting process [42], [43], and the microwave chemistry process [44] due to the explicit thermodynamic and dielectric parameters. Moreover, deionized water is a typical example of a polar molecule, and its permittivity spectrum has a somewhat wider range compared with other heated media. Therefore, deionized water is also chosen as the simulation medium to verify the proposed ODE model in this paper. As shown in Fig. 2, a uniform transverse electromagnetic (TEM) wave perpendicularly incidents to the upper surface with the medium. Assuming that

2472

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

Fig. 3. Relative dielectric constant and loss factor of liquid deionized water.

following equation [19]: ε (T ) = ε − j ε = ε∞ +

Fig. 2.

Detailed schematic for 1-D microwave heating. TABLE I T HERMAL PARAMETERS AND B OUNDARY C ONDITIONS OF D EIONIZED WATER

εs − ε∞ 1 + j f / fc

(22)

where ε∞ = 5.5, εs = 88.1 − 0.403T + 9 × 10−4 T 2 , and f c = 0.168(T + 22.05)1.23 GHz. The corresponding relative dielectric constant and the relative dielectric loss are given in Fig. 3. Given that the heated medium is subdivided into N points along the z-axis, the amplitude and phase of electric field in the corresponding points can be obtained by the superposition of the incident and reflected waves. In this case, the reflection coefficient between water and air should be first obtained √ 1 − ε (TN ) (23) √  =1+ 1 + ε (TN ) where ε (TN ) is the temperature-dependent relative dielectric constant in the interface between water and air. Therefore, by solving (21), the expression for the electric field inside the water can be easily obtained + E k = E k+ + E k− = E k−1 e( j α(Tk−1 )−β(Tk−1 )) z

we only consider the impedance mismatch effects of the lower surface between the deionized water and air, the incident and reflected TEM waves will be superimposed to cause volumetric heating. Besides, nonhomogeneous convection boundary conditions, which are called as outward heat flux in Fig. 2, are also integrated in this simulation. The thermal parameters and boundary conditions of the material is found in Table I. For propagation of the electromagnetic field, the microwave heating on the 1-D media in the TEM mode can be written as [45] d2 E + k 2 (T ) E = 0 (21) dz 2 √ where k (T ) = (2π f /c) ε (T ) is the propagation constant with f = 2.45 GHz as the microwave frequency, c = 3.0 × 108 m/s is the velocity of light, and ε (T ) is the complex relative dielectric constant, which can be described by the

− +E k+1 e( j α(Tk )−β(Tk )) z ,

k = 1, 2, . . . , N − 1

(24)

where E k+ and E k− denote the incident and reflected TEM waves, respectively; α (T ) and β (T ) are the real and imaginary parts of the propagation constant, which can be rewritten as [37]       1 + tan2 δ (T ) + 1 ω  ε (T ) (25) α (T ) = c 2      ε (T ) 2 δ (T ) − 1 1 + tan 1 ω β (T ) = = D p (T ) c 2 (26) where D p (T ) is the penetration depth. Substituting (24) into (5), the local transient dissipated powers Q(z k , t), k = 0, 1, 2, . . . , N in the solution domain can be obtained.

ZHONG et al.: COUPLED ELECTROMAGNETIC AND HEAT TRANSFER ODE MODEL FOR MICROWAVE HEATING WITH TDP

Fig. 4. Global temperature distribution for finite-dimensional ODE model with temperature-dependent dielectric properties by microwave heating water.

By applying the method of linear fitting, we could approximately obtain the global transient dissipated power Q(z, t). Supposing initial incident electric field E 0 as 20 V/cm with zero-phase and initial temperature T0 as 20 °C, we could apply the fifth-order Galerkin truncation to the finite-dimensional model for obtaining the global temperature spectrum by MATLAB R2007a. Assuming that the 80 temperature sensors, which are shown in Fig. 2, are uniformly placed into the heated medium, we can approximately describe the global temperature distribution. Then, the simulation is carried out in the personal computer with Pentium Dual-Core E5400 at 2.70 GHz, 3 GB RAM and 32 bit Windows XP. We can obtain the global temperature rise curve, which is shown in Fig. 4. As can be observed, the increase rates of temperature at different times are found to have a large difference, which is caused by heat transfer, the nonhomogeneous boundary conditions, and the variations of dielectric properties. Moreover, in terms of the prototype, the computational time of the thermodynamic field is only about 25 ms in each iteration. The total computational time, which includes the data processing, the solving of Maxwell’s equation, and the proposed ODE model, is only about 260 ms in each iteration. In order to further demonstrate its effectiveness, the numerical results of COMSOL model are chosen as the benchmark for the validity of the proposed ODE model. The same thermodynamics properties, electromagnetic conditions, and boundary conditions are introduced into COMSOL Multiphysics 3.5a to obtain the corresponding temperature distribution. To this end, the different locations (i.e., 0 cm, 4 cm, and 8 cm) and different times (i.e., 30 s, 150 s, and 240 s) are chosen to describe the temperature variations, whose results are shown in Figs. 5 and 6, respectively. It can be seen that the agreement of temperature distribution is satisfactory between the two models although there are some slight mismatches in the comparison results, but the global temperature distribution and the rate of temperature rising are acceptable. Compared with the COMSOL model for the same heating prototype, the finite-dimensional ODE model with the fifth-order Galerkin truncation can successfully

2473

Fig. 5. Comparison between the proposed finite-dimensional ODE model and the COMSOL model for numerical results at 8, 4, and 0 cm.

Fig. 6. Comparison between the proposed finite-dimensional ODE model and the COMSOL model for numerical results at 30, 150, and 240 s.

describe the microwave heating process. Different from the traditional numerical models, the coupled ODE model, which has the characteristics of temporal-spatial separation, can explicitly describe the relationship among the system parameters, boundary conditions, and incident power. It is apparent that the proposed methodology could approximately describe the microwave heating process with TDP and would be suitable for designing the online tracking controller. V. C ONCLUSION In this paper, a finite-dimensional ODE model is proposed to describe the temperature profile by coupling the electromagnetic field and the thermodynamic field in temperaturedependent dielectric media. For such a volumetric heating system, the traditional numerical approaches applied to the PDE model with the dissipation power term will lead to thousands of ODEs, which are inappropriate for designing the controller. To overcome the aforementioned drawbacks, a finite-dimensional ODE model is proposed by applying the following steps: an equivalent PDE model is initially proposed by

2474

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

constructing an auxiliary function to homogenize the convection boundary conditions. Then, the infinite-dimensional ODE model is derived by analyzing the eigenspectrum and applying the Fourier transform. Finally, the dominant dynamics behavior of the infinite-dimensional model is obtained using Galerkin’s method and analyzing the relationship between the input and the output. By coupling the distribution of the electric field, prior knowledge, and the proposed finitedimensional model, we can obtain the approximate global temperature distribution. Moreover, the comparison results on microwave heating a water prototype demonstrate that the proposed finite-dimensional model is indeed effective. Further studies are underway for controller design to achieve expected temperature performance in temperature-dependent dielectric media. A PPENDIX A P ROOF OF T HEOREM 1 To obtain a homogeneous boundary condition, we first introduce an auxiliary function  (x, y, z, t) and a new unknown function θ (x, y, z, t) to divide the solution of (2)–(4), and then T (x, y, z, t) can be rewritten as T (x, y, z, t) = θ (x, y, z, t) +  (x, y, z, t)

(A.1)

where θ (x, y, z, t) can be obtained by the following equations: ∂θ (x, y, z, t) ∂t  2 ∂ θ (x, y, z, t) ∂ 2 θ (x, y, z, t) = k1 + ∂x2 ∂y 2  ∂ 2 θ (x, y, z, t) + k2 · Q (x, y, z, t) + ∂z 2

with the initial condition  (x, y, z, 0) = 0.

(A.7)

Obviously, it is easy to obtain the eigenfunction of the spatial differential operator in (A.2)–(A.4). But the one in (A.5)–(A.7) is still difficult to be derived. To this end, we find another function u p (x, y, z, t), which satisfies the boundary in (A.6). Then, when v (x, y, z, t) =  (x, y, z, t)− u p (x, y, z, t), v (x, y, z, t) satisfies the homogeneous Neumann boundary condition. Due to the not existing steady-state solution for u p (x, y, z, t), we especially define the following particular solution: u p (x, y, z, t) = gt + h (x, y, z)

(A.8)

where g is the constant and h (x, y, z) is a function of x, y, and z. In particular, (A.8) denotes the variation of temperature in different directions and is determined by the original PDE and boundary conditions. Similarly, the solution of (A.8) can be separated as u p (x, y, z, t) = u p (1) (x, y, z, t) + u p (2) (x, y, z, t) +u p (3) (x, y, z, t)

(A.9)

where u p (1) (x, y, z, t), u p (2) (x, y, z, t), and u p (3) (x, y, z, t) denote the solutions for the different boundary problems a  = b but c = d  = e = f  = 0, c = d  but a  = b = e = f  = 0, and e = f  but a  = b = c = d  = 0, respectively. For u p (1) (x, y, z, t), we can obtain that g (1) = (u p (1) (x, y, z, t))t = k1 ((u p )x x + (u p ) yy + (u p )zz )

(A.2)

subject to the homogeneous boundary condition

= k1 (h x x (x)) + k1 (h yy (y)) + k1 (h zz (z))

(A.10)

then

θx (0, y, z, t) = 0 θx (x 0 , y, z, t) = 0

h(x, y, z) =

θ y (x, 0, z, t) = 0 θ y (x, y0 , z, t) = 0 θz (x, y, 0, t) = 0 θz (x, y, z 0 , t) = 0

g (1) 2 (x + y 2 + z 2 ) + p(x + y + z) + q. 2k1 (A.11)

(A.3)

Substituting a  , b  , c , d  , e , and f  into (A.11), the solution of u p (1) (x, y, z, t) can be rewritten as (to simplify, q = 0)

with the initial condition θ (x, y, z, 0) = T0 (x, y, z) .

(A.4)

The auxiliary function  (x, y, z, t) could be determined by  2 ∂  (x, y, z, t) ∂ 2  (x, y, z, t) ∂ (x, y, z, t) = k1 + ∂t ∂x2 ∂y 2  ∂ 2  (x, y, z, t) + (A.5) ∂z 2 subject to the nonhomogeneous boundary conditions x (0, y, z, t) = a  x (x 0 , y, z, t) = b   y (x, 0, z, t) = c



 y (x, y0 , z, t) = d

z (x, y, 0, t) = e



z (x, y, z 0 , t) = f 

u p (1) (x, y, z, t) =

(A.6)

(A.12)

In the same way, we can obtain the solution of u p (2) (x, y, z, t) and u p (3) (x, y, z, t). The solution of u p (x, y, z, t) can be expressed as u p (x, y, z, t) = gt + h (x, y, z)    b − a   d  − c  f  − e  = i+ i+ k k1 t x0 y0 z0 +



b − a  2 b − a  k1 t + x + a  x. x0 2x 0

d  − c 2 f  − e 2 b − a  2 x + a x + y + c y + z + e z. 2x 0 2y0 2z 0 (A.13)

ZHONG et al.: COUPLED ELECTROMAGNETIC AND HEAT TRANSFER ODE MODEL FOR MICROWAVE HEATING WITH TDP

Based on the aforementioned analysis, (A.1) can be rewritten as T (x, y, z, t) = θ (x, y, z, t) + v (x, y, z, t) + u p (x, y, z, t) 

= (x, y, z, t) + u p (x, y, z, t). Substituting (A.14) into (2)–(4),  (x, y, z, t) can be determined by

the

(A.14) solution

∂  (x, y, z, t) ∂t  2  ∂ (x, y, z, t) ∂ 2  (x, y, z, t) = k1 + ∂x2 ∂y 2  ∂ 2  (x, y, z, t) + k2 · Q (x, y, z, t) + ∂z 2

of

(A.15)

subject to the homogeneous boundary condition x (0, y, z, t) = 0 x (x 0 , y, z, t) = 0 y (x, 0, z, t) = 0 y (x, y0 , z, t) = 0 z (x, y, 0, t) = 0 z (x, y, z 0 , t) = 0

(A.16)

Here, we denote the matrices of slow complement as As = Ps APs− , Bs · u = Ps B · u, Cs = CPs− , and Fs = Ps · F and the ones of faster complement as A f = P f AP − f = diag{λm+1 , λm+2 , . . .} 8k2 B f · u = Pf B · u = Q(x, y, z, t), x 0 · y0 · z 0 [φm+1 (x, y, z), φm+2 (x, y, z), . . .]T d x d ydz C f = CP − f = [φm+1 (x, y, z), φm+2 (x, y, z), . . .] 8 g · [φm+1 (x, y, z), Ff = Pf · F = x 0 · y0 · z 0 φm+2 (x, y, z), . . .]T d x d ydz 8 ¯ f (0) = (x, y, z, 0)[φm+1 (x, y, z), x 0 · y0 · z 0 φm+2 (x, y, z), . . .]T d x d ydz. If Assumption 4 holds, we can obtain the finite-dimensional ODE model (18) and (19). Then, substituting (19) into (7), we can obtain (20) to approximately describe the temperature distribution. ACKNOWLEDGMENT

with the initial condition

   b − a 2  (x, y, z, 0) = T0 (x, y, z) − x + a x 2x 0       f − e 2 d − c 2 y + c y − z + e z . − 2y0 2z 0 (A.17)

It is interesting to note that u p (x, y, z, t) can also be separated in two terms: spatial term and temporal term. In order to facilitate the following analysis, the temporal term in (A.13) can be substituted into (A.15)–(A.17). The intermediate PDE model (8)–(10) can be obtained. A PPENDIX B P ROOF OF T HEOREM 2 Without loss of generality, let us define the orthogonal ¯ can projection operators Ps and P f , and the state variable be decomposed as ¯ + Pf ¯ ¯ f = Ps ¯ = ¯s +

(B.1)

¯ s = span{φ0 , φ1 , φ2 , . . . , φm } and ¯ f where = ¯ span{φm+1 , φm+2 , . . .} denote the subsets of = span{φ0 , φ1 , φ2 , . . . , φn , . . .}. Thus, by applying (B.1), (15)–(17) can be partitioned into the following equations: .

¯ s (t) = Ps APs− ¯ s (t) + Ps B · u + Ps · F

(B.2a)

.

¯ f (t) = P f AP − ¯ f (t) + P f B · u + P f · F f

(B.2b)

¯ (0) , ¯ f (0) = P f ¯ (0) ¯ s (0) = Ps

(B.2c)

s (x, y, z, t) =

2475

¯s CPs−

(t)

¯ f (x, y, z, t) = CP − f f (t) .

(B.2d) (B.2e)

The authors would like to thank Prof. I. Kenji, the anonymous reviewers, and the Editor for their critical comments. They would also like to thank Dr. J. Li and Dr. L. Zhou for the technical assistance in COMSOL Multiphysics analysis. R EFERENCES [1] R. Vadivambal and D. S. Jayas, “Non-uniform temperature distribution during microwave heating of food materials—A review,” Food Bioprocess Technol., vol. 3, no. 2, pp. 161–171, 2010. [2] C. A. Vriezinga, S. O. Sánchez-Pedreño, and J. Grasman, “Thermal runaway in microwave heating: A mathematical analysis,” Appl. Math. Model., vol. 26, no. 11, pp. 1029–1038, 2002. [3] V. E. Semenov and N. A. Zharova, “Thermal runaway and hot spots under controlled microwave heating,” in Advances in Microwave and Radio Frequency Processing, M. Willert-Porada, Ed. Berlin, Germany: Springer, 2006, pp. 482–490. [4] B. Chen, B. Gao, C. Ge, and J. Li, “Accurate solution and characteristics for electromagnetic wave propagation in time-varying media,” Mod. Appl. Sci., vol. 3, no. 10, pp. 68–76, 2009. [5] X. Zhao, K. Huang, L. Yan, and Y. Yao, “A preliminary study on numerical simulation of microwave heating process for chemical reaction and discussion of hotspot and thermal runaway phenomenon,” Sci. China G, Phys., Mech. Astron., vol. 52, no. 4, pp. 551–562, 2009. [6] K. G. Ayappa, H. T. Davis, E. A. Davis, and J. Gordon, “Analysis of microwave heating of materials with temperature-dependent properties,” AIChE J., vol. 37, no. 3, pp. 313–322, 1991. [7] Y. Alpert and E. Jerby, “Coupled thermal-electromagnetic model for microwave heating of temperature-dependent dielectric media,” IEEE Trans. Plasma Sci., vol. 27, no. 2, pp. 555–562, Apr. 1999. [8] G. O. Beale and M. Li, “Robust temperature control for microwave heating of ceramics,” IEEE Trans. Ind. Electron., vol. 44, no. 1, pp. 124–131, Feb. 1997. [9] E. Akkari, S. Chevallier, and L. Boillereaux, “Global linearizing control of MIMO microwave-assisted thawing,” Control Eng. Pract., vol. 17, no. 1, pp. 39–47, 2009. [10] C. Liu and D. Sheen, “Analysis and control of the thermal runaway of ceramic slab under microwave heating,” Sci. China E, Technol. Sci., vol. 51, no. 12, pp. 2233–2241, 2008. [11] W. A. Wali, A. I. Al-Shamma, K. H. Hassan, and J. D. Cullen, “Online genetic-ANFIS temperature control for advanced microwave biodiesel reactor,” J. Process Control, vol. 22, no. 7, pp. 1256–1272, 2012. [12] M. Krstic and A. Smyshlyaev, “Adaptive control of PDEs,” Annu. Rev. Control, vol. 32, no. 2, pp. 149–160, 2008.

2476

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

[13] P. D. Christofides, “Control of nonlinear distributed process systems: Recent developments and challenges,” AIChE J., vol. 47, no. 3, pp. 514–518, 2001. [14] L. Ma et al., “Experimental validation of a combined electromagnetic and thermal FDTD model of a microwave heating process,” IEEE Trans. Microw. Theory Techn., vol. 43, no. 11, pp. 2565–2572, Nov. 1995. [15] F. Torres and B. Jecko, “Complete FDTD analysis of microwave heating processes in frequency-dependent and temperature-dependent media,” IEEE Trans. Microw. Theory Techn., vol. 45, no. 1, pp. 108–117, Jan. 1997. [16] H. Chen, J. Tang, and F. Liu, “Simulation model for moving food packages in microwave heating processes using conformal FDTD method,” J. Food Eng., vol. 88, no. 3, pp. 294–305, 2008. [17] R. B. Pandit and S. Prasad, “Finite element analysis of microwave heating of potato—Transient temperature profiles,” J. Food Eng., vol. 60, no. 2, pp. 193–202, 2003. [18] G. A. Keramidas, “Finite element of the heat conduction equation with temperature dependent coefficients,” Math. Comput. Simul., vol. 3, no. 22, pp. 248–255, Sep. 1980. [19] K. A. Michalski and H. S. Jabs, “One-dimensional analysis of microwave batch sterilization of water with continuous impedance matching,” Microw. Opt. Technol. Lett., vol. 26, no. 2, pp. 83–89, 2000. [20] X. Zhao, L. Yan, and K. Huang, “Review of numerical simulation of microwave heating process,” in Advances in Induction and Microwave Heating of Mineral and Organic Materials. Rijeka, Croatia: InTech, 2011, pp. 27–48. [21] X. Chen, F. Chen, K. Huang, and X.-B. Xu, “A fast algorithm to simulate 2-D electromagnetic field for objects with gradually timevarying dielectric permittivity,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 6, pp. 1284–1290, Jun. 2014. [22] S. I. Barry and J. Caunce, “Exact and numerical solutions to a Stefan problem with two moving boundaries,” Appl. Math. Model., vol. 32, no. 1, pp. 83–98, 2008. [23] C. Xu, C. E. Reece, and M. J. Kelley, “Simulation of nonlinear superconducting RF losses derived from characteristic topography of etched and electropolished niobium surfaces,” Phys. Rev. Accel. Beams, vol. 19, no. 3, p. 033501, 2016. [24] R. Haberman, Applied Partial Differential Equations With Fourier Series and Boundary Valve Problems. London, U.K.: Pearson, 2012. [25] F. Fakhar–Izadi and M. Dehghan, “A spectral element method using the modal basis and its application in solving second-order nonlinear partial differential equations,” Math. Methods Appl. Sci., vol. 38, no. 3, pp. 478–504, 2015. [26] H.-N. Wu and H.-X. Li, “A Galerkin/neural-network-based design of guaranteed cost control for nonlinear distributed parameter systems,” IEEE Trans. Neural Netw., vol. 19, no. 5, pp. 795–807, May 2008. [27] P. D. Christofides, “Robust control of parabolic PDE systems,” Chem. Eng. Sci., vol. 53, no. 16, pp. 2949–2965, 1998. [28] J. Zhong, S. Liang, C. Zeng, Y. Yuan, and Q. Xiong, “Approximate finite-dimensional ODE temperature model for microwave heating,” Nonlinear Anal., Model. Control, vol. 21, no. 4, pp. 498–514, 2016. [29] J. Zhong, S. Liang, Q. Xiong, Y. Yuan, and C. Zeng, “A state space representation for one-dimensional microwave heating temperature model,” in Proc. SICE Annu. Conf., Sapporo, Japan, 2014, pp. 1366–1371. [30] M. Jiang and H. Deng, “Optimal combination of spatial basis functions for the model reduction of nonlinear distributed parameter systems,” Commun. Nonlinear Sci. Numer. Simul., vol. 17, no. 12, pp. 5240–5248, 2012. [31] G. Montaseri and M. J. Yazdanpanah, “Predictive control of uncertain nonlinear parabolic PDE systems using a Galerkin/neural-networkbased model,” Commun. Nonlinear Sci. Numer. Simul., vol. 17, no. 1, pp. 388–404, 2012. [32] L. A. Campañone and N. E. Zaritzky, “Mathematical analysis of microwave heating process,” J. Food Eng., vol. 69, no. 3, pp. 359–368, 2005. [33] S. S. R. Geedipalli, V. Rakesh, and A. K. Datta, “Modeling the heating uniformity contributed by a rotating turntable in microwave ovens,” J. Food Eng., vol. 82, no. 3, pp. 359–368, 2007. [34] B. A. Souraki, A. Andrés, and D. Mowla, “Mathematical modeling of microwave-assisted inert medium fluidized bed drying of cylindrical carrot samples,” Chem. Eng. Process., Process Intensification, vol. 48, no. 1, pp. 296–305, 2009.

[35] K.-M. Huang and Y.-H. Liao, “Transient power loss density of electromagnetic pulse in Debye media,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 1, pp. 135–140, Jan. 2015. [36] W. Klinbun, P. Rattanadecho, and W. Pakdee, “Microwave heating of saturated packed bed using a rectangular waveguide (TE10 mode): Influence of particle size, sample dimension, frequency, and placement inside the guide,” Int. J. Heat Mass Transf., vol. 54, nos. 9–10, pp. 1763–1774, 2011. [37] S. Chandrasekaran, S. Ramanathan, and T. Basak, “Microwave material processing—A review,” AIChE J., vol. 58, no. 2, pp. 330–363, 2012. [38] N. H. El-Farra, A. Armaou, and P. D. Christofides, “Analysis and control of parabolic PDE systems with input constraints,” Automatica, vol. 39, no. 4, pp. 715–725, 2003. [39] K. Huang and B. Lu, “The precise condition of thermal runaway in microwave heating on chemical reaction,” Sci. China E, Technol. Sci., vol. 52, no. 2, pp. 491–496, 2009. [40] C. O. Kappe, “How to measure reaction temperature in microwaveheated transformations,” Chem. Soc. Rev., vol. 42, no. 12, pp. 4977–4990, 2013. [41] D. B. Oliveira, E. J. Silva, J. J. S. Santos, and O. M. Neto, “Design of a microwave applicator for water sterilization using multiobjective optimization and phase control scheme,” IEEE Trans. Magn., vol. 47, no. 5, pp. 1242–1245, May 2011. [42] P. Ratanadecho, K. Aoki, and M. Akahori, “The characteristics of microwave melting of frozen packed beds using a rectangular waveguide,” IEEE Trans. Microw. Theory Techn., vol. 50, no. 6, pp. 1495–1502, Jun. 2002. [43] S. Watanabe, M. Karakawa, and O. Hashimoto, “Computer simulation of temperature distribution of frozen material heated in a microwave oven,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 5, pp. 1196–1204, May 2010. [44] K. Huang, X. Cao, C. Liu, and X.-B. Xu, “Measurement/computation of effective permittivity of dilute solution in saponification reaction,” IEEE Trans. Microw. Theory Techn., vol. 51, no. 10, pp. 2106–2111, Oct. 2003. [45] M. Bhattacharya and T. Basak, “A novel closed-form analysis on asymptotes and resonances of microwave power,” Chem. Eng. Sci., vol. 61, no. 19, pp. 6273–6301, 2006.

Jiaqi Zhong received the B.Sc. degree in automation (with a minor in traffic information and control) from the College of Electrical and Control Engineering, Chang’an University, Xi’an, China, in 2012. He is currently pursuing the Ph.D. degree in control theory and control engineering at Chongqing University, Chongqing, China. His current research interests include numerical modeling, electromagnetic theory, and nonlinear control.

Shan Liang (M’08) received the M.Sc. degree in control science and engineering from the College of Automation, Chongqing University, Chongqing, China, in 1995, and the Ph.D. degree from the Department of Mechanical Systems Engineering, Kumamoto University, Kumamoto, Japan, in 2004. His current research interests include numerical modeling, electromagnetic theory, nonlinear systems, adaptive control, and sensor networks. Dr. Liang is currently a Member of the IEEE Control Systems and the Society of Instrument and Control Engineers, Japan. He is also a Co-Editor of the International Journal of Sensing, Computing and Control.

ZHONG et al.: COUPLED ELECTROMAGNETIC AND HEAT TRANSFER ODE MODEL FOR MICROWAVE HEATING WITH TDP

Yupeng Yuan received the B.Sc. degree in automation from the College of Automation, Chongqing University, Chongqing, China, in 2011, and the Ph.D. degree in control theory and control engineering from Chongqing University in 2012. His current research interests include the application of adaptive control in microwave heating systems.

2477

Qingyu Xiong received the Ph.D. degree in electrical and electronic systems engineering from Kyushu University, Fukuoka, Japan, in 2002, and the M.Sc. degree from Chongqing University, Chongqing, China, in 1991. He is currently a Professor with the School of Software Engineering, Chongqing University. His current research interests include numerical modeling, artificial intelligence and control, sensor networks, and information system. Dr. Xiong is a Member of the China Computer Federation.

2478

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

A New Linear Distorted-Wave Inversion Method for Microwave Imaging via Virtual Experiments Loreto Di Donato, Member, IEEE, Roberta Palmeri, Graduate Student Member, IEEE, Gino Sorbello, Tommaso Isernia, Senior Member, IEEE, and Lorenzo Crocco, Senior Member, IEEE Abstract— A novel microwave imaging approach to reconstruct the dielectric properties of targets hosted in partially known, noncanonical, scenarios is proposed and assessed. The method takes joint advantage of the recently introduced virtual experiments paradigm and exploits a new linear approximation developed within such a framework. Such an approximation implicitly depends on the unknown targets and, therefore, has a broader applicability as compared with the traditional distorted Born approximation. Being noniterative, the resulting distortedwave inversion method is capable of quasi-real-time imaging and successfully images nonweak perturbations. The performances of the novel imaging method have been assessed with simulated data and validated experimentally against some of Fresnel data sets. Index Terms— Born approximation (BA), distorted waveBorn approximation (DWBA), linear sampling method (LSM), microwave imaging, virtual scattering experiments.

I. I NTRODUCTION

M

ICROWAVE imaging techniques [1] aim at the characterization of morphological and electromagnetic properties of unknown scenarios that are not directly accessible. As such, they are relevant to a number of applications ranging from noninvasive diagnostics to biomedical monitoring and subsurface prospections, only to mention some examples. Such a goal is pursued by means of the solution of a nonlinear and ill-posed inverse-scattering problem [2], so that the development and improvement of effective solution techniques is a crucial issue to enable the above-mentioned applications and possibly open the way to new ones. On the other hand, such a task is nontrivial, as it requires to develop suitable techniques [3] to defeat the problem’s ill-posedness, as well as difficulties arising from its nonlinearity. In this respect, the problem is typically cast into an iterative optimization of a cost Manuscript received August 4, 2015; revised October 23, 2015, December 17, 2015, and June 18, 2016; accepted June 19, 2016. Date of publication July 26, 2016; date of current version August 4, 2016. L. Di Donato and G. Sorbello are with the Department of Electrical, Electronics and Computer Engineering, University of Catania, Catania 95126, Italy (e-mail: [email protected]; [email protected]). R. Palmeri is with the Department of Information Engineering, Infrastructures and Sustainable Energy, University Mediterranea of Reggio Calabria, Reggio Calabria 89124, Italy (e-mail: [email protected]). T. Isernia is with the Department of Information Engineering, Infrastructures and Sustainable Energy, Mediterranea University of Reggio Calabria, Reggio Calabria 89124, Italy, and also with the National Research Council of Italy, Institute for Electromagnetic Sensing of the Environment, Naples 80124, Italy (e-mail: [email protected]). L. Crocco is with the National Research Council of Italy, Institute for Electromagnetic Sensing of the Environment, Naples 80124, Italy (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2584604

functional whose global minimum defines the sought solution. Unfortunately, owing to the large number of unknown parameters involved in such an optimization, global search algorithms are not viable, but for special cases, the optimization is carried out within local schemes. As known, the outcome of these latter depends on the starting point, so that the procedure may get trapped into local minima [4], and hence return an estimate that is totally different from the ground truth, the so-called false solution. For such a reason, there has been persistent attention to the development of methods based on the linearization of the scattering problem. In particular, this is practically relevant to some imaging applications, wherein the target of the imaging task can be conveniently modeled as the perturbation of a known, or partially known, nonhomogeneous scenario. As a matter of fact, as long as the perturbation is not too large, the problem can be linearized, thus avoiding the possible occurrence of false solutions. This is, for instance, the case of nondestructive testing [5], medical imaging for follow-up purposes [6], and in general of all those situations, wherein differential monitoring of changes is of interest (including through-the-wall imaging applications [7]). While this class of methods has been originally inspired by the possibility of using, if available, a closed-form solution of the field in the reference scenario, their interest is nowadays motivated by the possibility of modeling complex, noncanonical scenarios via accurate and efficient numerical tools, so to conveniently tackle real-world scenarios. The basic and cornerstone contribution in such a framework is the well-known distorted-wave Born approximation (DWBA) [8]. In this approach, the problem is formulated as the search of the difference in the permittivity distribution with respect to a nominal ground truth by processing the anomalous field. In doing so, the DWBA approximates the internal field with the background one, thus neglecting the effect of the perturbation on the field. This is, from a conceptual point of view, the same limitation underlying the first-order Born approximation (BA), where the effect of the scatterer on the incident field (in the investigation domain) is completely neglected. As a result, this leads to a linearization of the problem that is seldom fulfilled in practical instances. A possible way to overcome this limitation is recurring to an iterative procedure (i.e., the distorted Born iterative method (DBIM) [9]–[13]). However, besides requiring the solution of several forward problems, the final outcome of such an approach depends on the starting point as well as on the validity of intermediate linearizations. Other possibilities to

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

DI DONATO et al.: NEW LINEAR DISTORTED-WAVE INVERSION METHOD

enlarge the range of applicability of linear inversion methods are given by the Rytov approximation [14], [15] as well as by the extended BA (EBA) [16], [17] and the diagonalized contrast source inversion (DCSI) approaches proposed by Abubakar et al. [18]. However, the Rytov approximation can only hold true in the case of smoothly varying targets [19], so that it can seldom be used. Whereas the approaches in [16] and [17] are based on peculiar assumptions that are not always satisfied (see the following), it makes sense to look for alternative more general approaches. To address this goal, we exploit the concept of virtual scattering experiments, which has been recently proposed to solve 2-D inverse-scattering problems in free space [20]–[22]. Such a concept is based on the simple, but powerful, observation that proper, data-driven, superpositions of the available scattering experiments can be interpreted as virtual experiments, wherein one can enforce and take advantage of some peculiar property or behavior on the (virtual) internal field and contrast source. A number of interesting results have been proposed starting from this concept, namely: a new scattering approximation [23], [24] that largely outperforms the traditional BA [25] and can be the engine of a new inversion method based on successive linearizations [26] and a new regularization strategy for nonlinear iterative inversion schemes [27] and a completely new way to solve the nonlinear inverse-scattering problem through an algebraic method [28]. Last but not least, the virtual experiments provide an effective framework for the exploitation of compressive sensing techniques in inverse scattering [29]. As all the above results have been derived in the case of free space or homogeneous media, in this paper, we generalize the linear inversion method introduced in [23] to the case of a partially known, nonhomogeneous scenarios and exploit it to appraise the properties of nonweak anomalies. To this end, the key step is to extend the concept of virtual experiments from the so far considered canonical case to the case of a nonhomogeneous scenarios. In practice, this is done by exploiting the distorted version of the linear sampling method (LSM) proposed in [31]. Notably, such an imaging approach has been developed for retrieving the shape of unknown targets hosted in partially known, nonhomogeneous scenarios, whereas we use here as a tool to design virtual experiments. The distorted LSM is based on the solution of a linear problem, and the virtual experiments allow to introduce an approximated (but target-aware) linear relationship between the data and the unknown. Hence, the overall method is based on noniterative solution of two linear problems, and it is, therefore, extremely effective from a computational point of view. This paper is structured as follows. In Section II, the imaging problem is formulated and the traditional DWBA is recalled. In Section III, the virtual experiments driven approximation is introduced, together with the strategy pursued to design the relevant virtual experiments. In Section IV, the new distorted-wave inversion method is presented, by describing its implementation steps, while Section V is concerned with the method’s validation with simulated and experimental data. Finally, the conclusion is drawn in Section VI. Throughout

2479

this paper, we consider the 2-D scalar problem, nonmagnetic objects, and the time factor exp{ j ωt} is assumed and dropped. II. I MAGING P ROBLEM AND THE S TANDARD D ISTORTED -WAVE A PPROXIMATION Let  be the region of interest (ROI) of the imaging problem, given by the cross section of an infinite cylinder with nonhomogeneous relative complex permittivity distribution ε˜ (r ). Given the invariance of the problem along the z-axis, we consider the 2-D scalar formulation of the electromagnetic scattering problem and assume the TM polarization for the electric field. We assume that the relative permittivity distribution within the ROI is partially known, so that the complex permittivity in the whole space can be expressed as ε˜ (r ) = ε˜ b (r ) + δ ε˜ (r ) with

 ε˜ 1 (r ), r ∈  ε˜ b (r ) = / ε˜ host , r ∈

(1)

(2)

wherein r = (x, y), ε˜ host is the complex permittivity of the homogeneous medium which surrounds , and ε˜ 1 denotes the nominal relative permittivity distribution in , i.e., when no perturbation is present. Note that for the sake of simplicity, we are assuming that the medium outside the ROI is homogeneous. However, the following discussion holds true also for the general inhomogeneous case. Saying it in other words, (1) and (2) express the complex permittivity of the scenario at hand as a perturbation with respect to a nominal permittivity distribution. By considering N transmitting and M receiving probes positioned on a curve  external to the ROI, the anomalous field E sν produced by the perturbation δ ε˜ for the generic νth transmitting probe is expressed by the integral equation (the data equation)  ν gb (r  , r )E tν (r  )δ ε˜ (r  )dr  E s (r ) =   = Ae δ ε˜ E tν , r ∈  (3) where E tν denotes the total field, which in turn is given by the internal integral equation  E tν (r ) = E bν (r ) + gb (r  , r )E tν (r  )δ ε˜ (r  )dr    = E bν + Ai δ ε˜ E tν , r ∈  (4) E bν (r ) being the background field arising in the reference scenario when the νth probe is active. In (3) and (4), gb denotes Green’s function for the assumed background scenario, [∇ 2 + kb2 (r )]gb (r  , r ) = δ(r  − r ), with kb being the reference scenario wavenumber. Typically, this function is not known in a closed form and it is computed numerically. Ae [·] : L 2 () → L 2 () is the short notation of the radiation operator that relates the contrast source δ ε˜ E t to the anomalous field on , while the operator Ai [·] : L 2 () → L 2 () relates the contrast source to the field it radiates in .

2480

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

It is worth noting that the knowledge of the reference scenario is also exploited to appraise the scattered field perturbation E s starting from the quantity actually measured by the receiving probes, say Mt , which is affected by both the perturbation and the reference scenario. Accordingly, it is possible to extract the required field from this latter as E sν (r ) = Mtν (r ) − Mbν (r ) where ν (r) + Mbν (r ) = E inc

 

(5)

gh (r  , r )E bν (r  )[˜ε1 (r  ) − ε˜ host ]dr  (6)

ν (r ) the field radiated by the transmitting antennas in with E inc the host medium and gh denoting Green’s function of the same homogeneous medium. Note that depending on the imaging problem at hand, Mbν (r ) can be actually measured (if the perturbation arises in the nominal background) or estimated by properly simulating the reference scenario. The traditional DWBA descends from the above equations by assuming that the variation δ ε˜ is such to induce only a negligible perturbation of the background field E b . Accordingly, the data equation (3) is approximated as   (7) E sν (r ) = Ae δ ε˜ E bν , r ∈ 

and the imaging task is reduced to the solution of a linear ill-posed inverse problem. Obviously, when the reference scenario corresponds to a homogeneous unbounded medium, this approximation exactly coincides with the classic BA, so that the DWBA will be subject to the same restrictions (in terms of applicability). In particular, the approximation is expected to be successful as long as the perturbation is small enough with respect to the background wavelength and its dielectric properties are close to those of the ROI  [14]. III. V IRTUAL E XPERIMENTS D RIVEN D ISTORTED -WAVE A PPROXIMATION To overcome the above recalled limitations, we generalize a recently introduced approximation [23], which has the peculiarity of depending on the unknown target (in an implicit fashion), and allows to reliably linearize inverse-scattering problems in a much broader range of cases as compared with BA [23], [25]. Such an approximation relies on the virtual experiments concept, according to which it is possible to exploit a weighted superposition of the incident fields to enforce some desired behavior of the total field (and contrast sources), to make the imaging task simpler and/or more reliable [23], [27], [28], [30]. Notably, this is done by postprocessing available data and fields, without additional experiments. In fact, the only additional task is the determination of the superposition coefficients. A. Virtual Experiments in Partially Known Scenarios To apply the above concepts to the problem of imaging a perturbation in a partially know scenario, the first issue to face is how to design the virtual experiments. In practice, this is done by playing with the original incident fields and the

corresponding scattered fields. However, as the incident fields do not depend on the scatterers, such a design equation has to be based on the scattered field data. In the free space case so far considered for the virtual experiments framework, such a design has been carried out, among other possible choices, by exploiting the LSM equation as a way to condition the scatterers behavior with respect to some points of the ROI [23]. As a matter of fact, the LSM is known to be applicable to a broad range of problems (e.g., nonweak scatterers, multiple targets, and so on) and also provides an estimate of the shape of the targets (or their convex envelope), which is obviously useful for imaging purposes [31], [32]. However, the basic formulation of the LSM is valid for canonical formulation, and therefore not directly applicable in our case [31]. The problem of retrieving the shape of targets hosted in a partially known noncanonical scenario has been faced in [33], wherein the necessary steps to generalize the LSM to this case have been defined and tested. The resulting distorted LSM equation is what we will use herein to design the virtual experiments. With respect to the notation we are adopting, such an equation reads [Es ][ξ ] = [gb ]

(8)

wherein Es is an M × N matrix, whose entries are the samples of the anomalous field collected for all the N incident fields at the M receivers locations, and are computed using (5); gb is M × S vector that contains the samples of Green’s function of the assumed background scenario at the M receivers for an arbitrary grid of S points in ; ξ is the N × S unknown vector, whose columns provide, for each point of the sampling grid, the coefficients of the corresponding virtual experiment. The virtual experiments designed through (8) make use of the available knowledge on the scenario at hand, since they force the unknown perturbation to scatter a wave that matches on  the background Green’s function for the considered sampling point in the ROI. While the impact of such a choice will be discussed later, let us note here that (8) corresponds to the LSM equation, as developed in [33], for the case of nonhomogeneous backgrounds. Hence, the pursued design is possible in those points of the sampling grid that fall within the perturbation. As well known [31], the L 2 -norm of ξ will achieve its lowest values in these points. Then, the points to be selected to identify the virtual experiments (which we refer to as the pivot points) are simply chosen by observing the plot of the L 2 -norm of ξ over the ROI and picking, among the S sampling points, the ones where this indicator function attains the smaller values. As usual in LSM, this plot also provides an estimate of the shape of the anomaly (but no information on its electromagnetic properties).

B. A New Distorted-Wave Approximation Once the design equation (8) has been solved and P pivot points have been selected, we build a set of P virtual experiments. In each of these experiments, the total field is

DI DONATO et al.: NEW LINEAR DISTORTED-WAVE INVERSION METHOD

2481

exactly given by p

t (r ) =

N  ν=1 p

ξνp E bν (r ) + Ai [δ ε˜ t ] p

p

= b (r ) + Ai [δ ε˜ t ], r ∈ 

(9)

p p {ξ1 , . . . , ξ N }

is the regularized solution of (8) wherein ξ p = for the pth pivot point (identifying the pth virtual experiment). The first addendum of the field’s expression (9) is the background field arising in the reference scenario for the considered virtual experiment. This latter has the remarkable property of depending on the unknown permittivity perturbation δ ε˜ in an implicit fashion through the combination coefficients ξ p . Then, a first-order approximation would be immediately achieved by neglecting the second term in (9). However, because of the features of the designed virtual experiments, a more refined field approximation can be considered. To this end, one has to observe that the field scattered on  in the generic virtual experiment matches (in the L 2 -norm) Green’s function for the reference background medium, gb . Notably, such a scattered (anomalous) field does not depend on δ ε˜ , but only depends on the pivot point. As such, it is known for any r . Accordingly, the first-order approximation (i.e., t ≈ b ) can be improved by assuming that the virtual anomalous field matches gb not only on , but everywhere. Hence, we can introduce the approximated total field p p t (r ) ∼ = b (r ) + [gb (r, r p )], r ∈ 

(10)

with r p denoting the pivot point identifying the virtual experiment at hand and being a low-pass circular filter that avoids the singularity of the approximated total field for r = r p [23]. The approximation introduced in (10) is equivalent to a prolongation of the anomalous field from  to the interior of  (and up to r p ). Notably, it has the peculiar characteristic of depending on the specific perturbation at hand. In fact, coefficients ξ p in the first term of (9) depend on the electromagnetic and geometrical properties of the scattering system at hand [see (8)]. As discussed in [23] and [25] for the corresponding free space case, this approximation is superior to the straightforward linearization on which BA and DWBA are based, although of course has itself a limited validity due to the fact that near field effects and nonradiating components of the fields are neglected. Approximation (10) relies on the applicability of the design equation (8), which is the case in all those situations wherein LSM is successful [23], [31], [34]. According to our experience and the literature results, the LSM equation and its distorted formulation are successful as long as the anomalies are comparable with the wavelength of the probing field. When the scattering system becomes too large (with respect to the probing wavelength), it is more difficult to induce a contrast source resembling the radiating properties of an elementary source point placed in the pivot point. An accurate study of the validity range of the considered approximation has yet to be done. However, relying on the results in [25], it can be expected that approximation (10) starts to fail for increasing values of δ ε˜ (with respect to ε˜ 1 ).

In particular, the larger δ ε˜ , the smaller electrical dimension (with respect to the probing wavelength) of the anomaly that can be successfully retrieved. By means of such an approximation, we finally come to a new linear distorted-wave data equation that reads  p p (11) s (r ) = Ae δ ε˜ t , r ∈  with p

s (r ) =

N  ν=1

ξνp E sν (r ), r ∈ .

(12)

Equation (12) provides the basis of the inversion technique detailed in Section IV. IV. D ISTORTED -WAVE L INEAR I NVERSION VIA V IRTUAL E XPERIMENTS In the following, we describe the implementation of the linear inversion method that takes advantage of the distortedwave approximation introduced earlier. The first step of the method requires to determine the virtual experiments, i.e., solve (8) and pick the pivot points. Let us assume that  has been sampled into an even grid of S points. Then, to counteract the ill-posedness of the problem, we exploit the singular value decomposition (SVD) of Es and the Tikhonov regularization [31]. By doing so, the regularized solution reads ξ=

T 

λ2 i=1 i

λi gb , ui v i + α2

(13)

wherein v i and ui are the right and left singular vectors of Es , respectively, λi denotes its i th singular value, and , denotes the standard scalar product in L 2 . Finally, T = min(N, M), and α is the Tikhonov regularization parameter chosen according to [33]. Then, we plot the indicator function  N   2 |ξn | (14) ϒ(r s ) = 20 log10 n=1

over the sampling grid, which both provides an estimate of the shape of δ ε˜ and allows to pick the P pivot points. As discussed in [23], the choice of these latter is carried out evenly spacing the pivot points into the areas wherein ϒ attains its lower values. Moreover, typically P N, where N is chosen according to the guidelines given in [35] in order to collect nonredundant data. The second step is the distorted-wave inversion, which is carried out by computing the approximated total field for each virtual experiments according to (10) and stacking the resulting P data equations into a single matrix equation as [L][δ ε] ˜ = [ s ]

(15)

where L is the (P×M)×Nc matrix, whose entries are given by the Kronecker product between the M × Nc matrix encoding the reference scenario Green’s function gb as discretized for Nc pixels and the P × Nc matrix encoding the approximated

2482

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

(virtual) total field t . Finally, δ ε˜ is the Nc × 1 unknown vector and  s is the (P × M) × 1 vector containing the samples of the virtual anomalous field on  for the considered multipivot/multistatic arrangement. A regularized solution of (15) can be achieved via the truncated SVD scheme that yields the following explicit solution: δ ε˜ =

NT  1  s , w j z j σj

(16)

j =1

wherein z j and w j are the right and left singular vectors of L, respectively, and σ j are its singular values. The truncation index NT can be conveniently set using Picard’s plot, as suggested in [23]. It makes sense to compare the computational burden and the expected performances of the proposed method with respect to DWBA, as well as to EBA and DCSI. With respect to DWBA, the additional computational burden comes from the first step of the procedure. In fact, the second step has exactly the same computational burden of DWBA. In both cases, one needs the knowledge of Green’s function of the reference scenario for all pairs of points r  ∈ , r ∈ , which has to be computed numerically (but for special cases). This task can be accomplished by first considering at each measurement position r m an elementary source and solving the corresponding M forward scattering problems ∀r ∈ . Then, exploiting the reciprocity theorem, gb (r , r m ) = gb (r m , r ). In the first step, one also needs, see (10), the overall Green’s functions for all the pivot points [i.e., all functions gb (r p , r ), p = 1, . . . , P, r ∈ ]. This latter can be numerically computed by solving P forward problems considering for each of them a unitary filamentary current placed in the considered pivot point, and computing the field for r ∈ . Moreover, the solution of the design equation (8) requires to compute an SVD of a matrix, whose dimensions are M × N. As these numbers are related to the number of experiments (and not to the number of pixels), such a step has a negligible computational weight even in the case of 3-D problems. As the solution of the additional forward problems needed to compute gb (r p , r ) functions can be performed by taking advantage of parallel computing, the overall computational time can be kept only slightly larger than in DWBA. As we have already discussed, and are going to show in Section V, this is, however, a price that is worth to be paid. As far as comparison with EBA is concerned, note that such a method also consists in the sequence of two linear inversion steps. However, it requires the knowledge of Green’s function for all possible couples (r ∈  and r  ∈ ), so that the computational burden is greatly increased with respect to DWBA and to the proposed method as well. Moreover, EBA is based on the assumption that interactions among distant points can be neglected. As such, it will be possibly accurate only in the presence of a sufficient amount of losses, which is obviously not the more general case. The DCSI, which can be considered an evolution of EBA, simultaneously looks for the contrast function and for an auxiliary function η(r ) representing a proportionality function among the incident

field and the contrast source (for all views). As such, it is a fast method. However, one cannot be sure that such a function exists, as one can have a total field different from zero also in points where E inc is zero, so that the auxiliary function cannot be used in these cases. In addition, note that such a proportionality assumption is deeply different in spirit from our approximation (10), where a part of the total field (the second term) is not proportional to E inc at all. Hence, different performances and/or ranges of convenience are expected between the DCSI and the proposed method. Moreover, it is worth noting that to the best of our knowledge, both EBA and DCSI have been applied to the canonical homogeneous space case, and not to the distorted-wave problem we are tackling in this paper. V. VALIDATION OF THE M ETHOD To give an assessment of the proposed approach, we have applied the above described procedure both to simulated data and experimental data taken from the 2005 Fresnel database [36] and we have compared the performance with those achieved with both DBWA and a modified version of EBA adapted to handle distorted problems. The simulated data, the nonhomogeneous Green’s function in (8) and (15), and the approximation (10) have been computed by means of the COMSOL Multiphysics package based on the finite-element method. In addition, for the Fresnel data, the reference total field at the receivers (not provided by the data set) has been carried out by means of the COMSOL package too. In simulated examples, the number of transmitters and receivers has been set according to the guidelines in [35]. For each example, we report the computational time, in order to highlight the negligible overhead required with respect to the usual DWBA. In doing so, we assume that evaluation of Green’s function and the background field required for (8), (10), and (11) is performed offline. A. Proof of Concept Numerical Example: Imaging of Two Different Anomalies Embedded in the Same Scenario As a first example, we have considered a lossless circular ROI (˜ε1 = 1.5), with a diameter equal to 1.5λb , embedded in a square imaging domain of side 2.5λb , λb being the wavelength in the host medium, and discretized into 64 × 64 cells, at the working frequency of 1 GHz. The ROI is probed with N = 24 antennas placed on a circumference of radius 2.5λb , and each probe acts both as transmitter and receiver to achieve a multiview–multistatic measurement configuration. The total field at M = 24 receivers has been measured when two cylindrical scatterers with different electromagnetic properties [ε = 3.2 and σ = 0.035 S/m located at (0.3,0.3)λb and ε = 2.4 and σ = 0.035 S/m located at (−0.3,−0.3)λb] and with a diameter of λb /2 have been considered inside the ROI [see Fig. 1(a), (c), and (f)]. To consider the effect of the measurement noise, the useful signal defined as (5) has been corrupted by white random Gaussian noise with signalto-noise ratio SNR = 15 dB. The accuracy of the result is

DI DONATO et al.: NEW LINEAR DISTORTED-WAVE INVERSION METHOD

2483

Fig. 1. Imaging of two cylindrical objects with different dielectric properties. (a) Geometry of the actual nominal scenario. (b) The LSM map indicator (14) when normalized to its maximum with superimposed the pivot points (P = 18) marked as stars. Real part of (c) the actual unknown δ ˜ and the retrieved one by means of (d) DWBA (NT = 109) and (e) proposed approach (NT = 120). (f)–(h) Same as (c)–(e) but for the imaginary part. Black contour line: geometry of the actual scenario.

appraised exploiting the mean square error err =

||δ ε˜ − δˆε˜ ||2 ||δ ε˜ ||2

(17)

where δ ε˜ is the actual perturbation profile, and δˆε˜ is the estimated one. The first step of the procedure is the application of the LSM in order to identify the presence of the anomalies. Thereafter, we take advantage of this energy indicator to choose the pivot points and to design the virtual experiments [see Fig. 1(b)]. By solving the linearized problem (15), we obtain the result shown in Fig. 1(e) and (h). As can be seen, the method is able to characterize the two different anomalies in a truly quantitative fashion, not only for the permittivity but also for the conductivity (err = 25%) even though the reconstruction of this latter is slightly worse, outperforming the result achieves by means of DWBA (err = 68%) [see Fig. 1(d) and (g)]. In particular, the regularization parameter in (16) has been set according to Picard’s plot, as suggested in [23], for the approach proposed in this paper and by discarding the singular values below the threshold of −15 dB (of the normalized singular values with respect to their maximum) for the DWBA wherein Picard’s plot cannot be exploited due to the larger model error. The computational time of the example at hand is about 31 s. In particular, only 1 s is required for the first

TABLE I G EOMETRICAL AND D IELECTRIC P ROPERTIES (P ERMITTIVITY AND C ONDUCTIVITY ) OF THE R ADIALLY L AYERED S CATTERER F ROM THE O UTERMOST TO THE I NNERMOST L AYER

step and 30 s for the second step on a standard laptop PC, without exploiting parallel computing. B. Proof of Concept Numerical Example: Imaging in Radially Stratified Scenarios In this section, the proposed approach has been tested against a more complex scenario, which consists of a cross section of a radially stratified structure made of three concentric layers and an inner core [see Fig. 2(a)]. This structure can be thought as a rough phantom for different applications, such as medical imaging [37] or tree trunk diagnostics [38]. The dimensions and the dielectric properties of the layers are reported in Table I. The diameter of the overall structure is 50 cm and it is embedded into an imaging domain of side 52.5 cm. The ROI

2484

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

Fig. 2. Imaging of a target embedded in a radially stratified scenario. (a) Geometry of the nominal background. The LSM map indicator (14) when normalized to its maximum with superimposed pivot points marked as stars for (b) SNR = 20 dB (P = 13) and (c) SNR = 7 dB (P = 12). Real part of (d) actual unknown, and the retrieved one by means of (e) (distorted) EBA and by means of the proposed approach (NT = 100) for (f) SNR = 20 dB and (g) SNR = 7 dB. (h)–(k) Same as (d)–(g) but for the imaginary part. Black contour line: geometry of the actual scenario. Cut at x = 4.65 cm of (l) permittivity and (m) conductivity of the reference profile (solid blue line), retrieved profile by means of the proposed approach for SNR = 20 dB (dotted red line) and for SNR = 7 dB (square-marked green line) and by means of the (distorted) EBA (dashed black line). (n) and (o) Same as (l) and (m) for a cut y = −2 cm.

is probed by means of a circular array of antennas placed at 12.5 cm from the outermost layer. The number of antennas is set to N = 21 at the working frequency of 650 MHz. The total field at the receivers is measured in the presence of an elliptic-shaped anomaly placed in the core at (4.2, −2) cm, representing a void (ε = 1, σ = 0) with axes’ dimensions of 8 and 12 cm. In order to cope with an optimized imaging setup, a lossless matching medium with ε˜ host = 4.7 has been considered to guarantee a good coupling between the incoming incident wave and the structure. In order to show the robustness of the proposed approach against the measurement noise, for this example, we have corrupted the useful signal with two different levels of noise, i.e., SNR = 20 dB and SNR = 7 dB. The LSM energy

indicators with superimposed the pivot points, considering a square sampling grid of 79 × 79 Nc , are shown in Fig. 2(b) and (c), while the outcome of the inversion procedure is shown in Fig. 2(f), (g), (j), and (k). As can be seen, the proposed approach is able to achieve a quite satisfactory reconstruction of the unknown both for SNR = 20 dB (err = 38%) and SNR = 7 dB (err = 62%). In particular, as far as the real part of δ , ˜ the procedure is able to recover the dielectric constant of the void, while the approach is not able to retrieve the distribution of the imaginary part because of its very low value. In Fig. 2(e) and (i), the retrieved electromagnetic properties by means of (distorted) EBA (err = 147%) are shown. The adopted inversion procedure deals with the two linear step introduced in [17]. The first step

DI DONATO et al.: NEW LINEAR DISTORTED-WAVE INVERSION METHOD

2485

is the inversion of the data equation, in which the unknown is an auxiliary function. Thereafter, the Fredholm integral equation of the second kind is solved by adopting a least square minimization. In this case, the result is not satisfactory, even if it outperforms the results obtained with the DWBA (not shown) in recovering the imaginary part, as can also be noticed from the cut of the actual and retrieved permittivity and conductivity profile reported in Fig. 2(l)–(o). Note that the physical feasibility conditions on the retrieved permittivity and conductivity profiles have been exploited in the visualization of the results in Fig. 2(l)–(o). In this case, the computational time is about 26 s, i.e., less than 1 s for the first step and about 25 s for the second one. C. Experimental Data In this section, we test the proposed method against the experimental data of the 2005 Fresnel data set [36]. This data set deals with nonhomogeneous scatterers obtained by considering several configurations of nested cylinders with different dimensions and materials. This experimental setup introduces the additional difficulty of dealing with a partially aspect limited configuration, in which, however, illuminations completely surround the targets. In particular, for each transmitter’s position, the measurements are taken only on an arc of 240°, excluding the 120° angular sector centered on the source. To apply the LSM to this kind of measurement configuration, a zero filling procedure has been exploited in arranging the matrix Es . This procedure consists in adding zero entries for those measurement locations not available in the experimental setup [32]. We have considered the two targets shown in Fig. 3. The first one is the FoamDielIntTM target, in which a circular cylinder with the radius of 4 cm and with the relative permittivity of 1.45 embeds a smaller higher contrast circular cylinder of radius 1.5 cm and relative permittivity of 3 ± 0.3 [see Fig. 3(a)]. The second target is the FoamTwinDielIntTM [see Fig. 3(b)], which is made by placing an additional higher contrast cylinder in contact with the FoamDielIntTM target. In both cases, to apply the proposed distorted-wave method, we have assumed as reference scenario the foam cylinder that implies to compute the background field for all the considered positions of the transmitters and receivers. Since this information is not supplied by the database, we have computed the reference field with the COMSOL 2-D electromagnetic forward solver. It is worth noting that, in doing so, we have assumed as nominal position and nominal permittivity (of the foam cylinder) those provided by the data set. However, since in the literature some inaccuracies in the position of the Fresnel scatterers have been experienced, it is worth noting that this could introduce a model error affecting the final result. Similar considerations can been argued for the background field, which has been performed considering an incident field obtained by means of a multipole expansion approach, as suggested in [23]. The data for the FoamDielIntTM target have been directly supplied by the Institute Fresnel and consist of 72 incident fields and 61 measurements for each view. The ROI is a square region of side 20 cm hosting the foam cylinder, and single

Fig. 3. Single frequency imaging results for (a) FoamDielIntTM (leftmost) and (b) FoamTwinDielIntTM (rightmost) target. (c) and (d) Real part of the permittivity profile obtained by considering the retrieved permittivity superimposed to the reference one for DWBA. (e) and (f) Logarithmic LSM plot when normalized to its maximum with the selected pivot points (P = 9 and P = 20). (g) and (h) Same as (c) and (d) but for the proposed approach (NT = 84 and NT = 75). (i) Cut of the permittivity profile at y = −0.89 cm and (j) y = 6.17 cm and y = 0.78 cm: actual value (continuous blue line), retrieved profile (red dotted line), and accuracy level of the permittivity value provided by the Fresnel Institute (black dashed line).

frequency data have been processed at 3 GHz. It is worth noting we are assuming that a perturbation can be located either inside or outside of the foam cylinder. Fig. 3(e) reports

2486

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

the qualitative image of the high contrast cylinder. Such an image has been achieved by adopting a 72 × 90 multiview– multistatic data matrix, in which the data entries that are not available are replaced with zeros. As it can be seen, the solution of the distorted LSM equation allows to image the support of the anomaly, so that a number of evenly spaced pivot points can be chosen within it. Fig. 3(g) reports the final result obtained by applying the quantitative imaging step with respect to the selected pivot points. The retrieved permittivity, i.e., the retrieved perturbation δ ε˜ , is added to the nominal background scenario. Notably, the approach achieves a satisfactory reconstruction of the target especially when compared with the DWBA reconstruction [see Fig. 3(c) and (i)]. The outcome of the two steps for the FoamTwinDielIntTM target is shown in Fig. 3(f) and (h), respectively. In this case, the data set consists of N = 18 illuminations and M = 241 measurements for each view. In addition, in this case, the foam has been assumed as background scenario. The investigated domain is a square of side 17.5 cm, and the working frequency is 4 GHz. The LSM indicator shown in Fig. 3(f) has been obtained by adopting a 18 × 45 multiview–multistatic data matrix obtained undersampling the original data and exploiting the zero filling procedure. On the basis of the retrieved support of the two cylinders, it is then possible to choose evenly spaced pivot points in order to apply the inversion strategy. In addition, in this case, the final result, shown in Fig. 3(h), is very satisfactory from a quantitative point of view. As a matter of fact [see also Fig. 3(j)], the estimated permittivity values for both cylinders are in full agreement with the nominal ones, thus confirming the capability of the method to deal with the quantitative imaging of nonweak targets and then to outperform the standard DWBA [see Fig. 3(d)]. It is worth noting that physical feasibility conditions have been exploited in their visualization by hard thresholding the obtained results. For these cases, the overall computational time takes about 1 min.

DWBA, but for very negligible operations required to build the virtual experiments and the field approximation. As a remarkable result, the proposed method allows to successfully undertake the reconstruction of the inhomogeneous experimental Fresnel data set. To the best of our knowledge, all the previous results in the literature tackle the imaging problem related to these benchmark targets using nonlinear and/or iterative methods, with obvious drawbacks and disadvantages. On the other hand, it is interesting to note that this method, in a similar manner to the DBIM, lends itself to be easily exploited as the core of an iterated solution procedure which, being based on a wider range of validity as compared to to the BA, should work finer than the DBIM, and can overcome the limits of the linearized method concerned with the homogeneous background. Future work will be aimed at analyzing the class of scatterers for which the exploited approximation holds true. Of course, while a broader range of validity than DWBA can be foreseen, the approximation is expected to fail for large values of the permittivity and of the electrical dimensions of the unknown anomaly, as compared to the nominal background and the probing wavelength, respectively. Finally, the method can be anyway implemented via regularized iterative solvers, especially for the solution of large scale problems concerned with the 3-D case. As a result, some other kind of regularization approaches, such as the multiplicative regularization and the compressive sensing, can be effectively exploited.

VI. C ONCLUSION

[1] M. Pastorino, Microwave Imaging. New York, NY, USA: Wiley, 2010. [2] D. Colton and R. Kress, Inverse Acoustic and Electromagnetic Scattering Theory. Berlin, Germany: Springer-Verlag, 1992. [3] M. Bertero and P. Boccacci, Introduction to Inverse Problems in Imaging. Bristol, U.K.: Inst. Phys., 1998. [4] T. Isernia, V. Pascazio, and R. Pierri, “A nonlinear estimation method in tomographic imaging,” IEEE Trans. Geosci. Remote Sens., vol. 35, no. 4, pp. 910–923, Jul. 1997. [5] J. H. Rose and J. L. Opsal, “The inverse Born approximation: Exact determination of shape of convex voids,” in Rev. Progr. Quantitative Nondestruct. Eval., vol. 2A, 1983, pp. 949–959. [6] T. M. Grzegorczyk, P. M. Meaney, P. A. Kaufman, R. M. diFlorio-Alexander, and K. D. Paulsen, “Fast 3-D tomographic microwave imaging for breast cancer detection,” IEEE Trans. Med. Imag., vol. 31, no. 8, pp. 1584–1592, Aug. 2012. [7] M. Amin and K. Sarabandi, “Special issue on remote sensing of building interior,” IEEE Trans. Geosci. Remote Sens., vol. 47, no. 5, pp. 1267–1268, May 2009. [8] A. J. Devaney and M. L. Oristaglio, “Inversion procedure for inverse scattering within the distorted-wave Born approximation,” Phys. Rev. Lett., vol. 51, pp. 237–240, Jul. 1983. [9] W. C. Chew and Y. M. Wang, “Reconstruction of two-dimensional permittivity distribution using the distorted Born iterative method,” IEEE Trans. Med. Imag., vol. 9, no. 2, pp. 218–225, Jun. 1990. [10] C. Yu, M. Yuan, and Q. H. Liu, “Reconstruction of 3D objects from multi-frequency experimental data with a fast DBIM-BCGS method,” Inverse Problems, vol. 25, no. 2, p. 024007, 2009.

In this paper, we have proposed a new method for the solution of the inverse scattering problems concerning targets host in complex partially known scenarios. The new approach jointly exploits the emerging paradigm of the virtual experiments generalized to a distorted imaging problem, as well as a new approximation for the internal field. The main feature of the approach consists in considering the electromagnetic nature of the anomaly through a conversion of the original scattering experiments into new, software designed, virtual ones. As a matter of fact, by properly exploiting the virtual experiments paradigm, the problem can be suitably linearized with respect to the actual problem’s unknown. As a result, the imaging problem can be tackled in an effective fashion within a linear framework and in a range of cases largely exceeding the popular distorted BA, as well as other linearized approximations, such as the Rytov approximation or EBA. Notably, the proposed inversion method does not resort to iterative solution procedure, and indeed, its computational burden is the same as that of the approach based on the

ACKNOWLEDGMENT The authors would like to thank Dr. A. Litman and Dr. J.-M. Jeffrin from the Institute Fresnel of Marseille, Marseille, France, for providing the FoamDielIntTM data set. R EFERENCES

DI DONATO et al.: NEW LINEAR DISTORTED-WAVE INVERSION METHOD

[11] C. Gilmore, P. Mojabi, and J. LoVetri, “Comparison of an enhanced distorted Born iterative method and the multiplicative-regularized contrast source inversion method,” IEEE Trans. Antennas Propag., vol. 57, no. 8, pp. 2341–2351, Aug. 2009. [12] T. J. Cui, W. C. Chew, A. A. Aydiner, and S. Chen, “Inverse scattering of two-dimensional dielectric objects buried in a lossy earth using the distorted Born iterative method,” IEEE Trans. Geosci. Remote Sens., vol. 39, no. 2, pp. 339–346, Feb. 2001. [13] A. G. Tijhuis, K. Belkebir, A. C. S. Litman, and B. P. de Hon, “Multiplefrequency distorted-wave Born approach to 2D inverse profiling,” Inverse Problems, vol. 17, no. 6, p. 1635, 2001. [14] M. Slaney, A. C. Kak, and L. E. Larsen, “Limitations of imaging with first-order diffraction tomography,” IEEE Trans. Microw. Theory Techn., vol. MTT-32, no. 8, pp. 860–874, Aug. 1984. [15] A. J. Devaney, “Inverse-scattering theory within the Rytov approximation,” Opt. Lett., vol. 6, no. 8, pp. 374–376, Aug. 1981. [16] T. M. Habashy, R. W. Groom, and B. R. Spies, “Beyond the Born and Rytov approximations: A nonlinear approach to electromagnetic scattering,” J. Geophys. Res., vol. 98, no. B2, pp. 1759–1775, 1993. [17] C. Torres-Verdin and T. M. Habashy, “A two-step linear inversion of two-dimensional electrical conductivity,” IEEE Trans. Antennas Propag., vol. 43, no. 4, pp. 405–415, Apr. 1995. [18] A. Abubakar, T. M. Habashy, P. M. van den Berg, and D. Gisolf, “The diagonalized contrast source approach: An inversion method beyond the Born approximation,” Inverse Problems, vol. 21, no. 2, pp. 685–702, 2005. [19] W. P. Brown, “Validity of the Rytov approximation,” J. Opt. Soc. Amer., vol. 57, no. 12, pp. 1539–1542, Dec. 1967. [20] M. Bevacqua, L. Crocco, L. Di Donato, and T. Isernia, “The virtual experiments: An emerging framework for the effective solution of inverse scattering problems,” in Proc. IEEE 9th Eur. Conf. Antennas Propag. (EuCAP), May 2015, pp. 1–4. [21] M. Bevacqua, L. Crocco, L. Di Donato, and T. Isernia, “A ‘virtual experiments’ framework for inverse scattering,” in Proc. IEEE 1st URSI Atlantic Radio Sci. Conf. (URSI AT-RASC), May 2015, p. 1. [22] M. T. Bevacqua, L. Crocco, L. Di Donato, T. Isernia, and R. Palmeri, “Exploiting virtual experiments for the solution of inverse scattering problem,” in Proc. IEEE Int. Conf. Electromagn. Adv. Appl. (ICEAA), Sep. 2015, pp. 836–838. [23] L. Crocco, I. Catapano, L. Di Donato, and T. Isernia, “The linear sampling method as a way to quantitative inverse scattering,” IEEE Trans. Antennas Propag., vol. 60, no. 4, pp. 1844–1853, Apr. 2012. [24] L. Di Donato and L. Crocco, “Model-based quantitative cross-borehole GPR imaging via virtual experiments,” IEEE Trans. Geosci. Remote Sens., vol. 53, no. 8, pp. 4178–4185, Aug. 2015. [25] L. Di Donato, R. Palmeri, G. Sorbello, T. Isernia, and L. Crocco, “Assessing the capabilities of a new linear inversion method for quantitative microwave imaging,” Int. J. Antennas Propag., vol. 2015, Jun. 2015, Art. no. 403760. [26] M. Bevacqua, R. Palmeri, L. Di Donato, L. Crocco, and T. Isernia, “Microwave imaging via iterated virtual experiments,” in Proc. IEEE 10th Eur. Conf. Antennas Propag. (EuCAP), Apr. 2016, pp. 1–5. [27] L. Di Donato, M. T. Bevacqua, L. Crocco, and T. Isernia, “Inverse scattering via virtual experiments and contrast source regularization,” IEEE Trans. Antennas Propag., vol. 63, no. 4, pp. 1669–1677, Apr. 2015. [28] M. T. Bevacqua, L. Crocco, L. Di Donato, and T. Isernia, “An algebraic solution method for nonlinear inverse scattering,” IEEE Trans. Antennas Propag., vol. 63, no. 2, pp. 601–610, Feb. 2015. [29] M. T. Bevacqua, L. Crocco, L. Di Donato, and T. Isernia, “Microwave imaging of nonweak targets via compressive sensing and virtual experiments,” IEEE Antennas Wireless Propag. Lett., vol. 14, pp. 1035–1038, 2015. [30] L. Crocco, L. Di Donato, D. A. M. Iero, and T. Isernia, “An adaptive method to focusing in an unknown scenario,” Progr. Electromagn. Res., vol. 130, pp. 563–579, Jun. 2012. [31] F. Cakoni and D. Colton, Qualitative Methods in Inverse Scattering Theory. Berlin, Germany: Springer-Verlag, 2006. [32] I. Catapano, L. Crocco, and T. Isernia, “On simple methods for shape reconstruction of unknown scatterers,” IEEE Trans. Antennas Propag., vol. 55, no. 5, pp. 1431–1436, May 2007. [33] I. Catapano and L. Crocco, “An imaging method for concealed targets,” IEEE Trans. Geosci. Remote Sens., vol. 47, no. 5, pp. 1301–1309, May 2009. [34] L. Crocco, L. Di Donato, I. Catapano, and T. Isernia, “An improved simple method for imaging the shape of complex targets,” IEEE Trans. Antennas Propag., vol. 61, no. 2, pp. 843–851, Feb. 2013.

2487

[35] O. M. Bucci and T. Isernia, “Electromagnetic inverse scattering: Retrievable information and measurement strategies,” Radio Sci., vol. 32, no. 6, pp. 2123–2138, 1997. [36] K. Belkebir and M. Saillard, “Testing inversion algorithms against experimental data: Inhomogeneous targets,” Inverse Problems, vol. 21, no. 6, pp. S1–S3, 2005. [37] S. Y. Semenov and D. R. Corfield, “Microwave tomography for brain imaging: Feasibility assessment for stroke detection,” Int. J. Antennas Propag., vol. 2008, Mar. 2008, Art. no. 254830. [38] L. Fu, S. Liu, and L. Liu, “Internal structure characterization of living tree trunk cross-section using GPR: Numerical examples and field data analysis,” in Proc. IEEE 15th Int. Conf. Ground Penetrating Radar (GPR), Jun./Jul. 2014, pp. 160–166.

Loreto Di Donato (S’12–GSM’12–M’15) received the B.S. and M.S. degrees in biomedical engineering from the University of Naples Federico II, Naples, Italy, in 2008, and the Ph.D. degree in information engineering from the Mediterranea University of Reggio Calabria, Reggio Calabria, Italy, in 2012. He joined the National Research Council of Italy, Institute for Electromagnetic Sensing of the Environment, Naples, Italy, in 2009. Since 2013, he has been with the Department of Electrical, Electronics and Computer Engineering, University of Catania, Catania, Italy, as an Assistant Professor of Electromagnetic Fields. His current research interests include inverse scattering problems, microwave imaging for biomedical and subsurface noninvasive diagnostics and antennas synthesis problems. Dr. Di Donato was a recipient of the Young Scientist Award of the XXX International Union of Radio Science General Assembly in 2011.

Roberta Palmeri (GSM’15) was born in Catania, Italy, in 1989. She received the B.S. and M.S. degrees in telecommunication engineering from the University of Catania, Catania, Italy, in 2014. She is currently pursuing the Ph.D. degree at the Mediterranea University of Reggio Calabria, Reggio Calabria, Italy. Her current research interests include inverse scattering problems with particular interest in subsurface microwave imaging and array diagnostics. Dr. Palmeri was a recipient of the Best Italian Remote Sensing Thesis Prize of the IEEE Geoscience and Remote Sensing South Italy Chapter in 2014.

Gino Sorbello received the Laurea (summa cum laude) degree in electronics engineering from the University of Catania, Catania, Italy, in 1996, and the Ph.D. degree in electronics and communications engineering from the Polytechnic University of Milan, Milan, Italy, in 2000. He was an Assistant Professor of Electromagnetic Fields with the University of Catania from 2002 to 2014, where he has been an Associate Professor with the Department of Electric, Electronics and Computer Engineering since 2014. His current research interests include single-mode solid-state waveguide lasers and amplifiers and integrated optics, development of ultrawideband compact antennas, microwave devices, and computational electromagnetism with a particular interest in RF plasma interactions and particles accelerators.

2488

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

Tommaso Isernia (M’11–SM’15) received the Laurea (summa cum laude) and Ph.D. degrees from the University of Naples Federico II, Naples, Italy. He is currently a Full Professor of electromagnetic fields with the Mediterranea University of Reggio Calabria, Reggio Calabria, Italy, where he serves as the Supervisor of the LEMMA Research Group and of the Ph.D. Course on Information Engineering. He is with the Consorzio Nazionale Italiano per le Telecomunicazioni Consortium, where he serves as a Member of the Board of Administrators. He is also involved in field synthesis problems for biomedical imaging and therapeutic applications. His current research interests include inverse problems in electromagnetics with a particular emphasis on phase retrieval, inverse scattering, and antenna synthesis problems. Dr. Isernia was a recipient of the G. Barzilai Award from the Italian Electromagnetics Society in 1994.

Lorenzo Crocco (SM’10) was born in Naples, Italy, in 1971. He received the Laurea degree (summa cum laude) in electronic engineering and the Ph.D. degree in applied electromagnetics from the University of Naples “Federico II,” Naples, Italy, in 1995 and 2000, respectively. In 2001, he joined the Institute for the Electromagnetic Sensing of the Environment, National Research Council of Italy (IREA-CNR), Naples, Italy, as a Research Scientist. Since 2010, he has been a Senior Researcher with IREA-CNR, after winning a national completion. From 2009 to 2011, he was an Adjunct Professor with the Mediterranea University of Reggio Calabria, Reggio Calabria, Italy, where he currently is a Member of the Board of Ph.D. advisors. Since 2013, he has been a Member of the management committee of COST Action TD1301 on microwave medical imaging. In 2014, he received the Associate Professor habilitation in electromagnetic fields by the Italian Ministry of Research and University. His scientific interests include electromagnetic scattering problems, imaging methods for noninvasive diagnostics, through the wall radar and ground-penetrating radar, as well as microwave biomedical imaging and therapeutic uses of electromagnetic fields. Dr. Crocco is a Fellow of The Electromagnetics Academy (TEA). He was the recipient of the Barzilai Award for Young Scientists from the Italian Electromagnetic Society (2004) and the Young Scientist Award at the XXVIII URSI General Assembly (2005). In 2009, he was awarded as one of the top young (under 40) scientists of CNR.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

2489

Near-Field Orthogonality Sampling Method for Microwave Imaging: Theory and Experimental Verification Mehmet Nuri Akıncı, Mehmet Çayören, and ˙Ibrahim Akduman, Member, IEEE Abstract— In this paper, a new qualitative inverse scattering method for microwave imaging is proposed. The presented method is inspired by the previously introduced orthogonality sampling method (OSM) and direct sampling method (DSM), which aim to recover the reduced scattered fields. Both the OSM and the DSM are classified as backpropagation-based methods, and they are linked with the point source method and the linear sampling method. Although 3-D formulations of the OSM and the DSM exist for electromagnetic inverse scattering problems, the extension of these methods to near-field measurements is an open problem. The main contribution of this paper is introducing two novel linear operators to connect the reduced scattered fields and the tangential component of the scattered electric field measured on a circle for 2-D transverse electric (2-D-TE) and transverse magnetic (2-D-TM) inverse problems with the near-field measurements. To derive the kernel of these linear transformations, an integral equation is defined for each of the 2-D-TM and 2-D-TE problems. These equations are analytically solved, and the solutions are shown to be computable without any regularization. In addition to these theoretical contributions, the accuracy of the proposed approaches is shown with both numerical and experimental data. The constraints of the method are the measurement circle has to encover the scatterers and the targets have to be bounded in size and weak in contrast. The obtained results show that the developed approaches can be very useful in real-world applications, such as nondestructive testing and biomedical imaging. Index Terms— Direct sampling method (DSM), integral equations, microwave imaging, near-field measurements, orthogonality sampling method (OSM), qualitative inverse scattering.

I. I NTRODUCTION ITH the increasing demand for better imaging technologies, research for more advanced screening techniques becomes popular in scientific community. One of those emerging methods is surely microwave imaging [1]. Up to date, various studies show that such a modality can be applicable in different inverse problems as subsurface sensing [2]–[4], medical imaging [5]–[7], and through-wall screening [8], [9]. The algorithms used in microwave imaging can be classified in two distinct groups: quantitative and qualitative inverse

W

Manuscript received October 26, 2015; revised May 14, 2016 and June 20, 2016; accepted June 21, 2016. Date of publication July 19, 2016; date of current version August 4, 2016. This work was supported by the Turkish Scientific and Research Council under Project no. 113E977. The authors are with the Electrical and Electronics Engineering Faculty, Istanbul Technical University, Istanbul 34469, Turkey (e-mail: [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2585488

scattering methods. The quantitative inversion approaches aim to retrieve the distribution of electrical parameters in the whole target [10]–[12]. In contrast, the qualitative inversion techniques reconstruct only the shape of the target by evaluating certain indicator functions, which are associated with the support of the target [13]–[20]. Although the outcomes of the quantitative inversion methods are enough for a complete characterization of the target, the simplicity and stability of the latter group make them an alternative solution to imaging problems [15]. In this paper, we propose a new qualitative inversion procedure, which is the near-field orthogonality sampling method (NOSM). The presented technique is inspired by two recently introduced methods, which are the orthogonality sampling method (OSM) [16], [17] and the direct sampling method (DSM) [18]–[20]. The indicator function of the OSM and the DSM is the reduced scattered field, which is shown to be linearly related with the electric properties of the scatterers [16]–[20] [in the engineering community, the term reduced scattered fields has a different usage [21]–[24]. Here and throughout this paper, reduced scattered fields corresponds to its definition in [16], whose mathematical expression is given in (8)]. Although these methods are shown to work for full 3-D electromagnetic inverse problems, both the OSM and the DSM require certain assumptions to compute the reduced scattered fields. In particular, the input of the OSM is far-field measurements, which are the asymptotic expressions of the scattered field that are independent of the distance from the origin [16], [17]. The DSM, however, approximates the reduced scattered field from the measurements taken sufficiently far away from the scatterers [18]–[20]. In this context, we develop the NOSM, which is based on calculating the exact reduced scattered fields from the nearfield measurements. The limitations of the proposed approach are as follows: 1) the measurements have to be performed on a circle enclosing the scatterers; 2) the targets have to be finite in size; and 3) the scatterers must have weak contrasts due to the linear relation between the reduced scattered fields and electrical properties of the targets. As a preliminary attempt, this paper deals with only 2-D transverse electric (2-D-TE) and 2-D transverse magnetic (2-D-TM) inverse problems. To achieve analytical formulas for computing the reduced scattered fields from the near-field measurements, novel integral equations are defined for each of the TE and TM inverse problems. Then, these equations are analytically

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

2490

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

solved by means of widely used mathematical tools, which are the Fourier series and the multipole expansion–addition theorem for Bessel-type functions [25]. The obtained solutions are shown to be bounded. The boundedness of the obtained operators shows that the reduced scattered fields are computable without any regularization, which is in fact a desirable property for an inverse scattering approach. In the final step of the inversion, the amplitude of the reduced scattered fields is evaluated and plotted on a sampling domain, which contains the scatterers. The points falling into the targets are determined as those having large indicator function values than a preselected threshold level. Apart from deriving the formulations mathematically, the accuracy of the presented techniques is verified with both numerical and experimental examples. Finally, the relation between the NOSM and the previous works must be emphasized. In [16], it is stressed that the OSM is linked with the point source method (PSM) [26] and the linear sampling method (LSM) [14]. In the same paper, it is also told that the OSM can be classified as a backpropagation-based method [27], [28], which uses superpositions of asymptotic form of the scattered electric fields to compute the reduced scattered fields. Thus, one can conclude that the NOSM is also linked with the PSM, the LSM, and the backpropagation-based approaches, since it also aims to evaluate the same indicator function, i.e., reduced scattered fields. However, the NOSM is different from those previous approaches, since it enables us to evaluate reduced scattered fields from the measured near-field data by means of the newly proposed linear transformations. Finally, the NOSM can also be useful in any quantitative inversion algorithm since the reduced scattered fields are shown to be linearly related with the electrical properties of the scatterers [20]. The organization of this paper is as follows. In Sections II-A–II-C, brief descriptions of the OSM and the DSM are made and their relation with the derived formulation is stressed. Next, in Sections II-D–II-F, the presented formulations are given and the issues related to the multifrequency data are explained. In particular, for both TM and TE scattering scenarios, this paper follows similar steps: first, the connection between the measured data and the indicator is established via a certain integral equation; then, the integral equation is solved accordingly. In Sections III and IV, the numerical and experimental validations of the proposed methods are given, respectively. Finally, this paper ends up with the conclusion in Section V. Throughout this paper, the time convention is assumed as e−iωt and suppressed. Furthermore, it is assumed that both the host medium and all objects are isotropic. Other notation conventions are as follows: p denotes a single variable or a single valued function; p stands for a point in Rν , ν ∈ {2, 3} or a vector function; |p| denotes the distance from the origin or the magnitude of the related vector valued function; and pˆ stands for the angular coordinate of the point p or the normalized vector pˆ = (p/|p|) ∈ S. Here Rν , ν ∈ {2, 3} denotes the 2-D–3-D vector space of the real valued vectors, S ⊂ Rν , ν ∈ {2, 3} is the vector space defined on the unit circle sphere; L 2 (T ) is the Hilbert space defined on the T ⊂ Rν ,

Fig. 1. Problem geometry (Tx: transmitter; Rx: receiver; : measurementexcitation line; x, y: positions of the transmitting and receiving antennas, respectively; D: sampling domain; z: a point in the sampling domain D; : scatterers;  and σ : dielectric permittivity and conductivity of the related medium; and μ0 : magnetic permeability of the free space).

ν ∈ {2, 3}; aν , ν ∈ {i, j, k} stand for the unit vectors in the canonical Cartesian coordinate system; A.B and A × B denote the scalar and vector products for the vector valued functions A and B; and ∇ stands for the well-known del operator. II. N EAR -F IELD O RTHOGONALITY S AMPLING M ETHOD A. Forward Scattering Problem Consider the 2-D scattering problem scenario depicted in Fig. 1. Here the transmitting and receiving antennas are located on the measurement line . It is assumed that the objects are infinitely long in the direction of ak . In such a system, forward electromagnetic scattering mechanism is governed by the well-known data and object equations [25]  s GTE,TM (y, z)χ(z)u(z, x)d(z), x, y ∈  (1) u (y, x) =   u(z, x) = ui (z, x) + GTE,TM (z, z )χ(z )u(z , x)d(z ), 

z ∈ ; x ∈ . us ,

(2)

ui

Here u, and stand for the total, scattered, incident electric fields; x and y denote the locations of transmitting and receiving antennas on measurement line ; z and z stand for the points on the scatterer ; and χ(z) = k 2 (z) − kb2 is the so-called object function, where k(z) is the wavenumber at point z ∈ R3 and kb is the wavenumber in the background medium. In (1) and (2), GTE,TM (y, z) stands for the dyadic Green’s function for 2-D-TE or 2-D-TM scattering case. In the 2-D-TM scenario, the incident electric field has the same direction of the invariance axis, whereas in the 2-D-TE scattering case, the incident magnetic field is parallel to invariance axis. Expressions of the Green’s function can be given as [29], [30] GTE,TM (y, z) ⎧i (1) ⎪ for 2-D-TM ⎨ H0 (kb |y − z|)ak ak , 4   = 1 i (1) ⎪ ⎩ I + 2 D2 H0 (kb |y − z|), for 2-D-TE 4 kb

(3)

AKINCI et al.: NOSM FOR MICROWAVE IMAGING: THEORY AND EXPERIMENTAL VERIFICATION

where I stands for the identity matrix and D2 denotes the Hessian operator. B. Orthogonality Sampling Method OSM reconstructs the reduced scattered field from the farfield measurements in its original form [16]. Here the far-field measurements are defined as [14], [16], [17]  u ∞ (ˆy) = G ∞ (ˆy, z )χ(z )u(z )d(z ), yˆ ∈ S (4) 

where G ∞ (ˆy, z) = γ e−ikb yˆ ·z

(5)

and

⎧ π ⎪ ei 4 ⎪ ⎨√ , in 2-D 8πkb (6) γ = ⎪ 1 ⎪ ⎩ , in 3-D. 4π Single-frequency indicator function for the OSM is given as [16], [17]  2 2 I (kb , z) = u ∞ (ˆy)eikb yˆ .z dS(ˆy) = c u sred (z) , z ∈ D (7) S

where c is a constant and u sred (z) is the reduced scattered field, which is defined as [16], [17] ⎧     ⎪ 2 ⎪ ⎨ J0 (kb |z − z |)χ(z )u(z )d(z ), z ∈ R  s (8) u red (z) =  ⎪ ⎪ ⎩ j0 (kb |z − z |)χ(z )u(z )d(z ), z ∈ R3 

where J0 (·) and j0 (·) stand for the Bessel function of zeroth order and spherical Bessel function of zeroth order, respectively. Note that the above inversion process utilizes only single-frequency data. To make the algorithm more robust, the multifrequency measurements must be employed in the inversion process. There are several ways to include the frequency diversity in the OSM [16], [17]. A simple one can be integrating the single-frequency indicators on all possible wavenumbers  I (kb , z)dkb , z ∈ D. (9) IMF (z) = kb

In [16] and [17], it is shown that the supports of the scatterers can be determined by just evaluating and plotting IMF on the entire sampling domain D. C. Direct Sampling Method DSM is introduced in [18] and [19]. The main equation of the DSM is [19]  G(z, y)p.G(z , y)qd(y) ≈ kb−1 p.I(G(z , z))q, 

z, z ∈ D; p, q ∈ R3

(10)

where p and q are two arbitrary vectors in R3 , G stands for the dyadic Green’s function [25], (·) denotes the complex conjugation, and I(·) stands for the imaginary part of the argument. Note that (10) is approximately true if  is sufficiently far

2491

away from  so that the solutions of the Maxwell’s equation can be approximated with a plane wave [19]. The relation between the OSM and the DSM is hidden in the trace of the dyadic Green’s function [19] ⎧ 2  ⎨ kb J0 (kb |z − z |) , z, z ∈ R2 −1  (11) kb I(tr G(z, z )) = 4 kb ⎩ 2 2kb j0 (kb |z − z |), z, z ∈ R3 . Consequently, it is shown that the following single-frequency indicator I (kb , z) can approximate the square of the function in (8) [19]: 2

s y)q d(y)

 E (y).G(z,

I (kb , z, q) = ,  |Es (y)| d(y)  |G(z, y)q|d(y) z ∈ D; q ∈ R3 I (kb , z) = Eq∈R3 [I (kb , z, q)], z ∈ D

(12) (13)

where Eq∈R3 [·] corresponds to averaging operation on different arbitrary polarizations q ∈ R3 . Similar to the OSM, the multifrequency data can be taken into account by just integrating on all available frequencies [19]. D. Near-Field Orthogonality Sampling Method—2-D-TM Case The main aim of the 2-D-TM NOSM is to reconstruct the reduced scattered electric fields on the sampling domain D. To do that, let us introduce the following linear transformation K TM : L 2 () → L 2 (D):  i (1) H0 (kb |y − z |)K TM (y, z)d(y)  4 (14) = J0 (kb |z − z |)z, z ∈ D. In the Appendix, it is proved that the solution of the integral equation given in (14) exists, is bounded, and can be given as ∞ −2i Jn (kb |z|) in(yˆ −ˆz) e , z ∈ D; y ∈  K TM (y, z) = π R n=−∞ Hn(1)(kb |y|) (15) where R = |y| is the radius of measurement circle . Given (1), (14), and (15), it is straightforward to show that the operator K TM maps the measured scattered electric field u s to reduced scattered electric field u sred  s u red (z, x) = u s (x, y)K TM (y, z)d(y), z ∈ D; x ∈ . 

(16)

Hence, the multifrequency indicator function for the 2-D-TM case can be defined  as s TM u (z, x) 2 d(x)dkb , z ∈ D. (17) IMF (z) = red kb



TM (z) in (17) can To force all results into the same scale, IMF be normalized, and then the final multifrequency indicator function becomes TM (z) IMF TM (z) = , z ∈ D. (18) INMF TM (z) maxz∈D IMF TM over entire sampling domain, Consequently, by plotting INMF a visualization of all scatterers can be obtained.

2492

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

E. Near-Field Orthogonality Sampling Method—2-D-TE Case

and the normalized multifrequency indicator function is

The situation for the TE inverse scattering problem is more complicated. Here, in contrast to the TM case, the magnetic field is parallel to invariant axis of the objects. It is assumed that only the tangential component of the scattered electrical field can be measured on . For the TE problem, computing the reduced scattered magnetic field is easier than evaluating reduced scattered electric field. Therefore, let us find an analytical formula, which connects the reduced scattered magnetic field with the measured tangential component of the scattered electrical field. In the Appendix, we prove that the scattered magnetic field from electrically inhomogeneous targets can be written as  i (1) H0 (kb |y − z|)M(x, z)d(z), h s (y, x) = 4  (19) y ∈ R2 ; x ∈  where M(x, z) = χ(z)h(x, z) − i ω(∇ × u) · ak , z ∈ ; x ∈  (20) and h s and h stand for the scattered and total magnetic fields. Therefore, the tangential component of the scattered electric field can be written as    kb |y| − |z| cos(ˆy − zˆ ) − H1(1)(kb |y − z|) u s (y, x) = |y − z|  4ωb × M(x, z)d(z), y, x ∈ . (21) Then, the problem reduces to finding a linear transformation K TE : L 2 () → L 2 (D), which transforms the tangential components of scattered electric field defined on  to the reduced scattered magnetic field defined on D. Obviously, the operator K TE is the solution of the following integral equation:

 kb |y| − |z | cos(ˆy − zˆ ) (1)  − H (kb |y − z |) 4ωb 1 |y − z |  K TE (y, z)d(y) = J0 (kb |z − z|)z, z ∈ D.

(22)

In the Appendix, we prove that the solution of (22) exists, is bounded, and can be given as K TE (y, z) =

∞ Jn (kb |z|)ein(ˆz−ˆy) 4ωb , πkb R n=−∞ H (1) (kb |y|) − H (1) (kb |y|) n−1 n+1 z ∈ D; y ∈ . (23)

Then, by combining (21)–(23), we can derive an analytical formula for the reduced scattered magnetic field  s h red (z, x) = u s (x, y)K TE(y, z)d(y), z ∈ D; x ∈ . 

(24)

Hence, the unnormalized multifrequency indicator function for the TE case becomes   s TE h (z, x) 2 d(x)dkb , z ∈ D (25) IMF (z) = red kb



TE (z) = INMF

TE (z) IMF TE (z) maxz∈D IMF

, z ∈ D.

(26)

TE on the Consequently, by plotting the indicator function INMF entire sampling domain D, reconstruction of the shapes of the targets can be retrieved. Finally, the conditions of proper operation for the derived formulations can be briefly stated as follows. 1) The indicator functions in (16) and (24) are exactly accurate (i.e., they compute the reduced scattered fields exactly) as long as the scatterers are bounded in size, the measurement line  is a circle, and it encircles the sampling domain entirely. These requirements are necessary to derive an analytical solution to the integral equations in (14) and (22). Note that such requirements are not too strong, and many measurement systems already have similar configurations [31]–[33]. 2) The number of the antennas must be higher than A(kb /π)2 , where A is the total area of the scatterers [34]. 3) The derived formulations can properly handle with multiple scatterers, nonsimply connected targets, and inhomogeneous or conductive mediums, since the reduced scattered field is directly related to the electrical properties of the scatterers [20]. On the other hand, the linearity of this relation limits the performance of the method when handling with high contrast targets. 4) The formulations above have to apply even when the absolute values in (17) and (25) are changed with the absolute value of the real or imaginary part of the argument, since the reduced scattered fields are linearly related to the object function, which vanishes outside the scatterers [20]. Nevertheless, to obtain the best qualitative image, it is reasonable to use both part together as in the above. 5) Finally, as observed from our numerical experience, the single-frequency reconstructions are well enough for many cases. However, using multifrequency data increases the stability and robustness of the algorithm. Furthermore, there are different possibilities to include multifrequency data into account as in [17]. The above scheme is employed for its simplicity and robustness.

F. Implementation of the Near-Field Orthogonality Sampling As can be understood from the formulation, the NOSM consists of simple matrix operations. Assume that the objects are excited with M antennas, which are uniformly distributed on x1 , x2 , . . . , x M ∈ . The scattered electric field usp = [u s (kb, p , xm , yn )], n = 1, 2, . . . , N, m = 1, 2, . . . , M, p = 1, 2, . . . , P, is measured by N antennas that are also uniformly distributed on y1 , y2 , . . . y N ∈ . The sampling domain D is divided into Q uniform squares, whose centers are located at z , = 1, 2, . . . , Q. Then the operator KTM−TE = [K TM−TE (kb, p , yn , z )]; = 1, 2, . . . , Q, n = p 1, 2, . . . , N, p = 1, 2, . . . , P, is computed via (15)–(23).

AKINCI et al.: NOSM FOR MICROWAVE IMAGING: THEORY AND EXPERIMENTAL VERIFICATION

2493

Fig. 2. Numerical results obtained for (a) TE scattering case—SNR = 20 dB, (b) TM scattering case—SNR = 20 dB, (c) TE scattering case—SNR = 10 dB, and (d) TM scattering case—SNR = 10 dB with four uniformly distributed frequencies between 8 and 11 GHz (including 8 and 11 GHz). (e) Jaccard index versus threshold for all reconstructions. (White dashed lines in (a)–(d) indicate the exact boundaries of the targets.)

After, the reduced scattered fields rsp at wavenumbers kb, p , p = 1, 2, . . . , P, are evaluated as rsp = usp KTM−TE . p

(27)

Then, the pth single-frequency indicator function is obtained as 2 = rsp (28) ITM−TE p where | · | denotes the element-wise absolute value operator and is the [1 × M] Jacobian matrix for the inner integral in (17) and (25). Then, the multifrequency indicator function becomes TM−TE IMF

=

P

ITM−TE p p

(29)

p=1

where p denotes the Jacobians coming from the outer integrations in (17) and (25). Finally, the discrete normalized multifrequency indicator function is obtained as TM−TE = INMF

TM−TE IMF  TM−TE  . max IMF

(30)

III. N UMERICAL V ERIFICATION This section provides a numerical proof of concept for the proposed formulations. The synthetic data are obtained via method-of-moments technique [29], [30]. The scatterers are selected as two circles (outer one has a hole inside, and the inner circle is located in that hole), and all space (including the region between the inner and outer scatterers) is

assumed to be filled with a material having a relative dielectric permittivity of r = 2 and a conductivity of σ = 0.01 S/m. Here, the outer target has a relative dielectric permittivity of r = 3 and a conductivity of σ = 0.01 S/m, whereas the circle inside has r = 4 and σ = 0.05 S/m. The radius of the inner scatterer is 8 mm, and it is centered at (x, y) = (−5, −5) mm, where x and y denote the axes shown in Fig. 2. The inner and outer radii of the exterior target are 50 and 60 mm, respectively, and it is centered around the origin. The targets are illuminated by 36 electric/magnetic line sources for the TM/TE scattering case. These antennas are uniformly distributed on the circle whose center is at the origin and whose radius is 95 mm. The tangential components of the scattered electric fields are calculated at the points, where the illuminating antennas are located. The measurements are taken at four discrete frequencies between 8 and 11 GHz with an incremental step of 1 GHz. For the discretization in the direct problem, a mesh consisting of identical squares is used. The edge length of each square is chosen as (1/20) of the minimum wavelength (∼0.97 mm). After obtaining the scattered field, the NOSM is applied to obtain a reconstruction of the shapes of the scatterers. The sampling domain is selected as a square, whose center is at the origin and whose edge length is 130 mm. Each side of this domain is partitioned into 104 equal pieces, which results in 104 × 104 sampling points in total. Note that the edge length of a square cell becomes 1.25 mm (1/15 of the minimum wavelength), and hence the mesh in the inversion process is different from the mesh in the forward problem.

2494

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

This is particularly important to avoid any inverse crime. Besides using different meshes in the inverse and the forward problems, the synthetic data are corrupted with an additive white Gaussian noise such that us = u s + N E[R(N)] = E[I(N)] = E[R(N)I(N)] = 0 η2 E[R2 (N)] = E[I 2 (N)] = |u s |2 (31) 2 where R(·) stands for the real part of the argument. Thereby, each of the single-frequency data has a signal-to-noise ratio (SNR) of −20 log η dB. Consequently, the indicator function in (30) is computed and plotted on the sampling domain D. The obtained results when SNR = 20 dB are given in Fig. 2(a) for the TE case and Fig. 2(b) for the TM case; the reconstructions for SNR = 10 dB are given in Fig. 2(c) for the TE case and Fig. 2(d) for the TM case. As can be seen from the results, the NOSM works fairly well with the synthetic data. Furthermore, these results show that the NOSM can work for lossy medium and multiply connected targets. Finally, these results also show that the method can handle with high contrast targets (relative contrast for the inner scatterer is two) up to some extent. To better quantify the quality of these qualitative images, they must be converted to a binary image. For this purpose, a threshold value 0 < T < 1 is heuristically selected and the normalized indicator function is filtered. Here, the first step of the filtering is determining the filtering threshold Q [35]  TM−TE  (z) Q := Ez∈BT INMF    TM−TE   ∇I NMF (z)  > T BT := z : (32)  maxz∈D ∇ITM−TE (z) NMF

where · denotes the element-wise Euclidean norm operator. After selecting the filtering threshold Q, binary normalized TM−TE (z) is obtained as multifrequency indicator function Ib,NMF  TM−TE (z) ≥ Q 1, if INMF TM−TE (33) (z) = Ib,NMF TM−TE 0, if INMF (z) < Q. Here, the support of the target is determined as the union of the regions, where the binary normalized multifrequency indicator function takes the value of one. The quality (similarity to the exact shape) of the resultant images is assessed by means of the Jaccard index [36]. Here, let the exact binary indicator be Ib,exact (z), then the Jaccard index is given by J (%) = 100 ×

NCP NUP

(34)

where NC P stands for the number of points for which TM−TE Ib,exact (z) = Ib,NMF (z) = 1 and NUP denotes the number TM−TE (z) = 1. For of points for which Ib,exact (z) = 1 or Ib,NMF the results in Fig. 2, the arbitrary threshold is swept between 0.05 ≤ T ≤ 0.95 with an incremental step of 0.05, and the Jaccard index is plotted in Fig. 2(e). As can be seen from Fig. 2(e), NOSM produces successful reconstructions for both the TE and TM cases. (In [37], it is stated that if J (%) > 54, then the reconstruction can be treated as successful.)

IV. E XPERIMENTAL V ERIFICATION After presenting a numerical application of the NOSM, let us continue with a number of experimental results. The scattered field measurements are made in the anechoic chamber of Istanbul Technical University, whose dimensions are 7 × 6 × 3.3 m3 and whose operating frequency range is 80 MHz–20 GHz [9]. The measurement system, whose photograph is given in Fig. 3(a), consists of an computer controlled motor, two tapered slot antennas (properly radiates in 2–8 GHz), and a vector network analyzer (VNA, Agilent N5230A). The calibration between VNA plane and the input of the antennas is made using an Agilent N4691B electronic calibration module. Throughout all experimental examples, the sampling domain is selected as a square, whose center is at the origin and whose edge length is 200 mm. Two antennas, which are 15 cm away from the center, are rotated with 20◦ steps, and thus an 18 × 18 bistatic scattering matrix is obtained for each single-frequency measurement. Scattering parameter (S-parameter) measurements are performed at 16 equilinearly distributed frequencies between 4.0 and 5.5 GHz, with an incremental step of 0.1 GHz. The motivation for selecting such a frequency interval is to satisfy the suitable conditions for which 2-D scattering approximations are valid. For discretization purposes, the sampling domain is partitioned into 80 equal pieces, which results in 80 × 80 sampling points in total. Thus, each cell has an edge length of 2.5 mm, which corresponds to (1/20) of the minimum wavelength in the free space. For all methods (OSM, DSM, NOSM, and M-LSM), the multifrequency indicator function is evaluated as explained in Section II-F, i.e., by first summing over all available singlefrequency indicators [as in (29)] and then normalizing the resultant function with its maximum [as in (30)]. Finally, to convert measured S-parameters to electric field values, a metallic cylinder [the height is 37 cm and the radius is 5 cm; see Fig. 3(b)] is employed as the reference target and its measured S-parameters are compared with the analytical solution [38], [39]. Here, let the measured scattered s (k , x, y) = S-parameters from reference target be Sref b i Sref (kb , x, y) − Sref (kb , x, y), where Sref (kb , x, y) is the S-parameters measured by the antennas when the reference i target exists and Sref (kb , x, y) is the S-parameters measured by the antennas when there is no scatterer in the scanner. Let also the tangential component of the analytical scattered electric field be u sref (kb , x, y) [the excitation is assumed as an infinite length electric/magnetic line source directed vertically (i.e., directed along ak ) for the 2-D-TM/TE scattering scenarios, and it is assumed that these line sources are located at 15 cm away from the center], then the calibration coefficients γ (kb ) become [38], [39]  γ (kb ) =



s (k , x, y) u sref (kb , x, y)Sref b . 2   s x∈ y∈ Sref (k b , x, y)

x∈

y∈

(35)

After computing the calibration coefficients γ (kb ), if S s (kb , x, y) is the scattered S-parameters from an arbitrary target, the tangential component of the scattered electric

AKINCI et al.: NOSM FOR MICROWAVE IMAGING: THEORY AND EXPERIMENTAL VERIFICATION

2495

Fig. 3. Photographs of (a) experimental configuration and (b) targets. The experimental results obtained with the NOSM for (c) TE case—single dielectric and (d) TM case—single dielectric with 16 uniformly distributed frequencies between 4.0 and 5.5 GHz (including 4.0 and 5.5 GHz). Jaccard index versus threshold for (e) TE case—single dielectric and (f) TM case—single dielectric. [White dashed lines in (c) and (d) indicate the exact boundaries of the targets.]

field u s (kb , x, y) can be computed as u (kb , x, y) = γ (kb )S (kb , x, y), x; y ∈ . s

s

(36)

The first two results are given in Fig. 3(c) for the TE case and in Fig. 3(d) for the TM case when a single cylindrical dielectric scatterer [the height is 26 cm and the radius is 1.5 cm; see Fig. 3(b)] exists in the sampling domain. Note that the algorithm accurately retrieves the shapes of the dielectric objects in both cases. These results prove that the proposed 2-D-TE and 2-D-TM formulations can work with single dielectric scatterer. Due to space limitations, the reconstructions for the OSM and the DSM cannot be given for this case; however, the Jaccard index versus threshold curves for all methods (OSM, DSM, and NOSM) are given in Fig. 3(e) and (f). It is obvious that the NOSM produces successful reconstructions for a wide choice of threshold T and its performance exceeds both the DSM and the OSM for this near-field scattering scenario. In particular, for the TE case, it can be said that the only successful method is the NOSM. This is in fact not a surprising result, since the performances of both the OSM and the DSM get lower for near-field cases due to their inherent approximations. More explicitly, (7) does not contain anything related with the distance of the antennas and (10) becomes invalid for the nearfield measurement cases. Furthermore, the DSM has the worst performance in the TE case, because, apart from the approximation in (10), it also approximates the reduced scattered field, which is a scalar quantity, from a vectorial scattered

electric field by averaging indicator function I (kb , z, q) in (12) with respect to different polarizations q ∈ R3 [19]. For all TE-DSM scenarios, selecting arbitrary polarizations as q = [cos(ζ ), sin(ζ ), 0]; ζ ∈ {0◦ , 30◦ , . . . , 330◦ } turns out to be sufficient. Note that in the TM case, setting p = q = ak in (10) makes I(tr G(z , z)) = p.I(G(z , z))q, and thus for the TM case, the only approximation that reduces the performance of DSM is the one in (10). Next to show the performance of the NOSM with multiple dielectric scatterers, the cylinder of the previous example and a square-shaped wooden scatterer [the height is 17 cm and the edge length is 4.5 cm; see Fig. 3(b)] are measured. The results for the TE case are given in Fig. 4(a) for OSM, Fig. 4(b) for DSM, and Fig. 4(c) for NOSM, and the results for the TM case are given in Fig. 4(e) for OSM, Fig. 4(f) for DSM, and Fig. 4(g) for NOSM. The Jaccard index values for different thresholds are plotted in Fig. 4(d) for TE and Fig. 4(i) for TM scattering setups. From both continuous valued results and the Jaccard index values, it can be understood that the NOSM is the best approach when a successful reconstruction can be obtained [i.e., when J (%) > 54]. In particular, by observing Fig. 4(d), it can be said that for the TE case, the only method that produces successful binary images is the NOSM. For the TM scattering scenario, all methods are capable of producing successful reconstructions. Nevertheless, as can be seen from Fig. 4(i), the NOSM is the best method (among OSM, DSM, and NOSM) for all values of the threshold. This phenomenon can be ascribed to exactness of the

2496

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

Fig. 4. Experimental results obtained for TE case—multiple dielectrics when (a) OSM, (b) DSM, and (c) NOSM applied with 16 uniformly distributed frequencies between 4.0 and 5.5 GHz (including 4.0 and 5.5 GHz). (d) Jaccard index versus threshold for TE case—multiple dielectrics. The experimental results obtained for TM case—multiple dielectrics when (e) OSM, (f) DSM, (g) NOSM, and (h) M-LSM applied with 16 uniformly distributed frequencies between 4.0 and 5.5 GHz (including 4.0 and 5.5 GHz). (i) Jaccard index versus threshold for TM case—multiple dielectrics. (White dashed lines in (a)–(c) and (e)–(h) indicate the exact boundaries of the targets.)

indicator function of the NOSM for such near-field scattering setups. As stressed before, the derived formulations have some links with the PSM, the LSM, and the backpropagationbased methods. Also, it is obvious that the NOSM often utilizes from the multipole expansion of Bessel kind functions and the Fourier series, which are two widely used mathematical tools in almost every qualitative inverse scattering approach [15], [40], [41]. Therefore, the performance of the derived formulations can be compared with a qualitative approach, which has some links with the NOSM as well as employing multipole expansion and Fourier series tools. For this aim, the data of the 2-D-TM scattering scenario with the multiple dielectric scatterers are supplied to the multipole-based LSM (M-LSM), which is proposed in [40].

By comparing the reconstruction of the M-LSM in Fig. 4(h) with Fig. 4(g) visually and by observing the Jaccard index values given in Fig. 4(i), one can deduce that both methods can successfully reconstruct the shape of the scatterers for certain values of threshold T . As a final example, two identical cylindrical conductor scatterers [the height is 44 cm and the radius is 1.25 cm; see Fig. 3(b)] are considered. Here, the results for the TE cases are given in Fig. 5(a)–(c) for OSM, DSM, and NOSM, respectively, and the results for TM setup are given in Fig. 5(e)–(g) for OSM, DSM, and NOSM, respectively. The values of Jaccard index for TE and TM measurement setups are plotted in Fig. 5(d) and (h), subsequently. By observing through Fig. 5(a)–(d), one can conclude that the NOSM is the best approach for TE measurement configurations. As in the case

AKINCI et al.: NOSM FOR MICROWAVE IMAGING: THEORY AND EXPERIMENTAL VERIFICATION

2497

Fig. 5. Experimental results obtained for TE case—multiple conductors when (a) OSM, (b) DSM, and (c) NOSM applied with 16 uniformly distributed frequencies between 4.0 and 5.5 GHz (including 4.0 and 5.5 GHz). (d) Jaccard index versus threshold for TE case—multiple conductors. The experimental results obtained for TM case—multiple conductors when (e) OSM, (f) DSM, and (g) NOSM applied with 16 uniformly distributed frequencies between 4.0 and 5.5 GHz (including 4.0 and 5.5 GHz). (h) Jaccard index versus threshold for TM case—multiple conductors. (White dashed lines in (a)–(c) and (e)–(g) indicate the exact boundaries of the targets.)

of dielectric scatterers, the obvious performance difference can be again attributed to the exactness of the indicator function of the NOSM. Finally, if the results in Fig. 5(e)–(h) are considered, it can be argued that the DSM and the NOSM are quite successful for TM scattering scenario. Yet, if Fig. 5(f) and (g) are compared visually, it can be argued that the reconstruction of the NOSM is less noisy and the artifacts in Fig. 5(f) are eliminated by the binarization procedure described in (32) and (33). Consequently, by considering the results given through Figs. 3–5, it can be inferred that the NOSM produces quite accurate reconstructions for both TE and TM near-field scattering scenarios. Furthermore, the exactness of the indicator functions in (18) and (26) for the near-field setups results in an observable performance difference between the NOSM and the other methods. Finally, from the aspect of computational burden, the NOSM and the other methods have the same computational complexity of O(N) and memory usage of O(N), where N is the number of points in the sampling domain. However, the OSM and the DSM are faster than the NOSM, since the computation of the series in (15) and (23) require additional O(M N) operations, where M denotes the number of terms in these series [here, for all cases, M is determined such that the addition of (M + 1)th term changes the value of the series than 0.1%]. Nevertheless, obtaining the results presented in this paper takes less than 2 min on a computer having 2.2-GHz eight-core CPU with 8-GB RAM. V. C ONCLUSION In this paper, we have developed a new near-field qualitative inversion method, which is based on evaluating the reduced scattered fields analytically. To derive the exact relationships

between the scattered electric fields, which are measured on a circle encovering the scatterers, and the reduced scattered fields, we have set an integral equation for each of the 2-D-TE and 2-D-TM inverse scattering problems in which the scatterers are bounded in size. These equations are solved analytically, and the solutions are shown to be computable without any regularization. Apart from the theoretical derivations, we have also verified the presented formulations with both numerical and experimental data. The obtained results prove the stability and accuracy of the derived formulations. The derived algorithms have many attractive features. First, they have a good performance for near-field imaging scenarios. Furthermore, the computational burden of the derived methods is slightly higher than the computational requirements of the OSM and the DSM. Nevertheless, NOSM is very advantageous from the computational aspect when compared with other qualitative imaging methods, which require inverting a linear system of equations. Finally, by taking a glance on Section II-F, it can be said that the implementation of the derived algorithms is quite straightforward. Apart from such useful properties, in [20], it is shown that reduced scattered fields are directly related to the electrical properties of the scatterers. Therefore, the techniques, which are introduced in this paper, may be extended to obtain more effective quantitative inversion schemes, which can be helpful in many real-world problems as nondestructive testing and biomedical imaging. Future work will be devoted to extend the proposed formulations to full 3-D electromagnetic case as well as developing more effective and efficient quantitative inversion strategies, which are based on the presented qualitative inverse scattering technique.

2498

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

A PPENDIX

B. Derivation of Equations in Section II-E

A. Derivation of Equations in Section II-D Our aim is to find an analytical solution to the integral equation in (14). Let us start with the summation formulas for Bessel kind functions [25]  ∞ i ˆ Jn (kb |z |)Hn(1)(kb |y|)ein(yˆ −z ) K TM (y, z)d(y) 4  n=−∞ ∞

=

ˆ

Jn (kb |z|)Jn (kb |z |)ein(ˆz−z ) z, z ∈ D.

Here, we first have to show that the scattered magnetic field from an electrically inhomogeneous target can be written as in (19) and (20). To do that, let us write Maxwell’s equations when the scatterer exists [25] ∇ × E − i ωμ0 H = 0 ∇ × H + i ωc E = J ∇ · (E) = ρ

(37)

∇ · (μ0 H) = 0

n=−∞

Here, R = |y| is the radius of measurement circle  and R > |z |. We can expand K TM (y, z) into a Fourier series K TM (y, z) = −4i



Am (z, R)e−imyˆ

(38)

m=−∞

where Am (z, R) is an arbitrary function depending on z and R. Substituting (38) into (37), we have 

∞  n=−∞ ∞

=





e

inˆy−imyˆ ∞

=

ˆ

Jn (kb |z |)Hn(1)(kb R)e−inz Am (z, R)

= = =

e



∇∇ · H − ∇ 2 H + i ω∇c × E − ω2 c μ0 H = ∇ × J ∇ 2 H − i ω∇c × E + ω2 c μ0 H = −∇ × J. ˆ

Jn (kb |z |)Hn(1)(kb R)e−inz Am (z, R)

Jn (kb |z



|)Hn(1)(kb R)e

m=−∞ n=−∞ ∞

−inzˆ

∇ 2 Hi + ω2 b μ0 Hi = −∇ × J Am (z, R)2π Rδnm

ˆ

Jn (kb |z |)Hn(1)(kb R)e−inz An (z, R)2π R

n=−∞ ∞

Jn (kb |z|)Jn (kb |z |)e

in(ˆz−zˆ )

, z; z ∈ D.

(39)

n=−∞

Note that, in the second transition, we assume that  encloses the support of the scatterers, which is necessary to obtain an orthogonality relation between exponential functions. By equating both sides of (39) term by term, we reach An (z, R) =

Jn (kb |z|) (1)

e

inˆz

.

(45)

In (45), the last step stems from the fourth equation in (42). Now the last equation in (45) turns into the following equation, if there is no scatterer in the space:

Rd yˆ



(44)

∇ × ∇ × H + i ω∇c × E − ω2 μ0 H = ∇ × J

m=−∞ n=−∞ 2π inˆy−imyˆ yˆ =0

(43)

Then (43) becomes

d(y) ∞

∇ × ∇ × H + i ω∇ × (c E) − i ωc ∇ × E − ω2 c μ0 H = ∇ × J.

i ω∇ × (c E) = i ω(∇c × E + c ∇ × E).

Am (z, R)e−imyˆ d(y)

m=−∞ ∞

where E and H denote the total electric and magnetic fields, c =  + i (σ/ω) is the complex dielectric permittivity of the medium, J stands for the currents on the exciting sources, and ρ is the charge density. Here, we can obtain well-known vector wave equation by combining the first two equations

Note that [25]

m=−∞ n=−∞





ˆ

Jn (kb |z |)Hn(1)(kb R)ein(yˆ −z )

(42)

(40)

(46)

where Hi stands for the incident magnetic field. Letting k = (ω2 c μ0 )1/2 and kb = (ω2 b μ0 )1/2 and subtracting (46) from (45), we end up with   ∇ 2 Hs + kb2 Hs = i ω∇c × E − k 2 − kb2 H

(47)

where Hs denotes the scattered magnetic field. In the 2-D-TE case (assuming the invariance axis is parallel to ak ), Hs = h s ak , H = hak , and E = u = u i ai + u j aj , ∇c .ak = 0, so we can write ∇ 2 h s + kb2 h s = i ω(∇c × u) · az − χh.

(48)

It is well known that the Green function of (48) is the same / , as that of the TM case and ∇c (z) = 0 when z ∈ Then, the operator becomes and hence the scattered magnetic field can be written as in (19) and (20). ∞ −2i Jn (kb |z|) in(ˆz−ˆy) TM After deriving the aforementioned representation of the e , z ∈ D; y ∈ . K (y, z) = π R n=−∞ Hn(1)(kb R) magnetic field, we can now proceed to the solution of the (41) integral equation in (22). From Maxwell’s equations, it is 2π R Hn (kb R)

AKINCI et al.: NOSM FOR MICROWAVE IMAGING: THEORY AND EXPERIMENTAL VERIFICATION

obvious that

C. Stability of Near-Field Orthogonality Sampling—2-D-TM Let us derive an upper bound for the following indicator function:   2 TM s TM |I (z)| = u (x, y)K (y, z)d(y) d(x)   2   ≤ |u s (x, y)||K TM (y, z)|d(y) d(x)

u s (y, x) 1 ∂h s (y, x) = i ω ∂|y|    b kb |y| − |z| cos(ˆy − zˆ ) (1) = − H1 (kb |y − z|) |y − z|  4ωb M(x, z)d(z)  ∞ ∂ 1 Jn (kb |z|)Hn(1)(kb |y|)ein(yˆ −ˆz) = 4ωb  ∂|y| n=−∞ =

1 4ωb







Jn (kb |z|)

 n=−∞



x,y∈ TM

2 (49)

Then, the integral equation in (22) becomes

(1)  (1) ∞ (kb R) Hn−1 (kb R) − Hn+1 1  Jn (kb |z |) 4ωb  n=−∞ 2 ˆ

kb ein(yˆ −z ) K TE (y, z)d(y)



ˆ

Jn (kb |z|)Jn (kb |z |)ein(ˆz−z ) z, z ∈ D.

(50)

n=−∞

In a similar way to the TM case, we can expand the operator in Fourier series (1)

 (1) ∞ Hn−1 (kb R) − Hn+1(kb R) kb  Jn (kb |z |) 4ωb  n=−∞ 2 e

in(yˆ −zˆ )



Bm (z, R)e−im yˆ d(y)

m=−∞

kb = 4ωb ˆ

e−inz =

kb 4ωb





Jn (kb |z |)

n=−∞ ∞ m=−∞ ∞

(1)

(1)

Hn−1(kb R) − Hn+1 (kb R)

2

2π R Bm (z, R)δmn Jn (kb |z |)

2

ˆ

e−inz 2π R Bn (z, R) ∞ ˆ = Jn (kb |z|)Jn (kb |z |)ein(ˆz−z ) , z, z ∈ D.

(51)

n=−∞

By equating both sides term by term, we end up with Bn (z, R) =

πkb R



4ωb Jn (kb |z|) inˆz e . (1) (1) Hn−1 (kb R) − Hn+1 (kb R)

(52)

Hence, the operator K TE (y, z) becomes K

TE

max |K

z∈D,y∈

(y, z)|2 , z ∈ D.

∞ Jn (kb |z|)ein(ˆz−ˆy) 4ωb , (y, z) = πkb R n=−∞ H (1) (kb R) − H (1) (kb R) n−1 n+1 z ∈ D; y ∈ . (53)

(54)

Hence, the single-frequency indicator function is finite for any bounded scattered field if the operator K TM (y, z) is finite (or convergent) for any z ∈ D, y ∈ . To show the convergence of the series, we make use of the well-known asymptotic forms of Bessel and Hankel functions for positive large orders of n [42]  n 1 et Jn (t) ∼ √ 2n 2πn   −n 2 et (1) Hn (t) ∼ −i . (55) πn 2n Hence, we can conclude that Jn (k |z|) (1) b Hn (kb |y|) lim   = 1. ekb |z| n n→∞ √ 1  2π n 2n 2  ek |y| −n b π n 2n

(56)

Besides, we use the fact that absolute convergence of a series implies the convergence [43]. Here, the following manipulations are allowed: ∞ J (k |z|) J (k |z|) Jn (kb |z|) n b −n b (1) = (1) ⇒ (1) H (kb |y|) Hn (kb |y|) n=−∞ Hn (k b |y|) −n ∞ J (k |z|) 0 b Jn (kb |z|) = (1) (1) +2 . (57) H (kb |y|) Hn (kb |y|) n=1

0

(1) (1) Hn−1 (kb R) − Hn+1 (kb R)

n=−∞



≤ (2π R)3 max |u s (x, y)|2

M(x, z)d(z)

(1) (1) (kb |y|) Hn−1 (kb |y|) − Hn+1

kb ein(yˆ −ˆz) M(x, z)d(z)y, x ∈ .

=

2499

Then by means of the limit comparison test [43], we can tell that K TM (y, z) is absolutely convergent since the following series converges as shown in the following:  n ekb |z| ∞ √1 ∞ 2n Cn 2πn   −n = K n 2n ekb |y| 2 n=1 n=1 πn 2n ⎛ ⎞ ∞ n C ⎠ = K ⎝L + n 2n √ n= C+1 ⎛ ⎞  n ∞ C ⎠ ≤ K ⎝L + √ √ ( C + 1)2 n= C+1 ⎞ ⎛ √  ⎜ = K⎜ ⎝L +

√ C ( C+1)2

1−

 C+1

√ C ( C+1)2

⎟ ⎟ C, K , L ∈ R+ . ⎠

(58)

2500

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

D. Stability of Near-Field Orthogonality Sampling—2-D-TE

ACKNOWLEDGMENT

In a similar way to the TM case, we can use the following relations to prove the boundedness of indicator function:

The authors would like to thank H. Ahmadzay for his careful reading of this paper and S. Ozgur for his help during the experiments.

J−n (kb |z|) (1) (1) H −n−1 (k b |y|) − H−n+1 (k b |y|) Jn (kb |z|) = (1) H (kb |y|) − H (1) (kb |y|) n+1



= lim  n→∞

=  =  =  =

ekb |y| 2 ekb |y| 2 ekb |y| 2 kb |y| 2

2 π(n−1) 2 π(n+1)

 

ekb |y| 2(n−1) ekb |y| 2(n+1)



2 lim

n→∞

2

−(n−1) −(n+1)

n + 1 (n − 1)n−1 n − 1 (n + 1)n+1

(n − 1)n−1 1 n→∞ (n + 1)n−1 (n + 1)2 lim

2

lim  n→∞

2 lim

n→∞

1 1+

2 n−1

n−1

π(n+1)

1 (n + 1)2

1 (n − 1)2

=0 (1) Jn (kb |z|)/Hn+1(kb |y|) lim n→∞ 1 − H (1) (k |y|)/H (1) (k |y|) n−1 b n+1 b J (k |z|) n b = lim (1) n→∞ H n+1 (k b |y|) Jn (k |z|) (1) b H (kb |y|) n+1 lim   ekb |z| n n→∞ √1 2n 2π n  −(n+1)  ekb |y| 2 = 1.

(59)

n−1

(1) Hn−1(kb |y|) lim n→∞ H (1) (k |y|) n+1 b



R EFERENCES

(60)

(61)

2(n+1)

(62)

It is obvious that the series in the denominator is convergent [the proof is very similar to that in (58)]. Consequently, we can tell that the series in the definition of K TE (y, z) is absolutely convergent. Consequently, from a mathematical point of view, computing reduced scattered fields from the scattered electric fields does not require a regularization, since the indicator is bounded. On the other hand, it can be stated that making do with reduced scattered fields, which is related with but not equal to the exact object function χ [20], can be regarded as a regularization strategy. Finally, boundedness implies the existence and the continuity on the measured data, yet it does not guarantee the well-posedness in the sense of Hadamard, since the uniqueness of the indicators are not proved yet.

[1] M. Pastorino, Microwave Imaging. New York, NY, USA: Wiley, 2010. [2] I. Catapano and L. Crocco, “An imaging method for concealed targets,” IEEE Trans. Geosci. Remote Sens., vol. 47, no. 5, pp. 1301–1309, May 2009. [3] M. N. Akıncı and M. Çayören, “Microwave subsurface imaging of buried objects under a rough air–soil interface,” Remote Sens. Lett., vol. 5, no. 8, pp. 703–712, 2014. [4] T. U. Gurbuz, B. Aslanyurek, E. P. Karabulut, and I. Akduman, “An efficient nonlinear imaging approach for dielectric objects buried under a rough surface,” IEEE Trans. Geosci. Remote Sens., vol. 52, no. 5, pp. 3013–3022, May 2014. [5] E. C. Fear, P. M. Meaney, and M. A. Stuchly, “Microwaves for breast cancer detection?” IEEE Potentials, vol. 22, no. 1, pp. 12–18, Feb./Mar. 2003. [6] T. U. Gürbüz, B. Aslanyürek, A. Yapar, H. Sahinturk, ¸ and I. Akduman, “A nonlinear microwave breast cancer imaging approach through realistic body–breast modeling,” IEEE Trans. Antennas Propag., vol. 62, no. 5, pp. 2596–2605, May 2014. [7] O. Güren, M. Çayören, L. T. Ergene, and I. Akduman, “Surface impedance based microwave imaging method for breast cancer screening: Contrast-enhanced scenario,” Phys. Med. Biol., vol. 59, no. 19, pp. 5725–5739, 2014. [8] R. Solimene, F. Soldovieri, G. Prisco, and R. Pierri, “Three-dimensional through-wall imaging under ambiguous wall parameters,” IEEE Trans. Geosci. Remote Sens., vol. 47, no. 5, pp. 1310–1317, May 2009. [9] I. Akduman, L. Crocco, and F. Soldovieri, “Experimental validation of a simple system for through-the-wall inverse scattering,” IEEE Geosci. Remote Sens. Lett., vol. 8, no. 2, pp. 258–262, Mar. 2011. [10] W. C. Chew and Y. M. Wang, “Reconstruction of two-dimensional permittivity distribution using the distorted Born iterative method,” IEEE Trans. Med. Imag., vol. 9, no. 2, pp. 218–225, Jun. 1990. [11] P. M. van den Berg and R. E. Kleinman, “A contrast source inversion method,” Inverse Problems, vol. 13, no. 6, p. 1607, 1997. [12] L. Crocco, M. D’Urso, and T. Isernia, “Testing the contrast source extended Born inversion method against real data: The TM case,” Inverse Problems, vol. 21, no. 6, p. S33, 2005. [13] A. Kirsch and N. Grinberg, The Factorization Method for Inverse Problems. London, U.K.: Oxford Univ. Press, 2007. [14] F. Cakoni, D. Colton, and P. Monk, The Linear Sampling Method in Inverse Electromagnetic Scattering, vol. 80. Philadelphia, PA, USA: SIAM, 2011. [15] R. Potthast, “A survey on sampling and probe methods for inverse problems,” Inverse Problems, vol. 22, no. 2, p. R1, 2006. [16] R. Potthast, “A study on orthogonality sampling,” Inverse Problems, vol. 26, no. 7, p. 074015, 2010. [17] R. Griesmaier, “Multi-frequency orthogonality sampling for inverse obstacle scattering problems,” Inverse Problems, vol. 27, no. 8, p. 085005, 2011. [18] K. Ito, B. Jin, and J. Zou, “A direct sampling method to an inverse medium scattering problem,” Inverse Problems, vol. 28, no. 2, p. 025003, 2012. [19] K. Ito, B. Jin, and J. Zou, “A direct sampling method for inverse electromagnetic medium scattering,” Inverse Problems, vol. 29, no. 9, p. 095018, 2013. [20] K. Ito, B. Jin, and J. Zou, “A two-stage method for inverse medium scattering,” J. Comput. Phys., vol. 237, pp. 211–223, Mar. 2013. [21] O. M. Bucci and G. Franceschetti, “On the spatial bandwidth of scattered fields,” IEEE Trans. Antennas Propag., vol. 35, no. 12, pp. 1445–1455, Dec. 1987. [22] O. M. Bucci, L. Crocco, M. D’Urso, and T. Isernia, “Inverse scattering from phaseless measurements of the total field on open lines,” J. Opt. Soc. Amer. A, vol. 23, no. 10, pp. 2566–2577, 2006. [23] C. Eyraud, J.-M. Geffrin, P. Lewyllie, A. Franchois, and A. Dubois, “Target localization and measured scattered field pre-processing using spectral bandwidth minimization for shallowly buried target problems,” Microw. Opt. Technol. Lett., vol. 52, no. 1, pp. 147–151, 2010.

AKINCI et al.: NOSM FOR MICROWAVE IMAGING: THEORY AND EXPERIMENTAL VERIFICATION

[24] S. Nounouh, C. Eyraud, H. Tortel, and A. Litman, “Near-subsurface imaging from a multistatic/single frequency scanner,” in Proc. 7th Int. Workshop Adv. Ground Penetrating Radar (IWAGPR), 2013, pp. 1–6. [25] W. C. Chew, Waves and Fields in Inhomogenous Media, vol. 522. New York, NY, USA: IEEE Press, 1995. [26] R. Potthast, “A point source method for inverse acoustic and electromagnetic obstacle scattering problems,” IMA J. Appl. Math., vol. 61, no. 2, pp. 119–140, 1998. [27] D. R. Luke, “Multifrequency inverse obstacle scattering: The point source method and generalized filtered backprojection,” Math. Comput. Simul., vol. 66, nos. 4–5, pp. 297–314, 2004. [28] T. Rao and X. Chen, “Analysis of the time-reversal operator for a single cylinder under two-dimensional settings,” J. Electromagn. Waves Appl., vol. 20, no. 15, pp. 2153–2165, 2006. [29] J. Richmond, “Scattering by a dielectric cylinder of arbitrary cross section shape,” IEEE Trans. Antennas Propag., vol. 13, no. 3, pp. 334–341, May 1965. [30] J. Richmond, “TE-wave scattering by a dielectric cylinder of arbitrary cross-section shape,” IEEE Trans. Antennas Propag., vol. 14, no. 4, pp. 460–464, Jul. 1966. [31] P. M. Meaney, M. W. Fanning, D. Li, S. P. Poplack, and K. D. Paulsen, “A clinical prototype for active microwave imaging of the breast,” IEEE Trans. Microw. Theory Techn., vol. 48, no. 11, pp. 1841–1853, Nov. 2000. [32] M. Abbak, M. Çayören, and I. Akduman, “Microwave breast phantom measurements with a cavity-backed Vivaldi antenna,” IET Microw., Antennas Propag., vol. 8, no. 13, pp. 1127–1133, 2014. [33] M. N. Akıncı, M. Abbak, S. Özgur, M. Çayören, and I. Akduman, “Experimental comparison of qualitative inverse scattering methods,” in Proc. IEEE Conf. Antenna Meas. Appl. (CAMA), Nov. 2014, pp. 1–4. [34] O. M. Bucci and T. Isernia, “Electromagnetic inverse scattering: Retrievable information and measurement strategies,” Radio Sci., vol. 32, no. 6, pp. 2123–2137, 1997. [35] I. Catapano, L. Crocco, and T. Isernia, “Improved sampling methods for shape reconstruction of 3-D buried targets,” IEEE Trans. Geosci. Remote Sens., vol. 46, no. 10, pp. 3265–3273, Oct. 2008. [36] P. Jaccard, “The distribution of the flora in the alpine zone,” New Phytologist, vol. 11, no. 2, pp. 37–50, 1912. [37] A. P. Zijdenbos, B. M. Dawant, R. A. Margolin, and A. C. Palmer, “Morphometric analysis of white matter lesions in MR images: Method and validation,” IEEE Trans. Image Process., vol. 13, no. 4, pp. 716–724, Dec. 1994. [38] J.-M. Geffrin, C. Eyraud, A. Litman, and P. Sabouroux, “Optimization of a bistatic microwave scattering measurement setup: From high to low scattering targets,” Radio Sci., vol. 44, no. 2, pp. 1-–12, 2009. [39] M. Ostadrahimi, A. Zakaria, J. LoVetri, and L. Shafai, “A near-field dual polarized (TE–TM) microwave imaging system,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 3, pp. 1376–1384, Mar. 2013. [40] K. Agarwal, X. Chen, and Y. Zhong, “A multipole-expansion based linear sampling method for solving inverse scattering problems,” Opt. Exp., vol. 18, no. 6, pp. 6366–6381, 2010. [41] M. Erramshetty and A. Bhattacharya, “Shape reconstruction of mixed boundary objects by linear sampling method,” IEEE Trans. Antennas Propag., vol. 63, no. 7, pp. 3077–3086, Jul. 2015. [42] M. Abramowitz and I. A. Stegun, Handbook of Mathematical Functions: With Formulas, Graphs, and Mathematical Tables, vol. 55. New York, NY, USA: Dover, 1964. [43] G. B. Arfken, Mathematical Methods for Physicists. San Diego, CA, USA: Academic, 2013.

2501

Mehmet Nuri Akıncı was born in Ankara, Turkey, in 1992. He received the B.Sc. degree (Hons.) in electronics and communication engineering from Istanbul Technical University, Istanbul, Turkey, in 2013, where he is currently pursuing the Ph.D. degree in telecommunication engineering. He is a Research Assistant with the Electronics and Communication Engineering Department, Istanbul Technical University. His current research interests include inverse scattering problems and microwave measurement systems.

Mehmet Çayören received the B.Sc. degree in electrical and electronics engineering from Istanbul University, Istanbul, Turkey, in 2001, and the M.Sc. and Ph.D. degrees in electronics and communication engineering from Istanbul Technical University, Istanbul, Turkey, in 2004 and 2009, respectively. He was a Visiting Scholar with the Department of Mathematical Sciences, University of Delaware, Newark, DE, USA, from 2008 to 2009. He is currently an Assistant Professor of Electronics and Communication Engineering with Istanbul Technical University. His current research interests include microwave imaging, inverse scattering, and computational electromagnetics.

˙ Ibrahim Akduman (M’06) was born in Konya, Turkey, in 1963. He received the B.Sc., M.Sc., and Ph.D. degrees from Istanbul Technical University, Istanbul, Turkey, in 1984, 1987, and 1990, respectively, all in electronics and communication engineering. He was a Visiting Scientist with the Polytechnic University, New York, NY, USA, in 1991, King’s College London, London, U.K., in 1995, the New Jersey Institute of Technology, Newark, NJ, USA, in 2000, and the University of Göttingen, Göttingen, Germany, in 2001. He was the Dean of the Electrical and Electronics Engineering Faculty with Istanbul Technical University from 1999 to 2001, where he was a Vice President from 2002 to 2004. He is currently with Istanbul Technical University as a Full Professor, where he is the Head of the Electromagnetic Research Group. He is also a shareholder of a company doing research and developing products for medical application of electromagnetic fields. His current research interests include microwave tomography and electromagnetics in medicine. Prof. Akduman was the recipient of the Turkish Scientific and Technological Research Council Young Scientist Award in 2000.

2502

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

A Design Approach for Tapered Waveguide to Substrate-Integrated Waveguide Transitions Christian Rave, Student Member, IEEE, and Arne F. Jacob, Fellow, IEEE

Abstract— A design approach for substrate-integrated waveguide (SIW) to rectangular waveguide (RWG) transitions based on the synthesis of antipodal finline tapers is proposed. The taper is designed using a reflection-based impedance definition as no suitable model is available for antipodal finlines. The characteristics of the finline are determined from full-wave simulation. To demonstrate the proposed method, two SIW-to-RWG transitions are designed and characterized at the K -band. The measured back-to-back transitions exhibit a return loss above 15 dB and an insertion loss below 1 dB between 16.7 and 20.5 GHz and between 21.1 and more than 31 GHz, respectively. A good agreement between the synthesis model and full-wave simulation of the taper on one hand and between simulation and measurements of back-to-back transitions on the other hand is demonstrated. Index Terms— Finline-based components, passive circuits, planar-to-waveguide transitions, substrate-integrated waveguide (SIW). Fig. 1.

Sectional view of the SIW-to-RWG transition.

I. I NTRODUCTION

S

UBSTRATE-INTEGRATED waveguides (SIWs) are planar realizations of rectangular waveguides (RWGs). They combine advantages of planar technology—such as the potential for low-cost mass production, compact circuit design, and the compatibility with a large variety of planar devices—with the advantages of RWGs, such as low loss and good shielding [1, pp. 497–559]. Design rules and accurate models for SIW are available [2], [3]. In addition, SIW can be used for integrating and packaging active components [4]. On the other hand, RWGs are still important components when dealing with high power and when extremely low loss is desired [5]. Also for the design of high gain antennas, RWG technology is beneficial [6, pp. 739–799]. Several SIW-to-RWG transitions have been proposed in recent years to combine the advantages of both technologies. In [7]–[10], the RWG is coupled to the SIW via one or two slots in the top metallization of the SIW. Matching structures in the SIW or the RWG are used in addition. In the mentioned examples, the RWG is perpendicular to the SIW. Inline transitions may be preferred in

Manuscript received November 20, 2015; revised June 15, 2016; accepted June 18, 2016. Date of publication July 11, 2016; date of current version August 4, 2016. This work was supported by the German Aerospace Center (DLR) on behalf of the German Federal Ministry of Economics and Technology (BMWi) under Contract 50YB1314. The authors are with the Institut für Hochfrequenztechnik, Technische Universität Hamburg–Harburg, 21073 Hamburg, Germany (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2584605

some cases, for instance, to realize highly integrated antenna arrays [11]–[13]. Different such transitions are presented in [14]–[18]. A subclass of inline transitions is based on tapered antipodal finlines. Fig. 1 shows a generic setup of such a transition. In the picture, the RWG is opened to show the transition consisting of the SIW, the tapered antipodal finline, and the empty RWG. The antipodal finline taper is realized by gradually removing the metallization on the top and bottom of the SIW. In [19], an example for such a transition using a linear taper is presented. A back-to-back transition is realized with a bandwidth of 6%. The transition is demonstrated to be robust against positioning and fabrication errors. Nonlinear tapers are used in [20] and [21] with the bandwidths of 40% and 46%, respectively. These transitions are designed using full-wave simulation and optimizers. A dedicated synthesis method can speed up the design process and provide physical insight. In [22]–[24], tapers for unilateral and bilateral finlines are synthesized using methods based on the relation between the wave impedance and the propagation constant for TE-waves. However, generally, the fundamental mode of an antipodal finline is hybrid. Only for thin substrates, low permittivities, and nonoverlapping fins, it can be assumed to be approximately transverse electric [22]. In [25], the characteristic impedance of hybrid modes for different types of finlines is calculated using the transverse resonance method and a voltage power definition. However, as the field distribution changes dramatically along an antipodal

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

RAVE AND JACOB: DESIGN APPROACH FOR TAPERED WAVEGUIDE TO SIW TRANSITIONS

2503

Fig. 4. Input reflection of a tapered transmission line according to the ToSR [28, pp. 255–261]. Fig. 2. (a) Geometry of the taper. (b) Sketch of the electric field variation along the taper.

Fig. 3.

of the fundamental finline mode also depend on the ratio of the substrate thickness Fh to the width Wa and on the permittivity εr of the substrate. The finline gap is T = 0 for the SIW and reaches T = 1 at the end of the taper, where the electrical field is orthogonal to the electrical field of the fundamental mode of the SIW, as shown in Fig. 2(b). While the modes in the SIW are transverse electric, those of the antipodal finline are hybrid [26]. Especially for overlapping fins with a relative gap T < 0.5, a full-wave analysis shows an electric field component in the direction of propagation near the edges of the fins. At T = 1, the finline mode is smoothly transformed into the mode of the partially filled RWG.

Geometry of the antipodal finline.

B. Taper Design finline taper, traditional impedance definitions are not suitable here. In this paper, a design method for SIW-to-RWG transitions based on the synthesis of antipodal finline tapers is proposed. A reflection-based impedance definition is used to consider the varying field pattern. The characteristics of the antipodal finline are extracted from a predefined number of full-wave simulation runs. Two transitions for different waveguides in the K -band are realized to demonstrate the procedure. This paper is organized as follows. In Sections II and III, the proposed method is described. In Section IV, the design of two examples and the simulation and measurement results are presented. Finally, in Section V, the conclusions are drawn.

The design of the taper is based on the theory of small reflections (ToSR) [27, pp. 347–387], [28, pp. 255–261]. As shown in Fig. 4, the load impedance Z L is matched to the input by a smooth variation of the impedance Z (z) along the taper. At each point z, the change in the impedance results in a small reflection δi . As higher order reflections are neglected, the input reflection of the taper is the sum of the small reflections. It is convenient to describe the taper in terms of the electrical length or the phase delay τ instead of the geometrical coordinate z. For infinitesimally small phase delay increments δτi between two reflections, the number N of reflections becomes infinite and the input reflection at frequency f is  τt δ(τ, f )e− j 2·2πτ f dτ (1) ( f ) = 0

II. D ESIGN M ETHOD A. Transition Structure Fig. 2(a) shows a sketch of the SIW-to-RWG transition and Fig. 2(b) shows the variation of the electric field along the transition. On the left-hand side of the structure, both sides of the substrate are completely covered with metal layers forming an SIW. Moving to the right, the metallic layers are gradually removed forming an antipodal finline. A cross section is shown in Fig. 3. The finline gap is denoted by Fa , and the dimensions of the waveguide are Wa and Wb as indicated. To describe the taper, the relative width of the finline gap T = Fa /Wb is introduced. The field distribution and the dispersive behavior

with the total phase delay τt of the taper. The accumulated delay from all small reflections up to position z is  z εeff (˜z , f ) d z˜ (2) τ (z, f ) = c0 0 where εeff (z, f ) is the effective relative permittivity of the tapered line and c0 is the velocity of light. With the impedance Z (τ, f ) of the taper, the local reflection coefficient along the taper is δ(τ, f ) =

Z (τ + δτ, f ) − Z (τ, f ) , Z (τ + δτ, f ) + Z (τ, f )

for δτ → 0. (3)

2504

Fig. 5.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

Reflection at a step of the antipodal finline gap.

To consider the varying field pattern, an impedance definition based on the reflection coefficient can be applied [29], [30]. The impedance is calculated using a small finline section with a step in the relative gap from T to T +T , as shown in Fig. 5. The setup is assumed to be matched on both sides and excited with the fundamental mode field of amplitude a. With b, the amplitude of the reflected wave the reflection coefficient is calculated from (T, T ) = b/a. If the impedance for a given T is known, the one for T + T is 1 − |(T, T )| . (4) Z (T + T ) = Z (T ) 1 + |(T, T )| As only relative values matter, the reflection-based impedance can be normalized by setting Z (T = 0) = 1. The step size T is an important design factor. If T is too small, the amplitude of the reflected wave is also very small, resulting in numerical problems. In addition, a large number of calculations are then needed to sample the impedance in 0 ≤ T ≤ 1. If T is too large, the reflection is dominated by parasitic effects, such as coupling into higher order modes and the sampling of the impedance is too coarse. An alternative approach would be to directly calculate the reflection coefficient for every possible step size [12]. However, the computational effort increases quadratically with the number of samples in 0 ≤ T ≤ 1 instead of linearly as for the reflection-based impedance approach. C. Antipodal Finline Characteristics To determine the characteristics of the antipodal finline, systematic full-wave simulation runs—in this case using the time-domain solver of CST Microwave Studio—are performed. Short line sections are used to calculate the propagation constant β(T ) or the effective permittivity εeff (T ) for 0 ≤ T ≤ 1. As an example, the characteristics of an antipodal finline on Rogers RO4003C substrate with permittivity εr = 3.55 and thickness Fh = 0.508 mm in a WR51 waveguide of width Wa = 12.954 mm and height Wb = 6.447 mm are shown in Fig. 6 for different frequencies. For T < 0.5, the fins overlap and a larger portion of the field is in the substrate, resulting in larger values of εeff . The effective permittivity shown in Fig. 6(a) shows only a weak frequency dependence, which is beneficial for the taper design. At T = 0, the curves are not continuous. Indeed, for T > 0, the fundamental mode is a hybrid finline mode, while at T = 0, it is the waveguide TE10 -mode. At T = 1, the finline smoothly becomes a partly filled waveguide. The empty RWG is not included in Fig. 6.

Fig. 6. (a) Effective permittivity and (b) propagation constant of the fundamental mode in an antipodal finline in the WR51 waveguide.

Fig. 7. Normalized impedance of antipodal finline in the WR51 waveguide.

The normalized reflection-based impedance for the antipodal finline in the WR51 waveguide is shown in Fig. 7. The impedance is calculated using a constant step size T = 0.025. Thus, 40 CST runs are required to determine the impedance and 41 for the effective permittivity. As only small steps and short line sections—typically modeled with approximately 60 000 mesh cells in the full-wave solver—are simulated, the CST runs are fast compared with the simulation of the full structure, which calls for more than 600 000 mesh cells for an accurate description. Fig. 7 shows that the impedance strongly increases for T > 0.5 and that it is larger at for lower frequencies. To verify the suitability of the approach, the reflection coefficient for steps with T = 0.1 and T = 0.2 for different values of T is calculated using the results from Fig. 7. This is compared with CST simulation in Fig. 8. The two methods generally show good agreement. For a step size of T = 0.1—which is four times the step size used to calculate the impedance—the maximum difference is 0.75 dB. For larger steps, the error increases. III. D ESIGN S TEPS A. Taper Synthesis The goal of the taper synthesis is to find a geometrical shape T (z) yielding a given input reflection coefficient ( f ). This task is subdivided into the following steps. 1) Determine Z (T, f ) and εeff (T, f ) for the given finline and SIW geometry using the procedure described in Section II-C. 2) According to (1) and (3), the impedance Z (τ ) of the taper for the phase delay 0 ≤ τ ≤ τt can be derived

RAVE AND JACOB: DESIGN APPROACH FOR TAPERED WAVEGUIDE TO SIW TRANSITIONS

Fig. 9.

2505

Radial SIW to antipodal finline transition.

very short tapers or for tapers with steep edges, the excitation of higher order modes can deteriorate the performance of the transition. In addition, the ToSR is not valid for very short tapers with large impedance contrasts [27, pp. 347–348]. C. Discontinuities

Fig. 8. Reflection coefficients at different steps calculated using the reflectionbased impedance ( ) and simulated using CST ( ).

3)

4) 5) 6)

from the inverse Fourier transform of ( f ) if τ and δ are frequency independent. This is the case when the frequency dependence of εeff and Z can be neglected in the frequency range of interest. A procedure to obtain Z (τ ) and τt from ( f ) is given in [27, pp. 373–380]. In practice, often an impedance profile Z (τ )—such as exponential or Klopfenstein—yielding ( f ) close to the desired input reflection coefficient is chosen [28, pp. 255–261]. This choice determines the performance of the taper. A design frequency f0 has to be selected in order to evaluate Z and εeff . f 0 has to be in the frequency range of interest and the dispersion of the finline has to be sufficiently low near f 0 . T (τ ) is derived from Z (τ ) by inverting Fig. 7 at f0 . εeff (τ ) is determined from T (τ ) [Fig. 6(a)]. To translate the electrical coordinate τ into the geometrical coordinate z, (2) is converted to  τ c0  z(τ ) = d τ˜ . (5) ε 0 eff (τ˜ , f 0 ) The length L of the taper is  τt c0 L=  d τ˜ . εeff (τ˜ , f0 ) 0

7) Thus, the geometrical shape of the taper is   T (τ ) for 0 ≤ τ ≤ τt z(τ )

(6)

(7)

or—when z(τ ) can be inverted—T (τ (z)). This is an alternative approach to the stepwise procedures presented in [23], [24], and [31]. B. Limitations The proposed synthesis method neglects coupling into other modes than the reflected fundamental mode. Especially for

To complete the SIW-to-RWG transition, two discontinuities have to be considered. 1) SIW-to-Finline Transition: The different field patterns of the SIW TE-mode and the hybrid finline mode represent a discontinuity at the beginning of the taper resulting in an additional reflection. The amplitude of this reflection depends on the substrate and the waveguide dimensions. In [20], a gap is cut into the SIW to match it to the finline. In [21], a rounded corner provides a smooth transition between SIW and finline. A full-wave optimization is an efficient design approach for this part of the structure. Indeed, it is usually small compared with the taper, so that approximately 60 000 mesh cells are sufficient for the simulation. In addition, it can be described by means of only two [21] or three [20] parameters. An SIW-tofinline transition with two parameters, i.e., the corner radius R and the finline gap T0 , is shown in Fig. 9. It is to be designed prior to the finline taper as it defines the initial finline gap T (z = 0) = T0 . In this way, the ratio of the impedances at the beginning and at the end of the taper can be significantly reduced compared with the T (z = 0) = 0 case. 2) Substrate Edge: For low values of εr of the finline substrate and low ratios Fh /Wa , no special treatment for the edge of the substrate is necessary. In general, however, the substrate edge causes an additional reflection, which can deteriorate the performance of the taper. In [16] and [17], a dielectric probe is used to match an SIW to an RWG. A shaped substrate edge can also be added to the proposed transition, especially for high values of εr . However, in most cases, it is sufficient to shift the substrate edge away from the end of the taper to adjust the phase of the interfering reflection. Here, only one parameter is to be optimized. A simple Newton algorithm provides a good solution after typically three simulation runs. IV. D ESIGN E XAMPLES To demonstrate the viability of the proposed approach, two transitions are designed. Both assume Rogers RO4003C substrate with a thickness of Fh = 0.508 mm. In addition, the reflection coefficient shall obey    ln(Z 2 /Z 1 )   sinc(2τt f ) |( f )| =  (8) 2

2506

Fig. 10.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

Synthesized taper in the WR51 waveguide.

which is achieved by an exponential taper [27, pp. 370–373] with Z (τ ) = Z 1 eln(Z 2 /Z 1 )τ/τt .

(9)

Exponential tapers have zero reflection at frequencies f n corresponding to an electrical length t ( f n ) = 2πτt f n = nπ, n > 0. A. Example 1 A transition between SIW and WR51 waveguides shall be designed for f ≥ 16.5 GHz with || < −10 dB. The corresponding antipodal finline characteristics are given in Section II-C. The design frequency is set to f 0 = 18 GHz, such that the dispersion of the finline can be neglected. 1) Taper Synthesis: For this example, the discontinuity at the SIW-to-finline junction is ignored. The impedance taper is defined by (9) and Fig. 7 with Z 1 = Z (T = 0, f 0 ) = 1 and Z 2 = Z (T = 1, f 0 ) = 75. According to (8), the large impedance contrast results in large ripples in the response. Setting the electrical length of the taper to t ( f 0 ) = 2π and thus the second reflection zero to f0 provides a passband with a maximum reflection below −10 dB. Therefore, the total phase delay is τt = 1/ f 0 . Using (6), the geometrical length is calculated to be L = 13 mm. The synthesized taper is shown in Fig. 10. Fig. 11 shows the input reflection coefficient of the taper, as calculated from the ToSR. It is zero at f0 = 18 GHz as expected. When using a simple transmission-line model (TLM), which subdivides the taper into 500 line sections and uses transmission-line theory to calculate the response, the zero is shifted to 19 GHz. Thus, it can be concluded that higher order reflections are not negligible and that the ToSR is not totally valid for this example. The fullwave simulation results from CST show a zero at 19.4 GHz. The difference to the results of the TLM can be explained with the discontinuity at the SIW-to-finline junction, which in contrast to the full-wave model is ignored in the taper design. Overall, the comparison shows good agreement between the model used for the synthesis and the full-wave simulation. 2) Complete Transition: The complete SIW-to-RWG transition is shown in Fig. 12. The substrate is prolonged by u = 0.75 mm beyond the end of the taper. This prevents the reflection at the edge from deteriorating the taper performance. The substrate is supported by notches milled into the waveguide walls and vias connect the top and bottom metal layers of the SIW.

Fig. 11. Synthesized and simulated input reflection of the finline taper in the WR51 waveguide using the ToSR ( ), a simple TLM ( ), and CST ( ).

Fig. 12.

SIW-to-RWG transition in the WR51 waveguide.

Fig. 13 compares the simulated reflection coefficient of the taper and of the complete transition. The resonance of the transition is shifted to 18.8 GHz while the general behavior is conserved. By slightly increasing the length of the taper, the resonance can be shifted back to the design frequency. In this example, the response has not been readjusted. The simulated transition exhibits a return loss of more than 10 dB for f > 16 GHz and thus meets the design goal. Between 17.2 and more than 23 GHz, the return loss even exceeds 15 dB. 3) Measurement Results: The transition is fabricated in a back-to-back arrangement with a 9.5-mm-long SIW section between the transitions. Fig. 14 shows a photograph of the substrate in the WR51 waveguide, the reference planes used for the TRL calibration performed prior to the measurements, and the whole assembly. The measured and simulated S-parameters of the back-to-back transition are plotted in Fig. 15. Apart from a frequency shift of 200 MHz, good agreement between simulation and measurements can be noticed. The resonant behavior of the back-to-back arrangement results in additional reflection zeros in the passband at 17.25 and 20.07 GHz. The measurements yield a return loss above 15 dB and an insertion loss below 0.8 dB between

RAVE AND JACOB: DESIGN APPROACH FOR TAPERED WAVEGUIDE TO SIW TRANSITIONS

Fig. 13. Simulated input reflection of the finline taper ( ) and of the complete SIW-to-RWG transition ( ) in the WR51 waveguide using the time-domain solver of CST.

Fig. 15. Simulated ( ) and measured ( back transition in the WR51 waveguide.

2507

) S-parameters of the back-to-

Fig. 14. Photograph of the fabricated back-to-back transition in the WR51 waveguide. (a) Open waveguide. (b) Front view of the assembly. (c) Measurement setup.

16.7 and 20.5 GHz. The losses in the SIW section are approximately 0.16 dB. In the simulation of the back-to-back transition, lossless materials are used. B. Example 2 For the second example, an SIW-to-WR42 waveguide transition is designed for f ≥ 22 GHz with || < −15 dB and f0 = 24 GHz. The WR42 waveguide has a width of Wa = 10.67 mm and a height of Wb = 4.32 mm. The reflection-based impedance for the resulting antipodal finline is plotted in Fig. 16(a) versus gap T at frequencies between 20 and 26 GHz. Compared with the finline in the

Fig. 16. Characteristics of antipodal finline in WR42 waveguide. (a) Normalized impedance. (b) Effective permittivity. (c) Propagation constant.

WR51 waveguide, the normalized impedances are smaller, making the taper design easier. The effective permittivity εeff and the propagation constant β are shown in Fig. 16(b) and (c), respectively. 1) SIW-to-Finline Transition: To improve the overall performance, an SIW to antipodal finline transition is added to the taper. The transition is shown in Fig. 17. Two design parameters are to be optimized: the initial gap T0 for the taper and the corner radius R. With T0 = 0.365 and R = 0.77 mm, the return loss exceeds 20 dB for f ≥ 22 GHz.

2508

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

Fig. 20. Fig. 17.

Radial SIW to antipodal finline transition.

Fig. 18.

Synthesized taper in WR42 waveguide.

SIW-to-RWG transition in WR42 waveguide.

Fig. 21. Simulated input reflection of the taper ( transition ( ) in WR42 waveguide using CST.

Fig. 19. Synthesized and simulated input reflection of the taper in WR42 ), a simple TLM ( ), and CST ( ). waveguide using the ToSR (

2) Taper Synthesis: The taper is designed using (9) and Fig. 16. In this case, the starting value is Z 1 = Z (T = 0.365, f 0 ) = 4. The final value is Z 2 = Z (T = 1, f 0 ) = 53. Setting t ( f 0 ) = 2π yields a geometrical length of 9.6 mm. The resulting taper is shown in Fig. 18. Fig. 19 shows the input reflection of the synthesized taper. The plot demonstrates good agreement between the ToSR, the simple TLM, and the full-wave simulation. Compared with the ToSR, the resonance is shifted by 40 MHz in the TLM and by 25 MHz using CST. The improved match compared with the previous example follows from the lower impedance ratio Z 2 /Z 1 and the fact that the discontinuity between SIW and finline is not included. 3) Complete Transition: The complete SIW-to-RWG transition is shown in Fig. 20. It consists of the tapered antipodal finline, the SIW-to-finline transition, and the

) and the complete

substrate extension of length u = 0.95 mm. Via rows connect the top and bottom layers of the SIW. As the ratio of substrate thickness to RWG width is larger than in the previous example, the reflection at the substrate edge is stronger. This can, however, be utilized to optimize the overall reflection of the transition by adjusting u. The resulting input reflection coefficient is shown in Fig. 21 together with the input reflection of the synthesized taper, both simulated with CST. The transition exhibits a return loss exceeding 15 dB for f > 20.75 GHz and thus meets the design goal. 4) Measurement Results: Fig. 22 shows a photograph of the assembly and some details of the measurement setup. The simulated and measured S-parameters are shown in Fig. 23. The measured return loss of the back-to-back transition exceeds 15 dB above 21.1 GHz, while the insertion loss remains below 1 dB. Approximately 0.18 dB are due to the 8.4-mm-long SIW section between the transitions. C. Comparison Table I shows a comparison of inline SIW-to-RWG transitions. In Table I, the 15-dB bandwidths are taken from the simulation of single transitions or from measured back-to-back transitions, where no data for single transitions were available. For the losses, measured values of back-to-back setups are given. The lengths are normalized to the free-space wavelength

RAVE AND JACOB: DESIGN APPROACH FOR TAPERED WAVEGUIDE TO SIW TRANSITIONS

2509

TABLE I I NLINE T RANSITION B ETWEEN RWG AND SIW

V. C ONCLUSION

Fig. 22. Photograph of the measurement setup for the fabricated back-to-back transition in WR42 waveguide.

Fig. 23. Simulated ( ) and measured ( back transition in WR42 waveguide.

) S-parameters of the back-to-

at the particular center frequency in each case. The transitions designed using the proposed method are among the shortest ones and have the lowest losses. Shorter transitions exhibit much higher losses or a much lower bandwidth.

A design method for SIW-to-RWG transitions based on antipodal finline tapers is presented. The taper synthesis follows the ToSR. The antipodal finline impedance is calculated from the reflection coefficient. A properly chosen number of full-wave simulation runs of relatively small models are necessary to obtain the characteristics of the finline. The traditional method to design similar transitions is to perform a full-wave optimization of the complete transition model. The proposed approach is potentially faster and provides physical insight. However, the synthesis method is limited to relatively smooth tapers as coupling into higher order modes is neglected. In addition, discontinuities at both ends of the taper cannot be integrated into the taper synthesis and have to be treated separately. Two transitions are designed and presented for the frequency ranges 16.5–23 and 22–31 GHz. The good agreement between the model used for the synthesis and full-wave simulation results on the one hand and between simulation and measurements of back-to-back transitions on the other hand validates the model. The presented synthesis method is not limited to antipodal finline tapers for SIW-to-RWG transitions. It can be applied to any type of taper, especially when no suitable impedance definition is available. In addition, when an analytical description of the field distribution along the taper is available, a mode matching technique can be applied to calculate the reflectionbased impedance. R EFERENCES [1] R. Garg, I. Bahl, and M. Bozzi, Microstrip Lines and Slotlines (Artech House Microw. Library), 3rd ed. Boston, MA, USA: Artech House, 2013. [2] D. Deslandes and K. Wu, “Accurate modeling, wave mechanisms, and design considerations of a substrate integrated waveguide,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 6, pp. 2516–2526, Jun. 2006. [3] M. Pasian, M. Bozzi, and L. Perregrini, “A formula for radiation loss in substrate integrated waveguide,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 10, pp. 2205–2213, Oct. 2014. [4] H. Zhang and W. Hong, “A wire bonding structure directly based on substrate integrated waveguide technology,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 11, pp. 757–759, Nov. 2014. [5] P. Khan, L. Epp, and A. Silva, “Ka-band wideband-gap solid-state power amplifier: General architecture considerations,” Jet Propulsion Lab., California Inst. Technol., Pasadena, CA, USA, Progr. Rep. 42-162, 2005.

2510

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

[6] C. A. Balanis, Antenna Theory: Analysis and Design, 3rd ed. Hoboken, NJ, USA: Wiley, 2005. [7] L. Li, X. Chen, R. Khazaka, and K. Wu, “A transition from substrate integrated waveguide (SIW) to rectangular waveguide,” in Proc. Asia–Pacific Microw. Conf. (APMC), 2009, pp. 2605–2608. [8] R. Głogowski, J.-F. Zürcher, C. Peixeiro, and J. R. Mosig, “Broadband Ka-band rectangular waveguide to substrate integrated waveguide transition,” Electron. Lett., vol. 49, no. 9, pp. 602–604, Apr. 2013. [9] X. Huang and K.-L. Wu, “A Ka-band broadband integrated transition of air-filled waveguide to laminated waveguide,” IEEE Microw. Wireless Compon. Lett., vol. 22, no. 10, pp. 515–517, Oct. 2012. [10] T. Li and W. Dou, “Broadband right-angle transition from substrateintegrated waveguide to rectangular waveguide,” Electron. Lett., vol. 50, no. 19, pp. 1355–1356, 2014. [11] K. Kuhlmann, K. Rezer, and A. F. Jacob, “Far field measurement on Ka-band substrate-integrated waveguide antenna array with polarization multiplexing,” in IEEE MTT-S Int. Microw. Symp. (IMS) Dig., Jun. 2008, pp. 1337–1340. [12] M. Giese, J. Waldhelm, and A. F. Jacob, “A wideband differential microstrip-to-waveguide transition at W-band,” in Proc. German Microw. Conf. (GeMiC), 2015, pp. 174–177. [13] M. Giese, T. Meinhardt, and A. F. Jacob, “Compact wideband singleended and differential microstrip-to-waveguide transitions at W-band,” in IEEE MTT-S Int. Microw. Symp. (IMS) Dig., May 2015, pp. 1–4. [14] L. Xia, R. Xu, B. Yan, J. Li, Y. Guo, and J. Wang, “Broadband transition between air-filled waveguide and substrate integrated waveguide,” Electron. Lett., vol. 42, no. 24, pp. 1403–1405, Nov. 2006. [15] H. Jin, W. Chen, and G. Wen, “Broadband transition between waveguide and substrate integrated waveguide based on quasi-Yagi antenna,” Electron. Lett., vol. 48, no. 7, pp. 355–356, 2012. [16] E. Moldovan, R. G. Bosisio, and K. Wu, “W-band multiport substrateintegrated waveguide circuits,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 2, pp. 625–632, Feb. 2006. [17] D. Dousset, K. Wu, and S. Claude, “Millimetre-wave broadband transition of substrate-integrated waveguide to rectangular waveguide,” Electron. Lett., vol. 46, no. 24, pp. 1610–1611, 2010. [18] J. L. Cano, A. Mediavilla, and A. R. Perez, “Full-band air-filled waveguide-to-substrate integrated waveguide (SIW) direct transition,” IEEE Microw. Wireless Compon. Lett., vol. 25, no. 2, pp. 79–81, Feb. 2015. [19] T. Djerafi, A. Ghiotto, and K. Wu, “Antipodal fin-line waveguide to substrate integrated waveguide transition,” in IEEE MTT-S Int. Microw. Symp. (IMS) Dig., Jun. 2012, pp. 1–3. [20] C. L. Zhong, J. Xu, Z. Y. Zhi, and C. X. Jin, “Broadband substrate integrated waveguide to rectangular waveguide transition with fin-line,” Electron. Lett., vol. 45, no. 4, pp. 205–207, Feb. 2009. [21] J. Li, G. Wen, and F. Xiao, “Broadband transition between rectangular waveguide and substrate integrated waveguide,” Electron. Lett., vol. 46, no. 3, pp. 223–224, 2010. [22] A. M. K. Saad, “Analysis of fin-line tapers and transitions,” Proc. Inst. Elect. Eng.—Microw., Opt. Antennas, vol. 130, pt. H, no. 3, pp. 230–235, 1983. [23] P. Jia, L.-Y. Chen, N.-S. Cheng, and R. A. York, “Design of waveguide finline arrays for spatial power combining,” IEEE Trans. Microw. Theory Techn., vol. 49, no. 4, pp. 609–614, Apr. 2001. [24] C. Schieblich, J. K. Piotrowski, and J. H. Hinken, “Synthesis of optimum finline tapers using dispersion formulas for arbitrary slot widths and locations,” IEEE Trans. Microw. Theory Techn., vol. MTT-32, no. 12, pp. 1638–1645, Dec. 1984.

[25] J. Bornemann and F. Arndt, “Calculating the characteristic impedance of finlines by transverse resonance method,” IEEE Trans. Microw. Theory Techn., vol. MTT-34, no. 1, pp. 85–92, Jan. 1986. [26] R. Vahldieck, “Accurate hybrid-mode finline configurations including analysis of various multilayered dielectrics, finite metallization thickness, and substrate holding grooves,” IEEE Trans. Microw. Theory Techn., vol. MTT-32„ no. 11, pp. 1454–1460, Nov. 1984. [27] R. E. Collin, Foundations for Microwave Engineering, 2nd ed. New York, NY, USA: IEEE Press, 2001. [28] D. M. Pozar, Microwave Engineering, 3rd ed. Hoboken, NJ, USA: Wiley, 2005. [29] F. Arndt and G. U. Paul, “The reflection definition of the characteristic impedance of microstrips,” IEEE Trans. Microw. Theory Techn., vol. MTT-27, no. 8, pp. 724–731, Aug. 1979. [30] A. M. K. Saad and K. Schünemann, “Characteristic impedance of finlines,” in Proc. 12th Eur. Microw. Conf. (EuMC), 1982, pp. 675–680. [31] P. Pramanick and P. Bhartia, “A generalized theory of tapered transmission line matching transformers and asymmetric couplers supporting non-TEM modes,” IEEE Trans. Microw. Theory Techn., vol. 37, no. 8, pp. 1184–1191, Aug. 1989.

Christian Rave (S’14) received the B.Sc. and M.Sc. degrees in electrical engineering from the Technische Universität Hamburg–Harburg (TUHH), Hamburg, Germany, in 2010 and 2012, respectively, where he is currently pursuing the Ph.D. degree with the Institute of High Frequency Technology. He is currently a Research Assistant with the Institute of High Frequency Technology, TUHH. His current research interests include components and system aspects for active antennas for satellite communications.

Arne F. Jacob (S’79–M’81–SM’02–F’09) received the Dipl.-Ing. degree in electrical engineering and the Dr.Ing. degree from the Technische Universität Braunschweig, Braunschweig, Germany, in 1979 and 1986, respectively. He was a Fellow of the European Organization for Nuclear Research, Geneva, Switzerland, from 1986 to 1988. In 1988, he joined the Lawrence Berkeley Laboratory, University of California at Berkeley, Berkeley, CA, USA, where he was a Staff Scientist with the Accelerator and Fusion Research Division for almost three years. In 1990, he became a Professor with the Institut für Hochfrequenztechnik, Technische Universität Braunschweig. Since 2004, he has been a Professor with the Technische Universität Hamburg–Harburg, Hamburg, Germany, where he heads the Institute of High Frequency Technology. His current research interests include the design, packaging, and application of integrated (sub-)systems up to millimeter frequencies and the characterization of complex materials.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

On the Modeling, Characterization, and Analysis of the Current Distribution in PCB Transmission Lines With Surface Finishes Brian Curran, Member, IEEE, Gerhard Fotheringham, Christian Tschoban, Ivan Ndip, Senior Member, IEEE, and Klaus-Dieter Lang, Senior Member, IEEE Abstract— Due to manufacturing requirements, surface finishes have become a necessity in printed circuit board design. These finishes have significant effects on the RF performance of the transmission lines. In this paper, a filament modeling approach is used to model skin, proximity, and surface roughness effects in transmission lines with surface finishes up to 70 GHz. The approach shows a high accuracy compared with measurements. The model also gives an insight into how the current distributes itself by showing the frequency dependent proportion of the current that flows in each surface finish layer. In the case of NiP–Au or Ni–Au surface finishes, current migrates increasingly into gold at high frequencies and reaches a maximum in the Ni or NiP at around 3.5 GHz, and then declines. The distribution of the current in different materials can also be explained as the decay of an electromagnetic wave at the surface of the conductor. This approach shows that the evanescent wave in the cross section of the conductor can be analyzed as analog to a transmission– reflection problem, what we will call the surface finish effect. This effect brings into question the accuracy of the traditional skin-depth value, δ, and the models that depend on it, such as most surface roughness correction factors, for structures where different metals are layered in thicknesses that are not much larger than δ. Index Terms— Filament model, partial element equivalent circuit (PEEC) method, proximity effect, skin effect, surface finish, surface roughness effect.

I. I NTRODUCTION RINTED circuit board (PCB) technologies typically use copper foils with fixed thicknesses for transmission line conductors. These lines will often have surface finishes that are used to improve bonding or solderability with the system components [1]. Typical surface finishes that are common in PCB technologies that will be investigated in this paper

P

Manuscript received March 24, 2015; revised June 11, 2015, November 18, 2015, and June 3, 2016; accepted June 16, 2016. This work was supported in part by the Fraunhofer Society. B. Curran and C. Tschoban are with the Department of Electrical Engineering and Computer Science, Technical University of Berlin, Berlin 10623, Germany (e-mail: [email protected]; [email protected]). G. Fotheringham and I. Ndip are with the RF and High-Speed System Design Group, Fraunhofer Institute for Reliability and Microintegration, Berlin 13355, Germany (e-mail: [email protected]; [email protected]). K.-D. Lang is with the Technical University of Berlin, Berlin 10623, Germany, and also with the Fraunhofer Institute for Reliability and Microintegration, Berlin 13355, Germany (e-mail: klaus-dieter.lang@ izm.fraunhofer.de). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2582693

are nickel phosphate and gold (NiP–Au), galvanic nickel and gold (Ni–Au), and chemical silver. Modern PCBs are being used increasingly at higher frequencies of 70 GHz and above. At high frequencies, conductors contend with skin effect, proximity effect, and surface roughness effects. Surface finishes will have a different conductivity than the copper foil, which will affect the resistance of the line when the current migrates to the skin; 3-D simulations would require discretizations smaller than the skin depths, which would result in immense simulation times. Published analytical approaches include a layered approach that has been proposed in [2], which models the transmission line as three layers, used for the characterization of nickel up to 40 GHz. This technique is a hybrid model that does not discretize to a smaller element length than the skin depth. In [3], modeling was done using a SPICE, and many measurements were presented. However, neither of these papers offer a way to predict the skin, proximity, and surface roughness effects in a conductor with arbitrary surface finishes up to 70 GHz and they do not offer insight into how the current really distributes itself in the conductor cross section. An approach was offered by Demeester and De Zutter [4], [5], which uses the surface admittance boundary operator. Many models and descriptions of the skin and proximity effects are available, starting with Wheeler [6]. We summarize and quantify these methods in [7]. In addition, surface roughness models were summarized and quantified in [8]. The most applicable of these modeling approaches for this paper is volume filament models, also known as the partial element equivalent circuit (PEEC) method. These models can be very computationally intensive for high frequencies, but they also offer an accurate assessment of the skin and proximity effects in a transmission line. Cabon and Chilo [9] and Vu Dinh et al. [10] and Weeks et al. [11] have modeled the skin effect with filament models. Coperich et al. [12] have used the finite difference method to model skin effect. Antonini et al. [13] have used the volume filament approach to examine the current distribution in multiconductor transmission line systems. Curran et al. [14] introduced a model that combined the PEEC method with surface roughness modeling to model the effects together. Curran et al. [15] also proposed a methodology for roughness modeling. As an alternative to the filament approach, the skin effect and related phenomena may also be viewed as resulting

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

from the external electromagnetic wave, first shown in [6], which penetrates into the conductor, where, in the case of higher frequencies, it rapidly decays. For planar conductor surfaces, this scenario can be described analytically, leading to the well-known formulas comprising the skin depth δ [16]. These equations, however, are only valid if δ is much smaller than the conductor thickness [17]. In case of thin conducting layers or films that do not fulfill this condition, more sophisticated formulas are needed. They can be derived by exploiting the analogy between plane waves and the waves along a transmission line [18]. Especially useful is the impedance concept [19]. This approach has also been successfully used to determine the surface impedance of thin superconducting films [19]. Accounting for the skin , proximity, and surface roughness effects in a PCB foil with surface finishes in the multigigahertz range is a significant challenge. In this paper, the adapted filament method will be used to account for these complex geometric characteristics and electromagnetic phenomena together by showing, over a frequency range, the proportion of current in each material. This modeling shows that, at high frequencies, current migrates into the surface finishes, but reaches a maximum in a Ni or NiP layer. Because the rapidly decaying electromagnetic wave must obey a boundary condition at the gold–nickel interface, the current increasingly flows in the gold layer. We will explain the phenomenon using the decaying wave approach, showing that the traditional skin-depth formula [6] needs to be reconsidered for conductors with surface finishes. We will call this the surface finish effect. Furthermore, modeling, such as the incremental induction rule (IIR) [6], and roughness correction factors and models [20]–[24], should also be reconsidered when modeling conductors with surface finish layer thicknesses that are not significantly larger than δ (often 4δ is used because the current density has declined to around 3% of the current density at the surface), because the structures being modeled may exceed the limitations of the models. Section II of this paper describes the filament modeling approach that was used to model the conductor. Section III shows the validation of this approach. Section IV presents an analysis of some conductor geometries and materials that are common in PCBs and uses another description of the skin effect to explain the results of that analysis. Section V presents the summary and conclusion. II. M ODELING A PPROACH The adapted filament method in [14], a PEEC model, which uses the quasi-static assumption to approximate the current distribution and thereby the resistance and inductance in a conductor, was previously used only for thin conductors (with thicknesses 1, for example). This aspect has been neglected in this paper and NiP is approximated as nonmagnetic, because we would not expect the permeability to deviate far from 1 (especially at multigigahertz frequencies). The range of phosphate used in a NiP surface finish is approximately 10%–15%. Fig. 5 compares two conductivity values; first, σ = 0.069 × 107 S/m corresponds to 8% phosphate and then σ = 0.13 × 107 S/m corresponds to 16% phosphate—so the diagram gives an impression of the entire range that is typically used. The diagram shows little difference when the phosphate percentages change. The difference that we do see occurs because the current concentrates itself more and more inside the gold layer. That being said, it is disadvantageous to have a lower conductivity resulting from a higher phosphate percentage in the surface finish, increasing the resistance by about 8% at 70 GHz. Fig. 6 shows the difference between different thicknesses of surface finish. For a galvanic nickel–gold surface finish, there is very little difference between a 4 and 2 μm thickness. This occurs because the amount of current flowing in the surface finishes changes only slightly. The additional crosssectional area will make up for the slight increase in the amount of current in the surface finish. When we switch the galvanic nickel with NiP, we see a much larger difference but primarily at lower frequencies, between 2 and 30 GHz, while higher frequencies see a much smaller difference. At very high frequencies, the amount of current that is in each surface finish material stabilizes, which is a phenomenon we will explore

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 6. Comparison of thicknesses of a surface finish for a NiP (bottom) and galvanic Ni (top), with (A) signal path and (B) return current path.

more in Sections IV-B and IV-C. At lower frequencies the amount of current flowing in the gold is much higher for a 4-mm NiP layer, while the amount of current in the NiP layer is nearly independent of the NiP thickness, causing a higher resistance. B. Filament Approach These effects are not always intuitive when only the resistances are presented. To explain the effects further, we have taken advantage of the discretization strategy. Because there are completely separate discretizations for each of the metals, we can sum the currents flowing in each metal for a given voltage and find a percentage of current in each metal. This is done by taking the admittance matrix and multiplying it by a unity voltage array, to get a current array. Then the currents in each filament of a given metal can be summed and the magnitude is taken. In Fig. 7, these percentages have been plotted from 0.2 up to 70 GHz. In both the cases, the current in the Ni or NiP layer reaches a maximum ∼3.5 GHz. Until this frequency, current increasingly flows in Ni or NiP because current increasingly migrates to the skin. At ∼3.5 GHz, the skin depth, δ, is ∼1 μm in gold and 5.7 μm in NiP. Above this frequency, the current in nickel is falling but the current in gold continues to increase. In this region, for a NiP finish, the amount of current in gold is higher than what would be predicted in the first 0.1 μm of the skin by simply calculating the skin depth. Furthermore, a simple skin-depth calculation would assume that the amount of current in gold is only dependent on the gold properties. From Fig. 7, we see that it is clearly dependent on the nickel

Fig. 7. Percentages of the total current that is flowing in the surface finish metals for (a) NiP–Au, and (b) galvanic Ni–Au including permeability of Ni, and not including permeability of nickel.

properties (thickness and conductivity) as well. The amount of current in gold continues to increase to frequencies in the high gigahertz range for NiP finishes and above the modeled frequency range for galvanic nickel, depending on the Ni/NiP finish properties. At that frequency, the skin depth of gold is still 0.4–0.5 μm. Above ∼3.5 GHz, current in Ni or NiP finish declines. This indicates that at these frequencies, current is migrating increasingly into gold, the reason for which will be greater explained in Section IV-C. This, nonetheless, shows a more complex behavior of current inside of a transmission line trace. When we increase the Ni or NiP thickness, we see an increase in the amount of current in the Ni/NiP material, which makes sense intuitively, but we also see an increase in the current that flows in the gold finish, which retains the same thickness. This, again, cannot be easily explained using the filament approach and will be discussed further in Section IV-C. Fig. 7(a) also shows the magnetic effects of Ni. NiP has a permittivity of near 1 due to its phosphate content. However, galvanic Ni has a permeability that is frequency-dependent, starting at ∼60 for 100 MHz, down to 10 for 1 GHz and ∼1 above 10 GHz [26]. These values were used in the simulation and the magnetic properties allow less current to flow in the Ni layer without changing the resistance of Ni that is flowing in the layer. This would also result in a slightly lower loss but only at these lower gigahertz frequencies.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. CURRAN et al.: ON THE MODELING, CHARACTERIZATION, AND ANALYSIS OF CURRENT DISTRIBUTION IN PCB TRANSMISSION

5

Fig. 9. (a) Current flowing in the gold layer. (b) Reflection coefficient between the gold and NiP layers (2-μm NiP).

Fig. 8. Skin effect as a plane wave perpendicular to the surface of the conductor causing multiple reflections in the gold layer. (a) No surface finish [6]. (b) NiP–Au surface finish.

C. Current Decaying Inside a Conductor When we now look at our case from the perspective of a magnetic wave decaying inside conductor, which is shown in Fig. 8(b), compared with the case without a surface finish [Fig. 8(a)], the phenomena become clearer. The boundary H -field wave inside gold decays over the 100-nm distance until it reaches the interface between the gold and Ni/NiP layers. This interface can be approximated as an instantaneous impedance change for the wave. Because of this impedance change, part of the wave can be said to be transmitted into the Ni/NiP layer and part will be reflected back into gold. The reflection coefficients and currents can be calculated using the transformation that is shown in the Appendix. Therefore, the wave decay in the conductor can be seen as being analog to wave propagation in a transmission line, which is described in more detail in the Appendix. The analytical calculation yields a frequency-dependent reflection coefficient at the Au–NiP interface, for a NiP thickness of 2 μm and a gold thickness of 100 nm, shown in Fig. 9(b). In this section, we will examine only the behavior in the NiP surface finish. In Fig. 9(a), the decay of the incident wave is divided into the total current, and the total current as a result of the decay of the incident wave and the first reflected wave, with their calculations shown in the Appendix.

We see that the current from the first reflected wave rises rapidly in the low-gigahertz range. In Fig. 9(b), we see why this effect occurs. At the interface between the gold and NiP finishes, there is a reflection coefficient that begins to rise at low-gigahertz frequencies and continues to rise over the entire frequency range but nearly saturates by the top of the 70-GHz frequency range. We also see that the imaginary part of the reflection coefficient declines, implying that the reflected and incident wave increasingly superimpose more and more at higher frequencies. The wave perpendicular to the surface of the conductor is being reflected back into gold, increasing the amount flowing in the gold layer. Fig. 8(b) was calculated analytically in the Appendix, using the actual boundary conditions of the different materials. The Appendix is only valid for 1-D problems and is not intended to replace the volume filament approach but rather to offer an intuitive explanation of its results. This explains what was seen in the filament modeling, where the current in gold increases at high frequencies to a level much higher than would be expected from simply calculating a skin depth δ. The reflection coefficient at the interface of NiP and copper, which has been modeled as frequency-independent (expression is again shown in the Appendix), is negative. This means that the reflected wave would destructively interfere with the incident wave at that interface, causing smaller amounts of current in NiP. D. Some Remarks on the Wave Picture As has been pointed out, the wave picture employed earlier is only valid for infinite plane surfaces. For finite conductors,

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

it cannot replace more intricate analytical solutions or numerical ones, such as the filament model presented in this paper. What does it provide, though, is a quick way to get estimates, see trends and conduct parameter studies. It may also be used to explain certain phenomena. We shall now give an example, where this approach readily shows the effect of very thin coatings. Based on an example in [5], we consider an infinite copper plane with a thickness of 200 nm and clad on either side with a 20-nm-thick chromium layer. The surface impedance of this compound structure at 100 GHz is 0.0897781 + 0.0662886i . If the coating is assumed to consist of copper, too, the result is 0.081408 + 0.0604905i . Thus, the resistance increases by about 10%, and the internal inductance increases by around 9.6%. As opposed to the normal skin effect case, the real and imaginary parts of the surface impedance need not be equal. It should be stressed, however, that this is only part of the story, since microstructural effects, such as roughness, are not accounted for.

TABLE I A NALOG E QUATIONS FOR T RANSMISSION L INES AND P LANE WAVES

TABLE II C ONSTANTS FOR T RANSMISSION L INES V ERSUS P LANE WAVES

V. C ONCLUSION Two approaches to the skin effect have been used to describe how current distributes itself in the cross section of a microstrip signal conductor, including skin, proximity, and surface roughness effects when there is a surface finish on part of the conductor surface. The modeling shows that current behaves much differently in a conductor with surface finishes than a conductor made from a single metal. This surface finish effect could cause a significant increase in the amount of current flowing at the surface of the material, increasing high-frequency losses and losses resulting from the surface roughness effects. This adds further challenges to modeling conductor losses in PCB traces, because models that depend on the skin-depth value, such as most roughness correction factors (Hammerstadt, Groiss, and Hall–Huray), may introduce inaccuracies if they do not take this effect into account. Modeling that use the IIR (assuming the modeling does not account for reflections between metal layers) should also be rethought for modeling these PCB traces at very high frequencies. A PPENDIX The boundary conditions at the surface of a perfect conductor imply that just outside this surface only a normal electric field En and a tangential magnetic field H || exist; in a first approximation, one makes the same assumption for a real conductor with finite conductivity [16, pp. 353–356]. Inside the real conductor, the magnetic field does not drop to zero abruptly, however, because the surface current J is not confined to an infinitely thin sheet. Therefore, there exists a magnetic field H || of equal size inside the conductor, again because of the boundary conditions. Due to Ohm’s law, J gives rise to an electric field E|| inside the conductor, which is, like K , parallel to the surface and perpendicular to H ||. In addition to the planarity of the surface, we also assume that the variations of the fields parallel to the surface are

negligible in comparison with the variations normal to it. We then have the model of a plane wave consisting of E|| and H || penetrating perpendicularly into the conductor. We now make use of the analogy between plane waves and waves along a transmission line [17], [20]. We assume the plane wave traveling in the z-direction with E = E x and H = H y. As usual, the transmission line is characterized by R  , L  , C  , and G  , and U and I denoting voltage and current, respectively. Maxwell’s first two equations yield −

∂ Hy ∂ Ex = (σ + i ωεb )E x ; − (ωμ + i ωμ )H y . ∂z ∂z

(1)

They correspond to the following telegrapher’s equations: −

∂I ∂U = (G  + i ωC  )U ; − = (R  + i ωL  )I. ∂z ∂z

(2)

Thus, we get Table I. We can now translate the expressions for the propagation constant and the characteristic impedance. This analogy also pertains to the behavior at boundaries. The continuity of the tangential E- and H -components corresponds to the continuity of voltage and current at the junction between two line segments as a consequence of Kirchhoff’s equations. We have used the following values for the conductivity σ . 1) Gold: σAu = 41 × 106 S/m. 2) Nickel: σNi = 0.69 × 106 S/m for NiP (1.4 × 106 S/m for Ni). 3) Copper: σNi = 58 × 106 S/m. 4) The thickness of the gold layer TAu = 100 nm. 5) The thickness of the nickel layer TNi = 2 μm (or 4 μm). 6) The copper thickness must be at least three times as large as the skin depth.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. CURRAN et al.: ON THE MODELING, CHARACTERIZATION, AND ANALYSIS OF CURRENT DISTRIBUTION IN PCB TRANSMISSION

The intrinsic impedance seen by the wave in the layers is  πfμ . Z L = (1 + j ) σL

7

R EFERENCES

[6] H. A. Wheeler, “Formulas for the skin effect,” Proc. IRE, vol. 30, no. 9, pp. 412–424, Sep. 1942. [7] B. Curran, I. Ndip, S. Guttowski, and H. Reichl, “On the quantification and improvement of the models for surface roughness,” in Proc. IEEE Workshop Signal Propag. Interconnects (SPI), Strasbourg, France, May 2009, pp. 1–4. [8] B. Curran, I. Ndip, S. Guttowski, and H. Reichl, “On the quantification of the state-of-the-art models for skin-effect in conductors, including those with non-rectangular cross-sections,” in Proc. IEEE Symp. Electromagn. Compat., Austin, TX, USA, 2009, pp. 141–146. [9] B. Cabon and J. Chilo, “New skin-effect equivalent circuit,” Electron. Lett., vol. 26, no. 19, pp. 1582–1584, Sep. 1990. [10] T. Vu. Dinh, B. Cabon, and J. Chilo, “Time domain analysis of skin effect on lossy interconnections,” Electron. Lett., vol. 26, no. 25, pp. 2057–2058, Dec. 1990. [11] W. T. Weeks, L. L.-H. Wu, M. F. McAllister, and A. Singh, “Resistive and inductive skin effect in rectangular conductors,” IBM J. Res. Develop., vol. 23, no. 6, pp. 652–660, 1979. [12] K. M. Coperich, A. E. Ruehli, and A. Cangellaris, “Enhanced skin effect for partial element equivalent circuit (PEEC) models,” Elect. Perform. Electron. Packag., 1999, pp. 189–192. [13] G. Antonini, A. Orlandi, and C. R. Paul, “Internal impedance of conductors of rectangular cross section,” IEEE Trans. Microw. Theory Techn., vol. 47, no. 7, pp. 979–985, Jul. 1999. [14] B. Curran et al., “Modeling and measurement of coplanar transmission lines with significant proximity and surface roughness effects,” in Proc. Eur. Microw. Conf. (EuMC), Rome, Italy, 2009, pp. 890–893. [15] B. Curran, I. Ndip, S. Guttowski, and H. Reichl, “A methodology for combined modeling of skin, proximity, edge, and surface roughness effects,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 9, pp. 2448–2455, Sep. 2010. [16] J. D. Jackson, Classical Electrodynamics, 3rd ed. New York, NY, USA: Wiley, 1998. [17] S. Ramo, J. R. Whinnery, and T. Van Duzer, Fields and Waves in Communication Electronics, 3rd ed. New York, NY, USA: Wiley, 1994. [18] S. A. Schelkunoff, “The impedance concept and its application to problems of reflection, refraction, shielding and power absorption,” Bell Syst. Tech. J., The, vol. 17, no. 1, pp. 17–48, Jan. 1938. [19] N. Klein et al., “The effective microwave surface impedance of high Tc thin films,” J. Appl. Phys., vol. 67, no. 11, pp. 6940–6945, 1990. [20] E. Hammerstad and O. Jensen, “Accurate models for microstrip computer-aided design,” in IEEE MTT-S Int. Microw. Symp. Dig., 1980, pp. 407–409. [21] S. Groiss, I. Bardi, O. Biro, K. Preis, and K. R. Richter, “Parameters of lossy cavity resonators calculated by the finite element method,” IEEE Trans. Magn., vol. 32, no. 3, pp. 894–897, May 1996. [22] S. Hall et al., “Multigigahertz causal transmission line modeling methodology using a 3-D hemispherical surface roughness approach,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 12, pp. 2614–2624, Dec. 2007. [23] P. G. Huray et al., “Fundamentals of a 3-D ‘snowball’ model for surface roughness power losses,” in Proc. IEEE Workshop Signal Propag. Interconnects (SPI), May 2007, pp. 121–124. [24] P. G. Huray, O. Oluwafemi, J. Loyer, E. Bogatin, and X. Ye, “Impact of copper surface texture on loss: A model that works,” in Proc. DesignCon, Santa Clara, CA, USA, Feb. 2010, pp. 462–483. [25] P. Parkinson, Properties and Applications of Electroless Nickel. Toronto, ON, Canada: Nickel Develop. Inst., 1997, pp. 1–37. [26] S. Lucyszyn, “Microwave characterization of nickel,” PIERS Online J., vol. 4, no. 6, pp. 686–690, 2008. [27] D. M. Pozar, Microwave Engineering. Hoboken, NJ, USA: Wiley, 2012.

[1] K. Pun, M. N. Islam, and T. W. Ng, “ENEG and ENEPIG surface finish for long term solderability,” in Proc. 15th IEEE Conf. Electron. Packag. Technol., Aug. 2014, pp. 1–5. [2] Y. Shlepnev and S. McMorrow, “Nickel characterization for interconnect analysis,” in Proc. IEEE Int. Symp. Electromagn. Compat. (EMC), Aug. 2011, pp. 524–529. [3] X. Wu, D. Cullen, G. Brist, and O. M. Ramahi, “Surface finish effects on high-speed signal degradation,” IEEE Trans. Adv. Packag., vol. 31, no. 1, pp. 182–189, Feb. 2008. [4] T. Demeester and D. De Zutter, “Modeling the broadband inductive and resistive behavior of composite conductors,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 4, pp. 230–232, Apr. 2008. [5] T. Demeester and D. De Zutter, “Internal impedance of composite conductors with arbitrary cross section,” IEEE Trans. Electromagn. Compat., vol. 51, no. 1, pp. 101–107, Feb. 2009.

Brian Curran (M’08) was born in Milwaukee, WI, USA. He received the B.S. degree in electrical engineering from the University of Rochester, Rochester, NY, USA, in 2001, the M.S. degree in electrical communications engineering from the University of Kassel, Kassel, Germany, in 2008, and the Dr.Ing. degree from the Technical University of Berlin (TU Berlin), Berlin, Germany, in 2012. He is currently involved in post-doctoral research with TU Berlin, where he focuses on the topics of signal and power integrity, high-frequency and highspeed system design, antenna design, and transmission line modeling.

The propagation constant  γ L = (1 + j ) π f μσ L L ∈ {Au, Ni, Cu}. The input impedance at the boundary between gold and nickel can be obtained by a transformation formula Z Cu +Z Ni Tanh[γNi TNi ] . Z Ni +Z Cu Tanh[γNi TNi ] Impedance at the air/gold boundary Z NiCu = Z Ni

Z NiCu +Z Au Tanh[γAu TAu ] . Z Au +Z NiCu Tanh[γAu TAu ] The reflection coefficient at the Au/Ni boundary is Z AuNiCu = Z Au

Z NiCu −Z Au . Z NiCu +Z Au The corresponding transmission coefficient r1 =

2Z NiCu . Z NiCu +Z Au The reflection coefficient at the Ni/Cu boundary is τ1 =

Z Cu −Z Ni . Z Cu +Z Ni If we assume the electric field at the boundary to have the value 1 V/m, we get for the current in the gold layer   1 −γAu T1 1 − e JAu = σAu γAu γAu   1 1 −γAu T1 −γAu T1 + − e r1 e γAu γAu r2 =

and for the current in the nickel layer JNi = σNi ∗e−γAu T1 ∗ τ1   1 1 −γNi T2 ∗ − e γNi γNi   1 1 −γNi T2 −γNi T2 + e − e r2 . γNi γNi According to Pozar [27], there are two points of view regarding these reflections, the multiple reflection view and the impedance concept. In this case, we are using the impedance concept.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

Gerhard Fotheringham was born in Wiesbaden, Germany. He received the M.Sc. (Dipl.-Mathematiker) degree in mathematics and physics from the University of Mainz, Mainz, Germany, and the Ph.D. (Dr.Ing.) degree from the Technical University of Berlin (TU Berlin), Berlin, Germany. His Ph.D. thesis focused on the applications of high-temperature superconductivity in microelectronics. He was a Lecturer and Researcher with TU Berlin and the Fraunhofer Institute for Reliability and Microintegration (IZM), Berlin, Germany, until his retirement. He is currently an External Consultant to IZM. His current research interests include mathematical modeling, statistics, electromagnetic field simulations, and the characterization of materials employed in microelectronics. Christian Tschoban was born in 1985. He received the M.Sc. (Dipl.-Ing.) degree in electrical engineering from the Dresden University of Technology, Dresden, Germany, in 2010. He is currently pursuing the Ph.D. degree in signal/power integrity at the Technical University of Berlin, Berlin, Germany. He has been a Research Engineer with the RF and High-Speed System Design Group, Fraunhofer Institute for Reliability and Microintegration (IZM), Berlin, Germany, since 2010. He has been the Leader of the RF and High Speed System Group with Fraunhofer IZM since 2015. Ivan Ndip (M’05–SM’12) received the Degree in electrical engineering, and the M.Sc. and Ph.D. degrees (summa cum laude) in electrical engineering from TU-Berlin, Berlin, Germany, in 2002 and 2006, respectively. He joined the Fraunhofer Institute for Reliability and Microintegration (IZM), Berlin, Germany, as a Research Engineer in 2002. In 2005, he was appointed as the Group Manager of RF Modeling and Simulation. Six months later, he established the RF and High-Speed System Design Group with IZM, and served as the Founding Group Manager until 2015. From 2005 to 2015, he built up and led a dynamic team of research engineers and scientists. During this period of ten years, he led research and development projects with national and international partners in the areas of RF measurement and analysis of dielectric materials; electromagnetic modeling, numerical simulation, and measurement and optimization of integrated antennas; high-frequency design, characterization, and optimization of electronic packaging and system-integration technologies for intrasystem EMC (signal/power integrity and reduced EMI); and design of power-distribution networks and suppression of power-ground noise in mixed-signal modules, and RF system-integration of high-speed transceiver modules. He has been a Lecturer with the School of Electrical Engineering and Computer Sciences, TU Berlin, since 2008. Since 2014, he has been the Head of the Department of RF and Smart Sensor Systems with IZM. He also teaches professional development courses to practicing engineers and scientists worldwide. He has authored or co-authored over 150 publications in refereed journals and conference proceedings.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Dr. Ndip is a Fellow of the International Microelectronics Assembly and Packaging Society (IMAPS). He was a recipient of six Best Paper Awards at leading international conferences and the Tiburtius Prize, awarded yearly for outstanding Ph.D. dissertations in Berlin, Germany. He was a recipient of the 2012 Fraunhofer IZM Research Award for his work on the development and successful application of Methods, Models, and Design Measures for Electromagnetic Optimization of High-Frequency and HighSpeed Systems. He is the Chair of the Signal and Power Integrity Committee of IMAPS. He is an Associate Editor of the Journal of Microelectronics and Electronic Packaging. He is also a Reviewer of the IEEE T RANSACTIONS ON E LECTROMAGNETIC C OMPATIBILITY , the IEEE T RANSACTIONS ON C OMPONENTS , PACKAGING , AND M ANUFACTURING T ECHNOLOGY, the IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES , and the IEEE T RANSACTIONS ON E LECTRON D EVICES , and other international journals. He is a Member of the Technical Program Committee of many IEEE and IMAPS international conferences. He was a Technical Co-Chair of the 44th and 45th International Symposiums on Microelectronics in Long Beach, CA, USA, and San Diego, CA, USA, in 2011 and 2012, respectively. In 2013, he was the Technical Chair of the 46th International Symposium on Microelectronics in Orlando, FL, USA. In 2014, he became the General Chair of the 47th International Symposium on Microelectronics in San Diego, CA, USA. He also served as the General Chair of the 19th IEEE Workshop on Signal and Power Integrity in Berlin, Germany, in 2015.

Klaus-Dieter Lang (M’08–SM’13) received the degree in electrical engineering, the M.S. Equivalent Diploma degree, and the Ph.D. degree from the Humboldt University of Berlin, Berlin, Germany, in 1981, 1981, 1984 and 1989, respectively. He was involved in the research fields of microelectronic assembly, packaging, and quality assurance, during his employment with the Humboldt University of Berlin from 1981 to 1991. In 1993, he became a Section Manager for Chip Interconnections with the Fraunhofer Institute for Reliability and Microintegration (IZM), Berlin, Germany. He was the Director’s Personal Assistant with Fraunhofer IZM from 1995 to 2000, where he was responsible for marketing and public relations. From 2001 to 2005, he coordinated the Branch Laboratory Microsystem Engineering in Berlin and Adlershof. From 2003 to 2005, he was the Head of the Department Photonic and Power System Assembly. From 2006 to 2010, he was the Deputy Director of Fraunhofer IZM, where he has been the Director since 2010. Since 2011, he has been responsible for the Chair of Nano Interconnect Technologies with the Technical University of Berlin, Berlin, Germany. He has authored or co-authored 3 books and over 280 publications in the fields of wire bonding, microelectronic packaging, microsystems technologies, and chip on board. Prof. Lang is a Member of numerous scientific boards and conference committees, for example, the SEMI Award Committee and the Scientific Advisory Board of EURIPIDES. He is a Member of DVS and the International Microelectronics Assembly and Packaging Society, and he plays an active role in the international packaging community (e.g., the German Chapter Chair of the IEEE-CPMT until 2014).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

2519

An Artificial Neural Network-Based Electrothermal Model for GaN HEMTs With Dynamic Trapping Effects Consideration An-Dong Huang, Zheng Zhong, Member, IEEE, Wen Wu, Senior Member, IEEE, and Yong-Xin Guo, Senior Member, IEEE

Abstract— A complete solution from parameter extraction to large-signal electrothermal model generation for gallium nitride (GaN) HEMTs is presented in this paper with the consideration of trapping deduced gate and drain lag effects. The extrinsic parasitic parameters are extracted by multibias hot-FET optimization using artificial bee colony algorithm. New terminal charge ( Q gs , Q gd , and Q ds ) models with temperature dependence are proposed to better characterize the GaN devices. Physical mechanisms of the electrothermal and trapping effects have been investigated, and the artificial neural network (ANN) is exploited to construct the drain current based on pulsed I–V (PIV) measurements. Besides the instantaneous terminal voltages, additional three auxiliary variables are employed to describe the memory effects of GaN HEMT: channel temperature, gate trapping state, and drain trapping state. These variables are identified from PIVs to compose the input layer of the ANN, while in the simulator, they are captured by the thermal and two envelop tracking subcircuits. These physical auxiliary variables together with the ANN technology enable unlimited fitting sets of PIVs with satisfying accuracy. Singletone and two-tone on-wafer measurements are conducted for the verification, and a good agreement has been achieved between the measurements and simulations. Index Terms— Ambient temperature, artificial neural network (ANN), charge models, electrothermal model, gallium nitride (GaN) HEMTs, parameter extraction, self-heating, trapping effects. Manuscript received August 18, 2015; revised January 16, 2016 and June 23, 2016; accepted June 24, 2016. Date of publication July 19, 2016; date of current version August 4, 2016. This work was supported in part by the Singapore Ministry of Education Academic Research Fund Tier 2 under Grant MOE2014-T2-2-151, in part by the National Natural Science Foundation of China under Grant 61401296, in part by the Natural Science Foundation for Youths of Jiangsu Province, China, under Grant BK20130375, and in part by Jiangsu Province Funding for Enterprise-Academic-Research Innovation Platform under Grant BY2012229. (Corresponding author: Yong-Xin Guo.) A.-D. Huang is with the Ministerial Key Laboratory of JGMT, Nanjing University of Science and Technology, Nanjing 210094, China, and also with the Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117576 (e-mail: [email protected]). Z. Zhong and Y.-X. Guo are with the Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117576, and also with the National University of Singapore Suzhou Research Institute, Suzhou 215123, China (e-mail: [email protected]; [email protected]). W. Wu is with the Ministerial Key Laboratory of JGMT, Nanjing University of Science and Technology, Nanjing 210094, China (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2586055

I. I NTRODUCTION HE advanced characteristics of gallium nitride (GaN) HEMTs such as high power density, high-frequency operation, and high breakdown voltage have placed them in a critical position in the next generation wireless communication and radar systems. Numerous nonlinear RF circuits have been developed using GaN HEMT technology, such as power amplifiers, mixers, and oscillators [1]–[5]. However, these high-power devices exhibit strong self-heating and trapping (gate/drain lag) effects when pushed hard to achieve increased efficiency and output power, which would significantly degenerate the performance of the circuits or systems. As a result, a large-signal model of GaN HEMT device capable of accurately predicting the sophisticated nonlinear thermal and trapping effects is crucial for high-reliability circuit design. In order to better understand the complex behavior of GaN HEMTs, the mechanisms of the memory effects mentioned above are worthy of investigation. The drain lag effect is mainly caused by the charge capture (trapping) and emission (detrapping) processes of the deep donors in the semiinsulating buffers [6]–[8]. When the voltage applied to the drain terminal is pulsed high, the electric field from the channel to buffer will be enhanced, and thus more charges from the 2-D electron gas (2-DEG) are captured by deep donor traps, resulting in drain current collapse. When the drain voltage is pulsed down, some electrons emitted from the donors will return to the 2-DEG while increase in drain current will occur due to the weakened electric field vertical component. Gate lag effect, also known as the concept of virtual gate, is mainly caused by the presence of negative charges trapped on the semiconductor surfaces [7]–[10], and these trapped charges further reduce the surface potential, resulting in the extension of the gate depletion region and the degradation of drain current. The surface trapping level is basically controlled by the gate voltages, and the more negative the gate voltage is, the deeper level of surface trapping state is expected, and vice versa. Nowadays, short duration (typical 100–200 ns) pulsed I -V s (PIVs) have been widely used for the characterization of GaN HEMTs under the assumption that the device is free of dynamic thermal and trapping effects within such a short pulsewidth [11]–[13]. However, this assumption is actually not valid, since the trapping time constant is about several

T

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

2520

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

nanoseconds that is much shorter than the pulsewidth, while the detrapping (charge emission) time constant is of microsecond level that is much longer than the pulsewidth, which means that only charge trapping process will occur in the PIVs. The relationships of these time constants are very crucial for understanding of the gate and drain lag phenomena. If the gate voltage is pulsed lower than the quiescent bias or the drain voltage is pulsed higher than the quiescent bias, charge capture will happen during the pulse excitation, and thus the GaN HEMT devices could still experience dynamic trapping effects. In other words, the PIVs are not isotrapping measurements. Recently, the dynamic trapping effects of PIVs have also been identified by the double-pulse experiment [17], [18]. From the above analysis, it can be concluded that the gate trapping state is described by the minimum of the quiescent and the pulsed gate voltages, while the drain trapping state is described by the peak value of the quiescent and the pulsed drain voltages [7]. Quite many existing modeling approaches exploit the quiescent terminal voltages as auxiliary variables to account for the trapping effects [11]–[16] of GaN HEMTs; however, even those measured PIVs are well fitted, these models still cannot fully recover the large-signal behaviors of GaN HEMTs, due to the fact that the PIVs are actually experiencing dynamic trapping effects that cannot be simply described by the quiescent biases. In this paper, an artificial neural network (ANN)-based electrothermal model for GaN HEMTs with dynamic trapping effects is presented. The whole modeling procedure includes the small-signal parameter extraction and the largesignal model construction. The extrinsic parasitic elements are extracted by novel multibias hot-FET optimization [19], while the intrinsic elements are analytically obtained at each specific bias point. New charge sources (Q gs , Q gd , and Q ds ) with temperature dependence are proposed to better characterize the GaN HEMT devices. PIVs presented by a three-layer feedforward ANN are exploited to model the drain current including thermal and trapping effects. Three auxiliary variables: gate trapping state, drain trapping state, and channel temperature are introduced to the input layer of the ANN to account for these side effects. This paper presents a significant expansion of [19] in terms of large-signal modeling and dispersion effects’ modeling. This paper is organized as follows. In Section II, a hot-FET optimization-based extrinsic parameter extraction approach is described and verified. In Section III, the full large-signal model generation is explained in detail, which includes the proposed drain current source and temperature-dependent nonlinear terminal charge modeling. In Section IV, the verification of this proposed large-signal model that includes the smallsignal response and single-tone and two-tone modeling performances is demonstrated and discussed. Section V is the conclusion of this paper. II. E XTRINSIC PARAMETER E XTRACTION A. Method Description The adopted 16-element equivalent circuit for the smallsignal model of the investigated GaN HEMT is shown

Fig. 1.

Equivalent circuit for GaN HEMT small-signal model.

in Fig. 1. For GaN HEMT devices, hot-FET optimization is commonly employed to extract the extrinsic parasitic parameters due to its robustness, especially when the parasitic elements like resistances cannot be confidently extracted using cold-FET [20], [21] or open-short-through techniques [22], [23]. The objective error functions are usually well designed to minimize the discrepancy between the measured and modeled S-parameters, as well as minimize the variance of the intrinsic elements over different operation frequencies [13], [24], [25]. However, there are a few factors that could degenerate the robustness of this method. First, variances of the intrinsic parameters are vulnerable to the noise and measurement uncertainty, especially for the nonquasi-static elements like Rgs and Rgd , which could improperly dominate the error reference. Second, it is cumbersome to find proper weighing factors of the intrinsic elements, considering that they have distinguished contribution to the overall S-parameters and different sensitivities to the noise. In this paper, a robust extraction approach of extrinsic parameters is exploited based on multibias hot-FET optimization [19] and the above deficiencies are avoided. Instead of utilizing variances of the intrinsic elements as error reference [24], an intrinsic Y -parameter error reference is designed as indicated in (1) and (2). After de-embedding the external part of the GaN HEMT, the internal elements are analytically obtained by averaging over frequency, which can be used for reconstructing the intrinsic Y -parameters. Then the discrepancies between the de-embedded and reconstructed intrinsic Y -parameters are taken as one of the error references instead of the variances of internal parameters as indicated in εisub1 j (Vgs , Vds , f k )   int_de  p    + |Im Y int_de − Y int_cal  p Re Y − Yiint_cal ij j ij ij = p   max Yiint_de j (1) ⎛ ⎞1/ p N f 2    1 ⎝ ⎠ εisub1 (2) εsub1 = j (Vgs , Vds , f k ) 4N f Nbias bias

i, j =1 k=1

where Yiint_de and Yiint_cal are the intrinsic Y -parameters j j obtained by direct embedding procedure and analytical

HUANG et al.: ANN-BASED ELECTROTHERMAL MODEL FOR GaN HEMTs

2521

TABLE I E XTRACTED E XTRINSIC PARAMETERS

TABLE II T OTAL RMS E RROR OF S MALL -S IGNAL M ODELING

Fig. 2. (a) Illustration of the computation procedure of two error reference planes. (b) Flowchart diagram for the optimization extraction procedure.

de-embedding method [22], [23] can provide the constraints for the extrinsic parameters to ensure physical convergence of the optimization. B. Extraction Results

reconstruction, respectively (i and j = 1, 2); N f is the number of the frequency points, fk is the kth frequency; Nbias is the number of S-parameters involved in the optimization; and p can be either 1 or 2, which corresponds to norm-1 or norm2 error form. The value of p is chosen as 1 in this paper as norm-1 error function is more tolerant of noise and measurement uncertainty [26]. It is worth noting that the proposed intrinsic Y -parameter error function is not sensitive to the noise, since the noisy nonquasi-static elements will not dominate this error reference. Compared with the vulnerable variances adopted by the conventional hot-FET optimization methods [24], the computation of the proposed error reference is straightforward and robust. In order to increase the convergence of the optimization, multiple error references can be exploited [27], and in this paper, the overall S-parameter error is taken as the other error reference, which is indicated in   m    Re S − S cal  p + Im(S m − S cal  p ij ij ij ij sub2 (3) εi j =   p  max  Simj  ⎞1/ p ⎛ Nf 2    1 ⎠ . ⎝ εisub2 εsub2 = j (Vgs , Vds , f k ) 4N f Nbias bias

i, j =1 k=1

(4) Hence, the total objective error εtotal function is given by εtotal = w1 εsub1 + w2 εsub2

(5)

where w1 and w2 are the weighing factors. Fig. 2(a) illustrates the computation procedure of the proposed error references, and Fig. 2(b) shows the flowchart diagram for the optimization extraction. The error computation is carried out from inside out. Improved artificial bee colony algorithm [28] is employed as the optimizer due to its excellent global searching ability, and the open-short-through

In this paper, a 6 × 175 μm (6 fingers with 175-μm gate width) GaN HEMT from Dynax Semi., Inc., is employed for the investigation. Considering the unsymmetrical structure of the investigated GaN HEMT, the cold-FET technique is not suitable for extracting the initial values of the extrinsic parasitic elements. Instead, the open-short [22] de-embedding method is exploited to obtain the initial values, which provide the constraints for further optimization, especially for those parasitic resistances that do not behave as the desired frequency dependence in open-short de-embedding. Nine sets of S-parameters at different biases are involved in the optimization. The optimized extrinsic parameters are listed in Table I, and the intrinsic parameters are analytically obtained after de-embedding the external part. The extracted parameters are verified by hot S-parameters at all biases (Vgs = −6 to −0.25 V with 0.25 V steps and Vds from 0 to 48 V with 4 V steps), and good agreement between the measured and modeled S-parameters has been achieved as illustrated in Fig. 3. The RMS error of the small-signal modeling at all the biases is shown in Table II, and the error expression is given by [21]

meas 2 M N |Sxcal y − Sx y | (6) %E x y |x=1,2 = 100 ×

meas 2 y=1,2 M N |Sx y | where M and N denote the number of bias and frequency points, respectively. For GaN HEMTs, the modeling error performance is quite satisfying and the extracted parameters can be confidently used for the further large-signal construction. III. L ARGE -S IGNAL M ODEL G ENERATION The proposed large-signal model for the investigated GaN HEMT is shown in Fig. 4. The nonlinear part of the model includes drain current source Ids , temperature-dependent

2522

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

Fig. 3. Comparison of measured S-parameters of the 6 × 175 μm2 gate width GaN HEMT (symbols) with the simulation results (lines) at Vds = 8 V and Vgs = −0.5 V (triangle) and Vds = 32 V and Vgs = −2.25 V (circles) from 50 MHz to 17 GHz.

Fig. 5.

Fig. 4. Large-signal model topology for GaN HEMT with dynamic thermal and trapping subcircuits.

nonlinear charges Q gs , Qgd , and Q ds , diodes Dgs and Dgd , and other nonquasi-static resistances, while the linear part consists of extrinsic parasitic elements. A thermal subcircuit is employed to model the transient behavior of the self-heating effects. Meanwhile, two trapping subcircuits are exploited to model the transient behaviors of the gate lag and drain lag, and in harmonic balance simulation, they are able to track the envelopes of the Vgs /Vds voltage-time waveforms. The complete design flow for this proposed large-signal model generation is shown in Fig. 5. In Section III-A, the detailed description of the drain current model is presented, and in Section III-B, the development of the nonlinear charge models (Q gs , Qgd , and Q ds ) will be discussed. The extraction of the parameters of the gate diodes and the extraction of the thermal and trapping time constants are explained in Sections III-C and III-D. A. Drain Current Source Modeling As GaN HEMT devices exhibit serious heating and trapping effects, the drain current source cannot be precisely recovered from multibias S-parameters. Instead, PIVs at various quiescent biases are widely exploited, since the narrow pulse voltage can provide isothermal and useful trapping related characterization of the drain current. However, modeling of the PIVs is still challenging, because trapping effects cannot be separated from the thermal effect of the PIVs at active quiescent biases, and the asymmetry of the charge

Design flow of the complete GaN HEMT modeling.

capture/release time constants mentioned in Section I makes recent PIV measurements experience dynamic trapping effects. For most of the empirical modeling of GaN HEMTs, the quiescent biases are employed to account for the trappingrelated effects [11]–[16], however, as explained in Section I, the auxiliary variables of quiescent biases cannot provide correct description of the trapping effects. Basically, the gate trapping state is characterized by the valley of the gate current, while the drain trapping state is described by the peak value of the drain current [7], [17], [18]. In this paper, a multilayer feedforward ANN together with PIVs is exploited to model the drain current including electrothermal and dynamic trapping effects, as indicated in (7)–(10), and the thermal and trapping information can be implicitly learned Ids_pulse = f ANN (Vgs , Vds , T j , ∅G , ∅ D )

(7)

where T j is the channel temperature, and ∅G and ∅ D are the gate and drain trapping states that are identified by T j = Rth × Idsq × Vdsq + Tamb

(8)

∅G = Min{Vgsq , Vgs }

(9)

∅ D = Max{Vdsq , Vds }.

(10)

This modeling approach is similar to [29]–[31], however, in this paper, the PIVs measurement data are chosen for the construction of the drain current instead of time-domain terminal voltage and current waveforms provided by NVNA, considering that PIV measurement systems are commonly used in many research institutes and labs. The dynamic transient behavior of the memory effects is obtained by the thermal and trapping subcircuits as shown in Fig. 4. The gate trapping subcircuit captures the

HUANG et al.: ANN-BASED ELECTROTHERMAL MODEL FOR GaN HEMTs

Fig. 6.

2523

Configuration of the ANN for the training of DCIV and PIVs.

Fig. 7. (a) Microphotograph of the investigated GaN HEMT device from Dynax Semi., Inc. (b) Setup of the on-wafer load-pull system (Focus Tuner, model 1808-2C) and the PIV system (AMCAD PIV system).

Fig. 8. Measured (circles) and modeled (solid lines) PIV characteristics at (a) Vgsq = 0 V, Vdsq = 0 V, and Pdiss = 0 W, (b) Vgsq = −4 V, Vdsq = 0 V, and Pdiss = 0 W, (c) Vgsq = −4 V, Vdsq = 48 V, and Pdiss = 0 W for Vgs = −3 to 0 V with 0.25 V steps and Vds = 0–60 V with 2 V steps.

lower envelope of the gate voltage, while the drain trapping subcircuit tracks the peak envelope of the drain voltages [6], [7], [29]–[31]. In addition, the inconsistency of the dc and RF currents is elegantly solved in this paper. For the dc current, the trapping states are exactly characterized by the quiescent biases

Fig. 9(c) is more serious than the one in Fig. 9(b); however, the drain current in Fig. 9(c) is larger than that in Fig. 9(b), which clearly indicates the strong modulation of the trapping effects on the drain current, and similar phenomena can be also observed from the comparison between Fig. 9(e) and (f). The inconsistency between the RF and continuous I –V is also solved as explained in (11)–(13), and Fig. 10 shows the good agreement between the measured and modeled continuous drain currents. For practical considerations, sometimes it is unnecessary to introduce both surface and buffer trapping auxiliary variables to the drain current. For example, when the gate trapping has much less influence on the drain current compared with buffer trapping and thermal effects, then gate trapping variable in (7) can be removed and the number of inputs could be reduced to four. Sometimes it may lead to the loss of accuracy, but the risk of over fitting can be largely decreased, especially when few sets of measured PIVs are available.

∅G = Min{Vgsq , Vgsq } = Vgsq ∅ D = Max{Vdsq , Vdsq } = Vdsq .

(11) (12)

Then (7) can be rewritten as Ids_DC = f ANN (Vgsq , Vdsq , T j , Vgsq , Vdsq ).

(13)

Equation (7) indicates that both DCIV and PIVs can be trained by the same ANN structure as illustrated in Fig. 6. The microphotographs of the investigated GaN HEMT device and the on-wafer PIV measurement system are illustrated in Fig. 7. The pulsewidth of the PIV measurement is set as 200 ns, which is narrow enough to provide isothermal and useful trapping information for the drain current. By introducing additionally two auxiliary trapping variables ∅G and ∅ D , the ANN is able to accurately model all the available sets of PIVs, which could be reflected in Figs. 8 and 9. The surface trapping can be observed from the comparison of Fig. 8(a) and (b), where the device is biased at Vgsq = 0 V and Vdsq = 0 V and Vgsq = −4 V and Vdsq = 0 V, respectively. Buffer trapping can be identified from the comparison between Fig. 8(b) and (c), where the device is biased at Vgsq = −4 V and Vdsq = 0 V and Vgsq = −4 V and Vdsq = 48 V, respectively. The dissipated power due to self-heating in

B. Charge Modeling With Temperature Dependence For harmonic balance simulation, if the gate capacitances are not conserved, the nonconvergence problem easily occurs. There are two solutions. One is to utilize charge conserved capacitance models, and the other is to implement the capacitances as charge sources in the simulator. For either approach, the conservation property of Cgs and Cgd should be examined during the parameter extraction procedure [34]. In this paper, the latter approach is adopted. The nonlinear capacitances are first trained by a three-layer

2524

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

Fig. 11.

Training process for terminal charges.

The expression of the three-layer feedforward ANN for the gate capacitance Cgs is given by Cgs (Vgs , Vds , T ) =

N 

g

g2

wk tanh(ϕ1 (Vgs , Vds , T )) + bk

(15)

k=1

where ϕ1 (Vgs , Vds , T ) is identified by g

g

g

g1

ϕ1 (Vgs , Vds , T ) = wk1 Vgs + wk2 Vds + wk3 T + bk . (16) In (15), N is the number of neurons of the input layer, g g2 and wk and bk are the corresponding weight and bias for the g kth neurons of the output layer. In (16), wkj represents the g1

Fig. 9. Measured (circles) and modeled (solid lines) PIV characteristics at biases (a) Vgsq = −2 V, Vdsq = 35 V, and Pdiss = 2.3 W, (b) Vgsq = −2 V, Vdsq = 48 V, and Pdiss = 3.9 W, (c) Vgsq = −1.75 V, Vdsq = 35 V, and Pdiss = 4.2 W, (d) Vgsq = −1.75 V, Vdsq = 40 V, and Pdiss = 4.9 W, (e) Vgsq = −1.75 V, Vdsq = 48 V, and Pdiss = 6 W, (f) Vgsq = −1 V, Vdsq = 28 V, and Pdiss = 7.6 W for Vgs = −3 to 0 V with 0.25 V steps and Vds = 0–24 V with 2 V steps.

weight for the kth neuron and j th input, while bk means the biases for the kth neurons. Q gs can be obtained by integrating Cgs with terminal voltage [33], [34]  Q gs (Vgs , Vds , T ) = Cgs (Vgs , Vds , T )d Vgs =

g N  wk g

k=1

Fig. 10. Measured (circles) and modeled (solid lines) continuous I –V characteristics for Vgs = −6 to −0.25 V with 0.25 V steps and Vds = 0–20 V with 2 V steps at temperature 25 °C.

feedforward ANN, and then integrations are executed along the corresponding terminal voltages to obtain the analytical expression for the terminal charge sources [33]–[34] as indicated in (14)–(24). By exploiting advanced ANN technique, the model fitting accuracy can be largely improved compared with empirical functions. The charge modeling process is illustrated in Fig. 11. In this paper, hyperbolic tangent is selected as the transfer function as shown in e x − e−x . tanh(x) = x e + e−x

(14)

wk1

g2

(ln(cosh(ϕ1 ))) + bk Vgs .

(17)

Fig. 12(a) shows a good agreement between the measured and modeled gate capacitance Cgs at ambient temperatures of 25 °C, 65 °C, and 100 °C, which indicates the powerful fitting ability of the ANN. Fig. 12(b) shows the constructed Q gs for Vgs = −8 to 1 V with 0.25 V steps and Vds = 4–64 V with 4 V steps. The charge behaves quite consistently even beyond the measurement region, which indicates the robustness of the proposed gate charge model. Similarly, the three-layer feedforward ANN for the gate capacitance Cgd model is given by Cgd (Vgs , Vds , T ) =

N 

wkd tanh(ϕ2 (Vgs , Vds , T )) + bkd2

(18)

k=1

where d d d ϕ2 (Vgs , Vds , T ) = wk1 Vgs + wk2 Vds + wk3 T + bkd1 .

(19)

By integrating Cgd with terminal voltage, we have  Q gd (Vgs , Vgd , T ) = Cgd (Vgs , Vgd , T )d Vgd =

N  k=1



wkd d wk2

(ln(cosh(ϕ3 ))) + bkd2 Vgd (20)

HUANG et al.: ANN-BASED ELECTROTHERMAL MODEL FOR GaN HEMTs

Fig. 12. (a) Measured (symbols) and modeled (lines) gate capacitance Cgs of the 6 × 175 μm2 GaN HEMT at ambient temperatures of 25 °C (left), 65 °C (middle), and 100 °C (right) for Vgs = −6 to −0.5 V with 0.5 V step and Vds = 4–48 V with 4 V step. (b) Constructed Q gs at an ambient temperature of 25 °C for Vgs = −8 to 1 V with 0.25 V steps and Vds = 4–64 V with 4 V steps.

where





d d d d ϕ3 (Vgs , Vgd , T ) = wk1 Vgs −wk2 + wk2 Vgd + wk3 T + bkd1. (21)

Fig. 13(a) shows the measured and modeled gate capacitance Cgd at an ambient temperature of 25 °C, and the fitting accuracy is quite satisfying. Fig. 13(b) shows the gate charge Q gd integrated from Cgd , for Vgs = −8 to 1 V with 0.25 V steps and Vds = 4–64 V with 4 V steps. The charge is also very consistent even beyond the measurement region, which is very important for the model convergence and accuracy when the device is driven hard. The drain capacitance Cds is simply considered to be controlled by terminal voltage Vds , and the three-layer feedforward ANN for the gate capacitance Cds model is shown in Cds (Vds , T ) =

N 

Fig. 13. (a) Measured (symbols) and modeled (lines) gate capacitance Cgd of the 6 × 175 μm2 GaN HEMT at an ambient temperature of 25 °C for Vgs = −6 to −0.5 V with 0.5 V step and Vds = 4–48 V with 4 V step. (b) Constructed Q gd at an ambient temperature of 25 °C for Vgs = −8 to 1 V with 0.25 V step and Vds = 4–64 V with 4 V step.

The modeling results of Cds are illustrated in Fig. 14. It can be seen that Cds is basically controlled by the drain terminal voltage, and thus 1-D Q ds model is reasonable due to the efficient modeling consideration. C. Gate Diode Modeling The nonlinear gate diodes Dgs and Dgd are modeled by the ideal Shockley diode equation using forward and reverse gate I –V measurement [6] as indicated in  q·V  gd

IDgd = Isgd · e k·T ·Ngd − 1 IDgs

 q·V gd  k·T ·Ngs = Isgs · e −1 .

(25) (26)

D. Determine Time Constants for Subcircuits wks tanh(ϕ4 (Vds , T )) + bks2

(22)

k=1

where s s Vds + wk2 T + bks1. ϕ4 (Vds , T ) = wk1

(23)

By integrating Cds with Vds , Q ds is obtained  Q ds (Vds , T ) = Cds (Vds , T )d Vds N  wks s2 = s (ln(cosh(ϕ4 ))) + bk Vds . wk1 k=1

2525

The thermal time constant can be obtained by long time duration pulsed drain measurement [16] or simply set as 1 ms in this paper [11]. For harmonic balance simulation, there is no point to accurately determine the trapping constants, as long as the charge capture time constant is much less than the emission time constant [7]. Thus, the capture time constant is set as 1 ps here, while the emission time constant is set as 1 μs. IV. F URTHER M ODEL V ERIFICATION

(24)

To verify further this novel modeling method, various simulation results of this proposed large-signal model, including

2526

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

Fig. 14. Measured (symbols) and modeled (lines) capacitance Cds of the 6 × 175 μm2 GaN HEMT at an ambient temperature of 25 °C and the constructed Q ds (symbols + lines) for Vds = 4–48 V with 4 V step.

Fig. 16. Single-tone power sweep measurements (symbols) and simulations (lines) of the 6 × 175 μm2 GaN HEMT with excitation frequency 2.7 GHz, under ambient temperature 25 °C biased at Vdsq = 28 V and Idsq = 30 mA (Class AB), with 50- source and load impedance. (a) Output power (circles), transducer gain (squares), and power added efficiency (triangles) versus input power. (b) Harmonics of output power versus input power.

Fig. 17. Measured (symbols) and simulated (lines) (a) gate current (magnitude of the fundamental harmonic) versus input power and (b) lower sideband of the fundamental product (Fund) and IM3 product with two-tone excitation f = 2.7 GHz ± 1 MHz, biased at Vdsq = 28 V and Idsq = 50 mA (Class AB), with 50- source and load impedance.

Fig. 15. Measured (symbols) and simulated (solid lines) S-parameters of the 6 × 175 μm2 GaN HEMT from 500 MHz to 17 GHz for Vds = 28 V. (a) Vgs = −4 V. (b) Vgs = −2 V. (c) Vgs = −1 V. (d) Vgs = 0 V.

the small-signal response and single-tone and two-tone tests, have been presented and compared with measurement data to demonstrate its satisfying modeling performances. Fig. 15 shows the measured S-parameters and the simulation results of the developed large-signal model at Vds = 28 V and Vgs = −4, −2, −1, and 0 V. Good modeling agreement is observed at both active and pinch-off regions. Moreover, the accurate modeling performances of S11 and S22 shown in Fig. 15 testify the good agreement of intrinsic capacitances achieved as illustrated in Figs. 12–14. Moreover, it is well known that S12 and S21 are basically influenced by the drain current. Therefore, the good correspondence with the simulated results indicates that not only the drain current but also its differential information have been correctly represented by the proposed ANN current model. The satisfying smallsignal performance indicates the consistency of the proposed large-signal and small-signal model. In addition, on-wafer load-pull measurements with different source and load impedances are conducted to further verify

the large-signal performances of the proposed GaN HEMT model. Fig. 16(a) shows good agreement of the measured (symbols) and simulated (lines) output power, transducer gain, and PAE at 2.7 GHz with 50- source and load impedance, while Fig. 16(b) indicates that the model is able to accurately predict the harmonics of the output power. The gate current versus input power performance is given in Fig. 17(a) to further validate the nonlinear prediction of the proposed model. In Fig. 17(b), two-tone simulation and measurement centered at 2.7 GHz and separated by 1 MHz have been performed. A good agreement of both fundamental output power and third-order intermodulation (IM3) product of the lower side band has been achieved, which is important for prediction of third-order interception point and the dynamic range. To further verify the developed model, the source and load impedances have been changed to Z source = 5.021 + j ∗ 29.23 and load impedance Z load = 86.78 + j ∗ 50.39. A good agreement of the measured and simulated power characteristics has been observed under this operation condition as shown in Fig. 18(a). Fig. 18(b) gives the quiescent drain current performance, which is crucial for accurate PAE prediction. From all the foregoing comparisons, it is convincingly shown that the proposed model is consistently accurate in both small- and large-signal behavior representations for GaN HEMT devices.

HUANG et al.: ANN-BASED ELECTROTHERMAL MODEL FOR GaN HEMTs

Fig. 18. Single-tone power sweep measurements (symbols) and simulations (lines) of the 6 × 175 μm2 GaN HEMT with excitation frequency 2.7 GHz, under ambient temperature 25 °C biased at Vdsq = 28 V and Idsq = 28 mA (Class AB), with Z source = 5.021 + j ∗ 29.23 and Z load = 86.78 + j ∗ 50.39. (a) Output power (circles), transducer gain (squares), and power added efficiency (triangles) versus input power. (b) Quiescent drain IDQ current versus input power.

V. C ONCLUSION A complete modeling solution from parameter extraction to large-signal model generation of GaN HEMTs is presented in this paper with the full consideration of the self-heating and dynamic trapping effects. In this proposed method, the advanced ANN technology has been adopted to accurately model every specific operation point of GaN HEMT devices. A channel temperature variable and two auxiliary variables (gate trapping state and drain trapping state) are introduced in the drain current source to account for the dynamic gate lag and drain lag effects. By introducing these auxiliary variables, multiple sets of PIVs are well trained, and the nonconsistency between the RF and continuous I -V has also been elegantly solved. Moreover, novel terminal charge source (Q gs , Q gd , and Q ds ) models with temperature dependence by ANN integration have been proposed to improve the modeling accuracy of terminal charges as well as the model convergence. The proposed large-signal model has been verified by different measurements including the small-signal response and single-tone and two-tone measurements to demonstrate its outstanding performance. Compared with empirical modeling, the proposed ANN-based modeling approach provides an alternative solution for the accurate characterization of GaN HEMTs with the complicated self-heating/trapping effects. This proposed method is very adaptive to different semiconductor processes due to the excellent fitting ability of ANN, and is valuable and helpful for both industry use and academic interest in the future. ACKNOWLEDGMENT The authors would like to thank Dynax Semi., Inc., for providing the GaN HEMT devices for investigation. R EFERENCES [1] U. K. Mishra, L. Shen, T. E. Kazior, and Y.-F. Wu, “GaN-based RF power devices and amplifiers,” Proc. IEEE, vol. 96, no. 2, pp. 287–305, Feb. 2008. [2] Y. J. Qiu, Y. H. Xu, R. M. Xu, and W. G. Lin, “Compact hybrid broadband GaN HEMT power amplifier based on feedback technique,” Electron. Lett., vol. 49, no. 5, pp. 372–374, Feb. 2013. [3] A. Jarndal et al., “Large-signal model for AlGaN/GaN HEMTs suitable for RF switching-mode power amplifiers design,” Solid State Electron., vol. 54, no. 7, pp. 696–700, Jul. 2010.

2527

[4] V. Camarchia, M. Pirola, R. Quaglia, S. Jee, Y. Cho, and B. Kim, “The Doherty power amplifier: Review of recent solutions and trends,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 2, pp. 559–571, Feb. 2015. [5] P. Choi et al., “A 5.9-GHz fully integrated GaN frontend design with physics-based RF compact model,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 4, pp. 1163–1173, Apr. 2015. [6] O. Jardel et al., “An electrothermal model for AlGaN/GaN power HEMTs including trapping effects to improve large-signal simulation results on high VSWR,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 12, pp. 2660–2669, Dec. 2007. [7] M. Rudolph, C. Fager, and D. E. Root, Eds., Nonlinear Transistor Model Parameter Extraction Techniques. Cambridge, U.K.: Cambridge Univ. Press, 2011. [8] S. C. Binari, P. B. Klein, and T. E. Kazior, “Trapping effects in GaN and SiC microwave FETs,” Proc. IEEE, vol. 90, no. 6, pp. 1048–1058, Jun. 2002. [9] R. Vetury, N. Q. Zhang, S. Keller, and U. K. Mishra, “The impact of surface states on the DC and RF characteristics of AlGaN/GaN HFETs,” IEEE Trans. Electron Devices, vol. 48, no. 3, pp. 560–566, Mar. 2001. [10] O. Mitrofanov and M. Manfra, “Mechanisms of gate lag in GaN/AlGaN/GaN high electron mobility transistors,” Superlattices Microstruct., vol. 34, nos. 1–2, pp. 33–53, Jul./Aug. 2003. [11] A. Jarndal and G. Kompa, “Large-signal model for AlGaN/GaN HEMTs accurately predicts trapping- and self-heating-induced dispersion and intermodulation distortion,” IEEE Trans. Electron Devices, vol. 54, no. 11, pp. 2830–2836, Nov. 2007. [12] A. Jarndal, A. Z. Markos, and G. Kompa, “Improved modeling of GaN HEMTs on Si substrate for design of RF power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 3, pp. 644–651, Mar. 2011. [13] J. B. King and T. J. Brazil, “Nonlinear electrothermal GaN HEMT model applied to high-efficiency power amplifier design,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 1, pp. 444–454, Jan. 2013. [14] F. Filicori, G. Vannini, A. Santarelli, A. M. Sanchez, A. Tazon, and Y. Newport, “Empirical modeling of low-frequency dispersive effects due to traps and thermal phenomena in III-V FET’s,” IEEE Trans. Microw. Theory Techn., vol. 43, no. 12, pp. 2972–2981, Dec. 1995. [15] K. S. Yuk and G. R. Branner, “An empirical large-signal model for SiC MESFETs with self-heating thermal model,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 11, pp. 2671–2680, Nov. 2008. [16] K. S. Yuk, G. R. Branner, and D. J. McQuate, “A wideband multiharmonic empirical large-signal model for high-power GaN HEMTs with self-heating and charge-trapping effects,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 12, pp. 3322–3332, Dec. 2009. [17] A. Santarelli et al., “A double-pulse technique for the dynamic I/V characterization of GaN FETs,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 2, pp. 132–134, Feb. 2014. [18] A. Santarelli et al., “GaN FET nonlinear modeling based on double pulse I/V characteristics,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 12, pp. 3262–3273, Dec. 2014. [19] A. Huang, Z. Zhong, Y. Guo, and W. Wu, “A novel extraction approach of extrinsic and intrinsic parameters of InGaAs/GaN pHEMTs,” in Proc. IEEE MTT-S IMWS-AMP, Suzhou, China, Jul. 2015, pp. 1–3. [20] G. Dambrine, A. Cappy, F. Heliodore, and E. Playez, “A new method for determining the FET small-signal equivalent circuit,” IEEE Trans. Microw. Theory Techn., vol. 36, no. 7, pp. 1151–1159, Jul. 1988. [21] R. G. Brady, C. H. Oxley, and T. J. Brazil, “An improved small-signal parameter-extraction algorithm for GaN HEMT devices,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 7, pp. 1535–1544, Jul. 2008. [22] M. C. A. M. Koolen, J. A. M. Geelen, and M. P. J. G. Versleijen, “An improved de-embedding technique for on-wafer high-frequency characterization,” in Proc. IEEE Bipolar Circuits Technol. Meeting, Minneapolis, MN, USA, Sep. 1991, pp. 188–191. [23] E. P. Vandamme, D. M. M.-P. Schreurs, and G. van Dinther, “Improved three-step de-embedding method to accurately account for the influence of pad parasitics in silicon on-wafer RF test-structures,” IEEE Trans. Electron Devices, vol. 48, no. 4, pp. 737–742, Apr. 2001. [24] K. Shirakawa et al., “An approach to determining an equivalent circuit for HEMTs,” IEEE Trans. Microw. Theory Techn., vol. 43, no. 3, pp. 499–503, Mar. 1995. [25] Q. Fan, J. H. Leach, and H. Morkoc, “Small signal equivalent circuit modeling for AlGaN/GaN HFET: Hybrid extraction method for determining circuit elements of AlGaN/GaN HFET,” Proc. IEEE, vol. 98, no. 7, pp. 1140–1150, Jul. 2010. [26] J. W. Bandler, S. H. Chen, and S. Daijavad, “Microwave device modeling using efficient l1 optimization: A novel approach,” IEEE Trans. Microw. Theory Techn., vol. MTT-34, no. 12, pp. 1282–1293, Dec. 1986.

2528

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

[27] F. Lin and G. Kompa, “FET model parameter extraction based on optimization with multiplane data-fitting and bidirectional search— A new concept,” IEEE Trans. Microw. Theory Techn., vol. 42, no. 7, pp. 1114–1121, Jul. 1994. [28] W.-F. Gao, S.-Y. Liu, and L.-L. Huang, “A novel artificial bee colony algorithm based on modified search equation and orthogonal learning,” IEEE Trans. Cybern., vol. 43, no. 3, pp. 1011–1024, Jun. 2013. [29] J. Xu, J. Horn, M. Iwamoto, and D. E. Root, “Large-signal FET model with multiple time scale dynamics from nonlinear vector network analyzer data,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2010, pp. 417–420. [30] P. Roblin, D. E. Root, J. Verspecht, Y. Ko, and J. P. Teyssier, “New trends for the nonlinear measurement and modeling of high-power RF transistors and amplifiers with memory effects,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 6, pp. 1964–1978, Jun. 2012. [31] D. E. Root, “Future device modeling trends,” IEEE Microw. Mag., vol. 13, no. 7, pp. 45–59, Nov./Dec. 2012. [32] R. E. Leoni, III, M. S. Shirokov, J. Bao, and J. C. M. Hwang, “A phenomenologically based transient SPICE model for digitally modulated RF performance characteristics of GaAs MESFETs,” IEEE Trans. Microw. Theory Techn., vol. 49, no. 6, pp. 1180–1186, Jun. 2001. [33] I. Angelov, N. Rorsman, J. Stenarson, M. Garcia, and H. Zirath, “An empirical table-based FET model,” IEEE Trans. Microw. Theory Techn., vol. 47, no. 12, pp. 2350–2357, Dec. 1999. [34] W. Grabinski, B. Nauwelaers, and D. Schreurs, Eds., “Empirical FET models,” in Transistor Level Modeling for Analog/RF IC Design. Dordrecht, The Netherlands: Springer, May 2006, pp. 121–155.

An-Dong Huang was born in Jiangxi, China, in 1991. He received the B.S. degree from the Department of Optoelectronic Technology, Nanjing University of Science and Technology, Nanjing, China, in 2013, where he is currently pursuing the Ph.D. degree. He was a Joint Ph.D. Student with the Department of Electrical and Computer Engineering, National University of Singapore (NUS), Singapore, from 2015 to 2017, supported by the China Scholarship Council. He was an Exchange Student with the Institute for Nanoelectronics, Technical University of Munich, Munich, Germany, from 2013 to 2014, where he conducted his final-year project of locating stochastic signal sources. From 2014 to 2015, he was a Visiting Scholar with the Department of Electrical and Computer Engineering, NUS. His current research interests include semiconductor physics, RF/microwave semiconductor device modeling and characterization, microwave system modeling, and EDA tool development.

Zheng Zhong (S’08–M’12) received the B.Eng. and M.E. degrees from the University of Science and Technology of China, Hefei, China, in 2003 and 2006, respectively, and the Ph.D. degree in microwave engineering from the National University of Singapore (NUS), Singapore, in 2010. He has been a Research Fellow with the Department of Electrical and Computer Engineering, NUS, since 2010. His current research interests include RF/microwave semiconductor devices modeling and characterization, microwave and millimeterwave microwave integrated circuit/MMIC circuits design, and RF energy harvesting.

Wen Wu (SM’10) received the Ph.D. degree in electromagnetic field and microwave technology from Southeast University, Nanjing, China, in 1997. He is currently a Professor with the School of Electronic Engineering and Optoelectronic Technology, and an Associate Director of the Ministerial Key Laboratory of JGMT with the Nanjing University of Science and Technology, Nanjing, China. He has authored or co-authored over 240 journal and conference papers. He holds 14 patents. His current research interests include microwave and millimeterwave theories and technologies, microwave and millimeter-wave detection, and multimode compound detection. Mr. Wu was the recipient of the Ministerial and Provincial-Level Science and Technology Awards six times.

Yong-Xin Guo (SM’05) received the B.Eng. and M.Eng. degrees in electronics engineering from the Nanjing University of Science and Technology, Nanjing, China, in 1992 and 1995, respectively, and the Ph.D. degree in electronics engineering from the City University of Hong Kong, Hong Kong, in 2001. He was with the Institute for Infocomm Research, Singapore, from 2001 to 2009, as a Research Scientist. He joined the Department of Electrical and Computer Engineering, National University of Singapore (NUS), Singapore, as an Assistant Professor in 2009, where he became a Tenured Associate Professor in 2013. He is the Director of the Center for Microwave and Radio Frequency with the Department of Electrical and Computer Engineering, NUS. He is a Senior Investigator with the National University of Singapore Suzhou Research Institute, Suzhou, China, where he is also the Director of the Center of Advanced Microelectronic Devices. He has graduated seven Ph.D. students at NUS. He has authored or co-authored 177 international journal papers and 187 international conference papers. Thus far, his publications have been cited by others more than 2086 times. He has an H-index of 31 (Scopus). He holds seven patents in the U.S. or China. His current research interests include MMIC modeling and design, RF energy harvesting and wireless power for biomedical applications and IoTs, microstrip antennas for wireless communications, implantable/wearable antennas, on-chip antennas, and antennas in package. Dr. Guo has been a Technical Program Committee (TPC) Member and Session Chair for numerous conferences and workshops. He was a recipient of the Young Investigator Award at NUS in 2009. He was the recipient of the Best Poster Award in the 2014 International Conference on Wearable & Implantable Body Sensor Networks, Zurich, Switzerland. He was the recipient of the 2013 Raj Mittra Travel Grant Senior Researcher Award. He was a co-recipient of the Design Contest Award of the 20th International Symposium on Low Power Electronics and design, Rome, Italy, in 2015. His Ph.D. students were the recipients of the Best Student Paper Awards from the IEEE MTT-S IMWS-Bio 2015 in Taiwan, the IEEE iWEM 2013 in Hong Kong, the 2011 National Microwave and Millimeter-Wave Conference in Qingdao, China, and the IEEE ICMMT 2010 in Chengdu, China. He is the General Chair of the 2017 International Applied Computational Electromagnetics Society Symposium, Suzhou, China, and was the General Chair of the 2015 IEEE MTT-S International Microwave Workshop Series on Advanced Materials and Processes for RF and THz Applications, Suzhou, and the IEEE MTT-S International Microwave Workshop Series 2013 on RF and Wireless Technologies for Biomedical and Healthcare Applications, Singapore. He served as a TPC Co-Chair of the IEEE International Symposium on Radio Frequency Integration Technology in 2009. He serves as an Associate Editor for the IEEE A NTENNAS AND W IRELESS P ROPAGATION L ETTERS , IET Microwaves, Antennas and Propagation, and Electronics Letters.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Analysis of Compact Triple-Mode Ceramic Cavity Filters Using Parallel-Coupled Resonators Approach David R. Hendry and Amin M. Abbosh, Senior Member, IEEE Abstract— A design and analysis approach that enables extracting the full filtering potential of triple-mode filters while greatly simplifying the construction and hence reducing the filter cost is presented. The presented way views multimode resonators of cavity filters as resonators coupled in parallel, with every mode within the structure completely orthogonal; hence, there are no interresonator couplings. Each resonator then independently sees the interfacing input and output while the strength and phase of the coupling, along with the resonator frequencies, dictate the transmission zero placement. Thus, a completely general frequency response can be attained with as many arbitrarily placed zeros as there are multimode resonators. The absence of intracavity couplings allows using a simple rectangular cuboid while the input-to-output coupling via a single printed circuit board interface manages three controllable transmission zeros per cuboid. To verify the proposed approach, multiple designs operating at the DCS-1800 Band-3 are presented. Index Terms— Bandpass filters, cavity filter, triple-mode filter.

I. I NTRODUCTION

E

MERGING wireless base-station technologies, such as active antennas and small cells, have been steering the need from large, high-powered, and ultrahigh-Q filters, traditionally met by air coaxial and single-mode dielectric resonators [1], to the most compact filters that still maintain adequate performance. That performance is vendor and network specific, but as a ballpark guide, one of the most challenging bands, the DCS-1800 Band-3, needs a 75-MHz bandwidth filter with more than 60 dB of isolation 20 MHz away, while maintaining around less than 2 dB of band edge insertion loss. A filter with at least six poles, multiple zeros, and a minimum resonator unloaded Q of roughly 2500 is needed to achieve that performance. A silver-plated ceramic cube, using commercially available temperature-stabilized dielectrics, can achieve unloaded Q at 1800 MHz ranging from about 1600 (using 13-mm cube with a dielectric constant of 78) to around 5000 (using 36-mm cube with a dielectric constant of 10).

Manuscript received September 11, 2015; revised February 1, 2016 and May 25, 2016; accepted May 28, 2016. D. R. Hendry is with the School of Information Technology and Electrical Engineering, The University of Queensland, St Lucia, Qld. 4072, Australia, and also with Mesaplexx, Nokia, Brisbane, Qld. 4101, Australia (e-mail: [email protected]). A. M. Abbosh is with the School of Information Technology and Electrical Engineering, The University of Queensland, St Lucia, Qld. 4072, Australia (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2577579

Triple-mode filters incorporating a silver-plated ceramic cube have previously been designed [2] but have so far been hindered by the conventional design theory [3]. According to that theory, the triple-mode is viewed as a series of coupled resonators with nonadjacent cross-couplings defining transmission zeros, the application of which inhibits the number and location of transmission zeros and overcomplicates the design. This is exemplified in [2], where chamfers along cuboid edges are used to couple modes together and only one transmission zero per cuboid is achieved. There are other ways to design and analyze the resonators of a multimode filter, such as using nonresonating nodes [4]. But, perhaps, the most general way is to view the resonators as coupled in parallel, with every mode within the structure completely orthogonal and no interresonator couplings. In this case, the modes are distributed across the filter frequency band and each mode independently sees the interfacing input and output resonators while the strength and phase of the coupling dictates the transmission zero placement. If a design permits all amplitude ratios and phase combinations, a completely general frequency response can be attained with as many arbitrarily placed zeros as there are multimode resonators (assuming a controllable input to output resonator crosscoupling). The design approach presented in this paper enables extracting the full filtering potential of triple mode filters while greatly simplifying the construction and hence reducing the filter cost. Viewing resonators as coupled in parallel is not in itself new [5]–[29], and has been applied to dual-mode cavity filters, but no triple-mode cavity filters based on this technique are known to the authors. Even so, only three specific designs reported in [11], [14], and [21] realize filters that demonstrate flexible transmission zero control. A transversal dual-mode (or doublet) dielectric loaded cavity with an adjustable waveguide feed angle that controls the coupling ratio of the two modes to give a single, flexibly placed transmission zero was presented in [11]. A third-order transversal filter formed from two half-wavelength microstrips and a single cavity mode was reported in [14] showing some control over three transmission zeros but with a restriction of one zero always being on the low side due to the constant sign of the source to load coupling. The approach presented in [21] started with the transverse topology of a dual mode cavity resonator with two flexibly placed transmission zeros and cascaded two and three of them to create four pole filters with four zeros and six pole filters with six zeros.

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 1. Conductive plated cube of dielectric supports three degenerate modes, one of which is shown here. (a) Electric field. (b) Magnetic field.

Fig. 2.

Fig. 3.

Possible patterns on cube interfacing PCB.

Surface currents on a cube face for each mode.

This paper introduces a parallel coupled, triple-mode, silver-plated ceramic, printed circuit board (PCB) mounted cuboid, with a novel coupling topology featuring overcoupled single-mode input/output quarter wave stripline resonators. A single cuboid achieves class leading ratio of quality factor to volume and three flexibly placed transmission zeros and allows a simple cuboid construction without the need for chamfers for interresonator coupling. To validate the proposed design and analysis method, multiple filters are designed and simulated. Moreover, two DCS-1800 Band-3 transmit singlecuboid filters are fabricated and measured. II. D ESIGN M ETHODOLOGY Assuming a conductive plated ceramic cube, the internal magnetic and electric fields can be calculated using electromagnetic simulation software such as CST Microwave Studio. Fig. 1 shows those fields at one of the three fundamental modes. The other two modes are identical but rotated such that all modes are orthogonal. The fields can also be derived analytically [30] and are the triple modes used for the following filter designs. The surface currents of the triple modes on a cube face can be seen in Fig. 2. According to the mode designations in [30], Fig. 2(a)–(c) represents TE011, TE101, and TM110, respectively, referenced to the propagation in the z-direction out of the page. To demonstrate coupling to these currents, examples of PCBs, patterned with a pair of tapped grounded rectangular planar structures (or tracks) sitting within a square cut-out PCB/cube interface, can be seen in Fig. 3. Fig. 3(a) couples to the currents of Fig. 2(a) and (c) but cannot couple to the currents of Fig. 2(b) as the current flows orthogonally to the tracks. The coupling of Fig. 3(a) is out of phase for Fig. 2(a) as the tracks see opposing current directions, and in phase for Fig. 2(c), as the tracks see the same current directions. Similarly, the structure in Fig. 3(b) does not couple

Fig. 4. (a) Single track interface. (b) Two-parallel-resonator CM model. (c) External coupling versus track length.

to the currents of Fig. 2(a) but does couple to the currents of Fig. 2(b) and (c) in phase. Fig. 3(c) represents a superposition of Fig. 3(a) and (b) and as such couples to all of the three modes, with Fig. 2(a) the only out-of-phase mode. The amount of current diverted down the tracks and into the ports relates to the external coupling of each mode, where the external coupling is equal to the mode frequency divided by the external quality factor. Classical series-coupled filters generally require the external coupling into the first and last resonators to be of the order of the filter bandwidth. However, parallel-coupled filters distribute the resonator modes across the band and hence require the external coupling into each resonator to be of the order of the filter bandwidth divided by the number of resonators. Fig. 4(a) shows a patterned PCB (0.813-mm Rogers 4003C) interfaced with the face of an 18-mm ceramic cube of dielectric constant 42.5. At this stage, the loss is not considered. The single track will couple to two cube modes [Fig. 2(a) and (c)] resonating at about 1800 MHz. Fig. 4(b) represents a coupling matrix (CM) model [31] used

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. HENDRY AND ABBOSH: ANALYSIS OF COMPACT TRIPLE-MODE CERAMIC CAVITY FILTERS

3

Fig. 5. (a) Three-resonator CM model provides a better fit to the phase response of the single track pattern when the length is 9.5 mm and the width is 1.8 mm in comparison with (b) two-resonator model.

to extract [32] the external coupling (KS1 and KS2) into these two cube modes. Here, R1 is the frequency of the mode from Fig. 2(a), R2 is the frequency of the mode from Fig. 2(c), and S is the 50- source or port. The external coupling of both modes reaches a maximum at a track length of about 9.5 mm [Fig. 4(c)] due to the fundamental resonant frequency of the track at one quarter wavelength passing through the frequency of the cubes. When this happens, the model of Fig. 4(b) is no longer a good approximation to the response. This can be corrected by including a third resonance representing the track as seen in Fig. 5. In Fig. 5(a), R1 represents the track frequency, R2 represents the Fig. 2(a) mode, and R3 represents the Fig. 2(c) mode. When the track length is 9.5 mm and the width is 1.8 mm, the extracted parameters of the threeresonator model give KS1 = 542 MHz, K12 = 124 MHz, K23 = 264 MHz, R1 = 1840 MHz, R2 = 1784 MHz, and R3 = 1873 MHz. KS1 can be decreased by adjusting the via tap point, but to minimize the resistance contributed by the relatively lossy track (unloaded Q in the low hundreds), the tap point and hence KS1 are always maximized. Comparing these numbers with the curves of Fig. 4(c) implies that 40 and 100 MHz of external coupling is roughly equivalent to, respectively, 124 and 264 MHz of internal coupling transformed through a resonance at 1840 with 542 MHz of external coupling. To get coupling strengths such that a 75-MHz bandwidth filter is possible, the tracks need to be around one quarter wavelength and resonate in band. When this occurs, it becomes difficult to relate the filter bandwidth to the track dimensions, as the cube mode couplings are transformed by the track resonance. Also, the design process becomes difficult to break into smaller pieces and analyze separately as classically done; the design must consider the filter as a whole. It should be noted that the track is positioned at the edge center where the current is at maximum. The coupling

Fig. 6. (a) 3-D structure and (b) 2-D pattern layout of a general triple-mode filter design.

will decrease as the track moves away from this center, but the coupling curves in Fig. 4(c) will retain their basic shape. Referring back to Fig. 3(c), the tracks need to fold up in order to get the required track lengths (and avoid collision), while a wide range of control of the three cube mode coupling strengths requires more degrees of freedom. Fig. 6 shows a 3-D structure, 2-D pattern layout, and parameters of a general filter that achieves good coupling strength control and brings the quarter waves in band. This represents only one possible parameter combination—there exist many, perhaps infinite, topologically similar structures that could achieve identical performance and flexibility. The particular structure in Fig. 6 was chosen as it contains the minimum number of parameters to maintain adequate coupling strength control for flexibly placed transmission zeros. For the following designs, the used cuboid has a dielectric constant of 42.5 and a Q f of 40 000, while the PCB is 0.813-mm-thick Rogers 4003C. The input/output vias are 0.2 mm away from the patterned edge, centered within the qy3 design parameter depicted in Fig. 6, have a diameter of 0.8 mm, and end in a 50- coaxial connection. The patterned vacuum interface

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

Fig. 7.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

CM model used to describe the filter in Fig. 6.

between the cuboid and PCB is 50 μm thick (accounting for 35-μm PCB copper layer and 15 μm of silver on the cuboid) while the background is filled with a 35 MS/m conductor (a conservative figure for silver to account for surface roughness). The PCB extends 0.2 mm past the outer perimeter of the patterned air interface square. The resulting combined unloaded Q of the cuboid modes is around 2500, with the drop in Q coming mainly from the silver plating. In the discussion hereafter, x-mode, y-mode, and z-mode refer, respectively, to the mode with electric field pointing in the x-, y-, and z-directions. Fig. 7 represents the CM model used to describe the operation of the proposed filter, where Fig. 7(a) is a nodal layout and Fig. 7(b) is the actual matrix. The source and load are the 50- coaxial connectors, R1 and R5 are the patterned quarter wave resonators, and R2, R3, and R4 are the cuboid modes. As in the General Theory of Couplings [31], the couplings K12 to K45 are related to the overlap of the track electromagnetic field with the field of each triple mode, while the coupling K15 is related to the overlap of the field of track R1 with the field of track R5. The transmission and reflection zero placements are influenced by the cuboid mode frequencies and coupling amplitude and phases. To get a passband filter response, the modes of the loaded cuboid should span the band of interest with adjacent modes having opposite phases. That is, if the low mode is in phase, the middle mode must be out of phase (such that they support each other at the frequencies between them and cancel each other at all other frequencies) and the high mode must be in phase. The reason for this is the phase flipping 180° at resonance as shown in Figs. 8 and 9. A parallel resonator is in phase when the input coupling (e.g., K12) multiplied by the output coupling (e.g., K25) is a positive number, whereas a negative number indicates

Fig. 8. (a) Magnitude and (b) phase of three individual resonators and their parallel combination. Here the low mode is in phase, the middle mode is out of phase and the high mode is in phase.

Fig. 9. (a) Magnitude and (b) phase of three individual resonators and their parallel combination. Here all the modes are in phase.

an out-of-phase resonator. The coupling amplitude ratios of K12, K13, and K14 allow a maximum of two transmission zeros, while K15 allows a third transmission zero. In Fig. 6,

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. HENDRY AND ABBOSH: ANALYSIS OF COMPACT TRIPLE-MODE CERAMIC CAVITY FILTERS

K15 coupling is mainly electric due to the open ends of the tracks facing each other. This coupling can be made mainly magnetic by directly tapping the tracks together, as done in Sections III-B and III-C. The cuboid aspect ratio sets the resonant frequency of each mode allowing the modes to span the band of interest. The cuboid dimensions do not independently control the mode frequencies, though, as a change in any one dimension will affect two modes. For example, shortening the cuboid in the z-dimension increases the frequency of both x and y modes. Therefore, a cuboid with x as the low mode, y as the middle mode, and z as the high mode would need a cuboid with the shortest and longest dimensions in the x- and z-directions, respectively. However, complicating this further, the presence of the PCB interface has the effect of increasing the frequency of the z-mode and decreasing the x- and y-mode frequencies. So the cuboid would in fact need the z-dimension shortened and the x- and y-dimensions lengthened by roughly the same amount in order to offset the effect of the PCB. Filter design is a broad topic with many different approaches, but in the case presented here, the design process starts by forcing the triple modes to span the band (using the cuboid aspect ratio) with the correct phasing (dictated by the track layout) and forcing the tracks to be close to the passband center frequency (by increasing or decreasing the track length). The second step of the design process involves optimizing the parameters of Fig. 6 against a desirable frequency mask, as can easily be done in most commercial electromagnetic simulation software. In this case, CM extractions are not needed; however, depending on the optimization algorithm used, some level of preliminary coarse tuning may be required. Coarse tuning requires an understanding of how the filter’s parameters relate to the frequency response. This can be learned by the repeated extractions of the CM from the filter’s response as the parameters are varied, along with the aim of a suitable target matrix, which can be optimized to meet the original desired frequency mask. Often, the target matrix must be updated with constraints that reflect the limits of the realizable filter responses within the parameter space. The process of relating the parameters of a simple model to the parameters of a complex model is generally known as space mapping [33]. III. D ESIGN E XAMPLES Based on the presented analysis method, three bandpass filter’s designs are presented targeting the DCS-1800 Band-3. The first is a single cuboid in the transmitting band with three transmission zeros on the low side. The second is a single cuboid in the receiving band with three transmission zeros on the high side. The third is a single cuboid in the transmitting band with one transmission zero on both sides. A. Filter With Three Zeros on Low Side The requirement for this transmit filter is maximum isolation in the receive band. Three modes with around 20 dB of return loss in an 1805–1880-MHz passband can theoretically achieve about 40 dB of attenuation from 1710–1785 MHz. To locate

5

Fig. 10. Magnitude of the 3-D frequency response overlaid with the CM fit of the parameters in Table I. TABLE I 3-D PARAMETERS C ORRESPOND TO THE CM VALUES W HEN THE R ESPONSE F ROM THE 3-D S TRUCTURE IN F IG . 6 I S F ITTED W ITH THE M ODEL IN F IG . 7

zeros on the low side, the modes must ascend in frequency from the weakest coupled to the strongest coupled, so that the frequencies at which the modes are equal in amplitude but opposite in phase are all below the passband. In this design, the z-mode is generally the strongest mode so it is set as the highest mode. Fig. 10 shows the 3-D response (calculated by a full 3-D model using CST) when the parameters from Table I are applied to the structure of Fig. 6 and overlays the result of fitting the model from Fig. 7 to the 3-D response. The frequencies and Qs from this fit are shown in Table I. K25, K35, K45, R5, and K5L are not included as they are equal to their symmetric partner (except K35 = −K13). Note that there are five resonators but only three poles appear in the return loss curve. This is due to the overcoupling of R1 and R5 in order to minimize the loss from the relatively low-Q tracks. In this case, the two extra poles broaden out and merge into the background return loss, effectively disappearing to the naked eye. In reality, the phase retains the slope of a wide band resonator (see Fig. 5) and the attenuation is slightly more than what could be expected from three resonators alone. The five-pole model is needed to accurately extract the CM and give insight into the inner workings of the filter. This filter is 5.7 cm3 in volume and provides more than 40 dB of attenuation from 1710 to 1785 MHz with

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 12. Magnitude of the 3-D frequency response overlaid with the CM fit of the parameters in Table II.

TABLE II 3-D PARAMETERS C ORRESPOND TO THE CM VALUES W HEN THE R ESPONSE F ROM THE 3-D S TRUCTURE IN F IG . 6 (A LONG W ITH THE A DDITIONAL PARAMETER F ROM F IG . 11) I S F ITTED W ITH THE M ODEL IN F IG . 7 Fig. 11. Track layout of the filter from Section III-B. Additional transmission line structure enables magnetic coupling of R1 and R5 and a positive value for K15 corresponding to a high side transmission zero.

insertion loss less than 0.6 dB (minimum of 0.2 dB) and return loss more than 21 dB in the 1805–1880 MHz band. The mapping between the design parameters and the CM values is somewhat convoluted as a change in any one parameter value changes many model values—this is a feature of compact and multimode filters and is discussed in detail in [15]. However, some comments can be made about the major parameter contributions: cx, cy, and cz largely govern R2, R3, and R4; qstubx helps control K15; qwin has the effect of increasing the frequency of the high mode (here R4); all of the q parameters combined govern R1; qx4 and qy1 largely influence the y-mode coupling (here K13); qx2, qx3, and qy3 largely influence the x-mode coupling (here K12); the z-mode coupling (here K14) is increased by the size of the electric end of the quarter wave resonator (qx2, qy2, and qy3) and decreased by the gaps to ground (qx1 and qy1). The couplings into the triple modes are in turn increased, making it difficult to relate the model values to the filter bandwidth, but the ratios of the couplings and frequency separations give a general idea of transmission zero placement. B. Filter With Three Zeros on High Side The requirement for this receive filter is maximum isolation in the transmit band. Three modes with around 20 dB of return loss in a 1710–1785 MHz passband can theoretically achieve about 40 dB of attenuation from 1805–1880 MHz. To locate the transmission zeros on the high side, the modes must ascend in frequency from strongest to weakest. To achieve this, the z-mode and the x-mode are flipped, such that the low mode is the strong z-mode and the high mode is the x-mode. Also, an additional structure is added to the underside of the PCB (see Fig. 11) in order to magnetically couple R1 and R5, change the sign of K15, and force the third zero to be on the high side. The line is embedded within a 1-mm-thick

substrate connected to the patterned quarter wave resonators with 0.8-mm-diameter vias centered in qx4 through a 1.8-mm-diameter hole connecting the two PCB layers. The line is 1.2-mm wide in a 2.2-mm-wide channel. All the parameters from Fig. 6 are carried over to this design. Fig. 12 shows the 3-D response when the parameters from Table II are applied to the structure of Fig. 6 (with the additional structure from Fig. 11) and overlays the result of fitting the model from Fig. 7 to the 3-D response. The frequencies and Qs from this fit are shown in Table II. As before, K25, K35, K45, R5, and K5L are not included as they are equal to their symmetric partner (except K35 = −K13). This filter is 6.7 cm3 in volume and provides more than 37 dB of attenuation from 1805–1880 MHz with insertion loss less than 0.5 dB (minimum of 0.2 dB) and return loss more than 21 dB in the 1710–1785-MHz band. The poor attenuation fit in Fig. 12 is due to the exclusion of the higher order cuboid modes from the CM model. This also affects the insertion loss fit and in this case gives R2 the unrealistic Q value of 4433. Higher order modes could be included as demonstrated in [15], but in general is not required as long as the error in the fit is consistent and factored in.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. HENDRY AND ABBOSH: ANALYSIS OF COMPACT TRIPLE-MODE CERAMIC CAVITY FILTERS

7

TABLE III 3-D PARAMETERS C ORRESPOND TO THE CM VALUES W HEN THE R ESPONSE F ROM THE 3-D S TRUCTURE IN F IG . 6 (A LONG W ITH THE A DDITIONAL PARAMETER F ROM F IG . 11 AND THE A DDITIONAL M IRRORING F ROM F IG . 13) I S F ITTED W ITH THE M ODEL IN F IG . 7

Fig. 13. Track layout of the filter from Section III-C. In order to achieve zeros on both sides, the pattern has been mirrored in both the y- and x-axis.

Fig. 14. Magnitude of the 3-D frequency response overlaid with the CM fit of the parameters in Table III.

C. Filter With Balanced Shoulders The requirement for this transmit filter is maximum symmetrical attenuation 20 MHz from the band edges. Three modes with around 20 dB of return loss in an 1805–1880-MHz passband can theoretically achieve about 12 dB of attenuation below 1785 and above 1900 MHz. To locate zeros on both sides of the passband, the modes must ascend in frequency as weak-strong-weak. To achieve this, the pattern is mirrored in the y-direction as well as the x-direction (see Fig. 13). Now, the x- and y-mode couplings are out of phase. This allows the strongly coupled in-phase z-mode to be the middle frequency of the filter, while the relatively weakly coupled x- and y-modes can sit on either side with all of the modes adding in phase across the band. All the parameters from Figs. 6 and 11 are carried over to this design. Fig. 14 shows the 3-D response when the parameters from Table III are applied to the structure from Fig. 6 (with the additional structure from Fig. 11 and the additional mirroring from Fig. 13) and overlays the result of fitting the model from Fig. 7 to the 3-D response. The frequencies and Qs from this fit are shown in Table III. Again, K25, K35, K45, R5, and K5L are not included as they are equal to their symmetric partner (except now K12 = −K25 and K14 = −K45). This filter is 5.6 cm3 in volume and provides more than 11 dB of attenuation below 1785 and above 1900 MHz,

Fig. 15. (a) Prototype of filter with three zeros on low side. (b) Simulated and measured results.

with insertion loss in the 1805–1880-MHz band less than 0.35 dB (minimum of 0.2 dB) and return loss more than 21 dB. IV. E XPERIMENTAL R ESULTS To validate the explained analysis method and simulations, two band-3 transmit single-cuboid filters were fabricated

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

as a merging of the two high side poles and can be attributed to asymmetries introduced by the lower quality PCB assembly (connector mounting, K15 cross-coupling and through hole wires). The measured insertion loss is about 0.2 dB worse than the simulated insertion loss across the band (i.e., 0.5-dB band edge with a minimum of 0.4 dB) and can be attributed to the longer length exposed SMA connectors with 90° bends and imperfect cube to PCB clamping resulting in some leakage. V. C ONCLUSION A novel method for the analysis and design of compact triple-mode ceramic cavity filters using the parallel-coupled resonators concept has been presented. The absence of intracavity couplings allows using a simple rectangular cuboid while the input-to-output coupling via a single PCB interface manages three controllable transmission zeros per cuboid. To verify the proposed approach, multiple filters operating at the DCS-1800 Band-3 have been presented. R EFERENCES

Fig. 16. (a) Prototype of filter with balanced shoulders. (b) Simulated and measured results.

and measured. Fig. 15 shows a prototype filter with three zeros on the low side from Section II-A, along with the simulated response overlayed with the measured response. The cube is soldered to a multilayer PCB that diverts the input/output vias to embedded stripline to allow side connector mounting. The measured response closely matches the simulated response with minimal band shift and detuning. The measured insertion loss is about 0.1 dB worse than the simulated insertion loss across the band (i.e., 0.7-dB band edge with a minimum of 0.3 dB) and can be attributed to the extra stripline sections and the SMA connectors. Fig. 16 shows a prototype filter with one zero on both sides from Section II-C, along with the simulated response overlayed with the measured response. The cube is clamped to the single-layer PCB to get the response. The K15 crosscoupling is approximated by a suspended wire connecting the base of each quarter wave section. The measured response is a good fit to the simulated response but shows about 15 MHz of band shift and minor detuning. The shift is probably due to the ceramic having a slightly higher dielectric constant than the one assumed in the simulation. The detuning of S21 can be seen as an imbalance of the transmission zeros on either side and is most likely caused by the approximated K15 crosscoupling wire having a higher impedance and longer length than the simulated stripline. The detuning of S11 can be seen

[1] R. R. Mansour, “Filter technologies for wireless base stations,” IEEE Microw. Mag., vol. 5, no. 1, pp. 68–74, Mar. 2004. [2] M. M. Rahman, W. Wang, and W. D. Wilber, “A compact triple-mode plated ceramic block based hybrid filter for base-station applications,” in Proc. 34th Eur. Microw. Conf., vol. 2. Amsterdam, The Netherlands, 2004, pp. 1001–1004. [3] R. J. Cameron, “General coupling matrix synthesis methods for Chebyshev filtering functions,” IEEE Trans. Microw. Theory Techn., vol. 47, no. 4, pp. 433–442, Apr. 1999. [4] S. Amari and U. Rosenberg, “New in-line dual- and triple-mode cavity filters with nonresonating nodes,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 4, pp. 1272–1279, Apr. 2005. [5] J. R. Pierce, “Paralleled-resonator filters,” Proc. IRE, vol. 37, no. 2, pp. 152–155, 1949. [6] M. Guglielmi, P. Jarry, E. Kerherve, O. Roquebrun, and D. Schmitt, “A new family of all-inductive dual-mode filters,” IEEE Trans. Microw. Theory Techn., vol. 49, no. 10, pp. 1764–1769, Oct. 2001. [7] S. Amari and U. Rosenberg, “The doublet: A new building block for modular design of elliptic filters,” in Proc. 32nd Eur. Microw. Conf., Sep. 2002, pp. 1–3. [8] S. Amari and U. Rosenberg, “A universal building block for advanced modular design of microwave filters,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 12, pp. 541–543, Dec. 2003. [9] R. J. Cameron, “Advanced coupling matrix synthesis techniques for microwave filters,” IEEE Trans. Microw. Theory Techn., vol. 51, no. 1, pp. 1–10, Jan. 2003. [10] D. C. Rebenaque, A. A. Melcon, and M. Guglielmi, “A new simple microstrip open-loop resonators filter for high selectivity applications,” in IEEE MTT-S Int. Microw. Symp. Dig., vol. 3. Jun. 2003, pp. 1603–1606. [11] S. Amari and M. Bekheit, “New dual-mode dielectric resonator filters,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 3, pp. 162–164, Mar. 2005. [12] S. Amari and U. Rosenberg, “New dual-mode circular cavity pseudoelliptic filters,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2006, pp. 115–118. [13] S. Amari and M. Bekheit, “Physical interpretation and implications of similarity transformations in coupled resonator filter design,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 6, pp. 1139–1153, Jun. 2007. [14] M. Martínez-Mendoza, J. S. Gomez-Díaz, D. Cañete-Rebenaque, J. L. Gomez-Tornero, and A. Alvarez-Melcon, “Design of bandpass transversal filters employing a novel hybrid structure,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 12, pp. 2670–2678, Dec. 2007. [15] M. Bekheit, S. Amari, and W. Menzel, “Modeling and optimization of compact microwave bandpass filters,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 2, pp. 420–430, Feb. 2008. [16] A. Balalem, A. R. Ali, S. Amari, J. Machac, and A. Omar, “Realization of a microstrip triple-mode bandpass filter using a squareloop resonator,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2009, pp. 849–852.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. HENDRY AND ABBOSH: ANALYSIS OF COMPACT TRIPLE-MODE CERAMIC CAVITY FILTERS

[17] W. Shen, X. W. Sun, and W. Y. Yin, “A novel microstrip filter using three-mode stepped impedance resonator (TSIR),” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 12, pp. 774–776, Dec. 2009. [18] A. Abunjaileh and I. C. Hunter, “Direct synthesis of parallel-connected symmetrical two-port filters,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 57, no. 12, pp. 971–974, Dec. 2010. [19] W. Shen, W.-Y. Yin, X.-W. Sun, and J.-F. Mao, “Compact substrate integrated waveguide (SIW) transversal filter with triple-mode microstrip resonator,” in Proc. Asia–Pacific Microw. Conf. (APMC), Dec. 2010, pp. 1875–1878. [20] D. Cañete-Rebenaque, M. Martínez-Mendoza, J. Pascual-García, J. S. G. Díaz, and A. Alvarez-Melcon, “Novel implementations for microstrip resonator filters in transversal and alternative topologies,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 2, pp. 242–249, Feb. 2010. [21] C. Tomassoni, S. Bastioli, and R. Sorrentino, “Generalized TM dualmode cavity filters,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 12, pp. 3338–3346, Dec. 2011. [22] M. Ohira and Z. Ma, “Eigen-mode analysis of a novel three-mode microstrip/slot-line resonator and the development of a compact bandpass filter with multiple transmission zeros and wide stopband property,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2011, pp. 1–4. [23] M. Ohira and Z. Ma, “Novel multi-mode ring resonator transversal array bandpass filter with very high skirt selectivity using multiple transmission zeros,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2012, pp. 1–3. [24] C. Sovuthy and W. P. Wen, “Design and synthesis of microwave dual mode filter,” in Proc. IEEE Asia–Pacific Conf. Appl. Electromagn. (APACE), Dec. 2012, pp. 226–229. [25] R. Zhang and L. Zhu, “A new triple-mode microstrip bandpass filter using a patch-loaded cross resonator,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2012, pp. 1–3. [26] X. Guan et al., “A novel triple-mode bandpass filter based on a dualmode defected ground structure resonator and a microstrip resonator,” Int. J. Antennas Propag., vol. 2013, Mar. 2013, Art. no. 852035. [27] M. Ohira and Z. Ma, “A parameter-extraction method for microwave transversal resonator array bandpass filters with direct source/load coupling,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 5, pp. 1801–1811, May 2013. [28] D.-D. Zhang, L. Zhou, L.-S. Wu, L.-F. Qiu, W.-Y. Yin, and J.-F. Mao, “Novel bandpass filters by using cavity-loaded dielectric resonators in a substrate integrated waveguide,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 5, pp. 1173–1182, May 2014. [29] M. M. Mendoza, D. M. Martinez, D. C. Rebenaque, and A. Alvarez-Melcon, “Enhanced topologies for the design of dual-mode filters using inductive waveguide structures,” Radio Sci., vol. 50, no. 1, pp. 66–77, 2015.

9

[30] C. A. Balanis, Advanced Engineering Electromagnetics. New York, NY, USA: Wiley, 1999, pp. 392–394. [31] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York, NY, USA: Wiley, 2004. [32] P. Harscher, R. Vahldieck, and S. Amari, “Automated filter tuning using generalized low-pass prototype networks and gradient-based parameter extraction,” IEEE Trans. Microw. Theory Techn., vol. 49, no. 12, pp. 2532–2538, Dec. 2001. [33] J. W. Bandler, R. M. Biernacki, S. H. Chen, R. H. Hemmers, and K. Madsen, “Electromagnetic optimization exploiting aggressive space mapping,” IEEE Trans. Microw. Theory Techn., vol. 43, no. 12, pp. 2874–2882, Dec. 1995.

David R. Hendry received the B.Inf.Tech. and B.Math. degrees from the Queensland University of Technology, Brisbane, Qld., Australia, in 2005, and the B.Sc. (Hons.) degree from The University of Queensland, St Lucia, Qld., Australia, in 2006, where he is currently pursuing the Ph.D. degree. He has been with Mesaplexx, Nokia, Brisbane, Qld., Australia, where he has been involved in research on a diverse range of topics with a focus on high-Q microwave filters.

Amin M. Abbosh (SM’08) received the D.Eng. degree from The University of Queensland, St Lucia, Qld., Australia, in 2013. He leads the Microwave Group and is the Director of Research with the School of Information Technology and Electrical Engineering, The University of Queensland. He has authored over 350 papers on microwave-based imaging systems for medical applications, wideband passive microwave devices, and planar antennas. Dr. Abbosh is an Associate Editor of the IEEE T RANSACTIONS ON A NTENNAS AND P ROPAGATION, the IEEE A NTENNAS AND W IRELESS P ROPAGATION L ETTERS , and IET Electronics Letters.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Quarter-Mode Cavity Filters in Substrate Integrated Waveguide Technology Stefano Moscato, Student Member, IEEE, Cristiano Tomassoni, Member, IEEE, Maurizio Bozzi, Senior Member, IEEE, and Luca Perregrini, Fellow, IEEE Abstract— This paper presents a systematic investigation of quarter-mode filters in substrate integrated waveguide (SIW) technology. This class of filters is particularly convenient because it combines the features of SIW structures with the improvement of size reduction. After a thorough analysis of the quarter-mode SIW cavity, this paper presents different coupling mechanisms and feeding techniques for the design of quarter-mode SIW filters: side coupling and corner coupling are considered, highlighting the advantages and disadvantages of the two techniques. Novel filter topologies are introduced, with the design and experimental verification of simple filters and their extension to higher order filter structures. Techniques to introduce transmission zeros are described and demonstrated. Moreover, the combination of quarter-mode SIW cavities and coplanar waveguide resonators leads to increasing the filter order to higher order and allows the implementation of quasi-elliptic filters. Index Terms— Filter, quarter-mode cavity, resonant cavity, substrate integrated waveguide (SIW).

I. I NTRODUCTION HE recent development of a large variety of applications in the microwave- and millimeter-wave frequency range poses several technological requirements for the next generation of wireless systems. These emerging applications demand for a new class of microwave circuits, which are cost effective, compact, easy to fabricate, and suitable for system integration. Among the available technologies for the implementation and integration of microwave components, the substrate integrated waveguide (SIW) [1] appears to be one of the best candidates to meet all these technological requirements. The SIW is a waveguide-like structure integrated in planar form [2], which preserves the best features of the classical rectangular waveguide, including low losses, practically complete electromagnetic shielding, and self-packaging. The SIW can be manufactured in a reliable and cost-effective way by adopting

T

Manuscript received September 24, 2015; revised March 26, 2016; accepted May 29, 2016. This work was supported in part by the Italian Ministry of Education, University and Scientific Research under the Project PRIN GreTa 2010WHY5PR. S. Moscato was with the Department of Electrical, Computer and Biomedical Engineering, University of Pavia, Pavia 27100, Italy. He is now with Azcom Technology, Rossano (MI) 20089, Italy (e-mail: [email protected]). M. Bozzi and L. Perregrini are with the Department of Electrical, Computer and Biomedical Engineering, University of Pavia, Pavia 27100, Italy (e-mail: [email protected]; [email protected]). C. Tomassoni is with the Department of Engineering, University of Perugia, Perugia 06123, Italy (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2577690

standard printed-circuit board technology, typically used for microstrip line components. One of the few drawbacks of SIW technology is related to the footprint of SIW components, which is generally larger than that of the microstrip line counterparts. In fact, the width of SIW structures depends on the operation frequency, which usually falls in the single-mode frequency band of the waveguide. Several solutions have been proposed to reduce the footprint of SIW structures, including the substrate integrated folded waveguide [3] and the half-mode substrate integrated waveguide [4]. These two topologies allow the width of the SIW to be reduced by a factor of two. In particular, SIW filters suffer from the same shortcoming: for instance, in SIW cavity filters, the size of each cavity is approximately half wavelength times half wavelength [5]. In addition, in the case of SIW filters, several methods have been proposed to reduce the footprint, based on folded topologies [6], [7] and half-mode configurations [8], [9]. Moreover, quarter-mode cavities are receiving increasing attention for the design of SIW filters [10]–[19]. Quarter-mode SIW filters were first proposed and demonstrated in [10] using a geometric configuration allowing filters up to the fourth order. A two-pole band-pass filter with transmission zeros due to source–load cross coupling was introduced in [11]. Different quarter-mode SIW filter topologies were subsequently presented in [13], [15], and [16]. Reconfigurable filters were introduced in [12] and [19], and quarter-mode SIW filters combined with defected ground structures were proposed in [14], [17], and [18]. This paper presents a systematic investigation of quartermode SIW filters, to enable their full exploitation in the design of single-layer band-pass filters with high selectivity and small footprint. New configurations and topologies are here proposed, some of them allowing an arbitrary filter order. Section II reports a thorough analysis of the basic characteristics of quarter-mode SIW cavities and the comparison with standard SIW cavities. Subsequently, the different coupling mechanisms and feeding techniques are investigated in detail to show the possibility of weak or strong coupling between the SIW cavities and, consequently, the ability to design filters with narrow or large relative bandwidth: side coupling is presented in Section III and corner coupling is illustrated in Section IV. The design of novel filter topologies with different complexity (e.g., various orders and presence of transmission zeros) is presented in Section V. In all the sections, the experimental verification of the most significant filters is reported.

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE I C OMPARISON OF Q UALITY FACTORS OF THE S TANDARD SIW C AVITY AND Q UARTER -M ODE SIW C AVITY

Fig. 1. Amplitude of the electric modal field of the fundamental TM110 mode of the SIW cavity, in the remaining quarter cavity obtained after cutting along the symmetry planes.

II. Q UARTER -M ODE SIW C AVITY The quarter-mode SIW cavity is obtained by cutting the standard SIW cavity along the symmetry planes and removing the top metal wall and the metal vias of three quarters of the structure (Fig. 1). Consequently, only one-quarter of the structure is retained and the size is reduced by 75% compared with the standard SIW cavity. Due to the low aspect ratio of the SIW, the open sides behave approximately as magnetic walls: therefore, the modes of the original cavity that satisfy the magnetic wall condition along the symmetry planes are unaffected, whereas the other modes are not supported by the quarter-mode SIW cavity. More specifically, the quarter-mode SIW cavity supports only TM-to-z modes and due to the similarity to the standard rectangular cavity with solid metal walls, the supported modes are denoted by TMmnp , where the modal indices m, n, and p refer to the x-, y-, and z-axes, respectively (Fig. 1). As there is no field variation along the z-direction [1], p = 0 for all cavity modes. The fundamental mode of the quarter-mode SIW cavity is the TM110 mode, whose electric modal field is shown in Fig. 1. Due to the presence of the magnetic walls at the open sides, the higher order modes are TMmn0 , with odd values of m and n. The direct effect of this phenomenon is a larger frequency separation between the resonance frequency f 0 of the fundamental mode and the one of the second mode. In fact, in a square standard SIW cavity, the second mode (TM120 or TM210 ) resonates at 1.58 f 0, whereas in a square quarter-mode SIW cavity, the second mode (TM130 or TM310 ) resonates at 2.24 f 0. The frequency separation between the first and second modes with the quarter-mode SIW cavity shown in Fig. 1 is larger than the one achieved in [15] with a different segmentation of the SIW cavity. The quality factors of the quarter-mode SIW cavity modes are related to dielectric and conductor losses (as in the standard SIW cavity) and to the additional loss due to radiation leakage (which is typically negligible in standard SIW cavities). For a quantitative comparison between standard and quartermode SIW cavities, a specific structure is investigated by a full-wave simulation based on the eigensolver of Ansys HFSS. The dimensions of the SIW cavity have been selected to have the fundamental TM110 mode resonance at

Fig. 2. Quarter-mode SIW cavity (dimensions in millimeters: A = B = 14.6, c = 11.6, s = 2.65, d = 1.5, and w = 1.2). (a) Drawing of the structure. (b) Photograph of the prototype. (c) Simulated and measured |S11 | parameters.

approximately 4 GHz, considering a dielectric substrate with εr = 3.5, tanδ = 0.0018, and thickness t = 0.508 mm. The resulting dimensions are W = 29.15 mm, d = 1.5 mm, and s = 2.65 mm (Fig. 1). The different contributions to the total quality factor Q tot of the fundamental mode of the two cavities have been computed separately using Ansys HFSS: Table I reports the quality factor Q d due to dielectric loss,

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. MOSCATO et al.: QUARTER-MODE CAVITY FILTERS IN SIW TECHNOLOGY

3

Fig. 3. Quarter-mode SIW filter with side coupling. (a) Two separated quarter-mode SIW cavities (the dark gray area denotes the coupling region). (b) Schematic of a two-cavity filter with side coupling.

Q c due to conductor loss, and Q rad due to radiation loss, together with the total quality factor Q tot . The quality factor Q d can be estimated by analogy with the standard rectangular cavity, as Q d = 1/ tanδ [20] resulting in this case Q d = 556. The quarter-mode SIW cavity exhibits a value Q d slightly larger than the standard SIW cavity, due to the fringing fields in the air at the open sides. In addition, the quality factor Q c can be estimated by analogy with the standard rectangular cavity [20], resulting in this case Q c = 466. The quality factor Q c is slightly smaller in the quarter-mode cavity, due to extra loss of the current flowing near the sharp edge at the open sides. Different from other compact cavities (e.g., ridge cavities), the contribution of conductor loss practically does not increase in the case of the quarter-mode SIW in spite of the significant size reduction. Furthermore, while the standard SIW cavity has negligible radiation loss, the quarter-mode cavity exhibits loss due to radiation comparable to conductor loss (Q rad ≈ Q c ). Q rad needs to be computed numerically, as no analytical formula is available in this case, and the analogy with the standard rectangular cavity cannot be exploited. To experimentally verify the performance of quarter-mode SIW cavities, a prototype has been designed and fabricated (Fig. 2). The dimensions are derived from the previous theoretical investigation and are reported in the caption of Fig. 2. The position of the 50- microstrip feed line has been selected for optimal input matching: due to the field distribution, the impedance seen at the feed point is maximum at the open corner (c = 0) and vanishes near the metal vias (c = B). A prototype has been manufactured by CNC milling machining and the vias have been metalized using conductive paste [Fig. 2(b)]. The Anritsu Universal Test Fixture (UTF) 3680 and Anritsu 37347C vector network analyzers have been used for the measurements, and the comparison between HFSS simulations and measurements is shown in Fig. 2(c). The experimental results confirm the

Fig. 4. Investigation of the lateral shift in a quarter-mode SIW filter with side coupling. (a) Geometry of the filter. (b) Frequency response of a narrow band filter (dimensions in millimeters: A = 14.0, B = 13.0, x = 6.5, z = 9.5, d = 2.0, s = 3.66, w = 1.2, and c = 0.5). (c) Frequency response of a wideband filter (dimensions in millimeters: A = 14.0, B = 13.0, x = 14.3, z = 0, d = 2.0, s = 3.66, w = 1.2, and c = 0.5).

large frequency separation between the fundamental mode and the second mode, which resonate at 4 and 9 GHz, respectively. III. D OUBLETS W ITH S IDE C OUPLING The first coupling mechanism investigated in this paper is side coupling. It is obtained starting from the structure of Fig. 3(a), consisting of two uncoupled quarter-mode resonators sharing a post wall and then removing some posts to create an aperture, as shown in Fig. 3(b). Two possible techniques are here considered to modify the coupling between resonators in side-coupled quarter-mode SIW cavities. The first technique, illustrated in Fig. 4(a) shows

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 5. Investigation of the cavity separation in a quarter-mode SIW filter with side coupling (dimensions in millimeters: A = 12.9, B = 13.7, y = 2.7, a = 4.5, b = 0.6, s = 3.3, d = 2.0, and w = 1.2). (a) Geometry of the filter. (b) Frequency response of the filter.

a doublet with side-coupled resonators, where the desired coupling is achieved by laterally shifting the resonators of a quantity x, thus resulting in a change of the aperture size. In addition, the desired coupling between resonator and microstrip feeding line is obtained by shifting the microstrip line along the open side of the resonator, from the point with maximum electric field (z = 0) to the one where the electric field vanishes (z = B). Two second-order band-pass filters centered at the frequency of 4 GHz have been designed using this coupling topology: a narrow-band filter with a fractional bandwidth FBW = 1.7% is shown in Fig. 4(b) and a wide-band filter with FBW = 17% is shown in Fig. 4(c), thus demonstrating the high flexibility of the structure in terms of passband. In both cases, the substrate has a thickness of 0.508 mm, dielectric permittivity εr = 3.5, and loss tangent tanδ = 0.0018. The second technique to control the side coupling is shown in Fig. 5(a). In this case, the desired coupling is obtained by modifying the spacing y between the cavities. Moreover, Fig. 5(a) also shows a different technique for the control of the coupling between the resonator and feeding line: instead of shifting the microstrip line along the open side of the resonator, a taper is adopted in this case, being the line connected to the point with maximum electric field. A filter based on this

Fig. 6. Coupling coefficient in quarter-mode SIW cavities with side coupling. (a) Internal coupling versus lateral shift and cavity separation. (b) External coupling versus feed line taper and position.

doublet configuration was designed to show the effectiveness of the proposed topology: Fig. 5(b) shows the frequency response of the filter. The same substrate of the previous example was adopted. The main difference between the structure of Fig. 4(a) and the one of Fig. 5(a) is related to the achievable bandwidth: the first one allows designing filters with bandwidth ranging from narrow to wide, whereas with the second one, the achievable bandwidth variation is more limited. This feature is related to the range of variation of the coupling coefficients. The doublet with lateral shift in Fig. 4(a) is able to cover wider coupling ranges, for the cases of coupling between resonators and coupling between resonator and feeding line. Fig. 6(a) shows the variation of the coupling coefficient between resonators in the case of lateral shift versus the amount of shift x normalized to the cavity size B (lower horizontal axis). The coupling is practically null for x = 0: in this case, the structure can be seen as a waveguide, where the side wall boundary condition is electric wall on one side and magnetic wall on the other side. The left part and the right part of the structure exhibit the opposite side wall condition, and for this reason, the coupling is low. When x = 2 B, the two resonators

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. MOSCATO et al.: QUARTER-MODE CAVITY FILTERS IN SIW TECHNOLOGY

5

Fig. 8. Quarter-mode SIW filter with corner coupling. (a) Two separated quarter-mode SIW cavities (the dark gray area denotes the coupling region). (b) Schematic of the two-cavity filter with corner coupling.

the two coupled resonators using the formula [21], [22]   2  f − f 2 2 1 . |K | = 2 f 2 + f 12

Fig. 7. Side-coupled two-pole filter in quarter-mode SIW technology (dimensions in the caption of Fig. 5). (a) Photograph of the prototype. (b) Simulated and measured scattering parameters. (c) Plot of the amplitude of the electric field at the frequency of 4 GHz.

are physically separated, and the coupling suddenly drops to zero. The maximum appears around x = 1.5 B, where the opposite side walls are far enough, but the two resonators share a large portion of the open side. As a comparison, Fig. 6(a) also shows the variation of the coupling coefficient between resonators in the case of cavity separation versus the distance y/B (upper horizontal axis): it is evident how the possibility to control the coupling coefficient is limited in this case. Coupling coefficients of Fig. 6(a) are calculated from the first two resonances ( f 1 and f 2 ) of the structure composed of

Similarly, Fig. 6(b) shows the coupling between the resonator and the input microstrip line versus the lateral shift z normalized to the cavity size B, in the case of Fig. 4(a) (lower horizontal axis), and versus the feed line taper b normalized to the line width w, in the case of Fig. 5(a) (upper horizontal axis). Couplings to feeding lines have been here extracted from full-wave responses by exploiting the equivalent circuit. In the case of Fig. 4(a), the coupling is maximized if the feed line is connected to the point with the most intense electric field (z = 0) and minimized when it is shifted toward the metal vias (z = B). Conversely, when using the taper in Fig. 5(a), the variation of the coupling is more limited. The results of Fig. 6 can also be used to design filter of various order. Of course, all parameters can also be used at the same time, resulting in a structure where the coupling coefficient between the feeding line and the resonator is determined by the values of z and b, while the coupling between resonators is determined by x and y. A filter centered at 4 GHz with FBW = 12% was manufactured to experimentally verify the results presented in this section. Fig. 7(a) shows the photo of the manufactured prototype, which is based on the design of Fig. 5. As in the case of the quarter SIW cavity, the structure was fabricated by CNC milling machining and the posts were filled with conductive paste. In Fig. 7(b), the measured scattering parameters are compared with simulations, showing good agreement for both the in-band and out-of-band frequency responses and the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 10. Coupling coefficient in quarter-mode SIW cavities with corner coupling: internal coupling versus aperture of the iris window.

Fig. 9. Investigation of the quarter-mode SIW filter with corner coupling. (a) Geometry of the filter. (b) Frequency response of a narrow band filter (dimensions in millimeters: A = 15.5, B = 15.5, z = 10.43, a = 5.46, b = 7.8, d = 2, w = 1.2, and c = 0.5). (c) Frequency response of a wideband filter (dimensions in millimeters: A = 14, B = 14, z = 0, a = 5.46, b = 17, d = 2, w = 1.2, and c = 0.5).

transmission loss: the simulated transmission loss is 0.60 dB, while the measured one is 0.91 dB. To clearly show the quarter-mode nature of this structure, the amplitude of the electric field at the central frequency of 4 GHz is illustrated in Fig. 7(c), showing the maximum intensity in the corner of the cavity, near the feed point. The footprint of this filter is 28.53 ×36.88 mm2 . In order to carry out a comparison between different circuits, the footprint of the device is intended without feeding lines and transitions and it concerns only the area of the resonant cavities.

IV. D OUBLETS W ITH C ORNER C OUPLING Another possible mechanism to couple quarter-mode SIW resonators is through their corners. As shown in Fig. 8, the idea is to remove the corner posts and then to partially overlap the two resonators, thus creating an aperture connecting them [Fig. 8(b)]. In the proximity of the corner, the electromagnetic field is weak and the corner removal produces a negligible change in resonant frequency, while the overlapping allows more compact structures (the area can be reduced up to approximately 40%). Increasing the overlap allows larger apertures, which result in wider band filters. Furthermore, the higher the overlapping, the smaller the structure footprint. Once the distance between the two cavities has been fixed (determining the overlap area and the maximum aperture size), the coupling in corner-coupled quarter-mode SIW cavities can be changed by varying the distance b between the two central post, which determines the actual aperture size [Fig. 9(a)]. In this structure, input and output couplings are controlled by shifting the feeding lines [as in the structure of Fig. 4(a)]. The response of a narrow-band bandpass filter (FBW = 2.6%) and a wideband bandpass filter (FBW = 16%) based on this circuit topology are shown in Fig. 9(b) and (c), respectively, thus demonstrating the high flexibility of this structure in terms of passband width. Fig. 10 shows the coupling between resonators as a function of the distance b between central posts, normalized to the cavity size B. The maximum achievable coupling is slightly smaller than the one obtained by the structure of Fig. 4(a) and shown in Fig. 6(a). Concerning the coupling between resonator and feeding line, the coupling mechanism is identical to the one adopted in the previous example, whose results are shown in Fig. 6(b). This is the reason why the maximum achievable passband is similar in the two structures. In fact, in both structures, the bottleneck limiting the bandwidth is represented by the maximum achievable coupling between the resonator and the feeding line. Finally, a two-pole filter prototype based on corner-coupled quarter-mode cavities has been designed and manufactured. The center frequency is 4 GHz and the FBW is 13%. The structure of the filter and a photograph of the prototype are

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. MOSCATO et al.: QUARTER-MODE CAVITY FILTERS IN SIW TECHNOLOGY

7

Fig. 11. Corner-coupled two-pole filter in quarter-mode SIW technology (dimensions in millimeters: A = 13.9, a = 5.6, b = 14.4, s = 2.9, d = 1.5, and w = 1.2). (a) Drawing of the structure. (b) Photograph of the prototype. (c) Simulated and measured scattering parameters.

shown in Fig. 11(a) and (b), respectively. The simulated and measured frequency responses are compared in Fig. 11(c), showing a very good agreement both in the in-band and out-ofband regions. The measured insertion loss at 4 GHz is 0.8 dB. This filter topology leads to a size reduction compared with the previous structure, with a footprint of 26.59 × 24.79 mm2 . V. H IGHER O RDER Q UARTER -M ODE SIW F ILTERS A simple way to obtain Nth order quarter-mode SIW filters consists in cascading N side-coupled resonators, as shown in Fig. 12, where the case of a fourth-order filter is considered. In particular, the filter scheme with relevant parameters is shown in Fig. 12(a), while the photograph of the prototype

Fig. 12. Side-coupled four-pole filter in quarter-mode SIW technology (dimensions in millimeters: A1 = 15.7, A2 = 9.9, A3 = 3.6, A4 = 12.5, B1 = 13.85, B2 = 2.65, B3 = 11.5, a = 10.1, c = 0.5, z = 3.6, D = 2, s = 3, d = 1.5, and w = 1.2). (a) Drawing of the structure. (b) Photograph of the prototype. (c) Simulated and measured scattering parameters.

is shown in Fig. 12(b) (before the metallization of the posts). Note that in contrast to the geometry proposed in [10] and [11], this configuration allows filters of any order. To better recognize each cavity, some white circles have been added to the photo to indicate the layout of the quarter-mode cavities before removing some posts for proper coupling. The four-pole filter was designed at 4 GHz and with an FBW of 16%. In Fig. 12(c), simulation and measurement

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 13. Equivalent circuit model of the side-coupled four-pole filter in quarter-mode SIW technology. (a) Filter topology. (b) Coupling matrix.

are plotted, showing a very good agreement. The measured insertion loss is 1.37 dB at the frequency of 4 GHz. This leads to a measured quality factor Q = 90. The topology of the filter is shown in Fig. 13(a), and the corresponding coupling matrix is reported in Fig. 13(b). Note that also couplings between resonators 1 and 3 (as well as between 2 and 4) have been taken into account. Those additional couplings are due to the direct connection between resonators 1 and 3 (2 and 4) due to the field coupling outside the aperture a in Fig. 12(a). These additional couplings allow for transmission zeros. Actually, the first version of the filter has been designed without taking into account the cross couplings. Cross couplings have then been added to the first filter version by increasing the values of A3 and B2 [Fig. 12(a)]. This change slightly modified the in-band behavior, requiring a small reoptimization of the filter. Cross-coupling values have been finally extracted from the full-wave response. The coupling matrix in Fig. 13(b) has been computed by considering a quality factor Q = 151, derived in Section II (Table I). The frequency response obtained from the coupling matrix is also reported in Fig. 12(c). Coupling matrix parameters represent the normalized version of the coupling coefficients in Figs. 6 and 10 in terms of fractional bandwidth [21], [22]. Quarter-mode cavities can be also combined in a different fashion, including the mixture with different resonators. Fig. 14 shows an example, where a coplanar resonator is used together with a pair of corner-coupled quarter-mode cavities, to obtain a three-pole filter. The geometry of the filter is shown in Fig. 14(a), while the photograph of the prototype is reported in Fig. 14(b). The coplanar resonator consists of two identical slots, symmetrically placed on the top of the SIW. A threepole filter was designed, centered at 4 GHz with FBW = 16%. The simulated and measured frequency responses are shown in Fig. 14(c). The measured insertion loss is 1.02 dB at 4 GHz. The footprint of the resulting manufactured filter is 31.39 × 22.06 mm2 , larger than the two-pole filter shown in Fig. 11. This size increase is due to the fact that the two cavities are placed at a higher distance to obtain enough room for housing the coplanar resonator. However, a reduction of about 30% with respect to the prototype of Fig. 7 is obtained and this is a good result, especially considering that this is a three-pole filter.

Fig. 14. Corner-coupled three-pole filter in quarter-mode SIW technology with additional coplanar resonator (dimensions in millimeters: A = 15.6, a = 8.5, b = 9.7, a1 = 3.87, b1 = 0.74, L = 24.1, g = 0.25, v = 0.6, s = 2.1, d = 1.5, and w = 1.2). (a) Drawing of the structure. (b) Photograph of the prototype. (c) Simulated and measured scattering parameters.

The topology of the three-pole filter is shown in Fig. 15(a), where resonators 1 and 3 (representing the two quarter-mode cavities) are connected through the aperture obtained removing the corner posts and through resonator 2 (representing the coplanar). This topology allows for a transmission zero that, according to the sign of the coupling, is placed in the upper stopband. This zero can be placed very close to the filter band resulting in a high selectivity in the upper cutoff of the filter band. Similar to the previous structure, the coupling matrix in Fig. 15(b) has been computed by considering a quality factor Q = 151. The frequency response obtained from the coupling matrix is shown in Fig. 14(c). In this case, unlike the structure of Fig. 9(a), the input coupling mechanism is the same as in Fig. 7, where tapered

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. MOSCATO et al.: QUARTER-MODE CAVITY FILTERS IN SIW TECHNOLOGY

9

R EFERENCES

Fig. 15. Equivalent circuit model of the corner-coupled three-pole filter in quarter-mode SIW technology. (a) Filter topology. (b) Coupling matrix. TABLE II C OMPARISON OF F ILTERS W ITH D IFFERENT SIW C AVITIES

feeding lines are connected to the open corner of the quartermode resonators. This feeding mechanism preserves the symmetry of the structure, avoiding the undesired coupling of spurious coplanar modes. The desired coupling between the quarter-mode cavities and the coplanar resonator is obtained by properly selecting the distance between the slots and/or the slot width. The transmission zeros are mainly controlled by the coupling between the two quarter-mode resonators. Conversely, the resonance frequency of the coplanar line depends on the slot length. According to the coupling matrix of Fig. 15(b), the resonance frequency of the coplanar resonator is higher than that of the quarter-mode cavities. VI. C ONCLUSION This paper has presented a systematic investigation of SIW filters based on quarter-mode cavity resonators. This class of filters exhibits reduced size compared with classical SIW cavity filters, while preserving most of the advantages of SIW structures. A comparison of the different SIW filter topologies is reported in Table II. Some new configurations and topologies have been proposed, and different coupling mechanisms between quartermode cavities and between the input line and the cavity have been described. The feasibility of the proposed approaches is demonstrated through several designs and manufactured prototypes. These filters demonstrate a large design flexibility, compact size, possibility of introducing transmission zeros, as well as the option to combine SIW cavities with coplanar line resonators. They represent a valuable solution when the size of the SIW is an issue, especially at a relatively low operation frequency.

[1] M. Bozzi, A. Georgiadis, and K. Wu, “Review of substrate-integrated waveguide circuits and antennas,” IET Microw., Antennas Propag., vol. 5, no. 8, pp. 909–920, Jun. 2011. [2] D. Deslandes and K. Wu, “Integrated microstrip and rectangular waveguide in planar form,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 2, pp. 68–70, Feb. 2001. [3] N. Grigoropoulos, B. Sanz-Izquierdo, and P. R. Young, “Substrate integrated folded waveguides (SIFW) and filters,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 12, pp. 829–831, Dec. 2005. [4] W. Hong et al., “Half mode substrate integrated waveguide: A new guided wave structure for microwave and millimeter wave application,” in Proc. Joint 31st Int. Conf. Infr. Millim. Waves, 14th Int. Conf. Terahertz Electron., Shanghai, China, Sep. 2006, p. 219. [5] X.-P. Chen and K. Wu, “Substrate integrated waveguide filter: Basic design rules and fundamental structure features,” IEEE Microw. Mag., vol. 15, no. 5, pp. 108–116, Jul./Aug. 2014. [6] T. Yang, P.-L. Chi, R. Xu, and W. Lin, “Folded substrate integrated waveguide based composite right/left-handed transmission line and its application to partial H -plane filters,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 2, pp. 789–799, Feb. 2013. [7] R. Moro, S. Moscato, M. Bozzi, and L. Perregrini, “Substrate integrated folded waveguide filter with out-of-band rejection controlled by resonant-mode suppression,” IEEE Microw. Wireless Compon. Lett., vol. 25, no. 4, pp. 214–216, Apr. 2015. [8] Y. Wang et al., “Half mode substrate integrated waveguide (HMSIW) bandpass filter,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 4, pp. 265–267, Apr. 2007. [9] M. Rezaee and A. R. Attari, “Realisation of new single-layer triplemode substrateintegrated waveguide and dual-mode half-mode substrateintegrated waveguide filters using a circular shape perturbation,” IET Microw., Antennas Propag., vol. 7, no. 14, pp. 1120–1127, Nov. 2013. [10] Z. Zhang, N. Yang, and K. Wu, “5-GHz bandpass filter demonstration using quarter-mode substrate integrated waveguide cavity for wireless systems,” in Proc. IEEE Radio Wireless Symp., San Diego, CA, USA, Jan. 2009, pp. 95–98. [11] K. Deng, Z. Guo, W. Che, and Q. Xue, “A compact bandpass filter using quarter SIW cavity resonator with source–load cross coupling,” in Proc. 41st Eur. Microw. Conf., Manchester, U.K., Oct. 2011, pp. 732–735. [12] Y. Jiang, X. Q. Lin, F. Cheng, J. Y. Jin, and Y. Fan, “A reconfigurable filter based on quarter-mode substrate integrated waveguide (QMSIW) resonator,” in Proc. Cross Strait Quad-Regional Radio Sci. Wireless Technol. Conf., Chengdu, China, Jul. 2013, pp. 5–7. [13] M. Z. U. Rehman, Z. Baharudin, M. A. Zakariya, M. H. M. Khir, and M. T. Khan, “Microwave bandpass filter using QMSIW,” in Proc. IEEE Int. RF Microw. Conf., Penang, Malaysia, Dec. 2013, pp. 172–175. [14] D. E. Senior, A. Rahimi, and Y.-K. Yoon, “A surface micromachined broadband millimeter-wave filter using quarter-mode substrate integrated waveguide loaded with complementary split ring resonator,” in IEEE MTT-S Int. Microw. Symp. Dig., Tampa, FL, USA, Jun. 2014, pp. 1–4. [15] C. Jin and Z. Shen, “Compact triple-mode filter based on quarter-mode substrate integrated waveguide,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 1, pp. 37–45, Jan. 2014. [16] X. Zhang, C. Ma, and F. Wang, “Design of compact dual-passband LTCC filter exploiting stacked QMSIW and EMSIW,” Electron. Lett., vol. 51, no. 12, pp. 912–914, 2015. [17] A. P. Saghati, A. P. Saghati, and K. Entesari, “An ultra-miniature quartermode SIW bandpass filter operating at first negative order resonance,” in IEEE MTT-S Int. Microw. Symp. Dig., Phoenix, AZ, USA, May 2015, pp. 1–3. [18] Y. M. Huang, Z. Shao, C. J. You, and G. Wang, “Size-reduced bandpass filters using quarter-mode substrate integrated waveguide loaded with different defected ground structure patterns,” in IEEE MTT-S Int. Microw. Symp. Dig., Phoenix, AZ, USA, May 2015, pp. 1–4. [19] A. P. Saghati, S. B. Kordmahale, J. Kameoka, and K. Entesari, “A reconfigurable quarter-mode substrate integrated waveguide cavity filter employing liquid-metal capacitive loading,” in IEEE MTT-S Int. Microw. Symp. Dig., Phoenix, AZ, USA, May 2015, pp. 1–3. [20] R. E. Collin, Foundations for Microwave Engineering. New York, NY, USA: McGraw-Hill, 1966. [21] C. Tomassoni and R. Sorrentino, “A new class of pseudoelliptic waveguide filters using dual-post resonators,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 6, pp. 2332–2339, Jun. 2013. [22] C. Tomassoni, S. Bastioli, and R. V. Snyder, “Propagating waveguide filters using dielectric resonators,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 12, pp. 4366–4375, Dec. 2015.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

Stefano Moscato (S’12) received the M.S. and Ph.D. degrees in electronics engineering from the University of Pavia, Pavia, Italy, in 2012 and 2016, respectively. He was with the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA, USA, as a Ph.D. Visiting Student from 2014 to 2015. He is currently with Azcom Technology, Rozzano, Italy, as an RF Designer for LTE and 5G base stations and remote radio heads. His research activities have focused on the implementation of RF and microwave passive components in substrate-integrated waveguide technology based on standard substrates, ecofriendly materials, and by exploiting the innovative 3-D printing technique. Dr. Moscato was a recipient of an IEEE Microwave Theory and Techniques Society Undergraduate/Pre-Graduate Scholarship in 2012. He has been the Chair of the IEEE Student Branch, University of Pavia, since 2013.

Cristiano Tomassoni (M’15) was born in Spoleto, Italy. He received the Laurea and Ph.D. degrees in electronics engineering from the University of Perugia, Perugia, Italy, in 1996 and 1999, respectively. His dissertation concerned the modematching analysis of discontinuities involving elliptical waveguides. He was a Visiting Scientist with the Lehrstuhl für Hochfrequenztechnik, Technical University of Munich, Munich, Germany, in 1999, where he was involved in the modeling of waveguide structures and devices by using the generalized scattering matrix technique. From 2000 to 2007, he was a Post-Doctoral Research Associate with the University of Perugia. In 2001, he was a Guest Professor with the Fakultät für Elektrotechnik und Informationstechnik, Otto-von-Guericke University, Magdeburg, Germany. During that time, he was involved in the modeling of horn antennas having nonseparable cross sections by using hybrid methods combining three different techniques: the finite-element method, the modematching technique, and the generalized multipole technique. He was also involved in the modeling of low-temperature co-fired ceramics by using the method of moments. He studied new analytical methods to implement boundary conditions in the transmission-line matrix method, and he modeled aperture antennas covered by dielectric radome by using spherical waves. Since 2007, he has been an Assistant Professor with the University of Perugia. His current research interests include the modeling and design of waveguide devices and antennas, and the development of reduced-size cavity filters, reconfigurable filters, and printed reconfigurable antenna arrays. Dr. Tomassoni was a recipient of the 2012 Microwave Prize by the IEEE Microwave Theory and Technique Society.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Maurizio Bozzi (S’98–M’01–SM’12) was born in Voghera, Italy, in 1971. He received the Ph.D. degree in electronics and computer science from the University of Pavia, Pavia, Italy, in 2000. He held research positions with various universities worldwide, including the Technische Universität Darmstadt, Darmstadt, Germany, the Universitat de Valencia, Valencia, Spain, and the École Polytechnique de Montréal, Montreal, QC, Canada. In 2002, he joined the Department of Electronics, University of Pavia, where he is currently an Associate Professor. He has authored or co-authored more than 90 journal papers and 240 conference papers. He co-edited Periodic Structures (Research Signpost, 2006) and co-authored Microstrip Lines and Slotlines (Artech House, 2013). His current research interests include the computational electromagnetics, the substrate integrated waveguide technology, and the use of novel materials and fabrication technologies for microwave circuits (including paper, textile, and 3-D printing). Prof. Bozzi was the recipient of several awards, including the 2015 Premium Award for Best Paper in IET Microwaves, Antennas and Propagation, the 2014 Premium Award for the Best Paper in Electronics Letters, the Best Young Scientist Paper Award of the XXVII General Assembly, URSI, in 2002, and the MECSA Prize of the Italian Conference on Electromagnetics (XIII RiNEm) in 2000. He is the 2016 Secretary of the IEEE Microwave Theory and Techniques Society (MTT-S) and a Member of the General Assembly of the European Microwave Association from 2014 to 2016. He is an Associate Editor of the IEEE M ICROWAVE AND W IRELESS C OMPONENTS L ETTERS , the IET Electronics Letters, and the IET Microwaves, Antennas and Propagation. He was the General Conference Chair of the IEEE International Conference on Numerical Electromagnetic Modeling and Optimization, Pavia, Italy, in 2014, and the General Chair of the IEEE MTT-S International Microwave Workshop Series on Millimeter Wave Integration Technologies, Sitges, Spain, in 2011. Luca Perregrini (M’97–SM’12–F’16) received the Laurea degree in electronics engineering and the Ph.D. degree in electronics and computer science from the University of Pavia, Pavia, Italy, in 1989 and 1993, respectively. He joined the Department of Electronics, University of Pavia, in 1992, where he is currently an Associate Professor of Electromagnetics. He was an Invited Professor with the Polytechnic University of Montreal, Montreal, QC, Canada, in 2001, 2002, 2005, and 2006. He has authored or co-authored more than 80 papers in international journals, more than 230 conference papers, six book chapters, a textbook on electromagnetic waves, and an exercise book on electric circuits. He was the Co-Editor of Periodic Structures (Research Signpost, 2006). His current research interests include numerical methods for the analysis and optimization of waveguide circuits, frequency-selective surfaces, reflectarrays, printed microwave circuits, substrate integrated circuits, large reflector antennas, and industrial application of microwaves. Prof. Perregrini will serve as the Editor-in-Chief of the IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES from 2017 to 2019, and is an Associate Editor of the International Journal of Microwave and Wireless Technologies and IET Electronic Letters. He is a Member of the Board of Directors of the European Microwave Association from 2016 to 2018. He was the Technical Program Chair of the European Microwave Conference in 2014 and the IEEE International Conference on Numerical and Electromagnetic Modeling and Optimization in 2014. He has been a Member of the Technical Program Review Committee of the IEEE International Microwave Symposium since 2003 and the Technical Program Committee of the European Microwave Conference since 2009. He was an Associate Editor of the IEEE M ICROWAVE AND W IRELESS C OMPONENTS L ETTERS from 2010 to 2013 and the IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES from 2013 to 2016, and a Member of the General Assembly of the European Microwave Association from 2011 to 2013.

2548

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

Reconfigurable Planar Capacitive Coupling in Substrate-Integrated Coaxial-Cavity Filters Akash Anand, Student Member, IEEE, and Xiaoguang Liu, Member, IEEE

Abstract— This paper expands our previous work on planar tunable capacitive coupling structures in substrate-integrated cavities using lumped components. We demonstrate both frequency and bandwidth tunable filters with adjustable transmission zeros (TZs). By the appropriate choice of the absolute and relative strength of magnetic and electric coupling coefficients, we demonstrate: 1) tunable bandwidth and the ability to maintain either a constant absolute bandwidth or a constant fractional bandwidth; 2) adjustable TZ location at a prescribed bandwidth; and 3) the ability to switch OFF the filter with high isolation. Filter design methodologies based on a dispersive coupling structure are presented using lumped circuit models, coupling matrix, and full-wave simulations. With this planar capacitive coupling, it is also convenient to realize cross-coupling in higher order filters to produce additional TZs for rejecting spurious resonances or interferes. Fabricated two-pole filters with one or two TZs and four-pole filters with three or four TZs validate the filter design. A two-pole filter with tunable center frequency and tunable bandwidth along with a four-pole filter with tunable center frequency and tunable TZs are also demonstrated. Index Terms— Coaxial-cavity filter, coaxial-cavity resonator, combline filter, constant bandwidth filter, evanescent (EVA)-mode filter, tunable bandwidth, tunable filters, tunable resonators.

I. I NTRODUCTION VER the past decades, metallic cavity resonators have been the dominant choice for highly selective low-loss filters in RF systems [1]. But with today’s diverse technology applications and demands, interest in other filter technologies and topologies is growing, including tunable filters. Various works have shown promising results in some areas of performance, but usually at the cost of performance in other parameters. For example, substrate-integrated waveguide (SIW) cavity filters have gained considerable interest in RF/microwave systems for their easy fabrication and low cost compared with traditional air-filled cavities at the expense of lower unloaded quality factor (Q u ) due to the substrate loss [2], [3]. Nevertheless, SIW filters find a middle ground between low-Q planar and traditional high-Q cavity filters. To realize compact size evanescent (EVA)-mode filters, cavities are capacitively loaded with a center post, where the

O

Manuscript received May 17, 2015; revised January 8, 2016; accepted May 30, 2016. Date of publication July 19, 2016; date of current version August 4, 2016. This work was supported by the National Science Foundation under Grant 1444086 and by the University of California at Davis under the Hellman Fellows Program. The authors are with the Department of Electrical and Computer Engineering, University of California at Davis, Davis, CA 95616 USA (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2580140

Fig. 1. (a) Coaxial-cavity filter with traditional electric coupling. (b) Proposed substrate-integrated filter with planar electric coupling. (c) Tunable filter with lumped components. (d) Equivalent circuit model for tunable filter.

bottom end of the center post is shorted and the top end has a small capacitive gap [1], [4], [5]. Magnetic fields are stronger in the shorted end and electric fields are stronger in the loaded end [6]. Fig. 1(a) shows that EVA cavities magnetically couple through openings in the cavity wall (iris) and electrically couple through a conductive metal probe suspended between the cavity’s center posts. Traditionally, in such cavities, coupling between resonators is predominantly magnetic (inductive), since it is easier to implement than electric (capacitive) coupling probes. For this reason, other methods for electric coupling are presented in [6]–[9]. With the appropriate location of iris openings and spacing of the center

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

ANAND AND LIU: RECONFIGURABLE PLANAR CAPACITIVE COUPLING IN SUBSTRATE-INTEGRATED COAXIAL-CAVITY FILTERS

conductors, works from [6] and [7] realize the mixed electric and magnetic coupling without using conductive probes. To make fabrication even simpler, others use planar structures in SIW filters. For example, Shen et al. [8] use the interdigital slotline between resonators, and Gong et al. [9] use the embedded short-ended strip line between two PCB layers to realize electric coupling. Nevertheless, all these works present fixed coupling structures. It is desirable to electrically tune the capacitive coupling to realize reconfigurable BW cavity filters. But little work has been done towards this. For example, work from [10] shows an EVA mode topology with surface-mount p-i-n diodes to tune the coupling discretely. The fabrication for this filter requires precise assembly to align the piezoactuator with the cavity’s post and requires multiple PCB layers to integrate the p-i-n diodes. Work from [11] shows continuously tunable electric coupling with surface-mount varactors in the SIW filters, but this structure is mostly appropriate for bandstop filters. It would be convenient to integrate lumped components into SIW cavities with a standard surface-mount process to tune the coupling as it is done in planar microstrip or coplanar waveguide (CPW) filters. For example, numerous planar filters with tunable BW have been presented [12]–[20]. To this end, the authors introduced a new substrate-integrated planar capacitive coupling structure for interresonator coupling in [21], where a surface-mount varactor tunes the coupling. Works from [22]–[24] then use a similar structure in higher order filters to realize both the capacitive interresonator coupling and the capacitive cross-coupling. However, an in-depth analysis of this mixed electric and magnetic coupling is needed, in particular, to design for the transmission zero (TZ) that arises due to this dispersive interresonator coupling [21]. This paper extends upon the work presented in [21]. While a TZ due to dispersive interresonator coupling [7], [25], [26] is briefly mentioned in [21], this paper presents a more detailed filter design method to place the TZ at a specified location for a prescribed fractional bandwidth (FBW) based on lumped circuit models, coupling matrix, and simulation curves. In addition, the presented external coupling structure adds another TZ above the passband. Surface-mount components are easily integrated into the filter to tune the center frequency, BW, and TZs. To validate the filter design, we first fabricate and measure two-pole filters with one or two TZs and four-pole filters with three or four TZs. We then extend these designs to reconfigurable filters. We present a two-pole filter with tunable frequency and tunable BW and a four-pole filter with tunable center frequency and tunable TZs. II. C OAXIAL -C AVITY R ESONATOR The filter in Fig. 1(b) is based on the coaxial-cavity resonator presented in [27]. A coaxial transmission is shorted on the bottom end, while a ring gap on the top surface isolates the center conductor from the rest of the cavity’s top surface. The ring gap capacitively loads the coaxial cavity. This 3-D structure is analogous to the planar combline filter where microstrip transmission lines are capacitively loaded. With capacitive loading, the coaxial transmission line is seen as an

2549

inductive element, and hence resonates like an LC tank [5]. Varactors mounted on the ring gap tune the center frequency f o of the resonator [28]–[31]. Works from [27] and [32] present the theoretical analysis on this resonator, which is summarized in (1)–(6). The angular resonant frequency ωo is approximated as ωo = √

1 LC

(1)

where the loaded capacitance C is given by C = Cv + Co

(2)

in which Cv is the equivalent capacitance of all the frequency tuning varactors C f o and Co is the surface gap capacitance. The inductance L of the coaxial transmission line (ignoring surface inductance) is given by  √  ωh r Zo tan L= (3) ω c where Z o is the characteristic impedance, h is the height of the cavity, r is the dielectric constant, and c is the speed of light in air. For circular cavities, Z o is given in (4a), and for square cavities, Z o is given in (4b) 60 Z o = √ ln(b/a) r  60 s Z o = √ ln 1.079 r a

(4a) (4b)

where a is the radius of the inner conductor, b is the radius of the outer conductor, and s the is length of one side of the square cavity’s wall. The Q u is approximated as 1 1 1 = + Qu Qc Qv

(5)

where Q v is the quality factor of the C f o varactors and Q c is the quality factor of just the circular resonator (without C f o ) Qc =

Rs 2π



ωo L  h h + + 2 ln(b/a) a b

(6)

in which Rs is the surface resistance. III. D ISPERSIVE I NTERRESONATOR C OUPLING Fig. 1(b) shows the proposed filter with electric coupling, where the conductive probe from the traditional filter in Fig. 1(a) is moved to the surface as a planar structure, which resembles a CPW transmission line. There is a gap in the middle of this CPW line, which separates the two resonators. Both the traditional filter in Fig. 1(a) and the proposed filter in Fig. 1(b) still have the same interresonator magnetic coupling, where magnetic fields around the center posts couple to each other through the iris opening in the resonators’ adjacent wall. Thus, the presented filter has a mixed electric and magnetic interresonator coupling structure.

2550

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

TABLE I F ILTERS D ESIGNED W ITH 6% 3-dB FBW AT f o = 3 GHz B UT W ITH D IFFERENT TZ 1 L OCATIONS BASED ON L UMPED C IRCUIT M ODEL F ROM F IG . 2

Fig. 2. Lumped circuit model for interresonator coupling. The parallel combination of L c and Cc creates a TZ at f z1 = 1/2π(L c Cc )1/2 .

A. Filter Design In order to design filters with this mixed coupling, we first present a lump circuit model that approximates the interresonator coupling and aids in visualizing the creation of the TZ. Guidelines are then presented to design filters with a specified TZ location at a prescribed FBW based on the lumped circuit model. Since the 3-D filter structure is complex and coupling depends heavily on filter geometries, more accurate design curves are then presented based on full-wave electromagnetic simulations. Since the mixed coupling is dispersive [21], it is possible that the magnetic coupling equals the electric coupling at a certain frequency and a TZ occurs. Previous works from [7]–[9], [25], [26], [33] report this TZ in 3-D structures, which is easier to visualize with the lumped circuit model in Fig. 2. Inductance L and capacitance C model the bandpass resonators, while L c and Cc represent the inductive and capacitive couplings [4]. The parallel combination of L c and Cc creates a TZ (TZ1) at 1 . (7) f z1 = √ 2π L c Cc Consider the case when the electric coupling and the magnetic coupling are equal at the center frequency, and then TZ1 occurs at center frequency or f z1 = f o . If the electric coupling increases or the magnetic coupling decreases, then TZ1 moves below the passband (both Cc and L c increase). Conversely, if the magnetic coupling increases and the electric coupling decreases, then TZ1 moves above the passband (both Cc and L c decrease). Once the side of TZ1 is determined (above or below the passband), then the exact location of TZ1 can be designed by either increasing or decreasing both the electric and magnetic couplings together in order to maintain a constant FBW. Consider the various filter designs with constant 6% 3-dB FBW but with different TZ1 locations in Table I based on the lumped circuit model in Fig. 2. For the filters with TZ1 below the passband, L c decreases from 47.5 to 6.44 nH and Cc increases from 0.1 to 0.5 pF to move TZ1 higher in frequency closer to the passband. For filters with TZ1 above the passband, Cc increases from 0.1 to 0.5 pF and L c decreases from 19.6 to 5.01 nH to move TZ1 lower in frequency closer to the passband. Fig. 3 shows S21 for selected examples from the table. Based on the above discussion and Table I, we can summarize the following for filters designed at f o with a fixed FBW. 1) When electric coupling is dominant, TZ1 is below the passband. 2) When magnetic coupling is dominant, TZ1 is above the passband.

Fig. 3. Selected examples from Table I with filters designed with 6% FBW but with different TZ1 locations based on the lumped circuit model from Fig. 2.

3) Below the passband, TZ1 moves to a higher frequency (closer to the passband) as the magnitude of both the electric and magnetic couplings increases. 4) Above the passband, TZ1 moves to a lower frequency (closer to the passband) as the magnitude of both the electric and magnetic couplings increases. The interresonator electric and magnetic couplings are defined as k E and k M . The total interresonator coupling k is then the sum of k E and k M [4] k=

kM + kE ≈ kM + kE 1 + kM kE

(8)

for narrow-band filters and k can be extracted from k=±

f1 2 − f2 2 f1 2 + f2 2

(9)

where f 1 and f2 are the eigenfrequencies of the coupled resonators. Since the signs of the magnetic (+) and electric (−) couplings are opposite [21], k can be either positive, negative, or zero. According to [34], the required values for k and external coupling Q e for a particular filter design is FBW , ki,i+1 = √ gi gi+1 and Q e1 =

for i = 1 to (n − 1)

g0 g1 gn gn+1 and Q en = FBW FBW

(10)

(11)

ANAND AND LIU: RECONFIGURABLE PLANAR CAPACITIVE COUPLING IN SUBSTRATE-INTEGRATED COAXIAL-CAVITY FILTERS

Fig. 4. Two resonators with mixed electric and magnetic interresonator coupling and labeled dimensions. TABLE II VALUES FOR R ESONATORS W ITH M IXED I NTERRESONATOR C OUPLING L ABELED IN F IG . 4

Fig. 5. Simulated (a) k versus ew at various w1 values and (b) Q e versus f len around 2.7 GHz.

where n is the order of the filter and the g values are given in any standard filter design reference such as [1], [4], and [34]. Fig. 4 shows two resonators with interresonator coupling designed around 2.7 GHz with dimensions listed in Table II, where h is the substrate height and r is the substrate dielectric constant. Fig. 5(a) shows the HFSS simulated k versus ew (width of the electric coupling CPW) at various magnetic wall openings w1 . The electric coupling becomes stronger (more negative) as ew increases. The magnetic coupling increases as w1 or the iris opening becomes larger. For a prescribed FBW, k maps to w1 and ew in Fig. 5(a).

2551

In order to externally couple to the filter, CPW transmission lines are used as the input/output feeds, which extend inside the cavity where a via shorts them to the bottom. Current flowing through the CPW shorting via creates magnetic fields that couples with the magnetic fields of the center post. A similar feed structure is analyzed in more details in [35]. Fig. 5(b) shows Q e versus flen , where flen is the distance between the center post and the CPW shorting via shown in Fig. 4. For a prescribed FBW, Q e maps to flen in Fig. 5(b). A filter with prescribed FBW and flexible TZ1 location can be designed based on the guidelines from the lumped circuit model. For example, when TZ1 is above the passband, increasing ew moves TZ1 lower in frequency. Conversely, when TZ1 is below the passband, increasing w1 moves TZ1 higher in frequency. For a given ew or w1 , the corresponding w1 and ew are chosen according to Fig. 5(a) to keep a constant |k|. Note that k can take negative values at larger ew . When k is negative, electric coupling is stronger and TZ1 is below the passband, while when k is positive, magnetic coupling is stronger and TZ1 is above the passband. Alternatively, the coupling matrix theory can be used to design the filters. Works from [36] and [37] provide design guidelines to generate the coupling matrix at a fixed frequency. But dispersive coupling filters need a frequency-dependent coupling matrix to accurately model the frequency response. These frequency-dependent interresonator coupling parameters can be simulated and extracted from the HFSS. The following steps give an iterative design procedure to design filters with a frequency-dependent coupling matrix. 1) Generate the coupling matrix for a filter with desired specifications without dispersive coupling based on the standard coupling matrix design in [36] and [37]. 2) Generate a series of frequency-dependent interresonator coupling k(ω) curves for different FBWs using a fullwave electromagnetic solver [Fig. 5(a)]. 3) Extract the interresonator coupling m(ω) values from simulated k(ω) curves. 4) Reoptimize coupling matrix values other than the dispersive interresonator coupling m(ω) using numerical simulations (S-parameter). 5) Based on the guidelines earlier and using the design curve from step 2, increase or decrease both the electric and magnetic couplings to move TZ1 while keeping k constant. 6) Repeat steps 3 and 5 to get the desired FBW and TZ location. Examples of such a matrix design are presented in Sections III-B and III-C along with the simulated and measured results. B. Two-Pole Filter Example To illustrate the examples of a dispersive coupling matrix, two two-pole filters are designed based on the guidelines from Section III-A: filter M1 has 6.3% 3-dB FBW and filter M2 has 8.0% 3-dB FBW around 2.75 GHz. Fig. 6(c) shows the simulated k versus frequency for the two filters, where a secondorder polynomial k12 (ω) fits the simulation data. A TZ (TZ1 )

2552

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

Fig. 7. Coupling schematic for four-pole cross-coupled filters with (a) capacitive cross-coupling, (b) inductive cross-coupling, (c) stronger capacitive with weaker inductive cross-coupling, and (d) stronger inductive with weaker capacitive cross-coupling.

m 12 (ω) = k12 (ω) × FBW  ω 2 ω = −0.007 + 0.070 9 + 0.354 9 10 10 ⎡ ⎤ 0 0.774 0 0 ⎢0.774 0 m 12 (ω) 0 ⎥ ⎥ M2 (ω) = ⎢ ⎣ 0 m 12 (ω) 0 0.774⎦ 0 0 0.774 0 m 12 (ω) = k12 (ω) × FBW  ω 2 ω + 0.1150 9 + 0.0325. = −0.005 9 10 10

(13)

C. Higher Order Filters With Cross-Coupling

Fig. 6. Fabricated two-pole filters (a) M1 and (b) M2 . (c) HFSS simulated dispersive interresonator k for designed filters. Plots of measured, simulated, and coupling matrix in (d) (12) and (e) (13).

occurs when k12 = 0. For M1 , k12 = 0 is below the passband around 2.1 GHz, and for M2 , k12 = 0 is above the passband around 3.6 GHz. Equations (12) and (13) show the coupling matrix with frequency-dependent interresonator coupling m 12 (ω) extracted from k12 (ω). Fig. 6(a) and (b) shows the fabricated filters with SMA connectors. The dimensions are the same as those listed in Table II, except for M1 , flen = 8.28 mm, ew = 3 mm, and w1 = 14 mm, and for M2 , flen = 8.08 mm, ew = 1 mm, and w1 = 22 mm. Fig. 6(d) and (e) shows the coupling matrix plots along with the simulated and measured plots. The simulated and measured insertion loss in the passband is 0.58 and 0.45 dB for M1 and 0.41 and 0.38 dB for M2 ⎡ ⎤ 0 0.698 0 0 ⎢0.698 0 m 12 (ω) 0 ⎥ ⎥ M1 (ω) = ⎢ (12) ⎣ 0 m 12 (ω) 0 0.698⎦ 0 0 0.698 0

In addition to designing filters with dispersive coupling in adjacent resonators, we can use the presented structure in cross-coupled resonators to design higher order filters with additional TZs [23], [24]. For example, Fig. 7 shows the coupling schematic for four-pole cross-coupled filters. Filters in Fig. 7(a) and (b) have all inductive coupling between resonators, except for the capacitive coupling between resonators 1 and 4 in Fig. 7(a) and between resonators 2 and 3 in Fig. 7(b). In both the filters, the main line path (1, 2, 3, and 4) and the cross-coupling path (1 and 4) are out of phase and add destructively to create a pair of TZs [38]. This is verified with the coupling matrix given in (14) and shown in Fig. 8. Both the filters have the same coupling matrix except for the coupling sign between resonators 1 and 4 and between resonators 2 and 3, which is positive (+) when the coupling is inductive and negative (−) when the coupling is capacitive ⎤ ⎡ 0 1.02 0 0 0 0 ⎢1.02 ∓0.220 0 ⎥ 0 0.856 0 ⎥ ⎢ ⎢ 0 0.856 ±0.786 0 0 0 ⎥ ⎥ . (14) ⎢ M3 = ⎢ ±0.786 0 0 0.856 0 ⎥ ⎥ ⎢ 0 ⎣ 0 ∓0.220 0 0.856 0 1.02⎦ 0 0 0 0 1.02 0 Mixed cross-coupling between resonators 1 and 4 generates a third TZ compared with purely inductive or capacitive [6], [39]. Fig. 7(c) and (d) shows the schematic for mixed cross-coupling between resonator 1 and 4. This paper

ANAND AND LIU: RECONFIGURABLE PLANAR CAPACITIVE COUPLING IN SUBSTRATE-INTEGRATED COAXIAL-CAVITY FILTERS

2553

Fig. 8. Both capacitive and inductive cross-coupling from Fig. 7(a) and (b) result in two TZs, one on each side of the passband.

qualitatively discusses the presence of all three TZs, while additional analysis is presented in [6]. In the passband, the magnitude of the main line path with resonators 1, 2, 3, and 4 (a four-pole filter) is dominant compared with the magnitude of the cross-coupled resonators 1 and 4 (a two-pole filter). As ω moves away from the passband, the magnitude of the four-pole filter (main line path) falls faster than the magnitude of the two-pole filter (cross-coupling path). A pair of real frequency TZ (TZ2 and TZ3 ) results when the magnitude of the main line coupling and the magnitude of the cross-coupling are the same at frequencies f z2 and f z3 . Beyond f z2 and f z3 , the two-pole filter (cross-coupling path) is dominant and TZ1 appears at f z1 due to the interresonator coupling (the same TZ from Section III-A). TZ1 can be placed anywhere below TZ2 or above TZ3 . The design procedure for the mixed cross-coupling is the same as that in Section III-A, where the magnitude of crosscoupling from the coupling matrix maps to the extracted coupling curves from simulation. Equations (15) and (16), shown at the bottom of this page, give the coupling matrix for two designed filters, M4 and M5 , where the frequencydependent m 14 (ω) is extracted from the HFSS simulation, similar to m 12 (ω) in (12) and (13). When mixed crosscoupling is strongly electric, TZ1 will be below the passband, and when the mixed cross-coupling is strongly magnetic, TZ1 will be above the passband [analogous to Fig. 6(d) and (e)]. Fig. 9(a) and (b) shows the fabricated filter along with the filter dimensions and SMA connectors. All the other dimensions of the filter are the same as those labeled in Fig. 4 and listed in ⎡

0 ⎢1.164 ⎢ ⎢ 0 M4 (ω) = ⎢ ⎢ 0 ⎢ ⎣ 0 0 ⎡ 0 ⎢1.114 ⎢ ⎢ 0 M5 (ω) = ⎢ ⎢ 0 ⎢ ⎣ 0 0

1.164 0 0.957 0 m 14 (ω) 0

0 0.957 0 0.851 0 0

1.114 0 0.957 0 m 14 (ω) 0

0 0.957 0 −0.843 0 0

0 0 0.851 0 0.957 0

Fig. 9. Fabricated four-pole filters (a) M4 and (b) M5 with mixed electric and magnetic coupling.

Table II. Fig. 10(a) and (b) shows the coupling matrix plots along with the simulated and measured plots. The figure also labels the location of TZ1 , TZ2 , and TZ3 . Simulation shows that M4 has 8.6% FBW and 0.45-dB insertion loss at 2.7 GHz, while measurement shows 9.3% FBW and 0.43-dB insertion loss. Simulation shows that M5 has 8.1% FBW and 0.46-dB insertion loss at 2.6 GHz, while measurement shows 7.6% FBW and 0.90-dB insertion loss. Incomplete plating of some of the vias degraded the insertion loss in M5 compared with simulation. IV. E XTERNAL C OUPLING TZ Fig. 11(a) shows a modified lumped circuit model from Section III-A, where mutual inductance between L v models the external coupling. Another capacitor C Qez is added in parallel with the mutual inductance. If the mutual inductance is modeled with an equivalent π-network [4], then Fig. 11(a) can be represented as Fig. 11(b), where L v and L m are absorbed into L and L v . The series–parallel combination of L m and C Qez creates another pair of TZs (TZ4 ) ⎤ 0 0 ⎥ ⎥ 0 ⎥ ⎥ m 14 (ω) = −0.054 ω + 0.623 0 ⎥ 109 ⎥ 1.164⎦ 0 ⎤ 0 0 0 ⎥ m 14 (ω) ⎥ 0 0 ⎥ ⎥ m 14 (ω) = −0.060 ω + 1.1843 0.957 0 ⎥ 109 ⎥ ⎦ 0 1.114 1.114 0

0 m 14 (ω) 0 0.957 0 1.164

0 0 −0.843 0 0.957 0

(15)

(16)

2554

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

Fig. 10. Plots of measured, simulated, and coupling matrix in (a) (15) and (b) (16). Compared with Fig. 8, a third notch (TZ1 ) is created (a) below the passband for stronger electric coupling (M4 ) and (b) above the passband for stronger magnetic coupling (M5 ).

Fig. 11. (a) Lumped circuit model for a two-pole filter where mutual inductance models the external coupling. (b) Mutual inductance are modeled with π -networks and shunt L m inductance are absorbed into L and L v . The parallel combination of L m and C Qez creates TZs at ωm = 1/(L m C Qez )1/2 .

located at f z4 =

1 . 2π L m C Qez 

(17)

Fig. 12(a) shows the first method to realize C Qez , where part of the CPW feedline extends further into the cavity and overlaps with the square ring gap. Some of the input/output energy directly flows into the resonator through this overlap capacitance (C Qez ), while some energy couples in parallel through the mutual inductance between the center post and the CPW shorting post. Measured and simulated results in Fig. 12(b) shows a two-pole filter with TZ4 above the passband and TZ1 below the passband. Simulation shows 5.5% FBW and 0.86-dB insertion loss at 2.8 GHz, while measurement shows 5.0% FBW and 0.64-dB insertion loss. The filter is

Fig. 12. Fabricated two-pole filter with two zeros where CPW feed line extends further into the cavity and overlaps with the square ring gap, creating (a) some overlap capacitance C Qez and (b) measured and simulated s-parameter.

fabricated on a 6.35-mm Rogers TMM3 substrate with a dielectric loss tangent of 0.002. The second method to realize C Qez is to use a lumped capacitor. First, the filter is designed according to Section III and then C Qez is included and the filter is reoptimized. For example, the four-pole filter M4 from Fig. 9(a) and (15) is redesigned to include TZ4 . Fig. 13(a) shows the

ANAND AND LIU: RECONFIGURABLE PLANAR CAPACITIVE COUPLING IN SUBSTRATE-INTEGRATED COAXIAL-CAVITY FILTERS

2555

Fig. 14. Tunable two-pole filter and close-up of top surface showing the various integrated lumped components.

Fig. 13. (a) Fabricated four-pole filter from Fig. 9(a) redesigned to include C Qez and TZ4 . (b) Measurement and simulation shows improvement in upper stopband rejection with TZ4 .

fabricated filter with a close-up view of the surface-mount C Qez capacitor. The CPW feedline extends toward the cavity but does not overlap with the ring gap and a lumped capacitor instead couples the energy parallel to the mutual inductance. Comparing the first method with the overlapping capacitance, this method requires less reoptimization to the initial design before C Qez is added. Mainly, the external coupling parameter flen needs to be redesigned after adding C Qez . Fig. 13(a) shows the modified dimensions. The upper passband rejection improves when TZ4 is included in Fig. 13(b) compared with the same filter without TZ4 . Simulation shows 7.8% FBW and 0.59-dB insertion loss at 2.7 GHz, while measurement shows 8.2% FBW and 0.64-dB insertion loss. V. R ECONFIGURABLE F ILTER

Fig. 15. HFSS simulation for (a) k versus frequency and (b) FBW solved from (10) for a two-pole Butterworth filter.

A. Tunable BW Filter Design Figs. 1 and 14 show that the proposed filter is easily integrated with lumped elements to realize a reconfigurable filter. Fig. 1(d) shows the equivalent lumped circuit model for the reconfigurable filter. Varactors C f o tunes the center frequency, varactor CBW tunes the BW, varactor C Qe tunes the external coupling, and capacitors C Qez produces TZ4 . Work from [21] shows that Q u up to 200 at 1 GHz can be achieved for frequency-tunable filters while using only C f o varactors. Adding CBW in the interresonator coupling

path degrades Q u . To minimize this loss and still achieve BW tuning, k M is designed to be the dominant interresonator coupling path, so that most of the electromagnetic energy flows through the magnetic fields instead of the lossy varactors. From the design guidelines in Section III-A, a strongly magnetic coupled filter is designed. Fig. 15(a) shows k versus center frequency for various values of CBW . Fig. 15(b) shows the range of FBW solved from (10) for

2556

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

Fig. 17. Shaded region: FBW tuning range for the filter as the center frequency tunes from 0.55 to 1.1 GHz.

Fig. 16. HFSS simulation for (a) Q e versus frequency and (b) FBW solved from (11) for a two-pole Butterworth filter.

a Butterworth filter design. Since it is desired that k M is dominant, only the FBW values corresponding to the positive values of k are plotted. Theoretically, this two-pole filter can achieve an FBW of 0–8% around 1.1 GHz and 7–13% FBW around 0.55 GHz, if not limited by Q e . The range of FBW at all frequencies is bounded by CBW = 0.63-pF and CBW = 2.67-pF curves. Fig. 14 shows varactors (C Qe ) mounted over slits created in the CPW line. The lumped circuit model in Fig. 1(d) shows that C Qe and L v act as a series-shunt matching network. Thus, tuning C Qe varies the input impedance and tunes Q e . Fig. 16(a) shows the HFSS simulation of Q e versus frequency as C Qe is tuned from 0.5 to 6.6 pF. The value of Q e ranges from 15 to 20 around 0.55 GHz and 7 to 52 around 1.1 GHz. Since Q e is known, FBW is extracted from (11) and shown in Fig. 16(b). This gives the range of FBW of the filter, if not limited by k. The tuning range for the FBW shown in Figs. 15 and 16 was based on k and Q e independently. The actual tuning range of the filter is given when the effects of both k and Q e are considered together. Thus, the extreme values of CBW = 0.63 pF and CBW = 2.67 pF along with the extreme values of C Qe = 0.5 pF and C Qe = 6.6 pF are shown together in Fig. 17. The tuning range of the filter is bounded (shaded region) by the four curves. The HFSS simulation in Fig. 18 shows BW tuning of the filter around 1.1 GHz without including the C Qez capacitor. Initially, at CBW = 0.01 pF, BW is 170 MHz, and TZ1 is not observed up to 2 GHz. At CBW = 0.63 pF, BW is 95 MHz and TZ1 appears at 1.38 GHz. BW decreases further as CBW increases and TZ1 moves closer to the passband. At CBW = 1.44 pF, k E ≈ k M at the center frequency and TZ1 is at fo : a zero BW filter or the OFF-state of the filter.

Fig. 18. Simulated tunable BW filter from Fig. 14 without C Qez . Increasing CBW decreases BW and tunes TZ1 closer to the passband.

Fig. 19. Tunable filter from Fig. 18 with CBW = 0.63 pF shows that TZ4 changes significantly with C Qez . Small change in BW and TZ1 is also observed.

Fig. 19 shows the simulation result when C Qez is added to realize TZ4 . In this case, CBW is kept at 0.63 pF. Increasing C Qez moves TZ4 closer to the passband. Increasing C Qez also decreases the BW and location of TZ1 slightly. The dimensions of the simulated filter is given in Section V-B. B. Tunable BW Filter Validation The reconfigurable filter from Section V-A is fabricated on a Rogers TMM3 board with 5 mm thickness

ANAND AND LIU: RECONFIGURABLE PLANAR CAPACITIVE COUPLING IN SUBSTRATE-INTEGRATED COAXIAL-CAVITY FILTERS

2557

Fig. 20. (a) Designed filter with two ring gaps and back-to-back varactors. Fabricated filter with (b) close-up view and (c) full view. Dimensions of the filter are shown in (d) and (e). TABLE III S URFACE -M OUNT L UMPED C OMPONENTS

and 0.002 loss tangent. The filter is modified to a double ring structure with additional gaps created on the top surface to mount all the varactors back to back. The back-to-back placement of varactors conveniently isolates a dc bias point and improves linearity [40]. Following the guidelines given in [2], vias are drilled in the substrate and plated with copper to form the cavities. The center posts and the CPW shorting posts are also created with copper-plated vias. The modified filter design is shown in Fig. 20(a) and the fabricated filter with SMA connectors is shown in Fig. 20(b) and (c). Fig. 20(d) and (e) shows the dimensions of the board and traces on the top surface. Table III lists the details of the lumped components used. In addition, 1-M resistors are used in the dc bias line to reduce the RF loss. The fabricated filter is first measured without the C Qez capacitor. In Fig. 21(a), TZ1 is initially at 1.5 GHz but moves closer to the passband as CBW increases. The BW decreases from 100 to 20 MHz as CBW increases. Both of these observations are consistent with the simulation in Section V-A.

Fig. 21. (a) Measured S21 shows both BW and TZ1 decrease as CBW increases. (b) TZ4 appears around 1.95 GHz when C Qez = 1.5 pF is added. (c) As CBW increases further, TZ1 moves below the passband while BW increases. An OFF-state is also measured with the resonators asynchronously tuned.

When C Qez is not included, TZ4 is not seen within 2 GHz frequency range. However, when C Qez = 1.5 pF is included, TZ4 appears around 1.9 GHz in Fig. 21(b), which agrees well with Fig. 19. The location of TZ4 appears independent of the BW tuning, which makes this TZ ideal for rejecting fixed spurious resonances or interferes. Fig. 21(c) shows measured S21 when the filter is in the OFF -state: k E ≈ k M and the two resonators are asynchronously tuned to 0.58 and 1.15 GHz to improve isolation. More than 30 dB of the OFF-state isolation is achieved up to 2 GHz. As CBW increases from the OFF-state, k E becomes dominant and the BW increases again. TZ1 has moved below the passband and moves further from the passband as CBW increases. Though a larger BW range is possible for this filter when k E is dominant, the loss is also higher, since more energy is flowing through the lossy CBW varactor. Fig. 22 shows the measured S21 and S11 for center frequency and BW tuning range. Typical examples of the Butterworth and Chebyshev filter responses are shown. This figure can be compared with Fig. 17 where the tuning ranges are predicted from HFSS simulation.

2558

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

Fig. 22. Measured (a) S21 and (b) S11 showing both tunable center frequency and tunable BW.

Fig. 23. Measured S21 and S11 for (a) 60 MHz constant absolute 3-dB BW and (b) 8% constant 3-dB FBW.

TABLE IV S IMULATION V ERSUS M EASURED BW R ANGE

Both the simulation and measured data show a center frequency tuning range of 0.55–1.1 GHz. Table IV compares the simulation versus measured range of BW. The measured and simulated BW ranges match closely. The measured FBW is 6.18%–12% at 0.55 GHz, 2.38%–11.3% at 0.84 GHz, and 1.77%–8.05% at 1.1 GHz. For the measurement, a 10-dB minimum return loss and 20-MHz minimum BW criteria limit the range. A peak insertion loss of 1.28 dB at 1.13 GHz is measured and about 30 dB of out-of-band rejection is maintained up to 2 GHz. The extracted Q u for the resonators is approximately 80 at 0.5 GHz and 200 at 1.1 GHz. For some tunable filter applications, maintaining a constant BW or constant FBW may be desirable. This filter can achieve a constant BW anywhere from 34 to 66 MHz and a larger BW range is possible with a reduced frequency tuning range such as 20–90-MHz BW from 0.7 to 1.13 GHz. For example, Fig. 23(a) shows 60-MHz 3-dB constant BW tuning with an insertions loss between 1.9 and 2.3 dB and a return loss better than 14 dB, while Fig. 23(b) shows 8% 3-dB FBW tuning with an insertion loss between 1.28 and 1.7 dB and a return loss better than 30 dB. More than 30 dB of out-band rejection is maintained in both the cases. C. Tunable TZ Four-Pole Filter Fig. 24 shows filter M4 [see Fig. 9(a) and (15)] from Section III-C modified to a tunable filter. Two MACOM MA46H120 varactors (Q of 3000 at 50 MHz) are soldered

Fig. 24. (a) Designed and (b) fabricated four-pole filter with tunable center frequency and tunable TZs.

back to back on each resonator to tune the center frequency. The MACOM varactors have a capacitance range of 0.13–1.1 pF. Measured results in Fig. 25 shows the center frequency of the four-pole filter tunes from 2.24 to 2.64 GHz with the peak passband insertion loss ranging

ANAND AND LIU: RECONFIGURABLE PLANAR CAPACITIVE COUPLING IN SUBSTRATE-INTEGRATED COAXIAL-CAVITY FILTERS

2559

VI. C ONCLUSION This paper has presented a planar structure for realizing mixed electric and magnetic dispersive coupling in substrateintegrated coaxial-cavity filters. Surface-mount components have been easily integrated into the filter to allow for flexible control of the filter center frequency, bandwidth, and locations of the TZs. Filter design methodologies based on a dispersive coupling structure have been presented using lumped circuit models, coupling matrix, and full-wave simulations. In addition, the external coupling structure can add another TZ above the passband. Fabricated two-pole filters with one or two TZs and four-pole filters with three or four TZs have validated the filter design. A frequency and BW-tunable filter has shown the tuning range from 0.55 to 1.1 GHz with a BW of 20–91 MHz at 1.1 GHz, 20–95 MHz at 0.84 GHz, and 34–66 MHz at 0.55 GHz. A four-pole filter with a tunable center frequency and tunable TZs has also been demonstrated. Fig. 25. Measured (a) S21 and (b) S11 of a four-pole filter showing tunable center frequency.

Fig. 26. (a) Simulated and (b) measured S-parameters of four-pole filter showing tunable TZs.

from 3.9 to 3.4 dB. The 3-dB FBW increases from 9% to 10.8% as the center frequency increases. The return loss of the filter is at least 10 dB throughout the tuning range. Similar to the results in Section III-C, three TZs are created. However, in this case, an additional MACOM MA46H120 varactor (CTZ ) is used to tune the capacitive cross-coupling between resonators 1 and 4. Since the cross-coupling in filter M4 is strongly capacitive (see Section III-C), as CTZ increases, the magnitude of cross-coupling also increases and TZ2 and TZ3 move closer to the passband. Fig. 26 shows the simulated and measured results of the filter, while the capacitive crosscoupling is tuned. Since electric coupling is dominant, TZ1 is below the passband, and as CTZ increases, TZ1 frequency decreases.

R EFERENCES [1] R. J. Cameron, R. Mansour, and C. M. Kudsia, Microwave Filters for Communication Systems: Fundamentals, Design and Applications. Hoboken, NJ, USA: Wiley, 2007. [2] K. Wu, D. Deslandes, and Y. Cassivi, “The substrate integrated circuits— A new concept for high-frequency electronics and optoelectronics,” in Proc. 6th Int. Conf. Telecommun. Modern Satellite, Cable Broadcast. Service, vol. 1. Oct. 2003, pp. P-III–P-X. [3] K. Entesari, A. P. Saghati, V. Sekar, and M. Armendariz, “Tunable SIW structures: Antennas, VCOs, and filters,” IEEE Microw. Mag., vol. 16, no. 5, pp. 34–54, Jun. 2015. [4] J.-S. G. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York, NY, USA: Wiley, 2011. [5] I. C. Hunter, Theory and Design of Microwave Filters. London, U.K.: IEE, 2001. [6] J.-S. Zhan and J.-L. Wang, “A simple four-order cross-coupled filter with three transmission zeros,” in Proc. Prog. Electromagn. Res., vol. 8. 2009, pp. 57–68. [7] S. Amari and J. Bornemann, “Using frequency-dependent coupling to generate finite attenuation poles in direct-coupled resonator bandpass filters,” IEEE Microw. Guided Wave Lett., vol. 9, no. 10, pp. 404–406, Oct. 1999. [8] W. Shen, L.-S. Wu, X.-W. Sun, W.-Y. Yin, and J.-F. Mao, “Novel substrate integrated waveguide filters with mixed cross coupling (MCC),” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 11, pp. 701–703, Nov. 2009. [9] K. Gong, W. Hong, Y. Zhang, P. Chen, and C. J. You, “Substrate integrated waveguide quasi-elliptic filters with controllable electric and magnetic mixed coupling,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 10, pp. 3071–3078, Oct. 2012. [10] E. J. Naglich, D. Peroulis, and W. J. Chappell, “Wide spurious free range positive-to-negative inter-resonator coupling structure for reconfigurable filters,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2013, pp. 1–4. [11] A. Anand and X. Liu, “Capacitively tuned electrical coupling for reconfigurable coaxial cavity bandstop filters,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2015, pp. 1–3. [12] A. C. Guyette, “Alternative architectures for narrowband varactor-tuned bandpass filters,” in Proc. Eur. Microw. Integr. Circuits Conf., Sep. 2009, pp. 475–478. [13] P. Wong and I. C. Hunter, “Electronically reconfigurable microwave bandpass filter,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 12, pp. 3070–3079, Dec. 2009. [14] C. H. Kim and K. Chang, “Ring resonator bandpass filter with switchable bandwidth using stepped-impedance stubs,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 12, pp. 3936–3944, Dec. 2010. [15] Y.-C. Chiou and G. M. Rebeiz, “A tunable three-pole 1.5–2.2-GHz bandpass filter with bandwidth and transmission zero control,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 11, pp. 2872–2878, Nov. 2011. [16] H.-J. Tsai, N.-W. Chen, and S.-K. Jeng, “Center frequency and bandwidth controllable microstrip bandpass filter design using loop-shaped dual-mode resonator,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 10, pp. 3590–3600, Oct. 2013.

2560

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

[17] J.-R. Mao, W.-W. Choi, K.-W. Tam, W. Q. Che, and Q. Xue, “Tunable bandpass filter design based on external quality factor tuning and multiple mode resonators for wideband applications,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 7, pp. 2574–2584, Jul. 2013. [18] Y. Deng and K. Wu, “Compact bandpass filter with tunable center frequency and reconfigurable bandwidth,” in Proc. Eur. Microw. Conf., Oct. 2013, pp. 1027–1030. [19] Y.-H. Cho and G. M. Rebeiz, “Two- and four-pole tunable 0.7–1.1-GHz bandpass-to-bandstop filters with bandwidth control,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 3, pp. 457–463, Mar. 2014. [20] C.-C. Cheng and G. M. Rebeiz, “High-Q 4–6-GHz suspended stripline RF MEMS tunable filter with bandwidth control,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 10, pp. 2469–2476, Oct. 2011. [21] A. Anand and X. Liu, “Substrate-integrated coaxial-cavity filter with tunable center frequency and reconfigurable bandwidth,” in Proc. IEEE 15th Annu. Wireless Microw. Technol. Conf. (WAMICON), Jun. 2014, pp. 1–4. [22] T. Yang, K. Ho, and G. M. Rebeiz, “Compact self-shielded 2–3 GHz high-Q coaxial fixed and tunable filters,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 12, pp. 3370–3379, Dec. 2014. [23] S. Sirci, F. Gentili, J. D. Martínez, V. E. Boria, and R. Sorrentino, “Quasi-elliptic filter based on SIW combline resonators using a coplanar line cross-coupling,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2015, pp. 1–4. [24] S. Sirci et al., “Design and multiphysics analysis of direct and crosscoupled SIW combline filters using electric and magnetic couplings,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 12, pp. 4341–4354, Dec. 2015. [25] R. J. Wenzel, “Exact design of wideband equal-ripple bandpass filters with non-adjacent resonator couplings,” in IEEE-MTT-S Int. Microw. Symp. Dig., Jun. 1976, pp. 125–127. [26] L. Szydlowski, A. Lamecki, and M. Mrozowski, “Coupled-resonator waveguide filter in quadruplet topology with frequency-dependent coupling—A design based on coupling matrix,” IEEE Microw. Wireless Compon. Lett., vol. 22, no. 11, pp. 553–555, Nov. 2012. [27] J. D. Martínez, M. Taroncher, and V. E. Boria, “Capacitively loaded resonator for compact substrate integrated waveguide filters,” in Proc. Eur. Microw. Conf., Sep. 2010, pp. 192–195. [28] S. Sirci, J. D. Martínez, M. Taroncher, and V. E. Boria, “Varactor-loaded continuously tunable SIW resonator for reconfigurable filter design,” in Proc. 41st Eur. Microw. Conf., Oct. 2011, pp. 436–439. [29] S. Sirci, J. D. Martínez, M. Taroncher, and V. E. Boria, “Analog tuning of compact varactor-loaded combline filters in substrate integrated waveguide,” in Proc. 42nd Eur. Microw. Conf., Oct./Nov. 2012, pp. 257–260. [30] A. Anand, J. Small, M. S. Arif, M. Sinani, D. Peroulis, and X. Liu, “A novel high-Q u octave-tunable resonator with lumped tuning elements,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2013, pp. 1–3. [31] A. Anand, Y. Liu, and X. Liu, “Substrate-integrated octave-tunable combline bandstop filter with surface mount varactors,” in Proc. IEEE Int. Wireless Symp. (IWS), Mar. 2014, pp. 1–4. [32] A. Anand, J. Small, D. Peroulis, and X. Liu, “Theory and design of octave tunable filters with lumped tuning elements,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 12, pp. 4353–4364, Dec. 2013. [33] H. Wang and Q.-X. Chu, “Generation of transmission zero through electric and magnetic mixed coupling,” in Proc. Int. Conf. Microw. Millim. Wave Technol., Apr. 2007, pp. 1–3.

[34] D. G. Swanson, “Narrow-band microwave filter design,” IEEE Microw. Mag., vol. 8, no. 5, pp. 105–114, Oct. 2007. [35] D. Deslandes and K. Wu, “Analysis and design of current probe transition from grounded coplanar to substrate integrated rectangular waveguides,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 8, pp. 2487–2494, Aug. 2005. [36] R. Levy, “Filters with single transmission zeros at real or imaginary frequencies,” IEEE Trans. Microw. Theory Techn., vol. MTT-24, no. 4, pp. 172–181, Apr. 1976. [37] A. E. Atia and A. E. Williams, “Narrow-bandpass waveguide filters,” IEEE Trans. Microw. Theory Techn., vol. MTT-20, no. 4, pp. 258–265, Apr. 1972. [38] J. B. Thomas, “Cross-coupling in coaxial cavity filters—A tutorial overview,” IEEE Trans. Microw. Theory Techn., vol. 51, no. 4, pp. 1368–1376, Apr. 2003. [39] X. Wang, G. Jang, B. Lee, and N. Park, “Compact quad-mode bandpass filter using modified coaxial cavity resonator with improved Q-factor,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 3, pp. 965–975, Mar. 2015. [40] M. A. El-Tanani and G. M. Rebeiz, “A two-pole two-zero tunable filter with improved linearity,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 4, pp. 830–839, Apr. 2009.

Akash Anand (S’12) received the B.S. and M.S. degrees in electrical engineering from the University of California at Davis, Davis, CA, USA, in 2009 and 2014, where he is currently pursuing the Ph.D. degree. He has been with Intel Corporation, BAE Systems, and Keysight Technologies. He is currently with Nuvotronics Inc., Durham, NC, USA. His current research interests include RF and millimeter-wave circuits, including filters and tunable filters, RFICs and analog ICs, frequency synthesizers, and RF systems.

Xiaoguang (Leo) Liu (S’07–M’10) received the B.S. degree from Zhejiang University, Hangzhou, China, in 2004, and the Ph.D. degree from Purdue University, West Lafayette, IN, USA, in 2010. He is currently an Assistant Professor with the Department of Electrical and Computer Engineering, University of California at Davis, Davis, CA, USA. His current research interests include radio RF-MEMS devices and other reconfigurable highfrequency components, high-frequency integrated circuits, and biomedical and industrial applications of high-frequency communication and sensing systems.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

2561

Ultra Compact Inline E-Plane Waveguide Bandpass Filters Using Cross Coupling Nandun Mohottige, Member, IEEE, Oleksandr Glubokov, Member, IEEE, Uros Jankovic, and Djuradj Budimir, Senior Member, IEEE Abstract— This paper presents novel ultracompact waveguide bandpass filters that exhibit pseudoelliptic responses with the ability to place transmission zeros on both sides of the passband to form sharp rolloffs. The filters contain E-plane extracted pole sections (EPSs) cascaded with cross-coupled filtering blocks. Compactness is achieved by the use of evanescent mode sections and closer arranged resonators modified to shrink in size. The filters containing nonresonating nodes are designed by means of the generalized coupling coefficients’ extraction procedure for the cross-coupled filtering blocks and EPSs. We illustrate the performance of the proposed structures through the design examples of third- and fourth-order filters with center frequencies of 9.2 and 10 GHz, respectively. The sizes of the proposed structures suitable for fabricating using the low-cost E-plane waveguide technology are 38% smaller than ones of the E-plane extracted pole filter of the same order. Index Terms— E-plane filters, extracted pole filters, generalized coupling coefficients (GCCs), inline filters, waveguide filters.

I. I NTRODUCTION

A

S THE electromagnetic spectrum is continually populated, it is becoming increasingly important that microwave filters provide efficient frequency selectivity. Waveguide filters are widely used in fixed wireless communication, as well as for radar and satellite applications, due to their low loss and high power handling capabilities. Furthermore, the developments in such communication systems have placed stringent requirements in terms of the compactness of filtering structures. An efficient approach to achieve size reduction of waveguide filters came with successful implementations of dual-mode filters [1], which reduce the number of required resonators by half. Recent examples of these filters include [2] and [3] exploiting the use of TM modes instead of TE modes to reduce cavity lengths and [4] introducing steps to suppress spurious modes in wider frequency ranges. Nevertheless, currently available dual-mode filters have disadvantages in terms of high design complexity, as well as having time-consuming and costly production.

Manuscript received November 23, 2015; revised May 15, 2016; accepted May 28, 2016. Date of publication June 23, 2016; date of current version August 4, 2016. N. Mohottige, U. Jankovic, and D. Budimir are with the Wireless Communications Research Group, University of Westminster, London W1W 6UW, U.K. (e-mail: [email protected]; [email protected]; [email protected]). O. Glubokov is with the Micro and Nanosystems Group, School of Electrical Engineering, KTH Royal Institute of Technology, Stockholm SE-100 44, Sweden (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2578329

Inserting high-permittivity dielectric resonators (pucks manufactured out of currently available high-performance dielectric materials) into waveguide cavities is another actively used approach to achieve size reduction; the most notable advantage of this method is the realization of extremely high quality factors. Examples of new developments in advanced filtering structures using this approach can be found in [5] and [6]. The drawbacks of using such filters include the increased design complexity, the availability of pucks with required dimensions, and furthermore, they are limited to narrow-band applications. It should also be noted that the attempts to reduce losses by increasing dielectric permittivity, and by reducing resonator volume where the losses are concentrated, are limited by the increase of dielectric’s tanδ. Konishi and Uenakada [7] first introduced the planar circuit mounted E-plane strip in order to address the high costs and design complexities that pertain to waveguide filters, in turn boosting the mass producible characteristics of the filters. However, conventional filters formed out of the planar mounted half-wavelength resonators again pose a disadvantage in terms of size, mainly lengthwise due to the cascading of the resonators. Therefore, one of the approaches that could lead to size reduction is the miniaturization of the resonators. Several such attempts at achieving compactness for this type of structure, at the same time enhancing the filter performance in terms of selectivity and attenuation at stopbands, include the use of the cross-coupled E-plane resonators [8], embedded S-shape resonators [9], and E-plane extracted pole sections (EPSs) [10]. However, the septa widths required for realizing low coupling coefficients between adjacent resonators are another factor that leads to the increase in size. This paper therefore addresses this issue by expanding on the work in [11], in return proposing a class of ultracompact pseudoelliptic E-plane waveguide filters for applications where space is at a premium. II. U LTRACOMPACT E-P LANE F ILTERING M ODULES In this section, we present two basic low-order E-plane waveguide filter structures that will be further used as building blocks for more advanced higher order filters (see Section IV). A. E-Plane Waveguide Singlets Configuration of a novel compact E-plane waveguide singlet is shown in Fig. 1. The structure is composed of two metallic inserts inside a waveguide section centered longitudinally and positioned parallel with the central E-plane, also

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

2562

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

Fig. 1. Arrangement of E-plane inserts within a waveguide housing for the proposed E-plane singlet. (a) Configuration of the assembled module. (b) Configurations of the inserts.

with equal offsets from it. One of the inserts [see Fig. 1(b)] consists of a single wide septum, whereas the other consists of a single fin short circuited onto either top or bottom broad wall of the waveguide. Essentially, the structure shown in Fig. 1 is an evanescent mode filter configuration, since the filter operates below the cut-off frequency of the middle section as it has been narrowed by the wide septum. Moreover, though it has not been implemented here for the sake of ease of fabrication, the path behind the wide septum can be entirely eliminated, leading to characteristic evanescent mode filter cross-sectional size reduction. On the front side of the wide septum, there exist two signal paths. The main one passes through the resonators formed between the fin and the wide septum, and is active at the filter’s operating frequencies. It also has fundamentally strong coupling with input and output waveguide sections because it is centrally positioned. The other one is mainly between the fins and the adjacent sidewall, which creates spurious resonance at significantly higher frequencies. The behavior of the proposed structure, in its current configuration, can be represented through a schematic circuit model with three nodes, introduced in [12]. It consists of a positive source-load coupling due to the wide inductive septum and

Fig. 2. S-parameters of the proposed singlet modules (a) without a gap in wide septum (Gap = 0) and (b) with a gap in wide septum (Gap  = 0).

also has an inductive coupling for both source-resonator and resonator-load couplings due to the H -plane step discontinuity that connects the input/output terminating waveguide sections into the central evanescent mode waveguide section. Thus, the destructive interference leading toward the formation of the transmission zero occurs above the passband, as shown in Fig. 2(a). In order to locate the transmission zero below the passband, it is convenient to change the bypass coupling to capacitive. This can be achieved by changing the wide septum to a wide fin by introducing a gap. As an example, the effect of this simple geometric change in the structure, without altering any other dimensions, is demonstrated by the S-parameter response in Fig. 2(b). B. E-Plane Waveguide Doublet In filter applications, it is also required to develop filtering modules with improved selectivity and stopband attenuation on the both sides of the passband. The singlet presented in the previous section can be easily modified into a second-order block satisfying the requirement. A configuration of the E-plane doublet structure is shown in Fig. 3(a). Here, one of the inserts shown in Fig. 3(b) consists of two fins separated by a narrow septum, whereas the other consists of a wide fin to form a capacitive bypass coupling between the source and the load. The effect this creates can be modeled

MOHOTTIGE et al.: ULTRA COMPACT INLINE E -PLANE WAVEGUIDE BANDPASS FILTERS USING CROSS COUPLING

2563

Fig. 5. Coupling scheme of a symmetric singlet. Solid nodes represent the resonators. Patterned nodes are the NRNs. Black lines represent admittance inverters (with values denoted by J ). The corresponding GCCs are denoted by k and Q ext .

Fig. 6. Analysis of the symmetric singlet using the even–odd mode technique: short and open schematic circuits.

III. E XTRACTION OF G ENERALIZED C OUPLING C OEFFICIENTS OF THE F ILTERING B LOCKS

Fig. 3. Configuration of an E-plane doublet. (a) Arrangement of the E-plane inserts within the waveguide housing. (b) Configuration of the insert with the narrow septum.

In this section, we will address the problem of the generalized coupling coefficient (GCC) extraction from the EM simulated responses for symmetric singlet and doublet filtering blocks connected in series with EPSs. For this purpose, we extract the GCCs of the individual blocks (singlets, doublets, and EPSs), separately. A. GCC Extraction for Singlets

Fig. 4.

Frequency response of the E-plane waveguide doublet.

by a doublet—a filtering module capable of generating two poles and two transmission zeros in both upper and lower stopbands [13]. However, unlike the coupling schematic of the classical doublet, the two bypassed resonators are inductively coupled to each other. This is due to the narrow septum placed between the two fins to reduce the coupling between them; the approach allows us to place the two fins closer to one another, thus saving space in comparison with the configuration without the narrow septum. Frequency response of a typical E-plane waveguide doublet is demonstrated in Fig. 4.

Consider a symmetric singlet, illustrated by a coupling scheme in Fig. 5, which contains a resonator and two nonresonating nodes (NRNs) connected through admittance inverters. Taking advantage of the symmetry of the scheme, the circuit can be analyzed by the even–odd mode technique. The short and open schematic circuits of the singlet, corresponding to the even and odd modes, respectively, are shown in Fig. 6. Therefore, the input admittances for the both cases can be calculated as 1 (1) Yin,e = − j Qe 1 ω − Zo Yin,o = − j · (2) Q o ω − Po where ω is a low-pass prototype frequency variable obtained from the real frequency f by the standard bandpass to low-pass transformation, and the other entries are expressed through the circuit element values B N + JN Qe = (3) Jin2 B N − JN (4) Qo = Jin2 2 2 · JN1 Po = −B1 + (5) B N − JN (6) Zo = −B1 .

2564

Fig. 7.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

2 . (b) K 2 . Extracted GCCs of the E-plane waveguide singlet. (a) K N N1

It can be shown by expressing S21 through the even-mode and odd-mode admittances that the doubly loaded singlet has a finite transmission zero at T Z T Z = −B1 −

2 JN1 . JN

(7)

Combining (3)–(7), we obtain the ratios that completely determine the circuit of interest with respect to a scaling factor BN Jin2 JN Jin2 B1 2 JN1 BN

= = = =

Q ext =

1 (Q e + Q o ) 2 1 (Q e − Q o ) 2 −Zo  −1 2 1 + Po − Zo Zo − T Z BN 1 = (Q e + Q o ). 2 Jin2

(8)

(10) (11) (12)

B. GCC Extraction for Symmetric Doublets

j (B N + JN ) +

2 J N1 s+ j (B1+J1 )

Fig. 9. Analysis of the symmetric doublet using the even–odd mode technique: short and open schematic circuits.

respectively,

Let us consider a symmetric doublet composed of two resonating and two NRNs connected through admittance inverters, as illustrated by a coupling scheme presented in Fig. 8. The symmetric circuit is also analyzed by the even–odd mode technique; the corresponding short and open circuits are shown in Fig. 9. The even-mode input admittance Yin,e is expressed as follows: Yin,e =

Coupling scheme of a symmetric doublet.

(9)

The GCC K N , K N1 , and Q ext are straightforwardly calculated from the above equations. As an example, the extracted GCCs’ K N and K N1 for a singlet designed at 9.2 GHz with 0.2-GHz bandwidth are presented in Fig. 7.

Jin2

Fig. 8.

(13)

where s = j ω. The above admittance Yin,e is purely imaginary, and it has a pole and a transmission zero denoted by Pe and Ze ,

Yin,e = − j

1 ω − Ze · Q e ω − Pe

(14)

where Pe = −B1 − J1 + Ze = −B1 − J1 B N + JN Qe = . Jin2

2 JN1 B N + JN

(15) (16) (17)

Once the pole and zero values are known, the external quality factor for the even-mode case Q e can be calculated at any frequency except of Pe and Ze . For simplicity, we

MOHOTTIGE et al.: ULTRA COMPACT INLINE E -PLANE WAVEGUIDE BANDPASS FILTERS USING CROSS COUPLING

Fig. 10.

2565

Extracted GCCs of the E-plane waveguide doublet. (a) B1 versus L fin . (b) K 2 versus Wsep2 . (c) K 12 versus Gap. (d) K N 2 versus Wsep1 .

take ω = 0 leading to

and (20)–(22)

Ze 1 · . Qe = |Yin,e (ω = 0)| Pe

(18)

Similarly, the following set of equations can be obtained for the odd-mode input admittance: 1 ω − Zo · Q o ω − Po 2 JN1 Po = −B1 + J1 + B N − JN Zo = −B1 + J1 B N − JN Qo = . Jin2

Yin,o = − j

(19) (20) (21) (22)

The odd-mode external Q-factor is extracted as Qo =

Zo 1 · . |Yin,o (ω = 0)| Po

(23)

The unknown ratios between the circuit model parameters, which completely characterize the schematic circuit model of the doublet structure, are obtained by combining (15)–(17)

1 B1 = − (Zo + Ze ) 2 1 J1 = (Zo − Ze ) 2 −1  2 JN1 1 1 = 2· + BN Pe − Ze Po − Zo  −1 2 JN1 1 1 = 2· − JN Pe − Ze Po − Zo 1 BN = (Q e + Q o ) 2 Jin2 1 JN = (Q e − Q o ). 2 Jin2

(24) (25) (26) (27) (28) (29)

√ The GCCs’ K 1 = J1 , K N = JN /B N , and K N1 = JN1 / B N are calculated from (24)–(29) by setting an arbitrary value of Jin , for example, unity. The plots in Fig. 10 have been obtained taking into consideration of the configuration of filter inserts shown in Section II-B. The procedure for determination of the initial dimensions of the two inserts that form the doublet section is processed as follows. First, the length of the metallic fin is varied, while all other dimensions are kept constant until the required susceptance value B2 is extracted. In order to extract the coupling coefficient K N2 , the width of septa (Wsep1 ) is adjusted, while all other dimensions are

2566

Fig. 11.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

E-plane EPS. (a) Schematic. (b) Coupling scheme representation.

kept constant. Coupling coefficient K 2 is extracted next by varying Wsep2 . Following this, the gap in the wide metallic fin in the second insert is adjusted until the required value for K 12 is extracted. It should be mentioned at this point that this method of obtaining physical dimensions does not provide the final solution. However, it endows the initial point at which further fine tuning, either manually or through an optimizer, will be required to achieve the final result. If an optimizer is to be used, it provides the optimization process with certainty of finding acceptable results.

Fig. 12. Arrangement of E-plane inserts for the compact third-order crosscoupled filter.

IV. P ROPOSED F ILTERS In order to illustrate the application of the proposed resonators for compact filters, three different filter structures are presented. The first example is a third-order filter that consists of two EPSs cascaded with a singlet, whereas the second example is a second-order filter consisting of two EPSs cascaded with a doublet section. The third filter consists of three directly coupled resonators bypassed between the source and the load. The following sections will detail the design of these filters. A. Filter I: Compact Third-Order Filter The design of the proposed third-order filter includes a single E-plane EPS cascaded onto either side of a singlet. The E-plane EPSs are created by modifying the existing conventional E-plane resonators via the inclusion of a single metallic fin, located between the two septa and grounded on one side through the top wall of the waveguide housing. The schematic of an EPS contains a resonator connected through an inverter with a frequency invariant reactance (FIR) element, as shown in Fig. 11(a). The shunt FIR element is referred to as an NRN. In the examples to follow, the NRN is a node that resonates at frequencies much higher than the operating frequency of the filter. It is implemented physically in the following examples as a strongly detuned conventional E-plane resonator. A similar representation of an EPS is shown in Fig. 11(b), which is given as a coupling scheme composed of two nodes: resonating and nonresonating. The lines connecting nodes represent inverters. Detail analysis and design of filters based on cascaded E-plane EPS can be found in [10]. The arrangement of the two inserts to form the complete filter structure is shown in Fig. 12. A single EPS has the capability to produce a single pole ( P ). and a transmission zero at ( Z ), the locations of which are described by  Z = −B1

(30)

J2  P = −B1 + 1 . BN

(31)

Fig. 13. Schematic of the compact third-order filter with mixed coupling topologies.

The set of equations above also shows that, in order to place the transmission zero below the passband, the susceptance of the NRN must be of positive sign. The coupling schematic of a third-order filter with mixed topology is shown in Fig. 13. In order to demonstrate the performance of the proposed structure, the third-order filter in Fig. 9 has been designed to satisfy the following specifications: 1) center frequency: 9.2 GHz; 2) ripple bandwidth: 0.2 GHz; 3) return loss: 20 dB; 4) transmission zeros: 8.9, 9.9, and 9.9 GHz. First, the characteristic filter polynomials E(s), F(s), and P(s) that correspond to the S21 and S11 rational functions have been derived using the recursive technique in [14]. Subsequently, the direct synthesis technique for inline filters with NRNs [15] has been applied in order to calculate the element values of the EPSs F(s) E(s) 1 P(s) S21 = ε · E(s) ε = 29.921 S11 =

(32)

P(s) = s 3 − j 10.4545s 2 − 4.3956s − j 139.095 3

(33)

2

F(s) = s + j 0.0196s + 0.7596s − j 0.00954 (34) 3 2 E(s) = s + (2.2301 + j 0.0160)s + (3.3853 + j 0.08231)s + (2.5830 − j 0.12742). At the end of the synthesis process, we are the following values for the elements in the schematic as shown in Fig. 13: Jin = 1, J12 = JN1 = 4.8983, JN2 = 0.885, B N1 = B1 = −5.4020, and B2 = 0.50901.

(35) left with coupling −0.3954, −4.5810,

MOHOTTIGE et al.: ULTRA COMPACT INLINE E -PLANE WAVEGUIDE BANDPASS FILTERS USING CROSS COUPLING

2567

Fig. 14. Arrangement of E-plane inserts for the compact fourth-order cross-coupled filter. Fig. 16. Tolerance analysis (±50 μm) on insert dimensions (lengths and widths of metallic fins) of the proposed fourth-order cross-coupled filter.

Fig. 15.

Schematic of the fourth-order compact cross-coupled filter.

B. Filter II: Compact Fourth-Order Filter This section presents the development of a fourth-order ultracompact cross-coupled filter utilizing two EPSs together with the proposed doublet in Section II-B. The configuration of the filter inside waveguide housing is shown in Fig. 14. The low-pass prototype network that represents the proposed structure is given in Fig. 15. As an example to demonstrate the performance of the fourth-order cross-coupled filter, the structure in Fig. 14 was designed and simulated for the following specifications: 1) center frequency: 10 GHz; 2) ripple bandwidth: 0.25 GHz; 3) return loss: 20 dB; 4) transmission zeros: 9.5, 10.5, 10.5, and 10.5 GHz. The characteristic filter polynomials E(s), F(s), and P(s) that corresponds to the S21 and S11 rational functions are given in P(s) = s 4 − j 7.61s 3 + 2.35s 2 − j 128.24s − 244.41

(36)

F(s) = s − j 0.267s + 0.981s − j 0.201s + 0.115 (37) E(s) = s 4 + (2.137 − j 0.267)s 3 + (3.263 − j 0.656)s 2 4

3

2

+ (2.752 − j 0.997)s + (1.115 − j 0.731) ε = 184.0341.

(38)

The following values for the elements of the schematic in Fig. 15 were obtained at the end of the synthesis process: Jin = 1, JN1 = 4.0301, JN2 = 0.8719, J12 = −0.0364, J2 = 0.7179, B N1 = −3.8665, B1 = −4.2848, and B2 = 0.0442. A sensitivity analysis with a tolerance limit of ±50 μm with respect to the insert dimensions (lengths and widths of fins) and ±100 μm with respect to the alignment between the two inserts, as well as the inserts and the side walls, has been performed. The results obtained are provided in Figs. 16 and 17. It can be observed that the proposed structure is quite sensitive to variation in the insert dimension, especially the length of the metallic fins that form the resonators.

Fig. 17. Tolerance analysis (±100 μm) with respect to the alignment between the two inserts as well as the inserts and the housing of the proposed fourth-order cross-coupled filter.

C. Filter III: Third-Order Filter Using Source-Load Coupling This section presents a third-order filter with source-load coupling. The filter is formed by simply extending the singlet section described previously, where one of the inserts consists of a single wide septum and the other consists of three parallel fins short circuited on alternating sides, like in an interdigital array. Furthermore, narrow septa are placed coplanar between the fins, helping to reduce unwanted cross couplings between adjacent resonators. Consequently, the fins can be shifted much closer to each other, in return contributing toward reduction of the overall length of the structure. In terms of equivalent circuit, as stated previously, the wide septum forms bifurcated waveguide section with couplings to the source and load being effectively inductive waveguide discontinuities in the form of H -plane steps [16]. Likewise, septa between resonators can be viewed as inductive discontinuities, forming inverters when absorbing additional waveguide sections around them. Resonators themselves can be locally modeled as stripline quarter-wavelength resonators. Finally, the wide septum determines the bypass path to behave as inductive coupling. The configuration and the coupling scheme of the proposed structure, taking into consideration the spurious resonance, are shown in Fig. 18(a) and (b). However, this additional spurious resonant node could be ignored if only the response surrounding the passband is of interest [17]. Symbols C13 , C24 , and C36 represent additional parasitic couplings that may exist within the structure.

2568

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

Fig. 18. Third-order compact filter. (a) Arrangement of E-plane inserts within a waveguide housing. (b) Coupling schematic taking into account spurious resonance. Fig. 20. Layout of the second E-plane insert for the proposed Filter I (Section IV-A).

Fig. 19. Layout of the E-plane insert for cross coupling in all the three proposed filters.

The coupling matrix for a third-order filter that was designed at the center frequency of 9.4 GHz with 0.5-GHz bandwidth and a transmission zero at 10.4 GHz has been obtained through an optimization routine and is given as ⎡ ⎤ 0 0.888 0.024 0 0.145 0 ⎢ 0.888 0 0.672 0.042 0 0 ⎥ ⎢ ⎥ ⎢ 0.024 0.672 ⎥ 0 0.672 0 0.024 ⎢ ⎥. M =⎢ ⎥ 0 0.042 0.672 0 0 0.888 ⎢ ⎥ ⎣ 0.145 0 0 0 −14.4 0.145 ⎦ 0 0 0.024 0.888 0.145 0 (39)

Fig. 21. Layout of the second E-plane insert for the proposed Filter II (Section IV-B).

V. R ESULTS Three ultracompact waveguide filters (center frequencies: 9.2, 10, and 9.4 GHz) with cross coupling have been designed in CST Microwave Studio and fabricated using the E-plane technology, which utilizes a pair of copper inserts within a standard WR-90 (22.86 × 10.16 mm2 ) rectangular waveguide housing. The inserts in Figs. 19–22, with the dimensions given in Tables I–III, have been plotted on a copper foil with 0.1-mm thickness. S-parameters have been measured using the Agilent E8361A vector network analyzer. Comparisons of the results obtained from schematic, simulation, and measurements for the three structures are given in Figs. 23–25. Taking into consideration the inaccuracies of some of the dimensions during fabrication of the waveguide housing that was hand crafted, the measured results show good agreement with that of the simulated. The insertion losses for the three filters of around 1.5 dB for the fabricated filters described

Fig. 22. Layout of the second E-plane insert for the proposed Filter III (Section IV-C). TABLE I D IMENSIONS (mm) OF THE I NSERTS (F IGS . 19 AND 20) FOR F ILTER I

in Sections IV-A and IV-B and 1.0 dB for the fabricated filter described in Section IV-C, which can be observed in Figs. 21–23, are mainly due to signal leakage through

MOHOTTIGE et al.: ULTRA COMPACT INLINE E -PLANE WAVEGUIDE BANDPASS FILTERS USING CROSS COUPLING

2569

TABLE II D IMENSIONS (mm) OF THE I NSERTS (F IGS . 19 AND 21) FOR F ILTER II

TABLE III D IMENSIONS (mm) OF THE I NSERTS (F IGS . 19 AND 22) FOR F ILTER III

Fig. 23.

Simulated and measured frequency responses of Filter I.

Fig. 24.

Simulated and measured frequency responses of Filter II.

the imperfect custom made waveguide housing. A slight shift in the transmission zeros can also be observed for Filter III, which is mainly due to inaccuracies of the inner

Fig. 25.

Simulated and measured frequency responses of Filter III.

Fig. 26.

View of the fabricated Filter I.

Fig. 27.

View of the fabricated Filter II.

channel dimensions. Further contributions to these are imperfections in alignment of the two inserts within the waveguide housing and tolerances encountered during fabrication process such as the limitation to accuracy that the plotter reaches. A sensitivity analysis with a tolerance limit of ±50 μm with respect to the insert dimensions and ±100 μm with respect to the alignment between the two inserts, as well as the inserts and the side walls, has been provided for Filter II in order to demonstrate the feasibility of these proposed filters. The measured results of the proposed filters can be further improved through meticulous use of the available tools and through an accurate construction of the waveguide housing using precision equipment. The photographs of the three fabricated filter prototypes are shown in Figs. 26–28, respectively. VI. D ISCUSSION A. Size and Losses In order to demonstrate size reduction achieved by the proposed filters, we designed four E-plane filters with

2570

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

B. Limitations It is possible to design filters with wider bandwidths using the proposed approach. However, this results in narrowing of the septa as well as the fins in order to obtain the required couplings. For a filter designed for a center frequency of 9.7 GHz with 12% fractional bandwidth, the widths of the metallic fins and the septa are 0.5 and 0.7 mm, respectively. Any further increase in fractional bandwidth would lead to further narrowing of these dimensions. Therefore, the bandwidth limitation is due to physical realization of the filter dimensions. Fig. 28.

View of the fabricated Filter III.

VII. C ONCLUSION TABLE IV C OMPARISON OF THE P ROPOSED F ILTERS WITH C ONVENTIONAL E- PLANE WAVEGUIDE F ILTERS 1

TABLE V Q- FACTORS ’ C OMPARISON B ETWEEN THE P ROPOSED AND C ONVENTIONAL R ESONATORS

identical specifications using traditional approaches, such as [7] and [10]. Comparison between the sizes of conventional E-plane EPSs and proposed filters is provided in Table IV. It is evident that the proposed structures are approximately 70%–74% more compact compared with a conventional E-plane filter with a similar response and 35% smaller than standard E-plane extracted pole filters of the same order. In addition, the filters have improved upper and lower stopband selectivity due to a transmission zero generated through source-load or inter-resonator cross coupling. For each one of these sections, we used the eigenmode solver in CST Microwave Studio to compute their resonant frequencies and the corresponding electromagnetic field patterns with no excitation applied. Subsequently, we estimated unloaded quality factors (Q U ) through the inbuilt tool for loss and Q calculation using the obtained field solutions. Table V summarizes the Q-factors of a conventional E-plane resonator, E-plane EPS, and the proposed singlets and doublets. One can see that the Q U of the proposed structures dropped by 34% for the singlet and almost by 50% for the doublet compared with the conventional E-plane resonator. In other words, the size reduction has been achieved at the cost of increased losses. However, it should be remarked that the estimated Q-factors of the presented structures are still high.

In this paper, we have proposed novel ultracompact E-plane waveguide filters that exhibit pseudoelliptic frequency responses with the ability to place transmission zeros in both the upper and lower stopbands. Three examples of such filters were given, two of which use EPSs cascaded with proposed cross-coupled modules, whereas the third consists of three resonators bypassed between the source and the load. A GCC extraction procedure has been provided, which can facilitate the development of these filters. A tolerance analysis conducted showed that the filters are sensitive to variation in the dimensions of fins that represent quarterwave resonators. Inherently, the proposed structures are more sensitive to fabrication tolerances in comparison with conventional E-plane filters. However, only the copper insert (which is cheap to fabricate) is needed to be changed in order to realize different filter characteristics. In order to validate the performance of these filters, they have been fabricated and tested. S-parameter responses of the fabricated prototypes show a reasonably good agreement with that of the simulated, even considering low accuracies of the fabrication device used, especially when fabricating the custom aluminum split block waveguide housing. R EFERENCES [1] A. E. Atia and A. E. Williams, “Narrow-bandpass waveguide filters,” IEEE Trans. Microw. Theory Techn., vol. 20, no. 4, pp. 258–265, Apr. 1972. [2] S. Bastioli, C. Tomassoni, and R. Sorrentino, “A new class of waveguide dual-mode filters using TM and nonresonating modes,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 12, pp. 3909–3917, Dec. 2010. [3] C. Tomassoni, S. Bastioli, and R. Sorrentino, “Generalized TM dualmode cavity filters,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 12, pp. 3338–3346, Dec. 2011. [4] H. Hu, K.-L. Wu, and R. J. Cameron, “A design technique for stepped circular waveguide dual-mode filters for broadband contiguous multiplexers,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2011, pp. 1–4. [5] L. Pelliccia, F. Cacciamani, C. Tomassoni, and R. Sorrentino, “Ultracompact filters using TM dual-mode dielectric-loaded cavities with asymmetric transmission zeros,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2012, pp. 1–3. [6] H. Hu and K.-L. Wu, “A TM11 dual-mode dielectric resonator filter with planar coupling configuration,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 1, pp. 131–138, Jan. 2013. [7] Y. Konishi and K. Uenakada, “The design of a bandpass filter with inductive strip—Planar circuit mounted in waveguide,” IEEE Trans. Microw. Theory Techn., vol. MTT-22, no. 10, pp. 869–873, Oct. 1974. [8] E. Ofli, R. Vahldieck, and S. Amari, “Novel E-plane filters and diplexers with elliptic response for millimeter-wave applications,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 3, pp. 843–851, Mar. 2005. [9] N. Suntheralingam and D. Budimir, “Enhanced waveguide bandpass filters using S-shaped resonators,” Int. J. RF Microw. Comput.-Aided Eng., vol. 19, no. 6, pp. 627–633, Nov. 2009.

MOHOTTIGE et al.: ULTRA COMPACT INLINE E -PLANE WAVEGUIDE BANDPASS FILTERS USING CROSS COUPLING

[10] O. Glubokov and D. Budimir, “Extraction of generalized coupling coefficients for inline extracted pole filters with nonresonating nodes,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 12, pp. 3023–3029, Dec. 2011. [11] N. Mohottige, U. Jankovic, and D. Budimir, “Ultra compact pseudoelliptic inline waveguide bandpass filters using bypass coupling,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2014, pp. 1–4. [12] S. Amari, U. Rosenberg, and J. Bornemann, “Singlets, cascaded singlets, and the nonresonating node model for advanced modular design of elliptic filters,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 5, pp. 237–239, May 2004. [13] S. Amari and U. Rosenberg, “A universal building block for advanced modular design of microwave filters,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 12, pp. 541–543, Dec. 2003. [14] R. J. Cameron, C. M. Kudsia, and R. Mansour, Microwave Filters for Communication Systems: Fundamentals, Design and Applications. Hoboken, NJ, USA: Wiley, 2007. [15] S. Amari and G. Macchiarella, “Synthesis of inline filters with arbitrarily placed attenuation poles by using nonresonating nodes,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 10, pp. 3075–3081, Oct. 2005. [16] T. Itoh, Ed., Numerical Techniques for Microwave and Millimeter-Wave Passive Structures. Hoboken, NJ, USA: Wiley, 1989. [17] S. Amari and U. Rosenberg, “Characteristics of cross (bypass) coupling through higher/lower order modes and their applications in elliptic filter design,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 10, pp. 3135–3141, Oct. 2005. Nandun Mohottige (S’11–M’16) received the B.Eng. degree in electronics engineering and the Ph.D. degree in electronic and computer science from the University of Westminster, London, U.K., in 2010 and 2015, respectively. He has been with the Wireless Communications Research Group, Faculty of Science and Technology, University of Westminster, since 2011. His current research interests include design and analysis of miniaturized waveguide filters.

Oleksandr Glubokov (S’10–M’11) received the B.Eng. and M.Sc. degrees in telecommunications from the National Technical University of Ukraine, Kiev, Ukraine, in 2005 and 2007, respectively, and the Ph.D. degree in electronic and electrical engineering from the University of Westminster, London, U.K., in 2011. He was a Post-Doctoral Research Fellow with Reykjavík University, Reykjavik, Iceland, from 2012 to 2014. He holds a post-doctoral position with the KTH Royal Institute of Technology, Stockholm, Sweden, where he is involved in MEMS THz Systems Project with Microand Nanosystems. His current research interests include sub-THz filter design and numerical optimization of sub-THz circuits.

2571

Uros Jankovic received the Dipl.-Ing. and M.Sc. degrees in electrical engineering and computer science from the University of Belgrade, Belgrade, Serbia, in 2011 and 2013, respectively. He is currently pursuing the Ph.D. degree at the University of Westminster, London, U.K. His current research interests include waveguide filters.

Djuradj Budimir (M’93–SM’02) received the Dipl.-Ing. and M.Sc. degrees in electronics engineering from the University of Belgrade, Belgrade, Serbia, and the Ph.D. degree in electronic and electrical engineering from The University of Leeds, Leeds, U.K. He joined the Department of Electronic and Electrical Engineering, Kings College London, University of London, London, U.K., in 1994. Since 1997, he has been with the Faculty of Science and Technology, University of Westminster, London, U.K., where he is currently a Reader of wireless communications and leads the Wireless Communications Research Group. He has authored or co-authored over 300 papers in the most reputable journals, such as the IEEE T RANS ACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES and other prestigious journals and conferences. He authored Generalized Filter Design by Computer Optimization (Artech House, 1998) and Software and User’s Manual EPFILWaveguide E-plane Filter Design (Artech House, 2000), and a chapter in the Encyclopedia of RF and Microwave Engineering (Wiley, 2005). His current research interests include design of circuits from RF through microwave to millimeter-wave frequencies for 4G and 5G wireless communications, WLAN, WPAN, UWB, wireless sensors, Internet of Things, and biomedical applications. Dr. Budimir was the recipient of awards for his journal papers. He is a Member of the EPSRC Peer Review College and a Charter Engineer. He serves as an Associate Editor for Electronic Letters. He is a Local Co-Chair of the European Microwave Conference in 2016 and a Member of the Technical Program Committee of several conferences.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

W -Band Waveguide Filters Fabricated by Laser Micromachining and 3-D Printing Xiaobang Shang, Member, IEEE, Pavel Penchev, Cheng Guo, Michael J. Lancaster, Senior Member, IEEE, Stefan Dimov, Yuliang Dong, Mirko Favre, Mathieu Billod, and Emile de Rijk Abstract— This paper presents two W-band waveguide bandpass filters, one fabricated using laser micromachining and the other 3-D printing. Both filters are based on coupled resonators and are designed to have a Chebyshev response. The first filter is for laser micromachining and it is designed to have a compact structure allowing the whole filter to be made from a single metal workpiece. This eliminates the need to split the filter into several layers and therefore yields an enhanced performance in terms of low insertion loss and good durability. The second filter is produced from polymer resin using a stereolithography 3-D printing technique and the whole filter is plated with copper. To facilitate the plating process, the waveguide filter consists of slots on both the broadside and narrow side walls. Such slots also reduce the weight of the filter while still retaining the filter’s performance in terms of insertion loss. Both filters are fabricated and tested and have good agreement between measurements and simulations. Index Terms— Filter, laser micromachining, micromachining, 3-D printing, waveguide, W-band.

I. I NTRODUCTION ITH frequencies rising to 100 GHz and beyond, the waveguide is becoming more and more popular, mainly due to its low loss characteristics. Conventionally, the waveguides are produced from metal through precisely controlled CNC milling or sometimes electroforming. Waveguide components fabricated by CNC milling with good measured performance have been demonstrated; examples can be found in W -band [1], [2], WR-4 band [3], and WR-3 band [4]. As the frequencies continue to increase, waveguide features are getting smaller and demanding tighter tolerances. CNC milling may fail to fulfill these

W

Manuscript received December 07, 2015; revised May 06, 2016; accepted May 25, 2016. This work was supported by the U.K. Engineering and Physical Sciences Research Council (EPSRC) under Contract EP/M016269/1. X. Shang and M. J. Lancaster are with the Department of Electronic, Electrical and Systems Engineering, University of Birmingham, Birmingham B15 2TT, U.K. (e-mail: [email protected]; m.j.lancaster@ bham.ac.uk). P. Penchev and S. Dimov are with the Department of Mechanical Engineering, University of Birmingham, Birmingham B15 2TT, U.K. (e-mail: [email protected]; [email protected]). C. Guo is with the Department of Electronic, Electrical and Systems Engineering, University of Birmingham, Birmingham B15 2TT, U.K., and also with the School of Physical Electronics, University of Electronic Science and Technology of China, Chengdu 610054, China (e-mail: [email protected]). Y. Dong is with the School of Physical Electronics, University of Electronic Science and Technology of China, Chengdu 610054, China (e-mail: [email protected]). M. Favre, M. Billod, and E. de Rijk are with Swissto12 SA, EPFL Innovation Park, Lausanne 1015, Switzerland (e-mail: [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2574839

demands due to its intrinsic limitations with regard to available cutter sizes, the wear or breakage of cutters, generation of defects and cracks due to mechanical stresses, and achievable aspect ratios. In addition, CNC machines are very expensive when tight tolerances are required. Alternative manufacturing technologies have been actively explored to cope with the demand for high-dimensional accuracy and good surface quality for waveguide devices at millimeter-wave and submillimeter-wave frequencies. Among them, three techniques have been attracting the most attention and they are silicon deep reactive ion etching (DRIE) [5]–[8], LIGA-based thick resist electroplating [9], [10], and SU8 layered process [11]–[13]. Waveguides produced using these techniques are usually built from several silicon or polymer layers that are then metalized to achieve a good electrical conductivity. Then, the layers have to be assembled with high accuracy to form the waveguide devices. Such fabrication routes require multistep processing and clean room technologies. This makes the photoresist-based fabrication approaches relatively capital intensive and thus potentially viable only for relatively high batch sizes or for high added value components for application in niche markets. In addition, these methods have intrinsic limitations regarding the materials that can be processed and the type of structures that can be used in the design (e.g., only single height waveguide features are permitted in every layer). Laser micromachining is another very attractive alternative fabrication technique. Compared with SU8- or siliconbased processes, laser micromachining offers some appealing advantages. 1) It allows all metal devices to be fabricated, and this is ideally suited to scenarios where a higher thermal stability of the devices is required. 2) It is capable of producing 3-D waveguide structures with varying depths (or heights) from one workpiece and thus eliminates the need for splitting the device into several layers and then assembling them with a high accuracy. This could yield an improved insertion loss and ultimately a better performance. 3) It is a direct write approach and small-to-medium-size batches of devices can be produced cost effectively while having a higher flexibility to introduce modification in the design. In comparison with CNC milling, laser micromachining can achieve smaller feature sizes with greater dimensional and geometrical accuracy. There is no tool wear or machine vibration due to cutting forces, as it is a noncontact process.

This work is licensed under a Creative Commons Attribution 3.0 License. For more information, see http://creativecommons.org/licenses/by/3.0/

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

Fig. 1. Illustration of the W -band filter based on one single piece. This filter is for laser micromachining. (a) Overview of the filter including holes for UG-387 flange screws and pins. The turquoise blue surface represents the air volume inside the device. (b) Diagram of the filter structure with test input and output. Input/output waveguides are not parts of the filter. a = 2.54, b = 1.27, and d = 0.1. (c) Schematic top view diagram of the filter. The black rectangle represents the input/output waveguide of the test equipment. The blue hatched area stands for the coupling slots between resonators, and the resonators are represented using different colors. l1 = 1.941, l2 = 1.683, g1 = 1.252, g2 = 0.995, t = 0.5, h = 0.5, and v = 0.536 (mm).

In this paper, we introduce a laser-based micromachining technique for the fabrication of high-quality waveguide components incorporating features with varying depths. Laser micromachining is cost effective only when a relatively small volume of material has to be removed. Therefore, a hybrid manufacturing approach combining CNC milling with laser micromachining is proposed. More specifically, the conventional milling technology is employed to produce the mesoscale features such as assembly holes for alignment and fixing to a flange and thus to achieve a higher material removal rate. The functional filtering features of the waveguide devices are fabricated using laser micromachining to offer a relatively higher dimensional accuracy and good surface integrity. As a test of viability of the proposed technique, a fourth-order W -band filter, as shown in Fig. 1, is designed and fabricated using this hybrid process. This filter is designed to have a unique structure permitting double-side processing in a single setup, i.e., the entire filter structure can be made in one setup, without the need to mount/dismount the device several times. This yields a more accurate alignment and reduces setting up and machining time. Laser micromachining is reported to be utilized for the fabrication of various optical or quasioptical components, such as metal mesh filters [14]. However, it is rarely utilized to produce submillimeter-wave waveguide components, except notably for a 2-THz horn antenna cut from silicon [15]. Here, for the first time, we present devices made from metal substrates directly. This eliminates the need for a

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 2. Configurations of the fifth-order W -band filter with both broadside and narrow side slots. This filter is fabricated using 3-D printing. (a) Overview of the whole filter including two UG-387 flanges for microwave measurements. (b) Configuration of half of the filter, which is split along the dashed line shown in (a). (c) Schematic top view diagram of the filter (drawing not to scale). The blue rectangle stands for the slots on the middle of broadside wall. a = 2.54, l1 = l5 = 1.311, l2 = l4 = 1.572, l3 = 1.63, g1 = 1.783, g2 = 1.447, g3 = 1.352, gslot = 0.5, tiris = 0.5, and twall = 1 (mm).

metallization step and therefore yields a lower production cost with better durability of the devices. Over the past two decades, there has been an increasing interest in the application of 3-D printing (also known as additive manufacturing) to manufacture components with high geometrical complexity. Some of the 3-D printing techniques have attracted a significant commercial interest and they are fused deposition modeling, stereolithography apparatus (SLA), and selective laser sintering (SLS) [16]. Among them, SLS is capable of printing all solid metal structures; however, such all metal components usually suffer from relatively poor electrical conductivities (high dissipative losses), considerable surface roughness, and dimensional inaccuracy [16]. SLA has found the most application in the production of passive waveguide components, as it offers the highest resolution and the best surface integrity [16]. In the open literature, 3-D printed antennas (see [17], [18]) and filters (see [18], [19]) are already reported. The merits of components made by 3-D printing are reduced fabrication time, reduced component weight (if made from plastics and plated with metal), elimination of the need for assembly, and increased design flexibility. In this paper, a W -band waveguide filter (as shown in Fig. 2) is designed for an SLA-based 3-D printing technique with polymers. The filter is designed to have slots on both broadside and narrow side walls. This reduces the weight even further and facilitates the metal plating process allowing easy flowing of solution, while at the same time not having the penalty of degraded insertion loss. To date, most 3-D printed waveguide filters have been at frequencies well below 100 GHz, apart from a 107-GHz filter reported in [16]. The 3-D printing technology is improving with filters and components expected to increase in frequency. The filter presented here is centered

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SHANG et al.: W-BAND WAVEGUIDE FILTERS FABRICATED BY LASER MICROMACHINING AND 3-D PRINTING

Fig. 3. Simulated S-parameters for the laser machined filter (solid lines) together with S-parameters of a conventional H-plane iris filter (dashed lines) with the same specifications.

at 90 GHz and represents one of the two highest frequency 3-D printed filters demonstrated to date. Because this paper presents both laser micromachining and 3-D printed filters, it enables a comprehensive comparison of these two emerging technologies. This paper is organized as follows. Designs and structure details of the two filters are presented in Sections II and III, which is followed by a description of fabrication procedures in Section IV. Measurements and discussions are presented in Section V, and finally conclusions are given in Section VI. II. L ASER M ACHINED F ILTER The proposed filter for laser micromachining is shown in Fig. 1. It is based on four coupled resonators operating in the TE101 mode and has a Chebyshev response. The filter is designed by following a synthesis technique as described in [20] to have a center frequency of 100 GHz, an equal ripple bandwidth of 4%, and a passband return loss of 20 dB. To meet the filter specifications, the external Q and coupling coefficients between resonators are calculated to be [20] Q e1 = Q e4 = 23.285, m 12 = m 34 = 0.0365, and m 23 = 0.028. In order to be compatible with the laser micromachining process, the filter utilizes a special structure, as shown in Fig. 1(b). For this structure, the displacements between the feed waveguide and the first/fourth resonator control the external coupling (Q e ). The first and second resonators (or the third and fourth resonators) are coupled through an inductive iris and the coupling between resonators 2 and 3 is via a slot. Full-wave modeling for this filter is carried out using CST Microwave Studio (version 2015). Fig. 1(c) shows the detailed dimensions of this filter. The simulation results of the filter are shown in Fig. 3. The responses of a conventional H -plane iris coupled filter, with the same specification, is also included for comparison. As can be observed in Fig. 3, the outof-band rejection of the laser machined filter is comparable to that of a conventional filter, but showing a slightly poorer

3

Fig. 4. Section view of a weakly coupled resonator with slots. a = 2.54, l = 2.206, twall = 1, and gslot = 0.5 (mm).

rejection at the lower stopband. For the laser machined filter, both the input/output couplings and the coupling between resonators 2 and 3 are provided by structures that are equivalent to capacitive irises, and such irises are in fact resonant irises with their resonance frequency centered at the TE10 mode cut off of the feeding waveguide [21]. This is the reason for the poorer rejection at the lower stopband. In addition, the input/output coupling structures have a limitation on the filter’s achievable bandwidth. It is difficult to obtain very low external quality factors, i.e., very large input/output coupling coefficients. According to CST simulations, the lowest external Q is calculated to be around 9 and this corresponds to a maximum fractional bandwidth of 10%. Despite the limitation on bandwidth and a relatively poor rejection at the lower stopband, in addition to the compatibility with laser machining, the filter offers the following advantages. 1) Lower insertion loss, as the first and last resonators are connected directly with the test ports (without the need to have connection waveguide at the two filter ends). 2) A standalone component eliminating any internal joints, which usually yields extra loss or requires precision assembling. 3) A reduction in size (more compact structure). III. 3-D P RINTED F ILTER The second filter, for 3-D printing, is a fifth-order Chebyshev filter with a center frequency of 90 GHz and an equal ripple bandwidth of 10 GHz. The passband return loss is designed to be 20 dB. Configurations of this filter are shown in Fig. 2. As demonstrated in [22], two long slots, as wide as 9% of the waveguide internal width a, in the middle of broad side walls, do not contribute to any significant radiation loss to the filter. Here, the 3-D printed filter incorporates two such slots with a width of 0.5 mm. It also includes slots on the narrow side walls, as shown in Fig. 2(a) and (b). To understand the influence of slots on narrow side walls, a weakly coupled resonator, as shown in Fig. 4, is first considered. Simulations are performed in CST with a perfect electrical conductor;

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 6. Detailed design of the laser-based process chain for the fabrication of a laser machined filter.

inductive irises coupled filter with the same specifications but with no slots, as can be observed in Fig. 5(b). In addition, as shown in Fig. 5(a), the filter exhibits a relatively poor higher stopband (i.e., asymmetrical |S21 | response), and this is attributed to the effect of resonances at higher harmonic frequencies and higher order modes. Fig. 5. (a) Simulated S-parameters for the 3-D printed filter. (b) Expanded view of S21 responses over the passband. The S21 responses of a conventional fifth-order H -plane iris filter (without slots) are also shown for comparison. All simulations are performed in CST using a conductivity of copper (5.96 × 107 S/m).

in other words, conductor loss is not taken into account. The quality factor of this resonator can be extracted from its simulated S21 response [20], and this indicates the amount of power radiated laterally out of the filter. Care must be taken to make the external couplings very weak so their influence on the radiation quality factor is negligible. As shown in Fig. 4, the resonator includes two slots at each side and each slot has a width of g (one third of resonator length) and a height of b. The radiation Q for the resonator with slots on narrow side walls is calculated to be around 99 000. After adding two slots on broadside walls, the radiation Q reduces to be around 52 000. This is still significantly larger than the quality factor associated with conductor loss, which is 2800 (calculated using a conductivity of copper and a resonant frequency of 90 GHz). It implies that slots on both the narrow side and broadside walls do not introduce any notable radiation. This is due to the fact in both cases, the current flowing paths are not cut by these slots. From the filter’s specifications, the external Q and nonzero coupling coefficients are calculated to be [20] Q e1 = Q e5 = 8.7426, m 12 = m 45 = 0.0962, and m 23 = m 34 = 0.0707. From these coupling coefficients, the dimensions of this filter can be extracted by following the procedure in [20]. The final dimensions are given in Fig. 2(c). Their corresponding simulated responses are shown in Fig. 5. This filter with slots exhibits an insertion loss very close to that of a conventional

IV. FABRICATION D ETAILS The laser machined filter is fabricated by utilizing a multistage processing chain (as shown in Fig. 6), which integrates conventional milling with laser micromachining and thus creates a novel manufacturing solution that exploits the specific advantages of both processes, i.e., the high removal rates of milling for machining of the alignment and fixing holes and the high machining resolution of laser processing for the fabrication of the small functional features. The implemented process chain includes: 1) a standard CNC milling machine and 2) laser micromachining platform that integrates an Yb-doped subpico 5-W laser sources (from Amplitude Systems). This operates at a central wavelength of 1030 nm and has a maximum repetition rate of 500 kHz. The system includes a 3-D scan head together with a stack of three linier and two rotary stages. It also includes a 100-mm telecentric focusing lens with a machining field view of 35 mm × 35 mm and with a beam spot diameter (at the focal plane) of 45 µm. The fabrication steps of the laser machined filter can be summarized as follows. 1) Milling of the alignment and fixing holes on a brass plate. 2) Fixing of the brass plates on a modular workpiece holding device for the follow-up two-side laser machining of the waveguide functional structures. 3) Laser machining of one side of the filter structure. 4) Multiaxis machining employing the rotary stages to access sidewalls and produce vertical sidewalls (∼90°). 5) Repositioning of the workpiece holding device at 180° employing one of the rotary stages and thus to gain access to the opposite side of the waveguide and then repetition of Steps 3) and 4).

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SHANG et al.: W-BAND WAVEGUIDE FILTERS FABRICATED BY LASER MICROMACHINING AND 3-D PRINTING

5

Fig. 7. (a) Photograph of the laser machined filter and the measurement setup. (b) Photograph of the 3-D printed filter and the measurement setup. Both filters are connected to two waveguides to coaxial adaptors, which are then connected to the test port of the network analyzer.

6) Inspection of the produced waveguide features using the Alicona InfiniteFocus microscope system to quantify their dimensional deviations from the nominal ones. 7) Final laser machining operations if there are any deviations from the nominal dimensions of the waveguide structures. The utilized laser machining parameters are the average power of 4.2 W, pulse repetition frequency of 125 kHz, beam scanning speed of 0.5 m/s, and hatch pitch of 4 µm with a random hatching orientation. The total machining time is 90 min inclusive of the time required for alignment, repositioning, and inspection of the laser produced waveguide. Note that Step 5) involves rotations of stage and such machining operations have an evaluated accuracy, repeatability, and reproducibility better than 10 µm [23]. Furthermore, through careful optimization of the laser processing parameters, Step 7) could be eliminated from the process chain due to the very good repeatability of the laser micromachining operations. Further details of the process are given in [23]. It should be noted that the laser micromachining is capable of achieving a tolerance within 10 µm. This is for the machine used in the production of the filters and the tolerance is expected to improve considerably over time. This is a new technology and expectations are high. State-of-the-art CNC milling may achieve slightly tighter tolerance; however, it suffers from other problems such as very expensive milling machines to achieve it as well as breakage of cutters, availability of small cuter size, and generation of defects and cracks due to mechanical stresses. From this perspective, laser micromachining could be a promising alternative. The 3-D printed filter is fabricated using a stereolithographic printing technique at Swissto12 [24]. The filter is

Fig. 8. Measurement results (solid lines) and simulation results (dashed lines) of the laser machined filter. (a) Responses over the whole W -band. (b) Expanded view of S21 over passband. The simulations are performed in CST using a conductivity of brass (2.74 × 107 S/m).

printed out of nonconductive photosensitive resin layer by layer employing a UV laser and is subsequently coated with a 10-µm-thick copper all around. Then, the whole filter is passivated with a thin (around 100 nm) layer of gold to prevent it from oxidation. The final component has integrated selfaligning UG-387 flanges (including pins and screws) for quick and reliable connections, as shown in Fig. 7. More details about the fabrication process are provided in [24] and [25]. V. M EASUREMENT AND D ISCUSSION The S-parameter measurements of the two filters are performed on an Agilent E8361A network analyzer subject to a short–open–load–thru calibration. During the measurement, the laser machined filter is placed in the middle of two waveguide flanges of the network analyzer, as shown in Fig. 7(a). The four alignment pins of the waveguide flanges ensure the accuracy to which the brass filter is aligned to flanges of the network analyzer. The screws are utilized to achieve an intimate contact between the filter and flanges. The S-parameter measurement results of the brass filter are shown in Fig. 8. There is excellent agreement between the measured performance and simulations. The passband insertion loss is measured to be around 0.65 dB, which is close to the expected value of 0.3 dB obtained from CST simulations

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

using the conductivity of brass (i.e., 2.74 × 107 S/m). The maximum passband return loss is measured to be 15 dB, whereas the simulated one is 20 dB. This difference provides around 0.1 dB of the loss in the S21 result. The rest, a 0.25-dB loss, is mainly be attributed to surface roughness of laser processed areas, which yields a reduced effective conductivity. The typical surface roughness values, measured with the Alicona InfiniteFocus microscope, are on the order of 1.25 µm. This reduces the effective conductivity to 7.04 × 106 S/m and results in an additional loss of 0.22 dB. The deviation in S11 responses is believed to be caused by small-dimensional inaccuracies (features on the top surface measured to be within 5 µm of nominal dimensions) and small misalignments during measurements. It should be noted that brass is selected here due to its good CNC machinability. This enables the precise production of alignment pin holes on the in-house CNC machine. To achieve a better performance in terms of insertion loss, the same design can be produced from copper workpieces using the proposed manufacturing platform. The only difference is the laser parameters, which will need to be adjusted slightly. In addition, surface roughness of laser processed areas can be reduced further by utilizing a top-hat beam shaper, which provides a more uniform energy distribution during the machining and thus a better surface roughness than that obtainable with a Gaussian laser beam (utilized in this paper). The measurement setup for the 3-D printed filter is shown in Fig. 7(b). The filter is inserted in between two flanges, aligned using pins on the flange, and tightened using four screws. The measured responses of this 3-D printed filter are shown in Fig. 9. The measured central frequency of this filter shifts downward by around 2.5 GHz (2.78%). The measured averaged passband insertion loss is around 0.4 dB, whereas the simulated loss using a conductivity of copper is 0.15 dB. The measured return loss is better than 18 dB across the passband. The difference in insertion loss is small and may be attributed to a combination of factors including: 1) worse-thansimulated return loss (the worsening return loss contributes to an additional insertion loss of 0.026 dB) and 2) nonperfect surface quality (the surface roughness is measured to have a typical value of 1 µm. This degrades the effective conductivity to 1.52 × 107 S/m and yields an additional loss of around 0.13 dB). The physical dimensions for the 3-D printed filter are measured using a microscope, and it is found that the measured dimensions in the x–y plane [see Fig. 7(b) for defined coordinate system] are approximately 4% larger than the designed and dimensions along the z-axis is roughly 1% larger than the designed. A modified model taking account of those fabrication inaccuracies is simulated in CST and the results are shown in Fig. 9. A very good agreement between the resimulation results and the measured responses is achieved. Compared with the originally designed structure, the modified model uses scaled dimensions with scale factors of 1.04, 1.04, and 1.01, for the x, y, and z directions, respectively. It should be noted that the deviation in dimensions observed in this first proof-of-principle demonstrator filter is attributed

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 9. Comparison of measurement and simulation results of the 3-D printed filter. (a) Responses over the whole W -band. Simulation results of both the original ideal filter model and the modified filter model with practical fabrication dimensions are shown. (b) Expanded view of S21 over passband.

to the postcuring step of the SLA printing process. It has been found out that such an enlargement (sometimes shrinkage) of dimensions is highly repeatable, and therefore, the model for printing could be adjusted accordingly to compensate during the second iteration. Power handling is an important consideration with filters. The laser machined filter is made of brass, and thus it has an excellent thermal stability as well as a good powerhandling capability. The 3-D printed filter is made out of resin-based polymer, which has a service temperature of from −65 °C to 85 °C. This may prevent the filter from being utilized in high-power applications. In this scenario, a material with a better thermal stability (e.g., ceramic-filled resin) can be used to print the same filter. Table I shows the comparison of measurement performances of W -band waveguide filters realized using different types of manufacturing technique. All the filters summarized in Table I are based on coupled TE101 resonators and most filters use inductive irises for couplings, except for the one in [11] that uses capacitive irises and the laser machined filter described here, which utilizes both inductive and capacitive irises. In addition, a majority of the filters are constructed using split block technology, for which the filters are cut along the middle of the broadside wall for minimized loss. Table I indicates that the laser machined filter and 3-D printed filter

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SHANG et al.: W-BAND WAVEGUIDE FILTERS FABRICATED BY LASER MICROMACHINING AND 3-D PRINTING

7

TABLE I C OMPARISON OF R ECENTLY P UBLISHED W -BAND WAVEGUIDE BANDPASS F ILTERS

demonstrate a comparable performance (in terms of low insertion loss and good return loss) to those made by high precision milling [1], [2]. VI. C ONCLUSION A laser-based micromachining platform and a stereolithography-based 3-D printing technique have been used to fabricate two W -band waveguide bandpass filters. These two filters have been specially designed to make the best use of the fabrication capability of each technique as well as the enabled flexibility in design. Both filters have been measured to have good performance. For the first time, laser micromachining, combined with CNC milling, has been utilized to produce millimeter-wave waveguide components from metal directly. The 3-D printed filter is also one of the just two waveguide filters demonstrated at millimeter-wave frequency band as high as W -band, using a 3-D printing technique. This paper demonstrates the potential of employing laserbased micromachining and high-resolution stereolithographybased 3-D printing for small-to-medium-batch-size production of high-quality millimeter-wave and submillimeterwave waveguide components. R EFERENCES [1] C. A. Leal-Sevillano, J. R. Montejo-Garai, J. A. Ruiz-Cruz, and J. M. Rebollar, “Low-loss elliptical response filter at 100 GHz,” IEEE Microw. Wireless Compon. Lett., vol. 22, no. 9, pp. 459–461, Sep. 2012. [2] X. Liao, L. Wan, Y. Yin, and Y. Zhang, “W-band low-loss bandpass filter using rectangular resonant cavities,” IET Microw., Antennas Propag., vol. 8, no. 15, pp. 1440–1444, Jul. 2014. [3] C. A. Leal-Sevillano, T. J. Reck, G. Chattopadhyay, J. A. Ruiz-Cruz, J. R. Montejo-Garai, and J. M. Rebollar, “Development of a wideband compact orthomode transducer for the 180–270 GHz band,” IEEE Trans. THz Sci. Technol., vol. 4, no. 5, pp. 634–636, Sep. 2014.

[4] J.-X. Zhuang, W. Hong, and Z.-C. Hao, “Design and analysis of a terahertz bandpass filter,” in Proc. IEEE Int. Wireless Symp. (IWS), Shenzhen, China, Mar./Apr. 2015, pp. 1–4. [5] Y. Li, B. Pan, C. Lugo, M. Tentzeris, and J. Papapolymerou, “Design and characterization of a W -band micromachined cavity filter including a novel integrated transition from CPW feeding lines,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 12, pp. 2902–2910, Dec. 2007. [6] X. H. Zhao et al., “D-band micromachined silicon rectangular waveguide filter,” IEEE Microw. Wireless Compon. Lett., vol. 22, no. 5, pp. 230–232, May 2012. [7] C. A. Leal-Sevillano et al., “Silicon micromachined canonical E-plane and H-plane bandpass filters at the terahertz band,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 6, pp. 288–290, Jun. 2013. [8] T. J. Reck, C. Jung-Kubiak, J. Gill, and G. Chattopadhyay, “Measurement of silicon micromachined waveguide components at 500–750 GHz,” IEEE Trans. THz Sci. Technol., vol. 4, no. 1, pp. 33–38, Jan. 2014. [9] J. R. Stanec and N. S. Barker, “Fabrication and integration of micromachined submillimeter-wave circuits,” IEEE Microw. Wireless Compon. Lett., vol. 21, no. 8, pp. 409–411, Aug. 2011. [10] E. D. Cullens, L. Ranzani, K. J. Vanhille, E. N. Grossman, N. Ehsan, and Z. Popovic, “Micro-fabricated 130–180 GHz frequency scanning waveguide arrays,” IEEE Trans. Antennas Propag., vol. 60, no. 8, pp. 3647–3653, Aug. 2012. [11] X. Shang, M. Ke, Y. Wang, and M. J. Lancaster, “Micromachined W-band waveguide and filter with two embedded H-plane bends,” IET Microw., Antennas Propag., vol. 5, no. 3, pp. 334–339, Feb. 2011. [12] C. A. Leal-Sevillano, J. R. Montejo-Garai, M. Ke, M. J. Lancaster, J. A. Ruiz-Cruz, and J. M. Rebollar, “A pseudo-elliptical response filter at W-band fabricated with thick SU-8 photo-resist technology,” IEEE Microw. Wireless Compon. Lett., vol. 22, no. 3, pp. 105–107, Mar. 2012. [13] X. Shang, Y. Tian, M. J. Lancaster, and S. Singh, “A SU8 micromachined WR-1.5 band waveguide filter,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 6, pp. 300–302, Jun. 2013. [14] B. Voisiat, A. Biˇci¯unas, I. Kašalynas, and G. Raˇciukaitis, “Band-pass filters for THz spectral range fabricated by laser ablation,” Appl. Phys. A, vol. 104, no. 3, pp. 953–958, May 2011. [15] C. K. Walker, G. Narayanan, H. Knoepfle, J. Capara, J. Glenn, and A. Hungerford, “Laser micromachining of silicon: A new technique for fabricating high quality terahertz waveguide components,” in Proc. 8th Int. Symp. Space THz Technol., Cambridge, MA, USA, Mar. 1997, pp. 358–376.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

[16] M. D’Auria et al., “3-D printed metal-pipe rectangular waveguides,” IEEE Trans. Compon., Packag., Manuf. Technol., vol. 5, no. 9, pp. 1339–1349, Sep. 2015. [17] K. F. Brakora, J. Halloran, and K. Sarabandi, “Design of 3-D monolithic MMW antennas using ceramic stereolithography,” IEEE Trans. Antennas Propag., vol. 55, no. 3, pp. 790–797, Mar. 2007. [18] B. Liu, X. Gong, and W. J. Chappell, “Applications of layer-bylayer polymer stereolithography for three-dimensional high-frequency components,” IEEE Trans. Microw. Theory Techn., vol. 52, no. 11, pp. 2567–2575, Nov. 2004. [19] N. Delhote, D. Baillargeat, S. Verdeyme, C. Delage, and C. Chaput, “Ceramic layer-by-layer stereolithography for the manufacturing of 3-D millimeter-wave filters,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 3, pp. 548–554, Mar. 2007. [20] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York, NY, USA: Wiley, 2001, pp. 257–271. [21] G. F. Craven and R. F. Skedd, Evanescent Mode Microwave Components. Norwood, MA, USA: Artech House, 1987. [22] X. Shang, M. J. Lancaster, and S. Dimov, “Microwave waveguide filter with broadside wall slots,” Electron. Lett., vol. 51, no. 5, pp. 401–403, Mar. 2015. [23] P. Penchev, S. Dimov, D. Bhaduri, and S. L. Soo, “Generic integration tools for reconfigurable laser micromachining systems,” J. Manuf. Syst., vol. 38, pp. 27–45, Jan. 2016. [24] Swissto12, Lausanne, Switzerland. (Nov. 2015). [Online]. Available: http://www.Swissto12.com [25] A. Macor, E. de Rijk, S. Alberti, T. Goodman, and J.-P. Ansermet, “Three-dimensional stereolithography for millimeter wave and terahertz applications,” Rev. Sci. Instrum., vol. 83, no. 4, p. 046103, 2012. [26] Y. Li, P. L. Kirby, and J. Papapolymerou, “Silicon micromachined W-band bandpass filter using DRIE technique,” in Proc. 36th Eur. Microw. Conf., Manchester, U.K., Sep. 2006, pp. 1271–1273. [27] F. Sammoura, Y. Cai, C.-Y. Chi, T. Hirano, L. Lin, and J.-C. Chiao, “A micromachined W-band iris filter,” in Proc. 13th Int. Conf. Solid-State Sens., Actuators Microsyst., Jun. 2005, pp. 1067–1070.

Xiaobang Shang (M’13) was born in Hubei, China, in 1986. He received the B.Eng. (Hons.) degree in electronic and communication engineering from the University of Birmingham, Birmingham, U.K., in 2008, the B.Eng. degree in electronics and information engineering from the Huazhong University of Science and Technology, Wuhan, China, in 2008, and the Ph.D. degree in microwave engineering from the University of Birmingham in 2011. His doctoral research concerned micromachined terahertz waveguide circuits and synthesis of multiband filters. He has been a Research Fellow with the Department of Electronic, Electrical and Systems Engineering, University of Birmingham, since 2011. His current research interests include microwave filters and multiplexers, and MMIC amplifiers.

Pavel Penchev received the B.Eng. degree in mechanical engineering and the Ph.D. degree in laser microprocessing from the University of Birmingham, Birmingham, U.K., in 2012 and 2016, respectively. He was a Research Associate with the Laser Micromachining Group, Advanced Manufacturing Technology Center, University of Birmingham, from 2013 to 2015, where he has been a Research Fellow since 2015. His current research interests include the implementation of reconfigurable laser platforms for addressing challenging technological requirements of complex multilength scale products and the generic system-level tools and techniques for improving the machine tool performance of reconfigurable laser processing platforms in relation to their process reliability, flexibility, and robustness.

Cheng Guo received the B.Eng. degree in communication engineering from Southwest Jiaotong University, Chengdu, China, in 2012. He is currently pursuing the Ph.D. degree at the University of Electronic Science and Technology of China, Chengdu, China. His current research interests include 3-D printing of microwave devices and THz frequency multipliers/mixers.

Michael J. Lancaster (SM’04) was born in the U.K. in 1958. He received the B.Sc degree in physics and the Ph.D. degree in nonlinear underwater acoustics from Bath University, Bath, U.K., in 1980 and 1984, respectively. He joined as a Research Fellow with the Surface Acoustic Wave Group, Department of Engineering Science, University of Oxford, Oxford, U.K., after leaving Bath University. In 1987, he became a Lecturer with the Department of Electronic and Electrical Engineering, University of Birmingham, Birmingham, U.K., lecturing in electromagnetic theory and microwave engineering. After he joined the Department of Electronic and Electrical Engineering, he began the study of the science and applications of high-temperature superconductors, in which he was involved in research on microwave frequencies. He was promoted to Head of the Emerging Device Technology Research Centre in 2000 and the Head of the Department of Electronic, Electrical and Computer Engineering in 2003. He has authored two books and over 190 papers in refereed journals. His current research interests include microwave filters and antennas, and the high-frequency properties and applications of a number of novel and diverse materials. Prof. Lancaster is a Fellow of IET and the Institute of Physics in the U.K. He is a Chartered Engineer and Chartered Physicist. He has served on the IEEE MTT-S IMS Technical Committee.

Stefan Dimov received the Diploma Engineering and Ph.D. degrees from the Moscow State University of Technology, Moscow, Russia, in 1984 and 1989, respectively, and the D.Sc. degree from Cardiff University, Cardiff, U.K., in 2011. He is currently a Professor of Micro Manufacturing and the Head of the Manufacturing Research Group with the School of Engineering, University of Birmingham, Birmingham, U.K. He has authored over 250 papers and co-authored two books. His current research interests include the wider areas of micro and advanced manufacturing technologies. Prof. Dimov was a recipient of the Thomas Stephen Group Prize by the Institution of Mechanical Engineers in 2000 and 2003. He is an Associate Editor of the ASME Journal of Micro- and Nano-Manufacturing and the Precision Engineering journal. He initiated the European Network of Excellence in Multi-Material Micro Manufacture (4M), and is a Member of the Executive Boards of the 4M Association.

Yuliang Dong was born in Sichuan, China, in 1972. He received the B.S. degree in electronics engineering from Northwestern Polytechnical University, Xi’an, China, in 1993, and the Ph.D. degree from Beihang University, Beijing, China, in 2005. He is currently an Associate Professor with the University of Electronic Science and Technology of China, Chengdu, China. His current research interests include microwave wave circuits, passive components, antennas, and microwave CAD technology.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SHANG et al.: W-BAND WAVEGUIDE FILTERS FABRICATED BY LASER MICROMACHINING AND 3-D PRINTING

Mirko Favre received the bachelor’s degree in mechanical engineering from ETML, Lausanne, Switzerland, in 2001. He held several successive mechanical engineering positions in research and development functions with the watchmaking industry (Swatch Group, Switzerland) and the medical technology industry (Xitact, Switzerland, and Toradex, Switzerland). He joined SWISSto12 SA, EPFL Innovation Park, Lausanne, in 2013, as a Project Manager and Mechanical Engineer, where he was involved in the development and production of additive manufactured RF waveguide, antenna, and filter products. Mathieu Billod received the bachelor’s degree in mechanical engineering from IUT Annecy, Annecy-le-Vieux, France, in 2007, and the master’s degree in mechanical engineering from Polytech Annecy France, Annecy-le-Vieux, in 2011. He held several successive mechanical engineering positions in research and development functions with the watchmaking industry (Swatch Group, Switzerland) and the semiconductor industry (Applied Materials, Switzerland). He joined SWISSto12 SA, EPFL Innovation Park, Lausanne, Switzerland, in 2013, as a Project Manager and Mechanical Engineer, where he was involved in the development and production of additive manufactured RF waveguide, antenna, and filter products.

9

Emile de Rijk received the bachelor’s degree in physics from the Swiss Federal Institute of Technology in Lausanne (EPFL), Lausanne, Switzerland, in 2008, the master’s degree in physics from the University of Amsterdam, Amsterdam, The Netherlands, and the Ph.D. degree in physics from EPFL in 2013. He is currently a Co-Founder and CEO of SWISSto12, Lausanne, a company that spun-off from EPFL and pioneers the development and commercialization of radio-frequency antenna, waveguide, and filter products based on additive manufacturing.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Compact, Low Insertion-Loss, and Wide Stopband HTS Diplexer Using Novel Coupling Diagram and Dissimilar Spiral Resonators Xuehui Guan, Member, IEEE, Fangqi Yang, Haiwen Liu, Senior Member, IEEE, Zhewang Ma, Member, IEEE, Baoping Ren, Student Member, IEEE, Wei Huang, and Pin Wen, Student Member, IEEE

Abstract— This paper presents a compact high-temperature superconducting (HTS) diplexer using single-resonance and dualresonance spiral resonators. The single-resonance spiral resonators (SSRs) are used separately in each individual channel of the diplexer, and the dual-resonance spiral resonators (DSRs) are used as common resonators of both channels. DSRs are designed using a modified stub-loaded resonator, which can produce two resonant frequencies for both the channels of the diplexer. Because the SSRs and the DSRs are dissimilar resonators, their different spurious frequencies result in a wide stopband. Moreover, resonators are connected by a novel coupling diagram to ensure both high performance and compact size. The size of the diplexer can be greatly reduced while the isolation is kept well. Based on the method, a diplexer is designed for 1.8-GHz mobile and 2.4-GHz wireless area networks systems. The diplexer is fabricated on a YBa2Cu3Oy polished MgO substrate wafer, which has a compact size of 0.12 λg × 0.073 λg. The measured frequency responses agree well with the simulated results. The isolations in both channels are larger than 39 dB, and the measured insertion losses of both channels are less than 0.41 dB. Index Terms— Common resonator (CR), diplexer, hightemperature superconducting (HTS), spiral resonator.

I. I NTRODUCTION

D

IPLEXERS are key components that are widely used in wireless systems, such as mobile communication and wireless area network systems. Conventionally, diplexers are made of metal waveguide for higher power handle capability [1]. Microstrip diplexers take advantages of lighter weight, compact size, and better integration with other planar components. Thus, the microstrip diplexers are used more and more frequently in wireless systems. The most intuitive design method of diplexer is the combination of two bandpass filters (BPFs) using a T-junction. An optimized junction should

Manuscript received August 17, 2015; revised January 31, 2016 and June 05, 2016; accepted June 06, 2016. This work was supported by the National Natural Science Foundation of China under Grant 61461020 and Grant 61161005, by the 555 Talent Program of Jiangxi Province, and by the Natural Science Foundation of Jiangxi Province under Grant 20152ACB21007. X. Guan, F. Yang, H. Liu, B. Ren, W. Huang, and P. Wen are with the School of Information Engineering, East China Jiaotong University, Nanchang 330013, China (e-mail: [email protected]; liuhaiwen@ gmail.com). Z. Ma is with the Graduate School of Science and Engineering, Saitama University, Saitama 338-8570, Japan (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2580143

be designed to ensure proper impedance matching at either frequency [2], [3]. Although this kind of diplexers show excellent properties, they are unexpectedly large in size due to the existence of the T-junction section. Common resonator (CR) technology that acts as an impedance matching network and a resonator for both channels is proposed to replace the input T-junction. Various configurations of the CR have been studied [4]–[6]. Stepped-impedance resonators with controllable dual-resonant frequencies were designed as a CR [4]. However, it is difficult to realize the desired external couplings for both channels at one tap-position. In [5] and [6], diplexers employing a dual-mode stub-loaded microstrip resonator as the CR were presented. Dual-resonance characteristics of the stub-loaded resonator (SLR) were fully utilized and compact circuit size was achieved. However, it is difficult to realize compact diplexers with both sharp transition and low insertion loss (IL) by using conventional planar circuit and substrate material. The high-temperature superconducting (HTS) technology can dramatically reduce the mass and volume of diplexers and provide significantly improved performance, such as lower IL and higher selectivity [7]. The manifold coupled approach is regarded as an optimum choice to implement the HTS diplexers with both compact size and low IL [8]. In [9], an HTS diplexer constructed by two HTS hybrids and two HTS bandstop filters for cellular base station applications was presented. In [10], a spiral short-circuited stub grounded by bonding wires was proposed in an HTS microstrip diplexer. Through this method, the channel filters in the diplexer were improved to meet the matching conditions and the diplexer was realized by simply connecting two channel filters. Zhang et al. [11] presented a compact L-band microstrip HTS manifold-coupled input diplexer for satellite communication application. An HTS bandstop filter was added to the diplexer to improve the out-of-band rejection at a special frequency band. Simple and efficient method for modeling and optimization HTS diplexers and multiplexers can also be realized [12], [13]. In [14], a compact HTS diplexer was proposed using CRs. However, the design of HTS diplexers with compact size and wide stopband is still a challenging task. In this paper, a compact HTS diplexer with low IL and wide stopband is presented and developed. The channel filters of the diplexer are composed of single-resonance spiral resonators (SSRs) and dual-resonance spiral resonators (DSRs).

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 1. Coupling diagram. (a) Conventional diplexer and (b) proposed diplexer with two CRs.

The resonators are constructed in a novel coupling diagram to ensure both high performance and compact size. Two CRs are utilized in the proposed diplexer, and the size of the diplexer is greatly reduced. Dissimilar resonators, i.e., SSRs and DSRs, are used in the design and wide stopband is achieved. Finally, the measurements match the simulations well and reveal the device’s high performance. II. C ONFIGURATION AND D ESIGN M ETHOD OF THE D IPLEXER A. Configuration of the Diplexer and Its Coupling Diagram Fig. 1(a) shows the coupling diagram of a conventional four-order diplexer. Two-independent four-order BPFs are combined into a common port through a matching network. Commonly, the matching network can be implemented by a T-junction. For a diplexer, one port of the T-junction should be matched at its center frequency, while the other port should be looked as open-circuited. This type of T-junction has usually complicated design procedure and large circuit size. In Fig. 1(b), a diplexer with a novel circuit configuration is proposed, which consists of two CRs, CR1 and CR2 . The first CR1 is a dual-mode resonator, and its two resonant modes operate as a resonator in Channels 1 and 2 of the diplexer, respectively, as shown by R1I and R1II in Fig. 1(b). Therefore, the CR (CR1 ) has the functions of both a matching network for both channels and a resonator in each channel of the diplexer. The T-junction in the conventional diplexer is avoided, so the circuit size is greatly reduced and the design procedure of the diplexer is also made easier. The second CR (CR2 ) is also a dual-mode resonator, and its two resonant modes, indicated by R3I and R3II , are used to replace two single-resonance resonators in the conventional diplexer, as shown in Fig. 1. Two CRs are designed to have different spurious resonances with those of the singleresonance resonators in both the channels of the diplexer, and the stopband of both the channels are widened thereby. With the adoption of the second CR (CR2 ) the improvement of the stopband property is enhanced, and the bandstop filter [11] can be avoided, which means in a further reduced circuit size and simpler design procedure. Based on the proposed novel coupling diagram of the diplexer, as shown in Fig. 1(b), an HTS microstrip diplexer

Fig. 2. Geometrical profile of the proposed diplexer using both SSRs and DSRs.

centering at 1.8 GHz (Channel 1) and 2.4 GHz (Channel 2), respectively, will be designed. The proposed geometrical profile of the diplexer is shown in Fig. 2. Channel 1 BPF for 1.8 GHz consists of four resonators, i.e., CR1 , SSR1 , CR2 , and SSR2 . Channel 2 BPF for 2.4 GHz consists of five resonators, i.e., CR1 , SSR3 , CR2 , SSR4 , and SSR4 . In both channels, microstrip spiral resonators are used as single-resonance resonators. Compared with most conventional microstrip resonators, the spiral resonator has the advantages of significantly reduced size, high quality factor, and being less sensitive to fabrication tolerance [15]. On the other hand, in Fig. 2, two spiraled SLRs in the dashed boxes are dual-resonance resonators and are used as the CRs, CR1 and CR2 , in the diplexer. The DSR has three spiral sections. For the first DSR (CR1 ) in the left, its left above section is used to couple with input feedline, and its right above section is used to couple with the SSR1 of Channel 1, while the right below section is used to couple with the SSR3 of Channel 2. For the second DSR (CR2 ) in the right, its left and right above sections are used to couple with the SSRs in Channel 1 while the left below section is used to couple with the SSRs in Channel 2. As will be analyzed later, the SLR has three spiraled sections, which can provide separate and easy control of its coupling with the feedline and neighboring resonators. It is also worth noting that Channel 2 BPF adopted one more resonator than Channel 1 BPF, aiming to make full use of the right down part of the circuit, hence to improve the selectivity of Channel 2. In addition, it will help to increase the isolation between the two channels, and this will be shown later in this paper. B. Design of the Common Resonators Through the earlier discussion, it is seen that the DSRs play very important roles in the diplexer, so in the following two parts of this paper, we made a detailed analysis of the DSR, including its resonant modes and its coupling properties with feedlines and neighboring resonators.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. GUAN et al.: COMPACT, LOW IL, AND WIDE STOPBAND HTS DIPLEXER

Fig. 3.

3

SLR.

The DSR is originated from an SLR. Fig. 3 shows the structure of an SLR. The SLR is composed of three section transmission lines. Y1 , Y2 , and Y3 denote the characteristic admittances of each section and θ1 , θ2 , and θ3 denote the electrical length of each section, respectively. The input admittance of the SLR is determined by Yin = j Y1

Y1 tan θ1 + Y2 tan θ2 + Y3 tan θ3 . Y1 − tan θ1 (Y2 tan θ2 + Y3 tan θ3 )

(1)

According to the resonant condition of Yin = 0, (1) can be explained as Y1 tan(kθ1 ) + Y2 tan(kθ2 ) + Y3 tan(kθ3 ) = 0

(2)

where k is the ratio of kth resonant frequency f k to the fundamental resonant f 1 , the electrical lengths θ1 , θ2 , and θ3 are defined at f1 [16]. When Y1 = Y2 = Y3 is applied, it can be further simplified as tan(kθ1 ) + tan(kθ2 ) + tan(kθ3 ) = 0.

(3)

For a preset stub length θ3 and its position θ1 , required θ2 for the fundamental resonance can be obtained from formula (3) by setting k = 1. Fig. 4(a) shows the solution sets of θ1 and θ2 for θ3 = 30°, 70°, 110°, and 150°, respectively. For a given set of (θ1 , θ2 , and θ3 ), the kth resonant mode f k can be obtained by solving (3). Fig. 4(b) shows the frequency ratios f 2 / f 1 against the electrical lengths θ1 , θ2 , and θ3 . This plot suggests that the mode positions can be controlled by changing θ2 and θ3 . Therefore, the CRs with two desired resonant frequencies are realizable by using the SLR. In addition, for a desired frequency ratio, different values of θ2 will result in different third and fourth resonant modes, and so on, which may contribute to the wide stopband performance. In order to reduce circuit size and derive a reasonable geometrical structure, stub-loaded CR shown in Fig. 3 is further folded into a DSR, as shown in the inset of Fig. 5. The DSR can obtain a 90% size reduction than the SLR. Fig. 5 shows the frequency response of the DSR. The width of the spiral resonators is chosen as 0.1 mm, since the diplexer is an input diplexer without requirement for high power handling capacity. An MgO substrate with relative dielectric constant of 9.78 and a thickness of 0.5 mm is used in the design. The DSR can generate two resonant frequencies, the lower frequency f 1 is designed for the Channel 1 at 1.8 GHz and the upper frequency f2 is designed for the Channel 2 at 2.4 GHz. Based on the earlier analysis, the derived circuit parameters of the DSR are θ1 = 126°, θ2 = 34°, θ3 = 45°, and k = 1.33.

Fig. 4. (a) Solution of θ1 and θ2 for the fundamental resonance f 1 . (b) Second resonance frequency f 2 with respect to f 1 for three different electrical lengths.

Fig. 5.

Layout and frequency response of the DSR.

C. External Quality Factor and Coupling Coefficients Although two CRs are adopted in the diplexer, each individual channel filter can still be designed independently. The circuit parameters of the two BPFs of Channels 1 and 2, i.e., the external quality factors and coupling coefficients, as referring to the proposed coupling diagram can be determined by [17] g0 g1 gn gn+1 Q eo = FBW FBW FBW = √ , for i = 1 to n − 1 gi gi+1

Q ei = Mi,i+1

(4) (5)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 6. (a) Capacitive-feeding structure of the DSR. (b) Simulated Q Iei and Q II ei versus l2 and l1 .

where Q ei and Q eo are the external quality factors of the resonators at the input and output ports, respectively, Mi,i+1 are the coupling coefficients between two adjacent resonators, gi s indicate the element values of low-pass prototype filter, and n and FBW indicate the order and fractional bandwidth of the filter, respectively. When the coupling diagram and the shape of the resonator are determined, the next work is to extract the external quality factors and the coupling coefficients of the structure. In order to obtain the physical dimensions of the two filters, full-wave simulator has been used to extract the external quality factors and coupling coefficients. The external quality factor can be obtained by [17] Qe =

f0  f 3-dB

(6)

where f 0 and  f3-dB represent the resonant frequency and 3-dB bandwidth of the input or output resonator, respectively. As shown in Fig. 6(a), the capacitive coupled feedline is adopted in our design in order to acquire the desired external coupling. Q ei of the two channel filters (Q Iei and Q II ei ) can be controlled by the dimension parameter l1 and l2 . Fig. 6(b) shows the simulated Q Iei and Q II ei versus l 1 and l 2 . It is well known that the external quality factors depend on the bandwidth of filter. Once the order, bandwidth, and ripple of prototype filter are given, l2 and l1 can be determined according to Fig. 6 [18]. The input and output microstrip feed lines have a characteristic impedance of 50  with a width of 0.5 mm. The widths of the fingers of the feed line are 0.1 mm and the gaps between each turn of the spiral resonator are 0.1 mm.

Fig. 7. (a) Capacitive feeding structure of the SSR. (b) Simulated Q Ieo and Q II eo versus l4 and l6 .

Fig. 7(a) shows the capacitive feeding structure of the SSR for two channel filters. The Q eo value of the two channel filters (Q Ieo and Q II eo ) can be controlled by the parameters l3 , l4 , and l5 , l6 , respectively. Herein, l3 is fixed at 2.5 mm and l5 is fixed at 3.3 mm for convenience. Fig. 7(b) shows the simulated Q Ieo and Q II eo versus l 4 (l 3 = 2.5 mm) and l6 (l5 = 3.3 mm). Similarity to the external coupling structure of DSR, required Q Ieo and Q II eo can be satisfied simultaneously by properly tuning l4 and l6 , respectively. The final step is to individually adjust the coupling gaps between resonators to meet the desired coupling coefficients of Channel 1 and Channel 2 filters. When two synchronously tuned coupled resonators have a close proximity, the coupling coefficient can be evaluated from two dominant resonant frequencies. If f p1 and f p2 are defined as the lower and upper resonant frequencies, respectively, the coupling coefficient can be obtained by Mi j = ±

2 − f2 f p2 p1 2 + f2 f p2 p1

(7)

where Mi j represents the coupling coefficient between the resonators i and j . Coupling coefficients between resonators can be extracted by invoking EM simulator. Fig. 8 shows the coupling structure and its simulated coupling coefficients versus the distances between resonators. As shown in Fig. 8(a), the coupling between CR1 and SSR1 is determined by d1 and s1 , and the coupling between CR1 and SSR3 is determined by d4 and s4 .

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. GUAN et al.: COMPACT, LOW IL, AND WIDE STOPBAND HTS DIPLEXER

5

I Fig. 8. (a) Coupling diagram. (b) Coupling coefficients M12 and II (c) M12 versus the gaps between the resonators.

I and M II are controlled by two parameters, which Both M12 12 provide more design degree for the structure. By the same means, the coupling coefficients M23 , M34 , and M45 are extracted and shown in Fig. 9. These design graph can be used to determine the position of each resonator.

III. M EASUREMENT AND D ISCUSSION Based on the earlier investigations, an HTS diplexer is designed on a 2-in-diameter MgO wafer with double-sided YBa2Cu3Oy films. The MgO substrate has a relative dielectric constant of 9.78 and a thickness of 0.5 mm. Channel 1 of the diplexer has a center frequency of 1.8 GHz, and an FBW of 3.83%, and Channel 2 has a center frequency of 2.4 GHz, and an FBW of 4.38%. Channels 1 and 2 are a four-order and five-order Chebyshev BPF, respectively, both have a passband ripple of 0.1 dB. The corresponding lumped-element values of the prototype low-pass filter for Channel 1 are: g0 = 1, g1 = 1.1088, g2 = 1.3062, g3 = 1.7704, g4 = 0.8181, and g5 = 1.3554, and for Channel 2 are: g0 = 1, g1 = 1.1468,

Fig. 9. Coupling coefficients versus the gaps between the resonators. (a) M23 (d1 = 1.95 mm and d4 = 0.65 mm). (b) M34 (d3 = 0.7 mm and d6 = 0.6 mm). (c) M45 (d7 = 0.1 mm).

g2 = 1.3712, g3 = 1.9750, g4 = 1.3712, g5 = 1.1468, and g6 = 1. According to formulas (4) and (5), the coupling coefficients and input/output external quality factors of the two filters are listed in Table I. The best value of surface resistance of the HTS film measured was 0.5 m ·  at 3 GHz, 77 K, and the corresponding value of the unloaded factor of the HTS film is 250 000. The unloaded factor of the HTS spiral meander line filter resonator was ∼11 000. In order to match the required external quality factors at both bands, the coupled-line lengths l1 = 3.95 mm and l2 = 4.35 mm are selected from Fig. 6 for the diplexer. Finally, the optimized coupled-line lengths are l3 = 2.5 mm, l4 = 4 mm, l5 = 3.3 mm, and l6 = 3.9 mm. As a result,

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE I C OUPLING C OEFFICIENTS AND Q e OF T WO C HANNEL F ILTERS

Fig. 10. Current distributions for the lower passband at 1.8 GHz and upper passband at 2.4 GHz.

Fig. 12. Simulation of the resonant frequencies of (a) CR1 , CR2 , SSR1 , and SSR2 and (b) CR1 , CR2 , SSR3 , and SSR5 .

Fig. 11.

Simulated and measured results of the developed diplexer.

the realized design geometric parameters for this diplexer have been obtained as s1 = 0.15 mm, d1 = 1.95 mm, s2 = 0.25 mm, d2 = 1.25 mm, s3 = 0.55 mm, d3 = 0.7 mm, s4 = 0.25 mm, d4 = 0.65 mm, s5 = 0.1 mm, d5 = 0.65 mm, s6 = 0.55 mm, d6 = 0.6 mm, s7 = 0.4 mm, and d7 = 0.1 mm. The fabricated filter has a compact size of 11.9 mm × 7.4 mm. The current distributions of the proposed diplexer at the Channel 1 and Channel 2 passbands are shown in Fig. 10. When the diplexer works at 1.8 GHz, the current density is mainly located at the Channel 1 filter whereas the

Channel 2 filter is considered as open circuit. Contrarily, when the diplexer works at 2.4 GHz, current density is mainly distributed at the Channel 2 filter whereas the Channel 1 filter is considered as open circuit. By watching the current distributions of the diplexer at the 1.8-GHz band, it can be seen that there are some weak signals coupled to SSR4 when the current traverse the CR2 . This may have a bad influence on the isolation. SSR5 is added in this design to improve the isolation, without increasing the size of the circuit. The diplexer is then packaged into a gold-plated housing box and measured with an Agilent HP8753ES network analyzer with an input power of 0 dBm. When Channel 1 is tested and connected to the network analyzer, the output port of Channel 2 is connected with a matched load, and vice versa. Fig. 11 shows the measured results after tuning at 75 K, as well as the simulated results. Dashed lines and solid lines indicate the EM simulated and measured results, respectively. The center frequencies of Channels 1 and 2 are 1.8 and 2.4 GHz with fractional bandwidths of 3.83% and 3.79%, respectively. The maximum in-band IL is 0.41 and 0.4 dB, and the isolation between two channels is greater than 39 dB. The measured result is in good agreement with the simulation results. It is interesting to observe that the diplexer shows a wide stopband by watching its wideband frequency response. It is mainly attributed to the dissimilar resonators used in the design. The distributed spurious resonant frequencies of the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. GUAN et al.: COMPACT, LOW IL, AND WIDE STOPBAND HTS DIPLEXER

7

Fig. 14.

Fig. 13.

Measured wideband response of the diplexer. TABLE II

C OMPARISON B ETWEEN P ROPOSED D IPLEXER AND O THERS

resonator in each channel bands are shown in Fig. 12. It is seen from Fig. 12(a) that only at the center frequency 1.8 GHz, the four resonators in Channel 1, CR1 , SSR1 , CR2 , and SSR2 , resonate simultaneously. At no other frequency, simultaneous resonances of more than two resonators are observed. Similarly, it is seen from Fig. 12(b) that only at the center frequency 2.4 GHz, the five resonators in Channel 2, CR1 , SSR3 , CR2 , SSR4 (designed the same as SSR3 ), and SSR5 , resonate simultaneously. At no other frequency, simultaneous resonances of more than three resonators are observed. The two CRs, CR1 and CR2 , are designed to have the same dual-resonance at 1.8 and 2.4 GHz, but their spurious resonant frequencies are made different. The misalignment of the spurious resonances of the resonators in both channels results in largely extended stopband of the diplexer [19]. Fig. 13 shows the wideband response of this diplexer. It is obvious that the out-of-band rejections of the two filters are 30 dB up to 3.3 f 1 ( f 1 is the lower center frequency of the diplexer) over the measured frequency range, resulted in good

Photograph of the fabricated HTS diplexer.

stopband responses. In this design, dissimilar spiral resonators are easily realized by changing the shape of the resonator while keeping the impedance of microstrip line, without increasing the difficulty in the design of the resonators. A comparison about the IL, resonator number, filter order, isolation, stopband suppression level, and size between the proposed diplexer and other reported diplexers are listed in Table II (λg is the guided wavelength of the 50- line on the substrate at the central frequency of the lower band). It can be observed that an HTS diplexer with a lower IL (compared with PCB process), and more compact size has been achieved. Feasibility of proposed coupling diagram is verified accordingly. The design method and diplexer can be applied in space research and communication systems. A photograph of the fabricated diplexer is shown in Fig. 14. IV. C ONCLUSION In this paper, the miniaturized wide stopband HTS diplexer using new coupling diagram is proposed. The proposed diplexer consists of two DSRs and five SSRs. The first DSR is used as the first CR (CR1 ) to replace the T-junction and the second DSR is used as the second CR (CR2 ) to replace two SSRs. By this means, the circuit size can be effectively reduced. On the other hand, the DSRs and SSRs are dissimilar resonators, their different spurious frequencies result in a wide stopband. Finally, the proposed diplexer is fabricated and measured. The size of the entire diplexer is 11.9 mm × 7.4 mm, which amounts to 0.12 λg × 0.073 λg . Measurements of the fabricated diplexer match the simulations well, validating the effectiveness of the proposed coupling diagram. R EFERENCES [1] H.-W. Yao, A. E. Abdelmonem, J.-F. Liang, X.-P. Liang, K. A. Zaki, and A. Martin, “Wide-band waveguide and ridge waveguide T-junctions for diplexer applications,” IEEE Trans. Microw. Theory Techn., vol. 41, no. 12, pp. 2166–2173, Dec. 1993. [2] T. Yang, P.-L. Chi, and T. Itoh, “High isolation and compact diplexer using the hybrid resonators,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 10, pp. 551–553, Oct. 2010. [3] H. Liu, W. Xu, Z. Zhang, and X. Guan, “Compact diplexer using slotline stepped impedance resonator,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 2, pp. 75–77, Feb. 2013. [4] C.-F. Chen, T. Huang, C.-P. Chou, and R. Wu, “Microstrip diplexers design with common resonator sections for compact size, but high isolation,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 5, pp. 1945–1952, May 2006. [5] M.-L. Chuang and M.-T. Wu, “Microstrip diplexer design using common T-shaped resonator,” IEEE Microw. Wireless Compon. Lett., vol. 21, no. 11, pp. 583–585, Nov. 2011.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

[6] X. Guan, F. Yang, H. Liu, and L. Zhu, “Compact and high-isolation diplexer using dual-mode stub-loaded resonators,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 6, pp. 385–387, Jun. 2014. [7] A. M. Abu-Hudrouss, A. B. Jayyousi, and M. J. Lancaster, “Triple-band HTS filter using dual spiral resonators with capacitive-loading,” IEEE Trans. Appl. Supercond., vol. 18, no. 3, pp. 1728–1732, Sep. 2008. [8] R. I. Cameron and M. Yu, “Design of manifold-coupled multiplexers,” IEEE Microw. Mag., vol. 8, no. 5, pp. 46–59, Oct. 2007. [9] J.-S. Hong, M. J. Lancaster, R. B. Greed, D. Jedamzik, J.-C. Mage, and H. J. Chaloupka, “A high-temperature superconducting duplexer for cellular base-station applications,” IEEE Trans. Microw. Theory Techn., vol. 48, no. 8, pp. 1336–1343, Aug. 2000. [10] T. Zheng et al., “Design of compact superconducting diplexer with spiral short-circuited stubs,” IEEE Trans. Appl. Supercond., vol. 24, no. 2, Apr. 2014, Art. no. 1500405. [11] Q. Zhang et al., “A compact HTS duplexer for communication application,” IEEE Trans. Appl. Supercond., vol. 20, no. 1, pp. 2–7, Feb. 2010. [12] C. Feng et al., “Design and optimization of a compact superconducting quadruplexer at VHF-band with an accurate equivalent circuit model,” IEEE Trans. Appl. Supercond., vol. 26, no. 6, Dec. 2013, Art. no. 1502206. [13] Y. Heng et al., “Design and optimization of a superconducting contiguous diplexer comprising doubly terminated filters,” IEEE Trans. Appl. Supercond., vol. 23, no. 4, Aug. 2013, Art. no. 1501706. [14] X. Lu, X. Guo, B. Cao, B. Wei, and X. Zhang, “Wideband superconducting diplexer with stepped-impedance cross-structure,” Electron. Lett., vol. 50, no. 18, pp. 1324–1326, Aug. 2014. [15] F. Huang, “Ultra-compact superconducting narrow-band filters using single- and twin-spiral resonators,” IEEE Trans. Microw. Theory Techn., vol. 51, no. 2, pp. 487–491, Feb. 2003. [16] P. Mondal and M. K. Mandal, “Design of dual-band bandpass filters using stub-loaded open-loop resonators,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 1, pp. 150–155, Jan. 2008. [17] J. S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York, NY, USA: Wiley, 2001. [18] C.-F. Chen, T.-M. Shen, T.-Y. Huang, and R.-B. Wu, “Design of multimode net-type resonators and their applications to filters and multiplexers,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 4, pp. 848–856, Apr. 2011. [19] S.-C. Lin, P.-H. Deng, Y.-S. Lin, C.-H. Wang, and C. H. Chen, “Widestopband microstrip bandpass filters using dissimilar quarter-wavelength stepped-impedance resonators,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 3, pp. 1011–1018, Mar. 2006.

Xuehui Guan (M’11) received the B.S. degree in communication engineering from Jiangxi Normal University, Nanchang, China, in 1988, and the Ph.D. degree in electromagnetic fields and microwave techniques from Shanghai University, Shanghai, China, in 2007. He has been an Associate Professor with the School of Information Engineering, East China Jiaotong University, Nanchang, China, since 2007. He was a Senior Researcher Associate with the School of Electrical and Electronic Engineering, City University of Hong Kong, Hong Kong, in 2012. He has been a Visiting Scholar with the School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore, since 2013. His current research interests include radio frequency and microwave passive circuits and systems, synthesis theory and realization of microwave filters, and antennas for wireless communications.

Fangqi Yang was born in Yichun, China, in 1991. He received the B.Eng. degree in communication engineering from Jiangxi Normal University, Nanchang, China, in 2012. He is currently pursuing the M.S. degree in information and communication engineering with East China Jiaotong University, Nanchang, China.

Haiwen Liu (M’04-SM’13) received the B.S. degree in electronic system and the M.S. degree in radio physics from Wuhan University, Wuhan, China, in 1997 and 2000, respectively, and the Ph.D. degree in microwave engineering from Shanghai Jiao Tong University, Shanghai, China, in 2004. He was a Research Assistant Professor with Waseda University, Kitakyushu, Japan, from 2004 to 2006. From 2006 to 2007, he was a Research Fellow with Kiel University, Kiel, Germany. From 2007 to 2008, he was a Professor with the Institute of Optics and Electronics, Chengdu, China, where he was supported by the 100 Talents Program of Chinese Academy of Sciences. Since 2009, he has been a Chair Professor with East China Jiaotong University, Nanchang, China. He has authored more than 100 papers in international and domestic journals and conferences. His current research interests include electromagnetic modeling of high-temperature superconducting circuits, radio frequency and microwave passive circuits and systems, synthesis theory and practices of microwave filters and devices, antennas for wireless terminals, and radar system. Dr. Liu was a recipient of the Wang Kuancheng Science Foundation Award in 2008, the Best Paper Prize of the 2005 International Conference on Communications, Circuits and Systems Proceedings in Hong Kong, the Prize of Osaka City Mayor for Conference of Chinese Alumni, Japan, in 2005, the 100 Best Ph.D. Dissertations, Shanghai, in 2006, the National Distinguished Ph.D. Student Scholarship, China, in 2003, the National First-Class Guanghua Education Scholarship, China, in 2002, and the Huawei Company Scholarship, China, in 1999. He was the recipient of the Alexandervon Humboldt Research Fellowship from Kiel University. He has served as a Technical Program Committee Member for many international conferences and a Reviewer for some international journals, including the IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES , the IEEE T RANSACTIONS ON A PPLIED S UPER CONDUCTIVITY , the IEEE T RANSACTIONS ON I NDUSTRIAL E LECTRONICS , the IEEE T RANSACTIONS ON C OMPONENTS , PACKAGING AND M ANUFAC TURING T ECHNOLOGY , the IEEE M ICROWAVE AND W IRELESS C OMPO NENTS L ETTERS , and the IEEE A NTENNAS AND W IRELESS P ROPAGATION L ETTERS .

Zhewang Ma (S’91–M’96) received the B.Eng. and M.Eng. degrees from the University of Science and Technology of China, Hefei, China, in 1986 and 1989, respectively, and the D.Eng. degree from the University of Electro-Communications, Tokyo, Japan, in 1995. He was engaged in research on dielectric waveguides, resonators, and leaky-wave antennas from 1985 to 1989. From 1990 to 1997, he was involved in studies on computational electromagnetics and analytical and numerical modeling of various microwave and millimeter-wave transmission lines and circuits. He was a Research Assistant with the Department of Electronic Engineering, University of Electro-Communications, in 1996, where he became an Associate Professor in 1997. He was an Associate Professor with the Department of Electrical and Electronic Systems, Saitama University, Saitama, Japan, from 1998 to 2008, where he became a Professor in 2009. His current research interests include the development of microwave and millimeter-wave devices and circuits, measurements of dielectric materials, and high-temperature superconductors. Dr. Ma was a Member of the Steering and/or Technical Committees of the 2002, 2006, and 2010 Asia–Pacific Microwave Conference. From 1994 to 1996, he was a Research Fellow of the Japan Society for the Promotion of Science. He is a Senior Member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan. He is a Member of the Review Board of the IEICE Transactions on Electronics. He was a recipient of the Japanese Government Graduate Scholarship from 1991 to1993. He was also a recipient of the URSI Young Scientist Award in 1993. He is the Vice President of the Technical Group on Electronics Simulation Technology, Electronics Society of IEICE. He has served on the Editorial Board of the IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES and the Review Board of the IEEE M ICROWAVE AND W IRELESS C OMPONENTS L ETTERS .

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. GUAN et al.: COMPACT, LOW IL, AND WIDE STOPBAND HTS DIPLEXER

Baoping Ren (S’16) was born in Jiangxi, China, in 1988. He received the B.S. degree in communication engineering and the M.S. degree in communication and information systems from East China Jiaotong University, Nanchang, China, in 2011 and 2014, respectively. He is currently the Academic Secretary with the Jiangxi Province Key Laboratory of RF Communications and Sensor Networks, East China Jiaotong University. His current research interests include RF and microwave passive components and systems, high-temperature superconducting circuits, and metamaterials and all their applications. Wei Huang was born in Jiangxi, China, in 1992. He received the B.Eng. degree in communication engineering from the Jiangxi University of Finance and Economics, Nanchang, China, in 2014. He is currently pursuing the M.S. degree in information and communication engineering with East China Jiaotong University, Nanchang, China.

9

Pin Wen (S’16) was born in Nanchang, China, in 1987. He received the B.S. degree in communication engineering and the M.S. degree in communication and information systems from East China Jiaotong University, Nanchang, China, in 2012 and 2015, respectively. He is currently a Research Assistant with the Jiangxi Province Key Laboratory of RF Communications and Sensor Networks, East China Jiaotong University. His current research interests include antenna theory and design, and superconducting filter design.

2590

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

Switched Allpass-to-Bandstop Absorptive Filters With Constant Group Delay Andrew C. Guyette, Senior Member, IEEE, Eric J. Naglich, Senior Member, IEEE, and Sanghoon Shin, Member, IEEE

Abstract— A new reconfigurable bandstop filter class is proposed, which has the unique property of possessing multiple insertion loss states with a common group delay state. These filters switch between a low-insertion-loss allpass state and a high-rejection bandstop state. The theory, synthesis, and design of these filters are presented. As a demonstration of the concept, a fourth-order constant-group-delay switched bandstop filter microstrip prototype was designed, built, and tested. The prototype has a measured bandstop-state center frequency of 1000 MHz, a 3-dB bandwidth of 119.15 MHz, a stopband rejection of 32.52 dB, a maximum allpass-state insertion loss of 4.08 dB, and a negligible group delay variation between the two states. Index Terms— Filtering theory, microwave filters, passive filters, resonator filters.

I. I NTRODUCTION

M

ICROWAVE bandstop filters are used in microwave systems to remove unwanted signals. These signals are often intermittent, in which case the use of a switched bandstop filter is preferred in order to maximize the operational bandwidth of the system for all possible spectral situations. A common approach to implementing a switched bandstop filter is to use RF signal-routing switches to bypass a bandstop filter [Fig. 1(a)]. A significant disadvantage of this approach is that a considerable amount of group delay variation occurs as the filter is switched, both within and in the vicinity of the ON-state stopband [see Fig. 1(b)]. Similar large group delay variations are also present between states of other reconfigurable bandstop filters that offer a similar functionality without switches in the main signal path [1]–[8]. Group delay variation between states can be a significant problem for applications such as phased arrays where maintaining phase balance is very important. For example, phase distortion from group delay variation can widen resolution cells in radar systems [9]. In addition, the group delay response of some systems can be engineered to perform analog signal processing when the system bandwidth is wider than what can adequately be addressed by modern digital Manuscript received December 15, 2015; revised June 17, 2016; accepted June 19, 2016. Date of publication July 13, 2016; date of current version August 4, 2016. This work was supported by the Defense Advanced Research Projects Agency (DARPA). The authors are with the U.S. Naval Research Laboratory, Washington, DC 20375 USA (e-mail: [email protected]; eric.naglich@nrl. navy.mil; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2586054

Fig. 1. (a) Conventional switched notch filter schematic and response. There is a large change in group delay between the ON and OFF states. (b) Constantgroup-delay switched notch filter schematic and response. The group delay response is identical for both ON and OFF states.

technology [10], [11]. In these systems, a change in group delay can completely change the functionality of the system. Group delay is therefore an important performance parameter of microwave passive components, and considerable work has been done to design filters and couplers with desirable group delay characteristics [12]–[18]. However, a reconfigurable filter with a constant group delay between filter states has not been shown. To address this issue and enable dynamic filtering of unwanted signals with a constant group delay response, this paper presents for the first time a switched allpass-to-bandstop filter with a constant group delay between the two states, in both the passband and the stopband. The proposed approach takes advantage of the fact that in the case of nonminimum-phase filters (a class of filters which have more than one signal path between the input and the output), there is not a one-to-one correspondence between the amplitude response and the phase response [19]. It is therefore possible to have multiple networks that have identical phase responses but differing amplitude responses. In particular, as shown in this paper, it is possible to have a bandstop network and an allpass network that share the same group delay response. In addition, the proposed synthesis approach results in a common topology and element values between the bandstop and allpass networks, allowing for the

U.S. Government work not protected by U.S. copyright.

GUYETTE et al.: SWITCHED ALLPASS-TO-BANDSTOP ABSORPTIVE FILTERS

2591

use of a single switched circuit to realize both these networks and minimizing size and complexity. Section II describes the theory and synthesis of switched bandstop filters with a constant group delay. Section III presents the design and measured results of a constant-groupdelay switched-absorptive-bandstop microstrip prototype. The prototype has a maximum OFF-state center frequency insertion loss of 4.1 dB, a maximum ON-state rejection of 32.5 dB, and a negligible difference in group delay between the two states. II. T HEORY AND F ILTER S YNTHESIS Consider a transfer function expressed in terms of its poles and zeroes  ( p − ak − j bk ) S21 = K (1) ( p − ck − j d k ) k

where K is a constant, p is the complex frequency variable, ak and bk are the real and imaginary parts of the kth zero, and ck and dk are the real and imaginary parts of the kth pole. The group delay can then be expressed as    ck ak Tg = − 2 (2) ak2 + (ω + bk )2 ck + (ω + dk )2 k where ω is the real frequency variable. Passive networks require that the poles are confined to the left half of the complex plane (ck < 0); however, there is no such restriction for the zeroes. As indicated by (2), poles contribute positive group delay, while zeroes contribute negative group delay if located in the left half of the complex plane (ak < 0), or positive group delay if located in the right half of the complex plane (ak > 0). This property can be used to construct transfer functions that have identical group delay responses but differing amplitude responses. Consider a second-order high-pass prototype transfer function of the form ( p + α)( p − α) (3) S21 = ( p + β + α L )( p + β − α L ) where α, β, and α L are real constants. This function has a pair of poles at p = −β + α L and p = −β − α L , and a pair of zeroes at p = ±α. A pole-zero plot for this function is shown in Fig. 2(a). The magnitude response is |S21 | =

ω2 + α 2 . ω2 + β 2 +α 2L

(4)

The stopband rejection at the center frequency is given by α2 . A = |S21 |ω=0 =  2 β + α 2L The group delay response is   2β β 2 − α 2L + ω2 Tg =    2 . β 4 + 2β 2 ω2 − α 2L + ω2 + α 2L

(5)

(6)

Note that (6) does not depend on the value of α, a variable that plays a part in determining the stopband rejection level given by (5). This is because the group delay contributions from the two zeroes that are defined by α cancel.

Fig. 2. Plot of the poles and zeroes of (3), assuming α L = 0. (a) Bandstop state. (b) Allpass state.

Therefore, by changing the value of α, the stopband rejection level can be changed without affecting the group delay response. It is convenient to normalize the 3-dB cutoff frequency to unity. The 3-dB cutoff frequency of (4) is  √   BW3 dB = −2α 2 + α 2L + β 2 + 2 β 2 + α 2L − α 2 . (7) Setting BW3

= 1 and solving for β give  √ β = −1 + 2(1 + α 2 ) − α 2L .

dB

Substituting (8) into (5) and solving for α give √ A(1 + 2(A − 1) − 2 A) α= . 2 A2 −1

(8)

(9)

The transfer function given by (3) can be readily realized by the reflection coefficient  of a one-port network  = S 21 =

( p + α)( p − α) . ( p + β + α L )( p + β − α L )

(10)

The corresponding input admittance is YI N =

2βp + β 2 + α 2 −α L 2 1− = . 2 1+ 2 p +2βp + β 2 − α 2 −α L 2

(11)

A continued-fraction expansion of YIN results in the one-port network shown in Fig. 3(a), with admittance inverters K and conductances G given by

(12) K0 = β     2 1 α 4 + β 2 − α 2L −2α 2 β 2 + α 2L K1 = (13) 2 β2 β 2 − α 2 + α 2L (14) G1 = 2β β 2 + α 2 −α L 2 G2 = . (15) 2β Under the condition α = β − αL

(16)

2592

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

Fig. 3. (a) Reflection-mode network realizing the function given by (10). (b) Switched allpass-bandstop reflection-mode network with reflection coefficient . (c) Hybrid-coupler architecture used to convert  to a transmission response.

the Q u of the first resonator is Q1 =

1 1 = G1 αL

(17)

and K 1 = 0. Equation (16) gives the value of α for the allpass state. A pole-zero plot for the allpass state is shown in Fig. 2(b). The value of α for the bandstop state is given by (9), with the parameter A set to the desired stopband rejection level. Switching from a bandstop state to a minimuminsertion-loss allpass state therefore involves switching from a second-order network to a first-order network. This can be accomplished using a switch as shown in Fig. 3(b). In order to convert the reflection coefficient  into a transmission coefficient, a 90° hybrid coupling topology can be used [Fig. 3(c)]. The high-pass prototype reflection-mode network defined by (12)–(15) can readily be transformed into a bandstop reflection-mode network using the standard bandpass frequency transformation ω ω0 1 (18) − ω→ FBW ω0 ω where ω0 =

√ ω1 ω2

(19)

Fig. 4. (a) Schematic of microstrip prototype not including discontinuities. C1 = 1.06 pF, C2 = 0.81 pF, C3 = 0.44 pF, C4 = 0.99 pF, C5 = 0.44 pF, C6 = 0.85 pF, C7 = 0.56 pF, C8 = 2.81 pF, C9 = 3.35 pF, R1 = 100 , R2 = 348 , L 1 = 4.87 mm, W1 = 0.91 mm, L 2 = 22.97 mm, W2 = 2.95 mm, L 3 = 6.096 mm, W3 = 1.32 mm, L 4 = 5.28, W4 = 1.32 mm, L 5 = 5.39 mm, W5 = 1.32 mm, L 6 = 13.51 mm, W6 = 1.32 mm, L 7 = 11.43 mm, W7 = 1.32 mm, and S1 = 1.02 mm. (b) Variable-Q u capacitance circuit. (c) Layout in Sonnet.

FBW =

ω1 − ω2 ω0

(20)

where ω1 and ω2 are the 3-dB cutoff frequencies and FBW is the fractional bandwidth. The maximum required resonator Q u , which occurs in resonator 1 in the allpass state of the switchable bandstop prototype, is Q1 =

1 . α L FBW

(21)

GUYETTE et al.: SWITCHED ALLPASS-TO-BANDSTOP ABSORPTIVE FILTERS

2593

Fig. 5. Plot of Ca and Q u versus Cb and for Ceq = 1 pF and G = 0.01, demonstrating the tunable-Q u capability of the circuit shown in Fig. 4(b).

Fig. 6. Fabricated constant-group-delay switched bandstop microstrip prototype.

Therefore, given a bandstop prototype 3-dB bandwidth and Q 1 , the high-pass prototype loss parameter α L is αL =

1 . Q 1 FBW

(22)

The magnitude response of the allpass state at ω0 , indicating the residual allpass state loss, is given by 2  β − αL . |S21 |ω=ω0 =  2 (23) β + α 2L III. M ICROSTRIP P ROTOTYPE As a demonstration of the constant-group-delay switchedabsorptive-bandstop concept, a microstrip prototype was designed, fabricated, and tested. The substrate is Rogers 4003 (εr = 3.38 and thickness = 1.52 mm). The RF switches are Peregrine PE42422 SPDT. Johanson trimmer capacitors are used to control couplings, resonator center frequencies, and along with chip resistors—the resonator Q u ’s.

Fig. 7. Measured responses of the prototype shown in Fig. 6. (a) Insertion loss. (b) Group delay. (c) Wideband S-parameters.

The design specifications are as follows: 1000-MHz center frequency, 120-MHz 3-dB bandwidth, and 30-dB stopband rejection. The first step in the design process was to determine Q 1 (the maximum resonator Q u that occurs in the

2594

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

The equivalent capacitance is

 Ca R12 + Cb (Ca + Cb )ω2 Ca C b ≈ . Ceq = 1 2 ω2 C + (C + C ) a + Cb a b R2

(25)

Changing the ratio Ca /C b allows for the Q u to be changed while keeping the equivalent capacitance Ceq fixed, as shown in Fig. 5. Shown in Fig. 6 is the fabricated prototype. S-parameter measurements were taken with a KeySight N5245A PNA-X network analyzer, and are shown in Fig. 7. The measured center frequency is 1000 MHz (exactly tuned using the trimmer capacitors), the 3-dB bandwidth is 119.2 MHz, and the stopband rejection is 32.5 dB. The maximum OFF-state insertion loss is 4.1 dB, which is 0.3 dB greater than simulated. Also there is an absorptive spurious mode that adds approximately 3 dB of insertion loss at 1500 MHz; this was found to be due to the finite electrical length of the trimmer capacitors, which was not included in simulation, shifting the second-order mode of the first resonators down in frequency. IV. C ONCLUSION

Fig. 8. Simulated high-pass-prototype response of a bank of constant-groupdelay switched-bandstop filters. (a) Insertion loss of all-on and single-onchannel states. (b) Group delay response (identical for of all states).

allpass state) in the microstrip prototype. Q 1 is extracted from the chosen microstrip resonator topology with a Peregrine PE42422 RF switch attached [see resonator 1 in Fig. 4(a)]. An AWR Microwave Office simulation of resonator 1 gives a Q u of 70, resulting in α L = 0.119047 from (22). From (12)–(15), the high-pass prototype parameters are then found to be K 0 = 0.805, K 1 = 0.302, G 1 = 0.324, and G 2 = 0.323. The maximum insertion loss of the allpass state is given by (23) and is −3.8 dB. The hybrid-coupler architecture high-pass prototype of Fig. 3(c) is then used to design a microstrip prototype in AWR Microwave Office. Shown in Fig. 4(a) is a schematic of the microstrip design. The couplings K of the high-pass prototype are implemented in the microstrip design using capacitances, the values of which are calculated using conventional techniques. In order to allow for postfabrication tuning of resonator Q u , a variable-Q u capacitance circuit is used [Fig. 4(b)]. The Q u of this circuit is Qu =

1 R

+ RCb (Ca + Cb )ω2 . Ca ω

(24)

This paper has presented the theory, synthesis, and design of constant-group-delay switched-absorptive-bandstop filters, a new class of reconfigurable filters that allow for insertion loss to be changed without affecting the group delay response. As a demonstration of the concept, a single-section fourth-order prototype was designed, built, and tested, and the results closely correspond with theory and simulation. In order to realize a higher order filter with more states, N constantgroup-delay switched-absorptive-bandstop sections can be readily cascaded to form a multichannel switched filter bank (Fig. 8) with 2 N unique states and a quasi-equiripple group delay response for the all-OFF state. Higher order filters of this class, as well as other reconfigurable filter types (low-pass, bandpass, etc.) with a constant group delay between states, are a subject for future research. ACKNOWLEDGMENT The views, opinions, and/or findings contained in this article are those of the authors and should not be interpreted as representing the official views or policies of the Department of Defense or the U.S. Government. R EFERENCES [1] J. D. Rhodes, “Switched bandstop filters,” Int. J. Circuit Theory Appl., vol. 22, no. 2, pp. 107–120, 1994. [2] A. C. Guyette, “Intrinsically switched varactor-tuned filters and filter banks,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 4, pp. 1044–1056, Apr. 2012. [3] E. J. Naglich, J. Lee, D. Peroulis, and W. J. Chappell, “Switchless tunable bandstop-to-all-pass reconfigurable filter,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 5, pp. 1258–1265, May 2012. [4] M. D. Hickle, M. D. Sinanis, and D. Peroulis, “Design and implementation of an intrinsically-switched 22–43 GHz tunable bandstop filter,” in Proc. IEEE 17th Annu. Wireless Microw. Technol. Conf., Apr. 2016, pp. 1–3. [5] B. Avenhaus, A. Porch, F. Huang, M. J. Lancaster, P. Woodall, and F. Wellhofer, “Switched YBa2 Cu3 O7 lumped element bandstop filter,” Electron. Lett., vol. 31, no. 12, pp. 985–986, 1995. [6] N. O. Fenzi, K. F. Raihn, G. V. Negrete, E. R. Soares, and G. L. Matthaei, “An optically switched bank of HTS bandstop filters,” in IEEE MTT-S Int. Microw. Symp. Dig., vol. 1. May 1994, pp. 195–198.

GUYETTE et al.: SWITCHED ALLPASS-TO-BANDSTOP ABSORPTIVE FILTERS

[7] M. K. Zahari, B. H. Ahmad, N. A. Shairi, and P. W. Wong, “Reconfigurable matched bandstop filter,” in Proc. IEEE Int. RF Microw. Conf., Dec. 2011, pp. 230–233. [8] Z. Wu, Y. Shim, and M. Rais-Zadeh, “Switchable wide tuning range bandstop filters for frequency-agile radios,” in Proc. IEEE Int. Electron Devices Meeting, Dec. 2011, pp. 20.5.1–20.54. [9] F. Perez-Martinez, M. Burgos-Garcia, and A. Asensio-Lopez, “Group delay effects on the performance of wideband CW-LFM radars,” Proc. Inst. Elect. Eng.—Radar, Sonar Navigat., vol. 148, no. 2, pp. 95–100, Apr. 2001. [10] S. Bose and K. J. Vinoy, “Group delay engineering using cascaded all pass filters for wideband chirp waveform generation,” in Proc. IEEE Int. Conf. Electron., Comput. Commun. Technol. (CONECCT), Jan. 2013, pp. 1–5. [11] C. Caloz, S. Gupta, Q. Zhang, and B. Nikfal, “Analog signal processing: A possible alternative or complement to dominantly digital radio schemes,” IEEE Microw. Mag., vol. 14, no. 6, pp. 87–103, Sep./Oct. 2013. [12] H.-T. Hsu, H.-W. Yao, K. A. Zaki, and A. E. Atia, “Synthesis of coupledresonators group-delay equalizers,” IEEE Trans. Microw. Theory Techn., vol. 50, no. 8, pp. 1960–1968, Aug. 2002. [13] S. Gupta, A. Parsa, E. Perret, R. V. Snyder, R. J. Wenzel, and C. Caloz, “Group-delay engineered noncommensurate transmission line all-pass network for analog signal processing,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 9, pp. 2392–2407, Sep. 2010. [14] M. K. Mandal, D. Deslandes, and K. Wu, “Complementary microstripslotline stub configuration for group delay engineering,” IEEE Microw. Wireless Compon. Lett., vol. 22, no. 8, pp. 388–390, Aug. 2012. [15] K. S. K. Yeo and M. J. Lancaster, “The design of microstrip sixpole quasi-elliptic filter with linear phase response using extractedpole technique,” IEEE Trans. Microw. Theory Techn., vol. 49, no. 2, pp. 321–327, Feb. 2001. [16] H. Choi, Y. Jeong, J. Lim, S.-Y. Eom, and Y.-B. Jung, “A novel design for a dual-band negative group delay circuit,” IEEE Microw., Wireless, Compon. Lett., vol. 21, no. 1, pp. 19–21, Jan. 2011. [17] C.-T. M. Wu and T. Itoh, “Maximally flat negative group-delay circuit: A microwave transversal filter approach,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 6, pp. 1330–1342, Jun. 2014. [18] G. Chaudhary, Y. Jeong, and J. Lim, “Microstrip line negative group delay filters for microwave circuits,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 2, pp. 234–243, Feb. 2014. [19] I. Hunter, Theory and Design of Microwave Filters. London, U.K.: IEE, 2001. Andrew C. Guyette (M’08–SM’15) was born in Grand Forks, ND, USA, in 1976. He received the B.S. and M.S. degrees in electrical engineering from the University of Hawaii at Manoa, Honolulu, HI, USA, in 1999 and 2001, respectively, and the Ph.D. degree from The University of Leeds, Leeds, U.K., in 2006. He has been with the U.S. Naval Research Laboratory, Washington, DC, USA, since 2007. His current research interests include tunable filters, lossy filters, and network synthesis.

2595

Eric J. Naglich (GSM’09–M’13–SM’15) received the B.S. and Ph.D. degrees in electrical and computer engineering from Purdue University, West Lafayette, IN, USA, in 2007 and 2013, respectively. He was with GE Healthcare, Houston, TX, USA, from 2007 to 2009, where he was involved in the Edison Engineering Development Program. He joined the U.S. Naval Research Laboratory, Washington, DC, USA, in 2014. His current research interests include reconfigurable filter and passive circuit synthesis and fabrication techniques. Dr. Naglich was a 2013 Karle Fellow and a National Defense Science and Engineering Graduate Fellow.

Sanghoon Shin (S’98–M’02) received the B.S. degree from Hanyang University, Seoul, South Korea, in 1993, the M.S. degree in electrical engineering from the Polytechnic Institute of New York University, Brooklyn, NY, USA, in 1996, and the Ph.D. degree in electrical engineering from the New Jersey Institute of Technology, Newark, NJ, USA, in 2002. He joined RS Microwave Inc., Butler, NJ, USA, as a Research Engineer in 2002. In 2010, he joined the U.S. Naval Research Laboratory, Washington, DC, USA, as a Staff Scientist. His current research interests include broadband high-power filters and multiplexers and frequency agile RF/microwave filter design and analysis. Mr. Shin served as an Executive Officer of the IEEE North Jersey Section from 2003 to 2010. He has been an IEEE MTT-S Technical Program Committee Member (TC-8) and an IEEE MTT-S Transaction Member of the Editorial Board since 2005.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Broadband Integrated 8 × 8 Butler Matrix Utilizing Quadrature Couplers and Schiffman Phase Shifters for Multibeam Antennas With Broadside Beam Krzysztof Wincza, Member, IEEE, and Slawomir Gruszczynski, Member, IEEE Abstract— A novel realization of a broadband 8 × 8 Butler matrix having phase progressions 0°, ±45°, ±90°, ±135°, and 180° has been proposed. The presented network is composed of coupled-line 3-dB/90° directional couplers and Schiffman phase shifters that ensure its broadband amplitude and phase characteristics. The proposed solution allows for a fully planar realization without any interlayer connections, which has been achieved by both rearrangement of the matrix layout and realization of the selected crossovers as tandem connections of quadrature directional couplers. The proposed concept has been verified experimentally by the design of a Butler matrix operating within 2.5–3.5 GHz and applied in a multibeam antenna array with broadside beam. Index Terms— Butler matrices, coupled-line directional couplers, multibeam antennas, quadrature-coupler crossovers, Schiffman phase shifters.

I. I NTRODUCTION

B

UTLER matrices are commonly known microwave circuits developed primarily for application in feeding networks of multibeam antennas [1]–[4]. Such circuits allow the generation of N independent beams when an N × N Butler matrix is applied in conjunction with N or more radiating elements [5]–[8]. Among other applications of Butler matrices, one can mention direction finding systems [9], multichannel amplifiers [10], or multiport measurement systems [11], [12]. The most commonly utilized are 4 × 4 Butler matrices due to their relatively low complexity. Such networks allow the design of four-beam antenna arrays [5], [6], [13]. The development of 8 × 8 Butler matrices is seldom reported due to their high complexity and a significant number of transmission-line crossovers required in their realization [14]–[16]. Another issue in the realization of Butler matrices is their type and topology. In general, two types of Butler matrices can be distinguished, the first (classic) having phase progressions     360° N 180° +k (1) k ∈ 0; −1 ± N N 2

Manuscript received October 26, 2015; revised May 13, 2016 and June 1, 2016; accepted June 16, 2016. This work was supported in part by the statutory activity of the Department of Electronics AGH and in part by the National Science Centre under the SONATA Program, Contract DEC-2011/03/D/ST7/01783. The authors are with Department of Electronics, AGH University of Science and Technology, Kraków 30-059, Poland (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2582877

which produce beams symmetrically located along the normal to the antenna aperture, and the second (modified) having phase progressions     360° N ± k k ∈ 0; (2) N 2 which produce broadside beam, endfire beam, and intermediate beams distributed evenly. In the case of an 8 × 8 Butler matrix, the phase progressions for the classic one are ±22.5°, ±67.5°, ±112.5°, ±157.5°, which allow the generation of eight independent beams pointing at ±7.2°, ±22°, ±38.7°, ±61°, whereas for the modified one they are 0°, ±45°, ±90°, ±135°, and 180°, which allow the generation of eight independent beams pointing at 0° (broadside beam), ±14.5°, ±30°, ±48.6°, and 90° (endfire beam) for interelement spacing equal to 0.5λ0 . In the literature, the most commonly described and most often realized are classic Butler matrices having phase progressions given by (1); on the other hand when such a matrix is applied in conjunction with a planar microstrip antenna array, large grating lobes are observed due to the relatively high value of phase progression of the outer beams (for k = N/2 − 1). By contrast, modified Butler matrices feature a different distribution of beams including broadside beam and, if disregarding the endfire beam, the beam distribution covers a smaller angular range, and therefore, the issue of grating lobes is diminished. Such a concept has been shown in [17], where a three-beam antenna in a microstrip technique has been presented and the significance of broadside beam generation in certain system applications has been point out. In this solution, the Butler matrix complexity has been reduced by replacing one of the directional couplers by a power divider, resulting in the reduction of input ports to only three (the fourth redundant input port corresponding to the endfire beam has been removed). Another example following such a concept has been presented in [18]. In both the presented cases, the developed Butler matrices have been designed using narrowband branch-line directional couplers. In contrast to [19], a broadband modified 4 × 4 Butler matrix has been presented, in which multisection 3 dB/90° and tapered-line directional couplers have been applied. Modified Butler matrices of higher orders have not been reported with the exception of [20], where a broadband 8 × 8 Butler matrix composed of tapered-line directional couplers has been designed. However, the network has not been integrated, instead a modular form has been proposed in

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 1. (a) Schematic of the modified 8 × 8 Butler matrix as shown in [3] realized with magic-Ts and fixed phase shifters. (b) Modified 8 × 8 Butler matrix realized with quadrature directional couplers and fixed phase shifters [20]. (c) Rearranged network consisting of two 4 × 4 Butler matrices of lower order. (d) Final matrix arrangement suitable for integrated planar realization with four crossovers realized as tandem connections of quadrature directional couplers.

which separate modules have been connected by means of coaxial cables. In this paper, a novel realization of an 8 × 8 modified Butler matrix, having phase progressions 0°, ±45°, ±90°, ±135°, and 180°, for application in multibeam antennas with broadside beam has been presented. To achieve broadband amplitude characteristics, coupled-line quadrature directional couplers have been applied similarly as in the case of a standard broadband Butler matrix presented in [16]. However, in the proposed concept, broadband phase responses have been ensured with phase shifters realized as coupled-line Schiffman C-sections [21]. Moreover, in contrast to the matrix presented in [16], the different rearrangement of the network has been proposed in which the number of crossovers has been reduced to four and the intentionally selected crossovers have been realized as tandem connections of quadrature directional couplers. The proposed novel arrangement allows for achieving simultaneously, broadband amplitude and phase characteristics and a planar layout of the modified Butler matrix in a stripline

TABLE I N UMBER OF R EQUIRED D IRECTIONAL C OUPLERS AND S HIFFMAN C-S ECTIONS FOR R EALIZATION OF S TANDARD AND M ODIFIED 8 × 8 B UTLER M ATRICES W ITH THE P ROPOSED M ETHOD IN C OMPARISON W ITH THE M ETHOD D ESCRIBED IN [16]

technique without any interlayer connections using a minimum number of coupled-line sections. The presented concept has been verified experimentally by the design of the Butler matrix operating in the 2.5–3.5 GHz frequency range and the results of both the simulations and measurements are given. Finally, the measured radiation patterns are shown to prove the usefulness of the proposed networks in multibeam antenna applications featuring broadside beam.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. WINCZA AND GRUSZCZYNSKI: BROADBAND INTEGRATED 8 × 8 BUTLER MATRIX

3

Fig. 2. Frequency response of an ideal 8 × 8 Butler matrix shown in Fig. 1(d). (a) Amplitude characteristics when port #1 is fed. (b) Amplitude characteristics when port #2 is fed. (c) Differential phase characteristics when port #1 is fed. (d) Differential phase characteristics when port #2 is fed. (e) Reflection coefficients and (f) transmissions between isolated ports.

II. B ROADBAND B UTLER M ATRIX D ESIGN A modified Butler matrix is schematically shown in Fig. 1(a) after [3]. Such a network consists of magic-T couplers and several constant phase shifters having values −45°, −90°, and −135°. Since the realization of a broadband network is of interest, the magic-Ts need to be realized as coupledline directional couplers with additional 90° phase shifters, as schematically shown in Fig. 1(b). After such a substitution the characteristic subblocks of the matrix can be distinguished, marked in the schematic as submatrices A and B. Submatrix A is composed of four quadrature directional couplers and two −45° phase shifters and has the topology

Fig. 3. Cross-sectional view of the stripline coupled lines used for the design of a broadband 8 × 8 Butler matrix.

of a classic 4 × 4 Butler matrix, the realization of which has been widely reported in [7] and [13]. Submatrix B is composed of four quadrature couplers and three −90° phase shifters, which is equivalent to three magic-Ts and one quadrature directional coupler, and has the topology of a

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 4. Measured frequency response of the designed broadband fully integrated 8 × 8 Butler matrix (black solid lines) in comparison with the results of electromagnetic calculations (dashed gray lines). (a) Amplitude characteristics when port #1 is fed. (b) Amplitude characteristics when port #2 is fed. (c) Differential phase characteristics when port #1 is fed. (d) Differential phase characteristics when port #2 is fed. (e) Reflection coefficients and (f) transmissions between isolated ports.

modified 4 × 4 Butler matrix, as shown in [19]. It can be then concluded that such an 8 × 8 Butler matrix is composed of two submatrices of lower order, one being a classic and the other being a modified 4 × 4 Butler matrix connected with the use of four quadrature couplers with four −90° phase shifters. A planar realization of such a network operating in a broad frequency range requires the realization of several transmission-line crossovers and also broadband phase shifters. Two of the transmission-line crossovers are within submatrices A and B. The crossover in submatrix A can be realized as shown in Fig 1(c) [7], where a tandem connection of two quadrature coupled-line directional couplers together with the reference lines (having electrical lengths 3 )

serve simultaneously as a transmission-line crossover and two broadband −45° phase shifters [7]. Similarly, the crossover in submatrix B can be realized, but since there is no pair of identical phase shifters in the reference lines, the differential phase of such a tandem connection with respect to the reference line should be 0°. In order to obtain a 0° differential phaseshift in a broad frequency range single-section, Schiffman phase shifters have to be applied by placing C-sections in reference lines (having coupling k2 ) and the transmissionline sections (having electrical lengths 2 ) at the tandem crossover inputs. In this case, the commonly utilized technique of tandem connected directional couplers and reference lines, which simultaneously act as transmission line crossovers and

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. WINCZA AND GRUSZCZYNSKI: BROADBAND INTEGRATED 8 × 8 BUTLER MATRIX

5

TABLE II C OMPARISON OF THE R EALIZATION T ECHNIQUE , S IZE , AND E LECTRICAL PARAMETERS OF THE D EVELOPED 8 × 8 B UTLER M ATRIX W ITH S IMILAR R EPORTED D ESIGNS

two 45° phase shifters cannot be applied, since it would result in an unacceptable phase imbalance, i.e., ±18.5° in an octave frequency range. Therefore, the application of Shiffman C-sections in the presented concept is unavoidable. Similarly, the three remaining −90° phase shifters have to be realized as Schiffman phase shifters (composed of C-sections having coupling k1 and transmission-line sections having electrical lengths 1 ) to ensure the broadband phase characteristics of the developed matrix. Once all the phase shifters are realized having broadband frequency responses and the transmission-line crossovers of the submatrices are also realized, the Butler matrix topology can be rearranged, similar to [16], to simplify its layout and to achieve a planar integrated structure, as shown in Fig. 1(c). However, since the abovementioned phase corrections need to be applied in several parts of the designed Butler matrix, the proposed matrix rearrangement has been optimized to achieve the minimum number of coupled-line sections needed for realization of an integrated planar layout of the modified Butler matrix, i.e., the total number of coupled-line sections needed for realization of 3-dB couplers, all the needed crossovers, and all the needed Schiffman C-sections. This causes that the previously presented matrix rearrangement shown in [16] cannot be straightforwardly applied, although the initial placement and connections between the quadrature couplers are the same, since it would not provide an optimum solution. The major differences between the proposed and the previously developed matrice rearrangements are as follows. 1) In the proposed solution, the matrix rearrangement has been applied in such a way that all the crossovers marked in the crossover section [Fig. 1(b)] are avoided. However, as a result the output signal lines of ports #10, #11, #14, and #15 cross with the internal connection between the respective quadrature couplers as shown in Fig. 1(c). These crossings have been realized as tandem connected 3-dB couplers, since this results in the topology in which signals to all the outputs propagate with identical paths, and therefore, no additional phase corrections (additional Schiffman C-sections) between the output ports are required.

2) In the previous solution presented in [16], two selected crossovers have been realized as tandem connected 3-dB couplers to realize four −45° fixed phase shifters (see [16, Fig. 1b]). Afterward, the matrix rearrangement has been applied in such a way that all crossovers are avoided; however, this resulted in the need for realization of two internal 3-dB coupled line couplers with crossed outputs (see [16, Fig. 1c]), which has been finally resolved with the use of two 8.34-dB coupled line couplers. In [16], the realized crossovers have been utilized for realization of −45° phase shifters not existing in the presented modified Butler matrix, therefore, the straightforward application of the matrix’s rearrangement method from [16] would require additionally four Schiffman C-sections, needed to achieve a 0° differential phase in a broad frequency range. Summarizing, the proposed method for realization of the modified Butler matrix requires two coupled-line sections less than the realization of the modified Butler matrix with the previously shown concept. On the other hand, the previously shown Butler matrix realized with the proposed rearrangement method would require additionally six coupled-line sections. It is then fair to conclude that each of the design methods is best suitable for realization of the particular Butler matrix, the previously shown method for the standard 8 × 8 Butler matrix, and the newly proposed concept for realization of the modified 8 × 8 Butler matrix. The number of directional couplers and Schiffman C-sections for both types of 8 × 8 Butler matrices realized with the proposed method in comparison to the previously described method is summarized in Table I. The final schematic of the proposed modified 8 × 8 Butler matrix is shown in Fig. 1(d). The properties of the proposed matrix have been calculated assuming ideal coupled-line sections constituting all the quadrature directional couplers and Schiffman C-sections. The assumed coupling coefficients for directional couplers equal k = 0.707 and the parameters of the phase shifters are as follows: k1 = 0.39, 1 = 270°, k2 = 0.14, 2 = 90°, and 3 = 135°. The obtained results are shown in Fig. 2, and it is seen that the amplitude imbalance in the frequency range fu / fl = 1.4 does not exceed ±0.5 dB, while

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE III G EOMETRICAL D IMENSIONS , L INE W IDTHS w, O FFSETS o, S LOTS s, AND L INE L ENGTHS l FOR THE D ESIGNED 8×8 M ODIFIED B UTLER M ATRIX . A LL D IMENSIONS A RE G IVEN IN M ILLIMETERS

Fig. 5. (a) Layout of the designed modified Butler matrix. (b) Picture of the inner laminate layer on which the traces of the 8 × 8 Butler matrix were etched.

the differential phase imbalance does not exceed ±5°. The application of the tandem connected quadrature directional couplers as transmission-line crossovers has one drawback coming from the nonideal isolation of the crossover. The finite isolation causes that the output signal from submatrices A or B is returned to the same submatrix resulting in signal reflections at the exited port and finite isolations to the other input ports. One of the signal leakage paths has been marked in Fig. 1(d) and the resulting return losses and isolations of the Butler matrix are shown in Fig. 2(e) and (f). As it is seen, the isolation in the considered bandwidth is not worse than −36 dB, while the return losses are not worse than 33 dB, and thus, the obtained theoretical parameters are satisfactory for a majority of applications. III. E XPERIMENTAL R ESULTS The proposed 8 × 8 Butler matrix has been experimentally tested. For the network realization, a dielectric structure shown in Fig. 3 has been chosen consisting of a thin dielectric layer having h 2 = 0.15 mm on which the traces have been etched covered from both sides by thick dielectric layers h 1 = h 3 = 1.52 mm. The chosen structure is dielectrically homogeneous with the dielectric constant εr = 3.38. As quadrature directional couplers, coupled-line couplers have been used, shown previously in [16]. The measured results of

Fig. 6. Layout of a single Vivaldi radiating element utilized in the developed switched beam antenna array fed by the 8 × 8 modified Butler matrix.

the fabricated matrix are shown in Fig. 4 and the obtained amplitude imbalance does not exceed ±0.5 dB, the insertion losses equal 1 dB, the differential phase imbalance does not exceed ±10°, and the return losses and isolations are better than 20 dB. The performance of the developed Butler matrix has been compared with other designs and the results are presented in Table II. As it is seen, the proposed network is the only modified fully integrated 8 × 8 Butler matrix, which allows for achieving broadside beam when connected to an eight-element antenna array. The closest example is the Butler matrix presented in [20], where although broadband characteristics have been achieved, the problem of integration has not been solved and the matrix features high insertion losses. Comparing the achieved electrical parameters with other designs, the proposed matrix features superior return losses as well as insertion losses. Similar phase and amplitude imbalance has been achieved in [23], however, the network is also not the integrated one. In other designs especially realized in monolithic technologies, high insertion losses and high return losses have been obtained as well as large amplitude

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. WINCZA AND GRUSZCZYNSKI: BROADBAND INTEGRATED 8 × 8 BUTLER MATRIX

7

TABLE IV G EOMETRICAL D IMENSIONS OF THE U TILIZED V IVALDI R ADIATING E LEMENT. A LL D IMENSIONS A RE G IVEN IN M ILLIMETERS

Fig. 7. Measured radiation patterns at three different frequencies of a single Vivaldi antenna element utilized in the developed switched beam antenna array. TABLE V PARAMETERS OF THE S EVEN -B EAM A NTENNA A RRAY C ONSISTING OF V IVALDI R ADIATING E LEMENTS F ED BY M ODIFIED B UTLER M ATRIX IN C OMPARISON W ITH T HAT F ED BY C LASSIC B UTLER M ATRIX

and phase imbalances, which is the result of the chosen technology in which very compact structures are obtained. The proposed realization of the modified 8 × 8 Butler matrix exhibits a similar performance to that shown in [16], but it is the first example of the integrated planar structure featuring broadband frequency characteristics and having 0°, ±45°, ±90°, ±135°, and 180° differential phases. In Fig. 5, the layout of the designed Butler matrix is shown together with dimension tags; also, a picture of the center laminate layer with all the quadrature couplers, C-sections, and connecting 50- transmission lines is shown. The corresponding dimensions are listed in Table III. Furthermore, the developed matrix has been tested as a feeding network of a switched beam antenna array with broadside beam. As radiation elements Vivaldi antennas have been used, since they offer very broadband characteristics covering the bandwidth of the developed Butler matrix. The geometry of the utilized Vivaldi antenna is shown in Fig. 6, whereas the geometrical dimensions are listed in Table IV. The measured radiation patterns of the developed radiating element are shown in Fig. 7. As it is seen, the developed antenna element features similar beamwidths in the considered bandwidth.

Fig. 8. Measured radiation patterns at (a) 2.5, (b) 3, and (c) 3.5 GHz of the developed switched-beam antenna array fed by the developed modified 8 × 8 Butler matrix (solid lines) in comparison with the calculated array factor of the eight-element linear antenna array multiplied by the calculated radiation pattern of a single Vivaldi antenna element (dashed lines).

The radiating elements have been spaced 58 mm apart, which corresponds to 0.48λ at 2.5 GHz, 0.58λ at 3 GHz, and 0.67λ at 3.5 GHz. The proposed antenna array fed by the modified Butler matrix features several advantages over the antenna array fed by the classic Butler matrix, which are lower sidelobe level including grating lobes, lower variation of the beam coverage, and lower directivity drop caused by the directive radiation elements. The antenna parameters are compared in Table V. The measured radiation patterns obtained at three different frequencies of the switched beam antenna array in comparison with the array factor multiplied by the calculated radiation pattern of a single radiating element are shown in Fig. 8. As it is seen, good properties of the switched beam antenna array have been obtained in a broad frequency range, i.e., 2.5–3.5 GHz, which confirms the theoretical analysis. In addition, good agreement has been achieved between the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE VI

R EFERENCES

M EASURED B EAMWIDTHS OF THE D EVELOPED A NTENNA A RRAY IN C OMPARISON W ITH T HEORY

[1] J. Butler and R. Lowe, “Beam forming matrix simplifies design of electronically scanned antennas,” Electron. Design, vol. 9, pp. 170–173, Apr. 1961. [2] J. Shelton and K. S. Kelleher, “Multiple beams from linear arrays,” IRE Trans. Antennas Propag., vol. 9, no. 2, pp. 154–161, Mar. 1961. [3] J. L. Allen, “A theoretical limitation on the formation of lossless multiple beams in linear arrays,” IRE Trans. Antennas Propag., vol. 9, no. 4, pp. 350–352, Jul. 1961. [4] W. P. Delaney, “An RF multiple beam-forming technique,” IRE Trans. Military Electron., vol. MIL-6, no. 2, pp. 179–186, Apr. 1962. [5] T. A. Denidni and T. E. Libar, “Wide band four-port Butler matrix for switched multibeam antenna arrays,” in Proc. IEEE Pers. Indoor Mobile Radio Commun., vol. 3. Sep. 2003, pp. 2461–2464. [6] T. K. G. Kwang and P. Gardner, “4 × 4 Butler matrix beam forming network using novel reduced size branchline coupler,” in Proc. 31st Eur. Microw. Conf., London, U.K., Sep. 2001, pp. 1–4. [7] K. Wincza and S. Gruszczynski, “A broadband 4 × 4 Butler matrix for modern-day antennas,” in Proc. 35th Eur. Microw. Conf., Paris, France, Oct. 2005, pp. 1331–1334. [8] K. Wincza, S. Gruszczynski, and K. Sachse, “Reduced sidelobe fourbeam antenna array fed by modified Butler matrix,” Electron. Lett., vol. 42, no. 9, pp. 508–509, Apr. 2006. [9] N. Karavassilis, D. E. N. Davies, and C. G. Guy, “Experimental HF circular array with direction finding and null steering capabilities,” Proc. Inst. Elect. Eng.–Microw., Antennas Propag., vol. 133, pt. H, no. 2, pp. 147–154, Apr. 1986. [10] A. Angelucci, P. Audagnotto, P. Corda, and B. Piovano, “Multiport power amplifiers for mobile-radio systems using microstrip Butler matrices,” in Int. Symp. Antennas Propag. (AP-S), Dig., vol. 1. 1994, pp. 628–631. [11] K. Staszek, S. Gruszczynski, and K. Wincza, “Broadband measurements of S-parameters utilizing 4 × 4 Butler matrices,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 4, pp. 1692–1699, Apr. 2013. [12] K. Staszek, S. Gruszczynski, and K. Wincza, “Theoretical limits and accuracy improvement of reflection-coefficient measurements in six-port reflectometers,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 8, pp. 2966–2974, Aug. 2013. [13] S. Gruszczynski, K. Wincza, and K. Sachse, “Compact broadband Butler matrix in multilayer technology for integrated multibeam antennas,” Electron. Lett., vol. 43, no. 11, pp. 635–636, May 2007. [14] B. Cetinoneri, Y. A. Atesal, and G. M. Rebeiz, “An 8 × 8 Butler matrix in 0.13-μm CMOS for 5–6-GHz multibeam applications,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 2, pp. 295–301, Feb. 2011. [15] T.-Y. Chin, J.-C. Wu, S.-F. Chang, and C.-C. Chang, “A V-band 8×8 CMOS Butler matrix MMIC,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 12, pp. 3538–3546, Dec. 2010. [16] K. Wincza, S. Gruszczynski, and K. Sachse, “Broadband planar fully integrated 8 × 8 Butler matrix using coupled-line directional couplers,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 10, pp. 2441–2446, Oct. 2011. [17] M. Koubeissi, C. Decroze, T. Monediere, and B. Jecko, “Switched-beam antenna based on novel design of Butler matrices with broadside beam,” Electron. Lett., vol. 41, no. 20, pp. 1097–1098, Sep. 2005. [18] P. Kaminski, K. Wincza, and S. Gruszczynski, “Switched-beam antenna array with broadside beam fed by modified Butler matrix for radar receiver application,” Microw. Opt. Technol. Lett., vol. 56, no. 3, pp. 732–735, Mar. 2014. [19] S. Gruszczynski, K. Wincza, and K. Sachse, “Broadband 4 × 4 Butler matrices utilizing tapered-coupled-line directional couplers,” in Proc. Microw., Radar Remote Sens. Symp. (MRRS), Aug. 2011, pp. 77–81. [20] R. A. De Lillo, “A high performance 8-input, 8-output Butler matrix beamforming network for ultra-broadband applications,” in IEEE Antennas Propag. Int. Symp. Dig., vol. 1. Jun. 1993, pp. 474–477. [21] B. M. Schiffman, “A new class of broad-band microwave 90-degree phase shifters,” IRE Trans. Microw. Theory Techn., vol. MTT-6, no. 2, pp. 232–237, Apr. 1958. [22] K. Ding, F. He, X. Ying, and J. Guan, “A compact 8 × 8 Butler matrix based on double-layer structure,” in Proc. IEEE 5th Int. Symp. Microw., Antenna, Propag. EMC Technol. Wireless Commun. (MAPE), Oct. 2013, pp. 650–653. [23] R. D. Cerna and M. A. Yarlequé, “Design and implementation of a wideband 8×8 Butler matrix for AWS and PCS 1900 MHz beamforming networks,” in Proc. IEEE Int. Wireless Symp. (IWS), Mar./Apr. 2015, pp. 1–4.

TABLE VII M EASURED B EAM D IRECTIONS OF THE D EVELOPED A NTENNA A RRAY IN C OMPARISON W ITH T HEORY

obtained measurement results and the theoretical predictions; the observed differences are caused by the actual radiation pattern of the single radiating element and mutual couplings between these elements. The beamwidths and beam directions agree with the theoretical predictions for the assumed element spacing and radiation pattern of the utilized single radiating element, and have been listed in Tables VI and VII. The mean error of the achieved beamwidths between the calculated and measured results for all the beams at three frequencies does not exceed 1.5°, whereas the mean error of the beam directions does not exceed 0.9°. In Fig. 8, gain drop can be observed for most tilted beams, which is caused by the directive radiation pattern of the utilized radiating element (shown in Fig. 7). The obtained measured radiation patterns confirm the usefulness of the design modified 8 × 8 Butler matrix in multibeam antenna applications. IV. C ONCLUSION A novel realization of a modified 8 × 8 Butler matrix having broadband amplitude and phase characteristics has been proposed. The broadband properties have been ensured by the application of coupled-line directional couplers and Schiffman phase shifters. It has been shown that such a matrix can be composed of standard and modified 4 × 4 Butler matrices appropriately connected with the use of four quadrature couplers and −90° phase shifters. Taking this into account, a novel rearrangement has been proposed in which the selected transmission-line crossovers have been realized as tandem connected quadrature directional couplers allowing to achieve a fully planar layout of the matrix without any interlayer connections and with the use of the minimum number of coupled-line sections. The proposed concept has been verified by the measurement results of the fabricated 8 × 8 Butler matrix designed in a homogeneous dielectric structure and the obtained results confirm the attractive properties of the proposed network. The developed matrix has been successfully applied in a multibeam antenna array having broadside beam and operating in a broad frequency range.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. WINCZA AND GRUSZCZYNSKI: BROADBAND INTEGRATED 8 × 8 BUTLER MATRIX

[24] C.-C. Chang, R.-H. Lee, and T.-Y. Shih, “Design of a beam switching/ steering Butler matrix for phased array system,” IEEE Trans. Antennas Propag., vol. 58, no. 2, pp. 367–374, Feb. 2010. Krzysztof Wincza (GSM’06–M’07) received the M.Sc. and Ph.D. degrees in electronics and electrical engineering from the Wrocław University of Technology, Wrocław, Poland, in 2003 and 2007, respectively. He joined the Institute of Telecommunications, Teleinformatics and Acoustics, Wrocław University of Technology, in 2007. He joined the Faculty of Electronics, AGH University of Science and Technology, Kraków, Poland, in 2009, where he became an Assistant Professor. He has co-authored over 40 journals and 50 conference scientific papers. Dr. Wincza was a recipient of The Youth Award at the 10th National Symposium of Radio Sciences (URSI) and the Young Scientist Grant by the Foundation for Polish Science in 2001 and 2008, respectively.

9

Slawomir Gruszczynski (M’06) received the M.Sc. and Ph.D. degrees in electronics and electrical engineering from the Wrocław University of Technology, Wrocław, Poland, in 2001 and 2006, respectively. He was with the Telecommunications Research Institute, Wrocław Division, Wrocław University of Technology, from 2001 to 2006, where he was with the Institute of Telecommunications, Teleinformatics and Acoustics from 2005 to 2009. He joined the Faculty of Informatics, Electronics and Telecommunications, AGH University of Science and Technology, Kraków, Poland, in 2009, where he became a Head of the Department of Electronics in 2012. He has co-authored over 40 journals and 50 conference scientific papers. Prof. Gruszczynski is a Member of the Young Scientists’ Academy of the Polish Academy of Sciences (PAN) and the Committee of Electronics and Telecommunications of PAN.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

A Quasi-Doherty SOI CMOS Power Amplifier With Folded Combining Transformer Kichul Kim, Student Member, IEEE, Dong-Ho Lee, and Songcheol Hong, Member, IEEE

Abstract— A fully integrated transformer-based quasi-Doherty power amplifier (DPA) with an adaptive power divider (APD) is presented in this paper. A novel folded combining transformer is designed for power combining, which has smaller insertion loss than a conventional one. An APD adaptively controls the power delivered to carrier and peaking amplifiers by altering the input impedance of the peaking amplifier, which has a variable resonance frequency that changes according to the input power. Most of the power is delivered to the carrier amplifier at low incoming power, and it is divided between the carrier and the peaking amplifiers at high incoming power. With continuous wave signal at 1850 MHz, the quasi-DPA implemented with an SOI CMOS process achieves 39.8% and 44.4% power-added efficiencies (PAEs) at the first and the second peak, respectively. With wideband code division multiple access signal, it has 29.2-dBm average linear output power and a 40.47% PAE with a −33-dBc adjacent channel leakage ratio 1. With long-term evolution (LTE) signal, it delivers 27.2-dBm average linear output power and a 37.7% PAE, satisfying linearity requirements for the LTE. Index Terms— Adaptive bias circuit (ADB), adaptive power cell (APC), adaptive power divider (APD), folded combining transformer (CT), power amplifier (PA), quasi-Doherty, SOI CMOS.

I. I NTRODUCTION

T

ODAY’S wireless communication standards, including wideband code division multiple access (WCDMA), long-term evolution (LTE), time division-synchronous code division multiple access, LTE advanced, and wireless local area network (WLAN), use complicated modulation schemes to utilize limited frequency bands effectively. The high peakto-average-power ratio (PAPR) in these complex modulation schemes makes it difficult to design power amplifiers (PAs), since these usually have to operate with large back-off power (BOP) for high linearity. This large BOP causes not only reduced linear output power but also decreased efficiency at the output power. This eventually reduces the battery lifetime of mobile phones.

Manuscript received September 16, 2015; revised February 29, 2016, April 26, 2016, and May 30, 2016; accepted June 01, 2016. This work was supported by the Korean Government (MEST) under the National Research Foundation of Korea (NRF) Grant 2014R1A2A1A01004954 and the Samsung Electro-Mechanics Company, Ltd. K. Kim and S. Hong are with the Department of Electrical Engineering, Korea Advanced Institute of Science and Technology (KAIST), Daejeon 305-732, South Korea (e-mail: [email protected]; [email protected]). D.-H. Lee is with the Department of information and communication Engineering, Hanbat National University, Daejeon 305-719, South Korea (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2577584

Many groups have studied techniques to improve the efficiency of linear PAs with large BOP. For example, envelope tracking techniques [1], [2], polar modulation techniques [3], [4], and Doherty architectures [5]–[8] have been proposed. A Doherty PA (DPA), which consists of main and auxiliary amplifiers, uses load modulation to improve efficiency at BOP. From low output power to BOP, the main amplifier only turns ON with its optimized load impedance to achieve high efficiency. The auxiliary amplifier starts to operate near BOP, changing load impedance. Finally, two amplifiers operate simultaneously in the range from BOP up to saturation output power with their optimized loads with the help of load modulation. Therefore, the improved efficiencies at both BOP and high output power can be achieved with the Doherty architecture. On the other hand, the conventional Doherty architecture needs λ/4 transmission lines at the input and output networks [5], [6]. This leads to a size issue due to their bulky sizes, so previous works have studied off-chip equivalent components for mobile handset applications [7], [8]. However, this is not the best solution, because off-chip matching networks increase the form factors of front-end modules. Therefore, the most appropriate Doherty architecture for mobile phones may be a fully integrated quasi-Doherty architecture, which does not use λ/4 transmission lines or their equivalents. This paper proposes a fully integrated quasi-DPA with a novel folded combining transformer (CT), which has reduced insertion loss, smaller size, and wider bandwidth characteristics than a conventional CT. In addition, an adaptive power divider (APD) distributes the driving power to the main and auxiliary amplifiers properly according to the incoming power. In this paper, a quasi-Doherty architecture with a novel folded CT is discussed in Section II. The APD is proposed and analyzed in Section III. In Section IV, the implemented SOI CMOS chip and its measured results are presented. The conclusions and performance comparisons with other reported PAs are given in Section V. II. Q UASI -D OHERTY PA W ITH A F OLDED CT A conventional DPA, as shown in Fig. 1(a), comprises two amplifiers (main and auxiliary PAs) and λ/4 transmission lines. The main and auxiliary PAs are typically biased in class-AB and class-C modes, respectively. In the low power region, only the main PA turns ON with 2 ∗ Z opt load impedance for high efficiency at BOP. When the main PA is close to its saturation power, the auxiliary PA starts to operate. At the same time, the load impedances for the two PAs start to change from 2 ∗ Z opt to Z opt for the main PA and from infinity

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 2. Proposed CT. (a) Increased self-inductance of secondary transformer from the folded structure. (b) Z -parameter model of the proposed CT when the auxiliary amplifier turns ON or OFF.

as in [5] and [6]. They also generate significant losses. The transformer-based quasi-DPA, which obviates the λ/4 transmission lines and related issues, is shown in Fig. 1(b). It can be fully integrated into a chip for mobile phones. It has similar load modulation for DPA with the CT instead of large transmission lines. However, the conventional series CT (SCT), as shown in Fig. 1(c), still occupies a large area (almost double that of a single transformer) on a chip [9]–[12]. In addition, it has significant insertion loss and poor bandwidth characteristics. Therefore, we propose a novel folded CT, as shown in Fig. 1(d), which has reduced chip area and insertion loss. Moreover, it provides wider bandwidth characteristics than the conventional SCT. Fig. 1. Structures of DPAs and CTs. (a) Conventional DPA. (b) Transformerbased quasi-DPA. (c) Conventional SCT. (d) Proposed folded CT.

to Z opt for the auxiliary PA [5]–[8]. This load modulation is achieved with the help of λ/4 transmission lines in the output of the main PA. The λ/4 transmission line in front of the auxiliary PA adjusts its signal phase to reduce combining losses due to the phase difference between the main and the auxiliary PAs’ output signals. As a result, the output power of a DPA can be typically increased ∼6 dB with the help of the load modulation of the PAs. However, λ/4 transmission lines in the conventional Doherty architecture cause bulky size,

A. Structure of the Proposed Folded CT The SCT is folded in the proposed CT. The secondary part of the transformer for the auxiliary amplifier is loosely coupled with the primary part for the main amplifier, as shown in Fig. 1(d). The shared secondary part allows parallel power combining with the SCT structure. With the help of the proposed folded structure, the secondary part has additional self-inductance (positive mutual inductance) of β L S , where β is a variable number according to the figure of the transformer, and L S denotes the secondary transformers for the main and auxiliary amplifiers, as shown in Fig. 2(a), which increases the total inductance of the secondary part and changes the turn ratio (n) of the transformer by the following

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KIM et al.: QUASI-DOHERTY SOI CMOS PA WITH FOLDED CT

3

TABLE I

equation [13]:

S IMULATED PARAMETERS FOR L OAD M ODULATION

 n=

LS LP

(1)

where L P and L S are the self-inductances of the primary and secondary transformers, respectively. The increased turn ratio of the transformer from the increased secondary inductance finally increases the mutual inductance between the primary and secondary transformers [13]. The schematic of the folded CT is shown in Fig. 2(b). As mentioned earlier, the secondary part for the auxiliary amplifier is loosely coupled with the primary part of the main amplifier. When only the main amplifier operates at a low power level, all of the secondary parts are used to get the coupled power from the primary transformer part of the main amplifier. However, with the conventional SCT, some of the secondary transformer part for the auxiliary amplifier is not used, and this causes losses. Therefore, the proposed folded CT improves the coefficient of magnetic coupling (k-factor) of the transformers with the help of k1 , as shown in Fig. 2(b), where k1 is the k-factor between the primary part of the main amplifier and the secondary part for the main amplifier, and k1 is the k-factor between the primary part of the main amplifier and the secondary part for the auxiliary amplifier. This finally improves the overall efficiency of the DPA.

Fig. 3. Load-pull results of (a) main and (b) auxiliary amplifiers and simulated load impedance modulations when auxiliary amplifier turns OFF and ON.

equations [9]: (ωM1 )2 (1 + IAUX /IMAIN ) α (R S + j ωL S ) + Z Load1 × α + 2 × (Z Load × (1 − α))

Z main = R P1 + j ωL P1 + B. Load Modulation With the Proposed Folded CT The Z -parameter model for the proposed CT is shown in Fig. 2(b). L P1 , L P2 , and L S represent the inductances and R P1 , R P2 , and R S are the series resistances in the primary and secondary transformers, respectively. M1 and M2 are mutual inductances between two primary transformers and the secondary transformer. This Z -parameter model can be denoted as follows: ⎤ ⎡ ⎤ ⎡ R P1 + j ωL P1 VMAIN 0 j ωM1 ⎣ VAUX ⎦=⎣ 0 R P2 + j ωL P2 j ωM2 ⎦ VOUT j ωM1 j ωM2 R S + j ωL S ⎤ ⎡ IMAIN · ⎣ IAUX ⎦ IOUT  M1 = k1 · L P1 L S · α  (2) M2 = k2 · L P2 L S · (1 − α) where VMAIN , VAUX , VOUT , IMAIN , IAUX , and IOUT represent the voltages and the currents in the primary and secondary transformers, respectively. k1 and k2 are coupling factors between the primary transformers and the secondary transformer, and α is the ratio of the secondary transformer for the main amplifier to all secondary transformers. The load impedances for the main and auxiliary amplifiers can be calculated as the following two

Z Load1 = Z Load

(3) (ωM2 ) (1 + IMAIN /IAUX ) (1 − α) · (R S + j ωL S ) + Z Load2 × (1 − α))

Z aux = R P2 + j ωL P2 + Z Load2 = 2 × (Z Load

2

(4) where Z Load , Z Load1, and Z Load2 are the load impedance (normally 50 ), the load impedance for the main amplifier, and the load impedance for the auxiliary amplifier. The number 2 for Z Load1 and Z Load2 in (3) and (4) is from the folded structure, because the secondary transformer part only for auxiliary amplifier in a conventional CT is also used for the main amplifier in the folded one. When the auxiliary amplifier turns OFF , with parameters in Table I, the calculated load impedance for the main amplifier at the center frequency (1.85 GHz), considering shunt capacitors (3.7 pF for the main amplifier and 2 pF for the auxiliary amplifier), is 29.2 + j 34.62 . When the two amplifiers operate simultaneously, the calculated load impedances for the main and auxiliary amplifiers are 33.38 + j 7.22  and 6.6 + j 13.45 , respectively. In Fig. 3, the simulated load modulations are plotted. The some discrepancy between the calculated and simulated load impedances is due to the parasitic components, which are not considered in the calculation. The main amplifier maintains its output power and efficiency when the auxiliary amplifier turns ON, as shown in Fig. 3(a), and the auxiliary amplifier changes from infinite to its optimum impedance, as shown in Fig. 3(b). With

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE II T RANSFORMER T-M ODEL PARAMETERS

the auxiliary amplifier is open. In addition, the MAG through the auxiliary path is reduced from 1.28 to 0.9 dB, assuming that the impedance looking into the main amplifier is open. Fig. 4(a) and (b) shows the simulated insertion losses on the main and auxiliary paths with the conventional SCT and the proposed CT. As seen in Fig. 4(a) and (b), all insertion losses are reduced with the proposed CT structure. This reduced insertion loss finally helps improve the overall efficiency of the quasi-DPA. The drain efficiencies with the proposed CT could be improved 2.04% and 3.68% at the BOP and the peak output power, respectively. The size of the proposed folded CT on the chip is significantly decreased compared with the conventional SCT. The conventional SCT occupies 1950 μm × 750 μm on the chip, but the CT with the proposed structure has the dimensions of 1050 μm × 750 μm, as shown in Fig. 1(c) and (d), respectively. The folded CT is almost half the size of the conventional SCT structure. The CT with the folded structure has an increased k-factor between the primary and secondary parts, as shown in Table II. The improved k-factor increases the shunt inductance L a in the transformer T-Model [13], as shown in Fig. 4(c). This shunt inductance is inversely proportional to the node Q-factor (Q n ). The reduced Q-factor in the proposed CT improves the bandwidth characteristics of the transformer itself. Finally, as shown in Fig. 4(a) and (b), the simulated 0.1-dB bandwidths are improved from 170 to 390 MHz on the main path and from 160 to 260 MHz on the auxiliary path. III. D ESIGN OF A Q UASI -D OHERTY PA W ITH AN A DAPTIVE P OWER D IVIDER

Fig. 4. Characteristics of the proposed CT. (a) Insertion loss on main path. (b) Insertion loss on auxiliary path. (c) Bandwidth characteristic using transformer T-Model.

this load modulation, the proposed quasi-DPA enables efficient operation of the main amplifier and additional output power from the auxiliary amplifier. C. Advantages of the Proposed Folded CT By employing the folded structure, the insertion loss without reflection losses [maximum gain (MAG)], which was simulated through the main path, is reduced from 0.72 to 0.59 dB with an improved k-factor between the primary and secondary transformer parts, assuming that the impedance looking into

The architecture of the proposed quasi-DPA is shown in Fig. 5. The main amplifier comprises a common source transistor of 3456/0.32 μm and a common gate transistor of 2048/0.32 μm. The auxiliary amplifier has a common source transistor of 4096/0.32 μm and a common gate transistor of 5120/0.32 μm. The proposed quasi-DPA has a folded CT in the output matching network, and this provides several advantages, namely, reduced insertion loss, small size, and wideband characteristics. In addition, the quasi-DPA uses the adaptive power cell (APC) technique, which was already studied regarding the linearity of the main amplifier [14]. An adaptive bias circuit (ADB) [15] was designed for the auxiliary amplifier, and it is biased in class-C. It increases the small gain of the auxiliary amplifier; the increased gain not only complements the AM–AM distortion of the quasi-

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KIM et al.: QUASI-DOHERTY SOI CMOS PA WITH FOLDED CT

Fig. 5.

5

Overall schematic of the quasi-Doherty SOI CMOS PA with the folded CT.

Fig. 7. Simulated powers delivered to main and auxiliary amplifiers in DPA with the APD.

levels. The APD circuit located in front of the auxiliary amplifier is considered as a variable capacitor between the gate nodes of M3 and M4, and it controls the incoming power to the auxiliary amplifier. A detailed analysis of the APD is presented in Section III-A. A. Adaptive Power Divider

Fig. 6. Operation principle of the APD. (a) Schematic of the APD. (b) Simulated M1 resistance according to the output power.

DPA [8], but also makes load modulation perfect for Doherty operation. Imperfect load modulation occurs due to the insufficient drain current of the auxiliary amplifier because of its small gain, as in [16]. An APD is designed for proper power dividing between the main and auxiliary amplifiers according to delivered power

Fig. 6(a) shows the structure of the APD, which comprises two capacitors (C1 and C2), a transistor (M1), and a resistor (R1). With a low incoming power, M1 is mostly in the triode region. However, with a high incoming power, M1 is in the saturation and cutoff regions as well as the triode region, as shown in Fig. 6(a). The resistance rds of M1 is increased with high incoming power, because it is in the saturation and cutoff regions, as shown in Fig. 6(b). The increased resistance of M1 controls the total capacitance of the APD, which is written as Imag(Yeq ) of the equivalent

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 9.

Photograph of a quasi-DPA chip.

The capacitance of the APD resonates with the inductance L1 of the input transformer shown in Fig. 6(a). This parallel LC resonating circuit at the input of the auxiliary amplifier operates as a variable bandpass filter. The power delivered to the main and auxiliary amplifiers is adaptively controlled by the power-dependent characteristics of the bandpass filter. As shown in Fig. 7, the power delivered to the two amplifier inputs was simulated for the cases of low and high incoming power. With a low incoming power, the levels of delivered power delivered to the main and auxiliary amplifiers were −2.34 and −13.74 dB, respectively. In this case, the power delivered to the auxiliary amplifier was very low in comparison with that delivered to the main amplifier. This is because the resonating frequency of the APD was close to 0.9 GHz and quite far from the operation frequency. On the other hand, the resonating frequency changed to the operation frequency of 1.85 GHz when the incoming power increased. Therefore, with high incoming power, the levels of power delivered to the main and auxiliary amplifiers were −4.67 and −4.15 dB, respectively. The APD controlled most power delivered to the main amplifier at low incoming power, and it divided power almost equally into the two amplifiers at high incoming power. B. Linearity of a Quasi-Doherty PA

Fig. 8. IMD3 canceling mechanism in DPA. (a) Principle of IMD3 canceling. (b) Simulated phase differences of fundamental and IMD3 signals at the two amplifier outputs. (c) Two-tone simulations (gain and PAE) of the proposed quasi-DPA. (d) Two-tone simulations (IMD3) of the proposed quasi-DPA.

Y -parameter in Fig. 6(a). Therefore, the total capacitance is determined by both C1 and C2 at low incoming power, and it approaches C1 at high incoming power.

The linearity of a PA is one of the most important issues for mobile applications. Previously, designed DPAs [5], [6] are optimized for their efficiency. Their linearity is not guaranteed without digital predistortion for mobile communications, such as WCDMA, LTE, WLAN, and so on. However, the linearity of a DPA can be improved by using a third-order intermodulation distortion (IMD3) canceling technique, because the main and auxiliary amplifiers of a DPA are biased differently in class-AB for a main amplifier and class-C for an auxiliary one. The third-order nonlinear components have different phases according to their classes of amplifiers [17]. As shown in Fig. 8(a), the quasi-DPA can be designed to have opposite phases in the IMD3 output signals of the main and auxiliary amplifiers. Therefore, the linearity of the quasi-DPA is improved through the IMD3 canceling technique. In addi-

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KIM et al.: QUASI-DOHERTY SOI CMOS PA WITH FOLDED CT

7

Fig. 11.

Fig. 10. Measurement results and simulation results with (a) CW signal and (b) WCDMA signal.

tion, the fundamental components in the two amplifiers must be in-phase for proper power combining. Fig. 8(b) shows the simulated phase differences of fundamentals and IMD3 components at the two amplifier outputs. The IMD3 phase difference points are varied with the control voltages for the APD. With the optimum control voltage (0.6 V), the IMD3 canceling for the quasi-DPA can be achieved. Fig. 8(c) shows the performance [gain and power-added efficiency (PAE)] of the quasiDPA, which was simulated with two-tone signals. The gains at low output power are varied with the control voltages, which causes from the delivered power difference to main and auxiliary amplifiers. As shown in Fig. 8(d), the IMD3 simula-

Measurement results with LTE signal.

Fig. 12. Measured 16-QAM constellations (with 4.78% EVM) for 1.85-GHz LTE signal with 16-QAM, 10-MHz bandwidth, and 7.5-dB PAPR at 27.2-dBm average output power and 37.7% PAE.

tion results with the optimum control voltage meet the linearity specifications (under a −30 dBc of IMD3). IV. M EASUREMENT R ESULTS A. Implementation The transformer-based quasi-DPA with the proposed folded CT and APD was implemented with an IBM 0.18-μm SOI CMOS process. The overall size of the chip was 1370 μm × 1926 μm, and its photograph is shown in Fig. 9. The size of the proposed folded CT is 1050 μm × 750 μm, and the drain voltages for the main and auxiliary amplifiers

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE III P ERFORMANCE C OMPARISONS W ITH R EPORTED CMOS L INEAR PA S AND CMOS DPA S

are supplied through the center tap of the transformer. The main amplifier with the APC technique and the auxiliary amplifier with the ADB [15] are connected to combine the fundamental signals. The novel APD circuit is located in front of the auxiliary amplifier to provide the proper power to the auxiliary amplifier. The ADB is adopted to compensate for the small gain of the auxiliary amplifier, which is biased in class-C. The layout sizes of the APD and ADB circuits are 214 μm × 52 μm and 134 μm × 104 μm, respectively. B. Measurement Results The chip was attached on an FR-4 printed circuit board (PCB) and was connected to the PCB using bonding wires. The performance of the quasi-DPA was measured with an RF signal generator E4438C and a driver amplifier ZVE-8G. The output power of the DPA was measured with an E4418B power meter and an E9301B average power sensor, and an N9030A Agilent signal analyzer with an 89601B VSA was used to test the adjacent channel leakage ratio (ACLR) with the WCDMA signal. The supplied voltage

was 3.3 V and the total quiescent current of the quasi-DPA was 46 mA. Fig. 10 shows the performance of the quasi-DPA measured with continuous wave (CW) and WCDMA signals. From 1710 to 1980 MHz, the PA delivered the gains of 9.6–11.3 dB. The first peak PAEs of 38.27%–40.8% and the second peak PAEs of 42.4%–45% were measured with CW signal. The PA has a gain variation of 1.7 dB from 1710 to 1980 MHz due to the input impedance variation. Using the WCDMA signal, the maximum linear output power levels (Pouts) and the efficiency at the Pout were in ranges of 28.5–29.3 dBm and 38.4%–40.5%, satisfying a linearity requirement of the WCDMA standard (under −33 dBc of ACLR1). Fig. 11 shows the performance of the quasi-DPA measured with LTE signal, which is modulated with 16-quadrature amplitude modulation (QAM), 10-MHz bandwidth, and 7.5-dB PAPR. From 1710 to 1980 MHz, the maximum linear Pouts and the efficiency at the Pout were in ranges of 27–27.7 dBm and 36%–37.7%, satisfying linearity requirements for LTE standard (under −30 dBc of ACLRE−UTRA ) under the evolved universal terrestrial radio

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KIM et al.: QUASI-DOHERTY SOI CMOS PA WITH FOLDED CT

access (E-UTRA) specification. Fig. 12 shows the modulation test with 1.85-GHz LTE signal at 27.2-dBm average output power. The measured results are compared with those obtained for other recently published CMOS linear PAs and CMOS DPAs in Table III. In comparison with several linear CMOS Pas, which have their own linearized techniques, the proposed quasi-DPA with the novel folded CT and the APD has good average output power and average efficiency with 3.3 V supply voltage. It has better PAE than any other CMOS DPAs at both maximum and 3.5-dB back-off output powers. V. C ONCLUSION A transformer-based quasi-Doherty SOI CMOS PA was presented, which has a folded CT and an APD circuit. The folded CT occupies a small chip area and shows reduced insertion loss and wide bandwidth characteristics. The APD controls the power delivered to the main and auxiliary amplifiers by adaptively altering its resonating frequency. Most of the power is transferred to the main amplifier at low incoming power, but the power is divided almost equally to the two amplifiers at high incoming power. With CW signal, the quasi-DPA achieves 39.8% and 44.4% PAEs at the first and the second peaks, respectively. At 1850 MHz, it has an average linear output power of 29.2 dBm and a PAE of 40.47%, satisfying a linearity requirement for WCDMA standard (under −33 dBc of WCDMA ACLR1). It delivers an average linear output power of 27.2 dBm and a PAE of 37.7%, satisfying linearity requirements for LTE standard (under −30 dBc of ACLRE−UTRA and 5.6% of EVM). ACKNOWLEDGMENT The authors would like to thank H. Choi and S. Kang with the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, South Korea, for their helpful advice and discussions. They would also like to thank the Integrated Circuit Design Education Center, Daejeon, for their support in computer-aided design tools. R EFERENCES [1] J. Kim, D. Kim, Y. Cho, D. Kang, B. Park, and B. Kim, “Envelopetracking two-stage power amplifier with dual-mode supply modulator for LTE applications,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 1, pp. 543–552, Jan. 2013. [2] R. Wu, Y.-T. Liu, J. Lopez, C. Schecht, Y. Li, and D. Y. C. Lie, “High-efficiency silicon-based envelope-tracking power amplifier design with envelope shaping for broadband wireless applications,” IEEE J. Solid-State Circuits, vol. 48, no. 9, pp. 2030–2040, Sep. 2013. [3] T. Nakatani, J. Rode, D. F. Kimball, L. E. Larson, and P. M. Asbeck, “Digitally-controlled polar transmitter using a watt-class current-mode class-D CMOS power amplifier and Guanella reverse balun for handset applications,” IEEE J. Solid-State Circuits, vol. 47, no. 5, pp. 1104–1112, May 2012. [4] H. Lee, S. Jang, and S. Hong, “A hybrid polar-LINC CMOS power amplifier with transmission line transformer combiner,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 3, pp. 1261–1271, Mar. 2013. [5] J. Lee, D.-H. Lee, and S. Hong, “A Doherty power amplifier with a GaN MMIC for femtocell base stations,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 3, pp. 194–196, Mar. 2014. [6] P. Saad, P. Colantonio, L. Piazzon, F. Giannini, K. Andersson, and C. Fager, “Design of a concurrent dual-band 1.8–2.4-GHz GaN-HEMT Doherty power amplifier,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 6, pp. 1840–1849, Jun. 2012.

9

[7] D. Kang, D. Kim, Y. Cho, B. Park, J. Kim, and B. Kim, “Design of bandwidth-enhanced Doherty power amplifiers for handset applications,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 12, pp. 3474–3483, Dec. 2012. [8] Y. Cho, D. Kang, J. Kim, K. Moon, B. Park, and B. Kim, “Linear Doherty power amplifier with an enhanced back-off efficiency mode for handset applications,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 3, pp. 567–578, Mar. 2014. [9] E. Kaymaksut and P. Reynaert, “Transformer-based uneven Doherty power amplifier in 90 nm CMOS for WLAN applications,” IEEE J. Solid-State Circuits, vol. 47, no. 7, pp. 1659–1671, Jul. 2012. [10] A. D. Pye and M. M. Hella, “Analysis and optimization of transformerbased series power combining for reconfigurable power amplifiers,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 58, no. 1, pp. 37–50, Jan. 2011. [11] D. Chowdhury, C. D. Hull, O. B. Degani, Y. Wang, and A. M. Niknejad, “A fully integrated dual-mode highly linear 2.4 GHz CMOS power amplifier for 4G WiMax applications,” IEEE J. Solid-State Circuits, vol. 44, no. 12, pp. 3393–3402, Dec. 2009. [12] E. Kaymaksut and P. Reynaert, “A dual-mode transformer-based Doherty LTE power amplifier in 40 nm CMOS,” in Int. Solid State Circuits Conf. Dig. Tech. Papers, Feb. 2014, pp. 64–65. [13] J. R. Long, “Monolithic transformers for silicon RF IC design,” IEEE J. Solid-State Circuits, vol. 35, no. 9, pp. 1368–1382, Sep. 2000. [14] T. Joo, B. Koo, and S. Hong, “A WLAN RF CMOS PA with adaptive power cells,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., Jun. 2013, pp. 345–348. [15] B. Koo, Y. Na, and S. Hong, “Integrated bias circuits of RF CMOS cascode power amplifier for linearity enhancement,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 2, pp. 340–351, Feb. 2012. [16] J. Kim, J. Cha, I. Kim, and B. Kim, “Optimum operation of asymmetrical-cells-based linear Doherty power amplifiers-uneven power drive and power matching,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 5, pp. 1802–1809, May 2005. [17] S. C. Cripps, RF Power Amplifiers for Wireless Communications, 2nd ed. Norwood, MA, USA: Artech House, 2006. [18] B. François et al., “A fully integrated watt-level linear 900-MHz CMOS RF power amplifier for LTE-applications,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 6, pp. 1878–1885, Jun. 2012. [19] S. Jin, B. Park, K. Moon, M. Kwon, and B. Kim, “Linearization of CMOS cascode power amplifiers through adaptive bias control,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 12, pp. 4534–4543, Dec. 2013. [20] S. Pornpromlikit, J. Jeong, C. D. Presti, A. Scuderi, and P. M. Asbeck, “A watt-level stacked-FET linear power amplifier in silicon-on-insulator CMOS,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 1, pp. 57–64, Jan. 2010. [21] B. Koo, T. Joo, Y. Na, and S. Hong, “A fully integrated dual-mode CMOS power amplifier for WCDMA applications,” in IEEE Int. Solid State Circuits Conf. Dig. Tech. Papers, Feb. 2012, pp. 82–84. [22] B. François and P. Reynaert, “Highly linear fully integrated wideband RF PA for LTE-advanced in 180-nm SOI,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 2, pp. 649–658, Feb. 2015. [23] K. Onizuka, K. Ikeuchi, S. Saigusa, and S. Otaka, “A 2.4 GHz CMOS Doherty power amplifier with dynamic biasing scheme,” in Proc. IEEE Asian Solid-State Circuits Conf., Nov. 2012, pp. 93–96. [24] E. Kaymaksut and P. Reynaert, “Dual-mode CMOS Doherty LTE power amplifier with symmetric hybrid transformer,” IEEE J. Solid-State Circuits, vol. 50, no. 9, pp. 1974–1987, Sep. 2015.

Kichul Kim (S’13) received the M.S. degree in electrical engineering from the Gwangju Institute of Science and Technology, Gwangju, South Korea, in 2011. He is currently pursuing the Ph.D. degree in electrical engineering with the Korean Advanced Institute of Science and Technology (KAIST), Daejeon, South Korea. He was a Research Engineer with Samsung Thales, Yongin, South Korea, from 2007 to 2008, where he was involved with the development of multi-function radar system. His current research interests include CMOS RF power amplifier design for mobile applications, linearization and efficiency enhancement techniques for power amplifiers, SOI CMOS RF switches, and antenna tuners.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

Dong-Ho Lee received the B.S., M.S., and Ph.D. degrees from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, South Korea, in 2000, 2002, and 2007, respectively, all in electrical engineering. He was with the Microwaves Applications Group, Georgia Institute of Technology, Atlanta, GA, USA, from 2007 to 2009, where he developed CMOS power amplifiers for mobile communications. In 2009, he joined Skyworks Solutions, Inc., Cedar Rapids, IA, USA, where he was involved in the design of power amplifiers and front end modules for cellular handsets. In 2010, he joined the Hanbat National University, Daejeon, South Korea, as a Faculty Member. His current research interests include RF power amplifiers, microwave modules, and ground penetrating radar systems.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Songcheol Hong (S’87–M’88) received the B.S. and M.S. degrees in electronics from Seoul National University, Seoul, South Korea, in 1982 and 1984, respectively, and the Ph.D. degree in electrical engineering from the University of Michigan, Ann Arbor, MI, USA, in 1989. In 1989, he joined the Department of Electrical Engineering, Korea Advanced Institute of Science and Technology (KAIST), Daejeon, South Korea, as a Faculty Member. In 1997, he held short visiting professorships with Stanford University, Palo Alto, CA, USA, and with Samsung Microwave Semiconductor, Suwon, South Korea. His current research interests include microwave integrated circuits and systems including power amplifiers for mobile communications, miniaturized radar, millimeter-wave frequency synthesizers, and novel semiconductor devices.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

2615

Optimized Ultralow-Power Amplifier for OOK Transmitter With Shaped Voltage Drive Daechul Jeong, Student Member, IEEE, Hankyu Lee, Taeyoung Chung, Seokwon Lee, Graduate Student Member, IEEE, Jaesup Lee, and Bumman Kim, Fellow, IEEE Abstract— For a highly efficient ON–OFF keying (OOK) transmitter, a microwatt-level ultralow-power (ULP) driver and power amplifier (PA) are designed. For efficient amplification with very high optimum impedance in the ULP application, a class-C topology is implemented and loadpull simulations are carried out to show that the proposed PA can achieve good efficiency at high optimum load impedance without any harmonic tuning. In addition, a voltage-shaping driver is optimized together with the PA for an efficient drive. The proposed driver shapes the PA input voltage into a waveform having a small conduction angle. The shaped input voltage drives the PA to a saturated operation for good efficiency even if the supply voltage is low in the drive stage. The developed driver and PA are implemented in a CMOS 65-nm process and support a 1-Mb/s OOK modulated signal. The implemented PA achieves a drain efficiency of 50.8% at the −6.8-dBm output power and 49.8% at the −10-dBm output power when transmitting continuous 1 signal. Index Terms— Class-C, CMOS, ON–OFF keying (OOK), power amplifier (PA), ultralow power (ULP).

I. I NTRODUCTION

U

LTRALOW-POWER (ULP) amplifiers have been reported recently for short-range applications, such as wireless sensor nodes (WSNs) [1]–[9]. Since the output power level of these WSNs is very low, the transmitter is optimized focusing on the power consumption at the low-power operation. Many ULP systems [3], [4], [6]–[9] support a very simple modulation, the ON–OFF keying (OOK), to make the architecture very simple and reduce the operating power consumption of the whole blocks under the submilliwatt level. The constant envelope characteristic of this modulation makes the power amplifier (PA) operate at a high peak efficiency. Manuscript received November 30, 2015; revised June 3, 2016 and June 8, 2016; accepted June 9, 2016. Date of publication June 30, 2016; date of current version August 4, 2016. This work was supported by the Ministry of Science, ICT & Future Planning (MSIP), Korea under the ICT R&D Program 2013. D. Jeong and S. Lee are with the Department of Electrical Engineering, Pohang University of Science and Technology, Pohang 790-784, South Korea (e-mail: [email protected]; [email protected]). H. Lee and J. Lee are with the Samsung Advanced Institute of Technology, Suwon 443-803, South Korea (e-mail: [email protected]; [email protected]). T. Chung is with the Division of IT Convergence Engineering, Pohang University of Science and Technology, Pohang 790-784, South Korea (e-mail: [email protected]). B. Kim is with the Department of Electrical Engineering, Pohang University of Science and Technology, Pohang 790-784, South Korea, and also with the Division of IT Convergence Engineering, Pohang University of Science and Technology, Pohang 790-784, South Korea (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2581822

For many years, efficiency enhancement techniques for high PAs have been extensively studied, focusing on the efficiency optimization at the peak power level [10]–[15]. In class-E, the PA [10] acts as a switch, generating zero-voltage switching (ZVS) between drain–source voltage (VDS ) and drain–source current (IDS ) by an LC resonator. Since the resonator filters out all harmonics by the high-impedance level of the inductor, only the fundamental power is delivered to the load and other harmonics are trapped at the drain of the device. This ZVS eliminates internal power consumption at the power device, providing theoretically the drain efficiency (DE) of 100%. On the other hand, class-F and class-F−1 also provide DE of 100%, because both the topologies have no overlap between the current and voltage as in the class-E PA [11]–[15]. The class-F PA has a rectangular voltage and half-sine current waveforms using short circuits for the even harmonics and open circuits for the odd harmonics; the class-F−1 is a dual of the class-F PA. Similar to class-E PA, these topologies also require a matching circuit with open circuits at the harmonics. However, in this ULP WSN application, the output power (Pout ) is very low and the optimum matching impedance (Z OPT ) of the PA is very high (several hundreds of ohms) and creates a problem for matching at the high impedance in contrast to the low impedance matching problem for a high PA. The matching in the high impedance node requires large inductors and small capacitors, creating a large matching loss of the inductors and susceptibility to parasitic capacitances, respectively. Consequently in ULP applications, the switching PAs are difficult to be implemented due to the high impedance matching required for harmonics. In contrast, a harmonic short circuit can be easily achieved. Thus, a class-C PA is a good approach to achieve a high efficiency since it does not need any high impedance matching at harmonics. The class-C PA generally shows good DE with a poor linearity [16], which is not a problem for the lowpower OOK operation. However, the low gain and low power density due to the low gate bias require a large input drive. This problem is critical when the loss at the interstage is high. Therefore, an efficient driver design is important for good transmitter efficiency. To overcome the problem, an inverter-based voltage shaping driver is suggested instead of the high impedance matching in the interstage between the driver and the PA [1], [17], [18]. With the driver, the PA can be driven with a signal having a small conduction angle (α), which is suitable to drive a class-C PA. In addition, the high

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

2616

Fig. 1.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

Block diagram of the OOK transmitter.

impedance matching is avoided resulting in a reduction of the matching loss. Consequently, the driver and PA can achieve good efficiency. In this paper, we present the basic problems of the low PA and investigate a method to improve the efficiency of the transmitter. In Section II, challenges in the design of the low PA are discussed. In addition, the effect of the large matching loss in the interstage to the total efficiency is analyzed. In Section III, we show a simple driving circuit that shapes the input drive voltage of the PA. Finally, the loadpull simulation result is presented to understand the operation of the developed driver. In Section IV, the implemented transmitter and the experimental results are presented. Finally, the conclusion is presented in Section V. II. H IGH I MPEDANCES AT THE O UTPUT AND I NTERSTAGE OF THE ULP A MPLIFIERS Fig. 1 shows a block diagram of the OOK transmitter including the output and interstage matchings. Each matching network affects the total gain and efficiency. Generally, the PA handles much larger power than the driver, and the output matching circuit loss dominates the total efficiency. However, as the gain of the PA is decreased, the driver power consumption (Pdc.driver ) becomes considerable and the interstage matching circuit loss also lowers the total efficiency. Unfortunately, for this low-power application, the impedances at the output and the interstage are very high, making high matching loss with the degradation of efficiency and gain at the same time. In this section, the high impedance nature of the low PA is explored. A. Optimum Load Impedance of the PA As mentioned before, the Z OPT is very high in this ULP PA with the typical Pout of 100 μW. With an assumption of 50% probability transmitting 1 or 0, the peak Pout is 200 μW and the voltage swing at the 50- antenna is 141 mV. To generate such a low Pout , either VDD and/or current levels should be small. However, the voltage scaling has a limit as the supply voltage should be higher than VGS –VTH for the transistor to be operated in a high-gain region. Therefore, the Pout is mainly reduced by the low current using a small gate width device WPA , increasing the Z OPT . When the VDD is set to 0.6 V, the required Z OPT is given by Pout = Z OPT

2 VDD

2Z OPT 0.62 = 900 . = 2 × 200 μW

(1) (2)

Fig. 2. RLC modeled interstage matching circuit and signal flow at the interstage.

This high Z OPT requires a high impedance transform ratio, from 50  to the Z OPT , and the matching elements should have high reactances. In other words, the loaded-Q is high and the bandwidth of the matching circuit is narrow. The large inductor has a large parasitic resistance, increasing the matching circuit loss. The small capacitor is susceptible to the parasitic capacitance. Therefore, the impedance matching of a ULP PA is difficult and generates different problems compared with a high PA. B. Interstage Matching Loss Between the Low-Power Driver and PA For operation at a very low power level, the widths of the PA and driver are very small so that the impedances at the input and output ports are very high unlike, the usual interstage matching in a high PA. Fig. 2 shows the RLC modeled input and output impedances of the driver and PA, respectively. The input of the PA having a 15-μm gate width is represented using the input capacitance CIN.PA , and the parallel converted input series resistance RP.PA of 95 k. Without the highquality factor of the inductor and capacitor at the interstage matching, a large power loss is generated by the tank resistance RP.TANK . Since the RP.TANK is much smaller than the input resistance of the PA, the matching loss is dominated by the quality factor (Q-factor) of the matching elements. Due to the high Q-factor of the metal–insulator–metal capacitor, the interstage matching loss is plotted according to the Q-factor of the inductor as shown in Fig. 3. This can be equated as follows: RP.TANK //RP.PA (3) Ploss,interstage = −10 · log RP.TANK where  RP.TANK =

L C

QL 1+Q 2L

+

QC 1+Q 2C

.

(4)

On the other hand, the class-C amplifier is an efficient topology minimizing the overlap between the current and the

JEONG et al.: OPTIMIZED ULP AMPLIFIER FOR OOK TRANSMITTER WITH SHAPED VOLTAGE DRIVE

2617

Fig. 5. Schematic of the proposed driver and propagating voltage waveforms in the shaping procedure. Fig. 3. Calculated and simulated matching loss when the quality factor of the matching inductor varies. The used inductance is 10 nH and the capacitance with Q C = 280 is adjusted for the perfect resonance.

Fig. 4. Global DE including the driver power consumption when the gain is decreased. The driver efficiency is assumed as 50%.

voltage using the smaller α at the expense of the reduced gain as mentioned in the previous section. Even if the DE is higher at a class-C bias operation, the global efficiency (GE), which is the DE including the power consumption of the driver, does not proportionally increase because of the lower gain of the amplifier as shown in Fig. 4. When the gain of the PA is less than 10 dB, the GE degradation is significant even if the DE of the PA is high. In this low-power application, the conventional interstage matching approach causes a significant loss due to the low Q-factor of the passive elements. Therefore, the improved DE of a PA using the class-C approach does not always ensure increase in the GE. Considering the simulated maximum stable gain of 22 dB with the class-C bias, the interstage matching loss should be less than 10 dB. III. E FFICIENT C LASS -C PA W ITH A D UTY-S HAPING D RIVER A. Efficient Inverter-Based Voltage-Shaping Driver To avoid the significant efficiency degradation by the low Q-factors of the interstage matching components, a cascaded inverter driver, without any matching, is employed to replace

Fig. 6. (a) Sinusoidal and the shaped inputs with the amplitude of 2VDD and VDD , respectively. (b) Simulated power consumption of the driver with different conduction angles for the same peak voltage.

the common-source (CS) driver as shown in Fig. 5. The main difference between the two drivers is the available output voltage swing. In the case of the CS driver, the maximum output voltage swing is 2VDD –Vknee.Driver with the help of the inductor at the supply voltage of VDD . On the other hand, the output voltage swing of the inverter driver is the railto-rail swing of VDD . The output voltage is generated by the charging/discharging process without the inductor for the bias and matching. To overcome the drawback of the smaller voltage swing, a cascaded voltage-shaping inverter drive is used. Unlike the conventional inverter operation that generates a square rail-to-rail swing with 50% duty, the gate bias voltage of the driver VB.Driver is controlled below the Vth of 0.35 V and

2618

Fig. 7.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

Schematic of the proposed driver and PA.

Fig. 8. Output matching trajectory at the fundamental, second, and third harmonics.

shape the final output voltage waveform as shown in Fig. 5. This shaped input voltage has the same amplitude as VDD with a smaller α. Fig. 6(a) shows the comparison between the shaped and the conventional sinusoidal input voltages having the amplitude of VDD . The CS driver should generate a larger voltage swing for the signal with the small conduction angle. The power consumptions of the CS and inverter driver are plotted according to the conduction angle with the same peak voltage condition in Fig. 6(b). The switching loss of the inverter driver is large but it is comparable to the matching circuit loss. The inverter driver not only generates a drive signal with a smaller conduction angle but also consumes less or similar dc power regardless of the Q-factor of the inductor. This driver is much simpler than the CS driver, eliminating the external inductor. It is worth to note that the inverter loss can be reduced by scaling the device but not the CS. In addition, the matching tolerance can be a problem for inductors having a very high Q-factor. B. Optimized Class-C PA With the Shaped Voltage Drive The total schematic of the transmitter is shown in Fig. 7. The developed driver delivers the shaped voltage into the

Fig. 9. (a) VDS and IDS waveforms for the VB.Driver = 0.23 and 0.27 V. (b) Corresponding DCIV curves (W = 15 μm for VB.Driver = 0.23 V and W = 7.5 μm for VB.Driver = 0.27 V) when the second and third harmonic impedances are placed at a low-impedance region.

CS stage of the PA. For the output power control over the 10-dB dynamic range, the common-gate (CG) stage is stacked on the drain of the CS and the supply voltage is externally controlled. For the OOK modulation, the switches on the source terminals are added at the driver and PA. With the help of the shaped input drive voltage, the output matching of this

JEONG et al.: OPTIMIZED ULP AMPLIFIER FOR OOK TRANSMITTER WITH SHAPED VOLTAGE DRIVE

2619

Fig. 10. Loadpull simulation results with (a) different input drives and corresponding optimum impedances at (b) fundamental, (c) second, and (d) third harmonics. (e) VDS and IDS waveforms when the output impedances matched at the optimized points. The normalized impedance is 500  for the fundamental frequency and 50  for the second and third harmonics.

class-C PA can be simplified without harmonic tuning circuits for good efficiency. The 50- load impedance is boosted to 778 + j 279, 1.85− j 77, and 1− j 37  for the fundamental, second, and third harmonics, respectively, as shown in Fig. 8. The impedance transformation is mainly carried out by C1 and L1, and C2, L2, and C3 are added to suppress the harmonic powers at the output. To verify the benefit of the proposed driver, the load lines are plotted for the two different VB.Driver conditions as shown in Fig. 9. For both the cases (VDS = 0.27 V and VDS = 0.23 V), the optimum fundamental load impedance is set by loadpull simulation with a short condition of the second and third harmonics, and the device size is adjusted for the same output power level. In the case of VB.Driver = 0.23 V, the PA achieves better efficiency due to the reduced overlap between VDS and IDS . Furthermore, loadpull simulations are carried out for the four different input voltage drives, and the matching tolerance is investigated. Fig. 10 shows the load-pull simulation results for the fundamental, second, and third harmonics to maximize

the efficiency of the PA. In the case of VB.Driver = 0.23 V, the optimized Vds and Ids follow the class-F mode, and the square input voltage with VGS = 0.27 V makes the PA to be optimized in a class-F−1 mode. Similarly, for the other input drives, if the load impedances are matched to the optimum points for the harmonics, high DEs can be achieved, over 80% with similar output powers. However, it is noted that at least one of the second and third harmonic impedances are placed at the infinite impedance region. Practically, it is difficult to match the second or third harmonics with the optimum points. In contrast, it is shown that the harmonic impedance region for high efficiency is very wide for VB.Driver = 0.23 V drive and the harmonic matching is realizable. Fig. 11(a) and (b) shows the optimum and mismatched impedance conditions and the simulated DEs and GEs for each condition. As the optimized open impedances at the harmonics are placed at the reduced impedance region, the DEs for all the cases is decreased. However, the efficiency drop for the VB.Driver = 0.23 V is insignificant compared with the other cases. In conclusion, VB.Driver = 0.23 V, which is the

2620

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

Fig. 12. (a) Die photo and (b) measured OOK modulated output voltage waveform of the PA and the waveform of corresponding control signal. TABLE I C OMPARISON W ITH THE R EPORTED ULP PAs FOR WSNs Fig. 11. (a) Optimum impedances, Z OPT ( f 0 ), Z OPT (2 f 0 ), and Z OPT (3 f 0 ), and mismatched impedance conditions at the fundamental, second, and third harmonic frequencies and (b) corresponding load-pull simulated DEs and GEs.

proposed voltage shape, shows the best performance in power efficiency of over 75% with a realizable harmonic impedance level. IV. I MPLEMENTATION AND M EASUREMENT R ESULTS The designed class-C amplifier and the inverter driver are implemented using a CMOS 65-nm process and the chip photo is shown in Fig. 12(a). The PA core size is 255 μm×120 μm. For the test, the carrier signal is applied from Agilent 4430B signal generator as an input signal to this driver. The output voltage waveform of this PA modulated by 1 Mb/s OOK signal is shown in Fig. 12(b), indicating that the PA can be switched fast enough to support the signal. Fig. 13 shows the simulated and measurement results when a continuous wave at 2.22 GHz is applied without OOK modulation. As expected, the operation at a low conduction angle, by adjusting the gate bias of the driver, improves the DE of the PA by up to 50%, while maintaining Pdc.driver and Pout at almost the same levels as shown in Fig. 13(a). For the best efficiency against the PVT variations, the bias voltage is carefully calibrated and we choose the driver gate bias of 0.21 V for this experiment.

Fig. 13(b) and (c) shows the Pout and DE for the VDD and operating frequency, respectively. The measured VDD range is 0.4–1.6 V. With the help of V B,C G tuning, the Pout of the PA can be adjusted by changing the drain supply voltage. The measured dynamic range of this PA is about 11 dB, from −11.7 to −0.68 dBm, and the measured worst DE is 46% for the whole power level. At −10-dBm output, the DE is 49.8%. The center frequency is shifted from 2.4 to 2.22 GHz

JEONG et al.: OPTIMIZED ULP AMPLIFIER FOR OOK TRANSMITTER WITH SHAPED VOLTAGE DRIVE

2621

Fig. 14. Output spectrum with the suppressed second harmonic at the transmitter output.

recently reported ULP PAs for WSNs. This proposed amplifier achieves the best efficiency with very low Pout . V. C ONCLUSION A ULP class-C amplifier is designed and implemented with the help of a voltage-shaping driver. The core block occupies only 0.068 mm2 in a CMOS 65-nm process and the two external inductors of 0.8 mm × 0.5 mm and 0.8 mm × 0.4 mm and one external capacitor of 0.6 mm × 0.3 mm are used. The inverter-type driver shapes the input voltage of the PA for a high-efficiency operation, which is a voltage with a small conduction angle and rail-to-rail amplitude. The PA could achieve over 50% DE from −8.1- to −3.3-dBm output power. The Pout can be controlled from −11.7 to −0.68 dBm by VDD of the PA, while maintaining almost the same DE. The driver consumes 66 μW at 2.22 GHz to generate the shaped input voltage for the PA. This PA is fast enough to follow the 1-Mb/s OOK modulated signal. R EFERENCES

Fig. 13. (a) Measured DE, Pout , and dc power consumption of the PA (Pdc.PA ) versus gate bias voltage in drive stage. DE and Pout for (b) VDD and (c) operating frequency.

by parasitics, and the PA covers the 2.1–2.4-GHz frequency band with a DE of at least 40.2%. The output spectrum shows that the unwanted second harmonic is suppressed by about 41 dBc, due to the additional filters by L2 and C3 at the output as shown in Fig. 14. The measured Pdc.driver is 66 μW at 2.22 GHz. Table I is a comparison between this work and the

[1] K. Natarajan, J. S. Walling, and D. J. Allstot, “A class-C power amplifier/antenna interface for wireless sensor applications,” in Proc. IEEE Radio Freq. Integr. Circuits Symp., Jun. 2011, pp. 1–4. [2] B. W. Cook, A. D. Berny, A. Molnar, S. Lanzisera, and K. Pister, “An ultra-low power 2.4 GHz RF transceiver for wireless sensor networks in 0.13 μm CMOS with 400 mV supply and an integrated passive RX front-end,” in IEEE ISSCC Dig. Tech. Papers, Feb. 2006, pp. 1460–1469. [3] A. Paidimarri, P. M. Nadeau, P. P. Mercier, and A. P. Chandrakasan, “A 2.4 GHz multi-channel FBAR-based transmitter with an integrated pulse-shaping power amplifier,” IEEE J. Solid-State Circuits, vol. 48, no. 4, pp. 1042–1054, Apr. 2013. [4] M. Vidojkovic et al., “A 2.4 GHz ULP OOK single-chip transceiver for healthcare applications,” in IEEE ISSCC Dig. Tech. Papers, Feb. 2011, pp. 458–460. [5] J. Bae, L. Yan, and H.-J. Yoo, “A low energy injection-locked FSK transceiver with frequency-to-amplitude conversion for body sensor applications,” IEEE J. Solid-State Circuits, vol. 46, no. 4, pp. 928–937, Apr. 2011. [6] B. Otis, Y. H. Chee, and J. Rabaey, “A 400 μW-RX, 1.6 mW-TX superregenerative transceiver for wireless sensor networks,” in IEEE ISSCC Dig. Tech. Papers, Feb. 2005, pp. 396–606. [7] Y. H. Chee, A. M. Niknejad, and J. M. Rabaey, “An ultra-low-power injection locked transmitter for wireless sensor networks,” IEEE J. SolidState Circuits, vol. 41, no. 8, pp. 1740–1748, Aug. 2006. [8] J. L. Bohorquez, A. P. Chandrakasan, and J. L. Dawson, “A 350 μW CMOS MSK transmitter and 400 μW OOK super-regenerative receiver for medical implant communications,” IEEE J. Solid-State Circuits, vol. 44, no. 4, pp. 1248–1259, Apr. 2009.

2622

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

[9] D. C. Daly and A. P. Chandrakasan, “An energy-efficient OOK transceiver for wireless sensor networks,” IEEE J. Solid-State Circuits, vol. 42, no. 5, pp. 1003–1011, May 2007. [10] N. O. Sokal, “Class-E switching-mode high-efficiency tuned RF/microwave power amplifier: Improved design equations,” in IEEE MTT-S Int. Microw. Symp. Dig., vol. 2. Jun. 2000, pp. 779–784. [11] D. Kang et al., “A highly efficient and linear class-AB/F power amplifier for multimode operation,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 1, pp. 77–87, Jan. 2008. [12] J. Moon, S. Jee, J. Kim, J. Kim, and B. Kim, “Behaviors of class-F and class-F−1 amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 6, pp. 1937–1951, Jun. 2012. [13] J. Kim et al., “Saturated power amplifier optimized for efficiency using self-generated harmonic current and voltage,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 8, pp. 2049–2058, Aug. 2011. [14] A. Inoue, T. Heima, A. Ohta, R. Hattori, and Y. Mitsui, “Analysis of class-F and inverse class-F amplifiers,” in IEEE MTT-S Int. Microw. Symp. Dig., vol. 2. Jun. 2000, pp. 775–778. [15] Y. YunWoo, Y. Yang, and B. Kim, “Analysis and experiments for highefficiency class-F and inverse class-F power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 5, pp. 1969–1974, May 2006. [16] C. Fager, J. C. Pedro, N. B. de Carvalho, H. Zirath, F. Fortes, and M. J. Rosario, “A comprehensive analysis of IMD behavior in RF CMOS power amplifiers,” IEEE J. Solid-State Circuits, vol. 39, no. 1, pp. 24–34, Jan. 2004. [17] D. A. Calvillo-Cortes et al., “A 65 nm CMOS pulse-width-controlled driver with 8Vpp output voltage for switch-mode RF PAs up to 3.6 GHz,” in IEEE ISSCC Dig. Tech. Papers, Feb. 2011, pp. 58–60. [18] E. Cijvat and H. Sjoland, “Two 130 nm CMOS class-D RF power amplifiers suitable for polar transmitter architectures,” in Proc. 9th Int. Solid-State IC Technol. Conf., Oct. 2008, pp. 1380–1383. [19] A. Paidimarri, N. Ickes, and A. P. Chandrakasan, “13.7 A +10dBm 2.4 GHz transmitter with sub-400 pW leakage and 43.7% system efficiency,” in IEEE ISSCC Dig. Tech. Papers, Feb. 2015, pp. 1–3. [20] M. Özen et al., “Wideband and efficient watt-level SiGe BiCMOS switching mode power amplifier using continuous class-E modes theory,” in Proc. IEEE Radio Freq. Integr. Circuits Symp., Jun. 2014, pp. 243–246. [21] Y.-H. Liu et al., “13.2 A 3.7 mW-RX 4.4 mW-TX fully integrated Bluetooth low-energy/IEEE802.15.4/proprietary SoC with an ADPLLbased fast frequency offset compensation in 40 nm CMOS,” in IEEE ISSCC Dig. Tech. Papers, Feb. 2015, pp. 1–3.

Daechul Jeong (S’12) received the B.S. degree in electrical engineering from the Pohang University of Science and Technology, Pohang, South Korea, in 2011, where he is currently pursuing the Ph.D. degree in electrical engineering. His current research interests include RF circuits for wireless communications, especially highly efficient and linear RF transmitters for wireless sensor applications.

Hankyu Lee received the B.S. and Ph.D. degrees in electrical engineering from the Pohang University of Science and Technology, Pohang, South Korea, in 2010 and 2016, respectively. He is currently with the Samsung Advanced Institute of Technology, Suwon, South Korea. His current research interests include RF circuits for wireless communications, especially ultralow-power RF transceivers.

Taeyoung Chung received the B.S. degree in electrical engineering and the Ph.D. degree from the Division of IT Convergence Engineering, Pohang University of Science and Technology, Pohang, South Korea, in 2010 and 2015, respectively. His current research interests include power management system, such as dc–dc converters and energy harvesting circuits and CMOS RFICs for wireless communications.

Seokwon Lee (GSM’12) received the B.S. degree in electrical engineering from Hanyang University, Seoul, South Korea, in 2012. He is currently pursuing the Ph.D. degree in electrical engineering at the Pohang University of Science and Technology, Pohang, South Korea. His current research interests include RF and analog circuits, especially low-power receivers for wireless sensor network.

Jaesup Lee received the M.S. degree in electrical engineering from the University of California at Los Angeles, Los Angeles, CA, USA. He is currently with the Samsung Advanced Institute of Technology, Suwon, South Korea. His current interests include RF and analog circuits, especially ultralow-power personal network platforms and RF wireless power transfer.

Bumman Kim (M’78–SM’97–F’07) received the Ph.D. degree in electrical engineering from Carnegie Mellon University, Pittsburgh, PA, USA. He joined Central Research Laboratories, Texas Instruments Inc., Dallas, TX, USA, where he was involved in the development of GaAs power fieldeffect transistors (FETs) and monolithic microwave integrated circuits (MMICs). He has developed a large-signal model of a power FET, dual-gate FETs for gain control, high-power distributed amplifiers, and various millimeter-wave MMICs. He joined the Pohang University of Science and Technology (POSTECH), Pohang, South Korea, in 1989, where he is currently a POSTECH Fellow and a Namko Professor with the Department of Electrical Engineering, and the Director of the Microwave Application Research Center. He is involved in device and circuit technology for RF integrated circuits and power amplifiers. He has authored over 400 technical papers. Prof. Kim is a Member of the Korean Academy of Science and Technology and the National Academy of Engineering of Korea. He was an Associate Editor of the IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES , a Distinguished Lecturer of the IEEE Microwave Theory and Techniques Society, and an AdCom Member.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Two 122-GHz Phase-Locked Loops in 65-nm CMOS Technology Namhyung Kim, Kiryong Song, Jongwon Yun, Junghwan Yoo, and Jae-Sung Rieh, Senior Member, IEEE

Abstract— Two 122-GHz phase-locked loops (PLLs) have been developed based on a 65-nm Si CMOS technology, and their performances are compared. For the first PLL, a voltagecontrolled oscillator (VCO) with a frequency doubler embedded in the oscillator core was employed (PLL1), while the second PLL employs a push-push VCO (PLL2). The output powers of PLL1 and PLL2 were −8.6 and −21.9 dBm near 122 GHz, obtained from dc power dissipation of 82.9 and 87.7 mW, respectively. The respective locking ranges were measured to be 121.9–122.2 and 122.7–122.9 GHz for PLL1 and PLL2. The in-band phase noises were −59.2 and −60.1 dBc/Hz at 10-kHz offset, and the out-band phase noises were −102.4 and −99.5 dBc/Hz at 10-MHz offset for PLL1 and PLL2, respectively. The chip sizes were 1000 × 760 µm2 (PLL1) and 1300 × 840 µm2 (PLL2) including probing pads. Index Terms— Phase noise, phase-locked loop (PLL), voltagecontrolled oscillator (VCO).

I. I NTRODUCTION

D

EMAND for faster data transfer in communication is rapidly increasing due to the explosive data traffic growth in our modern daily lives. This demand calls for an expanded bandwidth for communication systems, for which increased carrier frequency is necessary. For this reason, millimeterwave circuits and systems have been extensively considered for broad bandwidth communication applications [1]–[3], and such a trend recently has been extended toward frequency bands exceeding 100 GHz. For the implementation of circuits and systems operating at such a high-frequency range, compound semiconductors have been considered as the right option until recently [4], [5]. However, the rapid development of Si CMOS technology in recent years has enabled the operation of CMOS-based circuits and systems for such high-frequency applications [6]–[9]. One of the key challenges in implementing communication systems is the realization of the local oscillation (LO) signal. There have been growing reports on voltagecontrolled oscillators (VCOs) operating over 100 GHz based

Manuscript received July 3, 2015; revised February 6, 2016; accepted May 30, 2016. This work was supported by the National Research Foundation of Korea (NRF) grant funded by the Korea Government (MSIP) (No. 2011-0020128). N. Kim was with the School of Electrical Engineering, Korea University, Seoul 02841, South Korea. He is now with Mobis, Yongin 16891, South Korea (e-mail: [email protected]). K. Song, J. Yun, J. Yoo, and J.-S. Rieh are with the School of Electrical Engineering, Korea University, Seoul 02841, South Korea (e-mail: [email protected]; [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2581816

Fig. 1. Block diagram of the two PLLs developed in this paper, the major difference being the VCO highlighted with the dashed line. PLL1 adopted the frequency DE-VCO, and PLL2 adopted the conventional push-push VCO.

on CMOS intended for LO signal generation [10]–[13]. However, free-running oscillators are inherently vulnerable to frequency fluctuation and instability issues caused by process/voltage/temperature variation, which is not favored for communication systems that require precise frequency control. For this reason, phase-locked loops (PLLs) are widely employed for LO in practical communication systems. There have been attempts to develop Si-based PLLs operating beyond 100 GHz, but the output power was rather limited around −20 dBm [14]–[16]. A D-band PLL reported an output power over a few milliwatts [17], but only with a high-gain power amplifier at the output that consumes additional large dc power and chip area. In this paper, two different types of CMOS PLLs operating near 122 GHz are developed and compared, in which the major difference lies in the VCO employed. The first one is based on a frequency doubler-embedded VCO (DE-VCO), and the second one is based on a push-push VCO. This paper is organized as follows. The designs of the individual circuit blocks of PLLs are described in Section II, while the measurement results of the fabricated circuits are summarized in Section III. Finally, the conclusion of this paper is provided in Section IV. II. C IRCUIT D ESIGN Fig. 1 shows the block diagram that applies to both PLLs proposed in this paper. It consists of a VCO, a /2 injectionlocked frequency divider (ILFD), a /512 current-mode logic (CML)-based divider chain, a falling-edge phase frequency detector (FE-PFD), a charge pump (CP), and a second-order loop filter. The main difference between the two PLLs is the VCO structure employed: a DE-VCO was adopted for the first PLL (designated as PLL1) and a pushpush VCO was used for the second PLL (PLL2). Both PLLs

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

were designed in a 65-nm CMOS technology. The details of the individual circuit blocks are described in the following. A. VCO The design of VCOs becomes increasingly challenging as the operation frequency increases. For higher resonance frequency, the inductance and capacitance values required for the LC tank is lowered and becomes comparable with those of the parasitic components of the devices. This leads to degradation in the tuning range as well as in the overall Q-factor of the tank, which is further aggravated by the degradation of the varactor Q-factor with increasing frequency. Also, as the oscillation frequency approaches the device f max , achieving sufficient negative transconductance gm becomes difficult, too. One method to relax the design challenge in high-frequency VCOs is to exploit the higher order harmonics in the oscillation. This approach is particularly useful for VCOs embedded in high-frequency PLLs. While the harmonic signal is used for the VCO output, the lower order (or fundamental) signal can be used for the frequency divider (FD) chain in PLLs. In this way, the design challenge for the first-stage FD will be relaxed, while the higher order signal is maintained as the PLL output. There are two major approaches to obtain the higher order harmonics in oscillators [18]: inserting a frequency multiplier at the output and employing an n-push topology for the oscillator core. If we confine the options to the second harmonic only, it becomes frequency doubler approach versus pushpush approach, both of which are employed in this paper for comparison. The schematic of the VCO employing a frequency doubler designed in this paper is shown in Fig. 2(a). The VCO core is based on an LC cross-coupled structure, where varactors are employed in the tank for frequency tuning. A pair of source follower buffers based on M3 and M4 is included, through which the differential fundamental signal ( f 0 ) is delivered to the FD chain. Connected in parallel with the buffer is the frequency doubler based on a differential pair composed of M5 and M6. The output of the doubler is taken at the common node of the differential pair, which provides the second harmonic signal (2 f 0 ) that will eventually serve as the output of the PLL. One notable feature in this configuration is that the doubler is directly connected to the oscillator core, as opposed to the typical cases where a buffer stage is inserted between the oscillator core and the doubler [18]. Denoted by the DE-VCO in this paper, it turned out to exhibit a larger output power than the conventional after-buffer structure for the source follower buffer employed in this paper based on simulation. The DE-VCO may be vulnerable to the loading effect imposed by the doubler on the oscillator core, but the effect was considered in the design stage and absorbed as part of the core design in this paper. It is noted that the buffer is still needed for the DE-VCO as the FD chain will be connected to the VCO fundamental frequency output node. The schematic of the push-push VCO, also developed in this paper for comparison, is shown in Fig. 2(b). The oscillator core and the buffer stage (for fundamental signal based on M3 and M4) adopt similar topologies as those of

Fig. 2.

Circuit schematics of the VCOs. (a) DE-VCO. (b) Push-push VCO.

the DE-VCO described above, but the second harmonic output is taken at the source-side common node of the oscillator core through a source follower buffer based on M5. Current sources implemented by current mirrors are employed for both oscillator core and the fundamental signal buffer for stable bias current. A shunt stub T 5 was designed to maximize the output impedance at the common node at the second harmonic frequency. The simulated oscillation frequency and output power of the two VCOs are plotted as a function of the tuning voltage for the second harmonic signal (2 f 0 ) as shown in Fig. 3. The simulated phase noise characteristics of the two circuits are also presented. As is obvious from the plots, the DE-VCO shows a slightly lower oscillation frequency, but displays a bit better phase noise performance. A more remarkable difference, however, is observed for the output power. The DE-VCO exhibits a much higher output power than the push-push VCO for the entire tuning range, the difference reaching around 15 dB. The observed larger output power of the DE-VCO can be mainly attributed to the fact that the bias and the device sizes can be optimized separately for the fundamental oscillator core and the doubler in the DE-VCO case. This can be compared with the push-push VCO case,

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KIM et al.: TWO 122-GHz PLLs IN 65-nm CMOS TECHNOLOGY

3

Fig. 4.

Circuit schematic of the V-band ILFD.

in the DE-VCO. The larger output power and the smaller dc power dissipation of the DE-VCO lead to a significantly higher efficiency, which was simulated to be 0.671% as opposed to 0.021% from the push-push VCO with the tuning voltage fixed at 0 V. This simulated superiority of the DE-VCO will be experimentally verified in the next section. It will be noteworthy at this point that, in the actual PLL implementation, one of the differential outputs of the fundamental signal ( f 0 ) of the VCOs is connected to the first stage of the FD chain, while the other leads to a probe pad for testing. The simulated output power of the fundamental signal was around −3 dBm for both VCOs. B. /2 ILFD

Fig. 3. Comparison of the DE-VCO and the push-push VCO based on simulation. (a) Oscillation frequency. (b) Output power. (c) Phase noise.

where they cannot be separated, leading to underperformed output power as observed. It is interesting to note that the total dc power dissipation is also in favor of the DE-VCO, which consumes a slightly lower value than the push-push VCO (33 versus 35 mW), despite the additionally included doubler. This is because the doubler included in the DE-VCO consumes a negligibly small dc power (1.5 mW), as the gate bias of M5 and M6 falls upon near the threshold voltage of the transistors to maximize the nonlinearity required for the second harmonic generation. Also, there is an additional buffer stage in the push-push VCO for the second harmonic signal for further power boosting, and the fundamental buffers included in the push-push VCO draw a slightly larger current than those

The first stage of the FD chain was implemented as a V-band ILFD, which takes the fundamental frequency ( f 0 ) signal from the VCO (half the PLL output frequency) as the input. The schematic of the ILFD designed for /2 frequency division is shown in Fig. 4. It is widely known that higher operation frequency can be achieved with a reasonably low power consumption for ILFDs compared with other types of FDs [19], including the Miller dividers and the static dividers. However, ILFDs generally suffer from a narrow locking range. Hence, the frequency alignment with the driving VCO is a critical issue for ILFDs when applied to high-frequency PLLs. The alignment achieved at the design stage by simulation may fail in the actual implementation due to various external causes including device model and electromagnetic simulation inaccuracies, as well as the process variation, which all may result in operation frequency shifts. As an effort to cope with the alignment issue in this paper, the topology of the ILFD was kept as close to that of the driving VCO as possible, as can be seen from Figs. 2 and 4. In this way, the VCO and the ILFD will be affected in a similar way by the external variations, retaining the frequency alignment between the two circuits. There are other design aspects employed for the ILFD in this paper to alleviate the alignment issue. A pair of varactors included in the ILFD core provides an extra tuning for frequency alignment by controlling the free-running frequency of the ILFD itself. The inclusion of the varactors will also contribute to increased locking range by degrading

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 6.

Circuit schematic of the FE-PFD.

Fig. 7.

Circuit schematic of the CP and the loop filter.

Fig. 5. (a) Block diagram of the CML static divider. (b) Circuit-level schematic of the CML-latch included inside the CML static divider.

the Q-factor of the LC tank in the ILFD core, as indicated by the following relation [20]: ωL ∝

ω0 2 Iinj · · 2Q π Iosc

(1)

where ω L is the locking range, ω0 is the oscillation frequency of the ILFD core, Iinj is the injection current, and Iosc is the oscillation current. Also, Vdd was pushed down to the minimum value required for ILFD oscillation, leading to small Iosc , which is expected to improve the locking range according to (1).

this paper, blocking capacitors were placed at the gate of the input transistors together with a separate gate bias for the first four stages of the CML divider chain (not shown in Fig. 5). With this configuration, even a small signal swing will lead to a strong switching of the transistors, since the gates will be biased near the threshold voltage by the separate bias provided. D. FE-PFD

C. CML Divider Chain The remaining part of the FD chain that follows the V-band ILFD was implemented based on a series of divide-by-two CML dividers, the detailed schematic of which is depicted in Fig. 5. Nine cascaded CML dividers form the FD chain, leading to a division ratio of 512. The frequency division of each CML divider is carried out by two latches arranged in a master-slave feedback configuration as shown in Fig. 5(a). The CML divider chain covers a wide range of frequency from around 30 GHz down to 60 MHz, but the same structure was employed for each divider, while the transistor and resistor sizes were optimized for different levels of frequency band. In typical cases, the CML divider is driven by a differential rail-to-rail clock. However, when operation frequency is high, the input signal swing may not be sufficiently large to fully turn ON and OFF the transistors at the input node [for example, M5 and M6 in Fig. 5(b)], which will lead to incomplete dividing operation of the dividers. To fix this problem in

Fig. 6 shows the schematic of the FE-PFD employed for the PLLs in this paper [21]. Compared with the other traditional PFDs based on NAND or NOR gates, the FE-PFD requires no reset signal and thus provides dead-zone-free condition. In addition, the FE-PFD can be implemented by much smaller number of transistors, supposedly leading to better phase noise characteristics [21]. Other advantages of the FE-PFD compared with those traditional PFDs include higher operation speed, lower dc power consumption, and smaller occupied chip area. The downside of the FE-PFD, though, is that it continues to generate UP and DOWN pulses simultaneously, even when the PLL is in the locking state. This may lead to the generation of substantial spurs in the PLL output spectrum, especially when the current mismatch occurs in the following CP stage. E. Charge Pump and Loop Filter Fig. 7 shows the CP and the loop filter employed in this paper. The CP adopted in this paper is based on the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KIM et al.: TWO 122-GHz PLLs IN 65-nm CMOS TECHNOLOGY

5

Fig. 9. Measurement setups for VCO. (a) Spectrum measurement. (b) Power measurement. The identical setup was used for PLL measurement, except for a separate reference signal applied to the DUT from a signal generator.

Fig. 8. Chip photograph of the fabricated PLL. (a) PLL1 (DE-VCObased PLL). (b) PLL2 (push-push VCO-based PLL).

conventional dual-switch topology. In the CP, transistor M1 and M4 work as the switches, while M2 and M3 serve as the current sources to provide CP current Icp . To confine Icp in the range of a few tens of microamperes, M2 and M3 are biased for the subthreshold region with a fixed gate bias of Vbias = Vdd /2. Although the structure is vulnerable to the current mismatch due to the finite output impedance of the switching devices, it was employed in favor of its simplicity and compact implementation. The loop filter is designed in the second-order low-pass filter type, where both the main and secondary capacitors are realized with metal-insulatormetal capacitors. Slightly different component values were selected for PLL1 and PLL2 in consideration of the different characteristics between the DE-VCO and the push-push VCO. III. M EASUREMENT R ESULTS The two PLLs were fabricated in Samsung 65-nm CMOS technology featuring a peak f max of 220 GHz. Fig. 8 shows the chip photos of the fabricated PLLs. The total chip sizes of PLL1 (based on the DE-VCO) and PLL2 (based on the pushpush VCO) are 1000 × 760 and 1300 × 840 μm2 , respectively, including the probing pads. The core sizes excluding the pads are only 700 × 500 and 900 × 560 μm2 , respectively. The PLLs, as well as the separate VCOs and FDs fabricated for the testing purpose, were measured through on-wafer probing using D-band and V-band measurement setups.

First, the fabricated DE-VCO and the push-push VCO test circuits were measured and compared for key performance parameters. The setup used for the spectrum measurement consists of a D-band GGB probe and a Quinstar D-band harmonic mixer connected to an Agilent E4407B spectrum analyzer as described in Fig. 9(a). The measured operating frequency of the DE-VCO varied from 120.4 to 123.8 GHz with the tuning voltage swept from 0 to 1.5 V. On the other hand, the measured operating frequency of the push-push VCO varied from 121.9 to 123.6 GHz with the same tuning voltage as shown in Fig. 11(a). These values are quite close to the simulated oscillation frequency. The tuning range was overall kept rather moderate by design in favor of the large output power and the low phase noise of the VCOs in terms of the varactor size selection. The smaller tuning range measured for the push-push VCO can be ascribed to the smaller varactor size employed, which was 0.5 μm2 , compared with 1.5 μm2 for the DE-VCO. This was because it was more challenging to raise the output for the push-push VCO, which led to design in more favor of the output power in sacrifice of the tuning range for the push-push VCO. The output power of the VCOs was directly measured with an Erickson PM4 calorimeter as depicted in Fig. 9(b). The measured output power of the DE-VCO was around −8 dBm after a loss calibration with the measured probe loss of 2.9 dB. The loss from the waveguides attached to the probe was assumed to be negligible. This measured output power is remarkably larger than −21 dBm obtained from the push-push VCO as shown in Fig. 10(b). This result experimentally supports the advantage of the DE-VCO, which was predicted by the simulation as shown in Fig. 3(b). The DE-VCO and the push-push VCO consumed 38.4 and 45.0 mW of dc power with a supply voltage of Vdd = 1.2 V, leading to efficiencies of 0.414% and 0.018%, respectively. The major portion of the dc power was dissipated in the core part of the VCOs, as a large current had to be driven to compensate for the transconductance degraded at the high operation frequency with the limited f max of the devices. Second, the fabricated ILFD test circuit was characterized for locking range with a V-band measurement setup as shown in Fig. 11. In the setup, an OML V-band source module driven

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 12. Measured sensitivity curves of the ILFD with various varactor tuning voltages.

Fig. 10. Measured performance of the DE-VCO and the push-push VCO. (a) Oscillation frequency. (b) Output power (second harmonic).

Fig. 11.

Measurement setup for ILFD.

by an HP 83650B signal generator provides the injection signal to the ILFD, while an HP 8565E spectrum analyzer takes the output. The measured sensitivity curves obtained from three varactor tuning voltages (0, 0.75, and 1.5 V) are shown in Fig. 12. With a fixed tuning voltage, the locking range of the ILFD was measured to be around 7 GHz with the injection power of around −1 dBm. With an extra varactor tuning, the operation frequency extends to over 10 GHz, which would be enough for frequency alignment with the VCO, especially when the ILFD and the VCO are based on a similar topology by design as was discussed before. The ILFD core and buffer draw 6 mA each from 0.8 and 1 V supply voltage, respectively. It is noted that the ILFDs employed for PLL1 and PLL2 are slightly different in terms of their layout, while the target operation range remains unchanged. The measurement result presented herein is for the one used for PLL1, which can be considered as a representative performance of the ILFDs employed in this paper.

Fig. 13. Measured output spectrums of PLLs. (a) PLL1 (based on DE-VCO). (b) PLL2 (based on push-push VCO). Resolution bandwidth is 30 kHz, and span is 150 MHz.

Finally, the integrated PLLs were characterized. The measurement setups used for the PLLs are identical to those for the VCO measurement as described in Fig. 9, except for the addition of the reference signal injected to the PLL input. Fig. 13 shows the measured output spectra of the fabricated PLLs. Both PLLs were locked around 122 GHz, close to the design value of 120 GHz. The plots explicitly show spurious signals about 60 MHz, which can be considered as the indication of the locking achieved for both PLLs that employ the total division ratio of 1024 from f 0 . The measured

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KIM et al.: TWO 122-GHz PLLs IN 65-nm CMOS TECHNOLOGY

7

TABLE I C OMPARISON OF Si-BASED PLLs O PERATING OVER 100 GHz

Fig. 14. Measured phase noise of the PLLs. (a) PLL1 (based on DE-VCO). (b) PLL2 (based on push-push VCO). Insets: the output power displayed in the power meter (precalibration).

locking ranges are 121.9–122.2 and 122.7–122.9 GHz for PLL1 and PLL2, respectively. The locking ranges are smaller than the tuning range of the VCO and locking range of the ILFD tested. The rather small locking range can be attributed to the leakage current observed out of the tuning node of the VCO (connected to the output node of the CP) along a diode that was inserted per the design rule to avoid the antenna effect. The leakage would lead to an unstable locking of the loop, resulting in the reduction of the locking range. This issue is expected to be fixed by removing the diode and applying a different method to circumvent the design rule error. The phase noises of the implemented PLLs were also measured, as presented in Fig. 14. For the phase noise measurement, a similar setup as described in Fig. 9(a) was used, except for the fact that the harmonic mixer (LO supplied by the spectrum analyzer) was replaced by a second-order subharmonic mixer, which was driven by an LO generated by a signal generator and a V-band frequency multiplier. For PLL1, the measured in-band phase noise was −59.2 dBc/Hz at 10-kHz offset, which is sustained up to around 100-kHz offset. The out-band phase noise, which is dictated by the VCO phase noise, was −102.4 dBc/Hz when measured at 10-MHz offset. For PLL2, the measured in-band phase noise was −60.1 dBc/Hz at 10-kHz offset and the out-band phase noise was −99.5 dBc/Hz at 10-MHz offset. The slightly

lower out-band phase noise of PLL1 can be ascribed to the lower phase noise of DE-VCO as predicted by the simulation shown in Fig. 3(c). The in-band phase noise falls on a rather similar range for the two PLLs, supposedly because the same topologies of PFD and CP employed in both PLLs. The output powers of the PLLs were also measured using a PM4 calorimeter. They are shown in the insets of Fig. 14, the display indicating the precalibrated raw data in the unit of microwatts. After a calibration with the measured probe loss of 2.9 dB at the operation frequency, the output powers of the PLL1 and PLL2 were −8.6 and −21.9 dBm, respectively. These values are consistent with the output power measured from the test VCOs described earlier. The total dc power consumptions of the PLLs including all the components (including buffers employed for test purpose as well) were 82.9 and 87.7 mW for PLL1 and PLL2, respectively. Table I summarizes the measured performance of the PLLs in comparison with the previous works implemented by Si CMOS technology. Included in Table I along with key PLL parameters are figure of merit (FoM) and FoM2 , the latter being a modified FoM to additionally include the output power [22]. IV. C ONCLUSION Two 122-GHz PLLs have been demonstrated based on Samsung 65-nm CMOS technology. A VCO with a frequency doubler embedded in the VCO core (DE-VCO) was proposed, which exhibited higher output power and efficiency compared with the conventional push-push VCO. Both VCOs were employed for implementation of integrated PLLs, and the PLL based on the DE-VCO (PLL1) showed superior performance compared with the PLL with the push-push VCO (PLL2) in terms of the output power, which was measured to be −8.6 dBm. The developed PLLs are expected to be suitable for D-band heterodyne systems that require precise frequency control.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

ACKNOWLEDGMENT The authors would like to thank IDEC for the design tool and process supports. R EFERENCES [1] K. Okada et al., “Full four-channel 6.3-Gb/s 60-GHz CMOS transceiver with low-power analog and digital baseband circuitry,” IEEE J. Solid-State Circuits, vol. 48, no. 1, pp. 46–65, Jan. 2013. [2] X. Yi, C. C. Boon, H. Liu, J. F. Lin, and W. M. Lim, “A 57.9-to-68.3 GHz 24.6 mW frequency synthesizer with in-phase injection-coupled QVCO in 65 nm CMOS technology,” IEEE J. Solid-State Circuits, vol. 49, no. 2, pp. 347–359, Feb. 2014. [3] K. Scheir, G. Vandersteen, Y. Rolain, and P. Wambacq, “A 57-to-66 GHz quadrature PLL in 45 nm digital CMOS,” in IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, Feb. 2009, pp. 494–495 and 495a. [4] M. Seo, M. Urteaga, M. Rodwell, and M.-J. Choe, “A 300 GHz PLL in an InP HBT technology,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2011, pp. 1–4. [5] V. K. Paidi et al., “G-band (140–220 GHz) and W -band (75–110 GHz) InP DHBT medium power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 2, pp. 598–605, Feb. 2005. [6] Z. Wang, P.-Y. Chiang, P. Nazari, C.-C. Wang, Z. Chen, and P. Heydari, “A 210 GHz fully integrated differential transceiver with fundamentalfrequency VCO in 32 nm SOI CMOS,” in IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, Feb. 2013, pp. 136–137. [7] J.-D. Park, S. Kang, S. V. Thyagarajan, E. Alon, and A. M. Niknejad, “A 260 GHz fully integrated CMOS transceiver for wireless chip-tochip communication,” in IEEE Symp. VLSI Circuits Dig., Jun. 2012, pp. 48–49. [8] K. Sengupta and A. Hajimiri, “A 0.28 THz power-generation and beamsteering array in CMOS based on distributed active radiators,” IEEE J. Solid-State Circuits, vol. 47, no. 12, pp. 3013–3031, Dec. 2012. [9] B. Khamaisi, S. Jameson, and E. Socher, “A 210–227 GHz transmitter with integrated on-chip antenna in 90 nm CMOS technology,” IEEE Trans. Thz Sci. Technol., vol. 3, no. 2, pp. 141–150, Mar. 2013. [10] O. Momeni and E. Afshari, “High power terahertz and millimeter-wave oscillator design: A systematic approach,” IEEE J. Solid-State Circuits, vol. 46, no. 3, pp. 583–597, Mar. 2011. [11] J. Grzyb, Y. Zhao, and U. R. Pfeiffer, “A 288-GHz lens-integrated balanced triple-push source in a 65-nm CMOS technology,” IEEE J. Solid-State Circuits, vol. 48, no. 7, pp. 1751–1761, Jul. 2013. [12] J. Sharma and H. Krishnaswamy, “216- and 316-GHz 45-nm SOI CMOS signal sources based on a maximum-gain ring oscillator topology,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 1, pp. 492–504, Jan. 2013. [13] B. Heydari, M. Bohsali, E. Adabi, and A. M. Niknejad, “Millimeterwave devices and circuit blocks up to 104 GHz in 90 nm CMOS,” IEEE J. Solid-State Circuits, vol. 42, no. 12, pp. 2893–2903, Dec. 2007. [14] W.-Z. Chen, T.-Y. Lu, Y.-T. Wang, J.-T. Jian, Y.-H. Yang, and K.-T. Chang, “A 160-GHz frequency-translation phase-locked loop with RSSI assisted frequency acquisition,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 61, no. 6, pp. 1648–1655, Jun. 2014. [15] K.-H. Tsai and S.-I. Liu, “A 104-GHz phase-locked loop using a VCO at second pole frequency,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 20, no. 1, pp. 80–88, Jan. 2012. [16] S. Shahramian et al., “Design of a dual W- and D-band PLL,” IEEE J. Solid-State Circuits, vol. 46, no. 5, pp. 1011–1022, May 2011. [17] A. Tang et al., “A D-band CMOS transmitter with IF-envelope feed-forward pre-distortion and injection-locked frequency-tripling synthesizer,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 12, pp. 4129–4137, Dec. 2012. [18] S. P. Voinigescu et al., “A study of SiGe HBT signal sources in the 220–330-GHz range,” IEEE J. Solid-State Circuits, vol. 48, no. 9, pp. 2011–2021, Sep. 2013. [19] K.-H. Tsai, L.-C. Cho, J.-H. Wu, and S.-I. Liu, “3.5 mW W-band frequency divider with wide locking range in 90 nm CMOS technology,” in Proc. IEEE Int. Solid-State Circuits Conf., Feb. 2008, pp. 466–467. [20] B. Razavi, “A study of injection locking and pulling in oscillators,” IEEE J. Solid-State Circuits, vol. 39, no. 9, pp. 1415–1424, Sep. 2004. [21] N. M. H. Ismail and M. Othman, “CMOS phase frequency detector for high speed applications,” in Proc. 4th Int. Design Test Workshop (IDT), Nov. 2009, pp. 201–204. [22] K. W. Tang, S. Leung, N. Tieu, P. Schvan, and S. P. Voinigescu, “Frequency scaling and topology comparison of millimeter-wave CMOS VCOs,” in Proc. IEEE Compound Semiconductor Integr. Circuit Symp., Nov. 2006, pp. 55–58.

Namhyung Kim received the B.S. and Ph.D. degrees in electrical engineering from Korea University, Seoul, South Korea, in 2008 and 2015, respectively. His thesis was on the development of signal sources and phased-locked loops for millimeter-wave applications. His current research interests include millimeterwave transceiver and automotive systems. Dr. Kim was a recipient of the Best Student Paper Award of the 2015 IEEE Radio Frequency Integration Technology Symposium. Kiryong Song received the B.S. degree in electronics engineering from Korea University, Seoul, South Korea, in 2012, where he is currently pursuing the Ph.D. degree at the School of Electrical Engineering. His current research interests include the design of silicon-based millimeter-wave oscillators, mixers, and detectors for high-speed wireless communication and imaging systems.

Jongwon Yun received the B.S. and Ph.D. degrees in electrical engineering from Korea University, Seoul, South Korea, in 2007 and 2015, respectively. His Ph.D. dissertation focused on the development of signal sources for millimeter-wave and terahertz applications. He has been with the School of Electrical Engineering, Korea University, since 2015, where he is currently a Research Professor. His current research interests include millimeter-wave and terahertz integrated circuits and transceiver systems for imaging and broadband communication applications. Dr. Yun was a recipient of the Best Student Paper Award of the 2015 IEEE Radio Frequency Integration Technology Symposium.

Junghwan Yoo received the B.S. degree in electronics engineering from Korea University, Seoul, South Korea, in 2015, where he is currently pursuing the Ph.D. degree at the School of Electrical Engineering. His current research interests include high-speed wireless transceivers based on phase-locked loops. Mr. Yoo was a recipient of the Best Student Paper Award of the 2015 IEEE Radio Frequency Integration Technology Symposium.

Jae-Sung Rieh (S’89–M’91–SM’05) received the B.S. and M.S. degrees in electronics engineering from Seoul National University, Seoul, South Korea, in 1991 and 1995, respectively, and the Ph.D. degree in electrical engineering from the University of Michigan, Ann Arbor, MI, USA, in 1999. He joined the IBM Semiconductor Research and Development Center in 1999, where he was responsible for the research and development activities for the high frequency SiGe HBT technologies. He has been with the School of Electrical Engineering, Korea University, Seoul, South Korea, since 2004, where he is currently a Professor. In 2012, he was with the Submillimeter Wave Advanced Technology Team, Jet Propulsion Laboratory, Pasadena, CA, USA, during his sabbatical leave. His current research interests include millimeter-wave and terahertz devices and circuits. Dr. Rieh was a recipient of the 2004 IBM Faculty Award and a co-recipient of the 2002 and 2006 IEEE EDS George E. Smith Awards and the 2013 IEEE M ICROWAVE AND W IRELESS C OMPONENT L ETTERS Tatsuo Itoh Best Paper Award. He served as an Associate Editor of the IEEE M ICROWAVE AND W IRELESS C OMPONENTS L ETTERS from 2006 to 2009 and the IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES from 2010 to 2013.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

One-Port Direct/Reverse Method for Characterizing VNA Calibration Standards Raul A. Monsalve, Member, IEEE, Alan E. E. Rogers, Life Member, IEEE, Thomas J. Mozdzen, Member, IEEE, and Judd D. Bowman, Member, IEEE

Abstract— This paper introduces a one-port method for estimating model parameters of vector network analyzer calibration standards. The method involves measuring the standards through an asymmetrical passive network connected in direct mode and then in reverse mode, and using these measurements to compute the S-parameters of the network. The free parameters of the calibration standards are estimated by minimizing a figure of merit based on the expected equality of the S-parameters of the network when used in direct and reverse modes. The capabilities of the method are demonstrated through simulations, and real measurements are used to estimate the actual offset delay of a 50- calibration load that is assigned zero delay by the manufacturer. The estimated delay is 38.8 ps with a 1σ uncertainty of 2.1 ps for this particular load. This result is verified through measurements of a terminated airline. The measurements agree better with theoretical models of the airline when the reference plane is calibrated using the new estimate for the load delay. Index Terms— Delay, impedance, reflection standards, scattering parameters, vector network analyzer (VNA).

I. I NTRODUCTION

T

HE search for higher accuracy in measurements of S-parameters using a vector network analyzer (VNA) has driven the development of ingenuous techniques that aim at simplifying the process of calibration and improving the modeling of calibration standards. In particular, the precise and accurate modeling of standards is an active area of research, because characterization based on their physical dimensions and composition is possible only in a limited number of cases [1]–[4]. Widely used models for coaxial short-open-loadthru (SOLT) standards are presented in [5] and [6], which correspond to approximations to full transmission line theory [7], [8]. The models for the open, short, and load

Manuscript received May 7, 2015; revised July 12, 2015, January 5, 2016, and May 26, 2016; accepted June 03, 2016. This work was supported by the NSF through research awards for the Experiment to Detect the Global EoR Signature (AST-0905990 and AST-1207761) and by NASA through Cooperative Agreements for the Lunar University Network for Astrophysics (NNA09DB30A) and the Nancy Grace Roman Technology Fellowship (NNX12AI17G). R. A. Monsalve, T. J. Mozdzen, and J. D. Bowman are with the School of Earth and Space Exploration, Arizona State University, Tempe, AZ 85287 USA (e-mail: [email protected]; [email protected]; [email protected]). A. E. E. Rogers is with the Haystack Observatory, Massachusetts Institute of Technology, Westford, MA 01886 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2580141

incorporate parameters that characterize their termination elements (capacitance, inductance, and resistance, respectively) as well as their transmission line sections, or offsets (characteristic impedance, delay, and loss). The model for the thru is parameterized by its characteristic impedance, delay, and loss. The traditional SOLT two-port VNA calibration requires precision knowledge of those four standards to solve for the correction coefficients of the 12-term error model [9]. The unknown thru technique relaxes this requirement by replacing the precision thru with a generic reciprocal passive network [10]–[12]. Calibration is achieved by taking advantage of the reciprocity property of the network (S12 = S21 ). This technique is very useful in situations where the traditional SOLT calibration is limited by physical constraints, such as in wafer probe stations or custom test fixtures, where it is difficult to connect a thru between the two ports. Although the passive network does not need to be known with precision, the phase of its S21 has to be known to within a quarter of a wavelength [13], [14]. A technique introduced in [6] aims at estimating parameters of the SOLT standards by measuring an asymmetrical (S11 = S22 ) reciprocal passive network between the two VNA ports, in addition to the standards themselves. The technique solves for the free parameters by minimizing a figure of merit (FoM) based on the expected reciprocity of the network. Another version of the two-port reciprocal method, presented in [15] and [16], focuses on estimating parameters of the SOL reflection standards only. The thru is characterized separately using a series of independent measurements, and the dc resistance of the 50- load is measured with a precision ohmmeter, as suggested in [14] and [17]. A different type of method, introduced in [18], improves the characterization of the SOL standards by using a precision airline, which is connected to the calibrated measurement port and terminated with an offset short and a mismatch load. Ripples observed when connecting the airline are mainly due to residual source match and directivity resulting from assuming incorrect values for the calibration SOL parameters. A better set of values is obtained by iteratively minimizing the ripples. This paper introduces the one-port direct/reverse (D/R) method for the characterization of the SOL standards. Its most important feature relative to the reciprocal approaches described above is that it only requires one-port measurements and, therefore, it is not affected by systematic effects occurring in multiport setups. In addition, it does not rely on external

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

reference or transfer standards that need to be characterized independently with high precision. The D/R method involves measuring the SOL standards at the reference plane, then measuring them at the end of an asymmetrical passive network connected in direct mode, and then measuring them at the end of the network connected in reverse mode (physically reversed). This results in a total of nine measurements. In principle, several parameters could be estimated simultaneously but to keep their precision from degrading significantly it is preferable for the number of free parameters to remain low. This paper has been conducted in the context of highaccuracy reflection measurements of antennas for radio astronomy in the very high frequency range [19], [20], and therefore the D/R method is demonstrated at frequencies up to 1 GHz. Nonetheless, it is directly applicable at other frequencies with limitations specific to each implementation. As a means of demonstration, the D/R method is used in this paper to estimate the offset delay of the 50- load from a Keysight (previously Agilent) 85033E 3.5-mm calibration kit, which has a nominal value of 0 ps. Companies usually provide realistic estimates for the parameters of the open and short but often assume that the load represents a perfect 50- termination producing no reflections, which would make the delay of its transmission line irrelevant. This is an approximation, and for some applications, inaccuracies in this parameter have a significant impact on S-parameter measurements. Fig. 1 shows the isolated effect of a realistic error in the load delay, on measurements of reflection coefficient. If the reference plane is calibrated with the SOL standards but assuming that the load has a delay of 0 ps when its true value is 30 ps, the error in the magnitude and phase of the device under test (DUT) depends on its reflection and on frequency. As an example, for a nominal reflection of −10 dB and 90° the error at 200 MHz is 0.01 dB in magnitude and −0.06° in phase, which increases to 0.02 dB and −0.15° at 1000 MHz. A value of 30 ps is used in this exercise because it is close to the delays reported by Keysight for the open and short of the same calibration kit. The D/R method is described in Section II and demonstrated through simulations in Section III. Section IV details the parameter estimation from real measurements, Section V describes the verification of the estimation and, finally, the conclusions are presented in Section VI. II. M ETHOD When a DUT is measured at the end of a two-port network, the reflection coefficient at the input of this network is given by S12 S21  (1)   = S11 + 1 − S22  where  is the intrinsic reflection coefficient of the DUT relative to the reference impedance (usually 50 ),   is the reflection coefficient at the reference plane, and S11 , S12 , S21 , and S22 are the S-parameters of the two-port network. If the S-parameters are known,  can be recovered from the measurement by just inverting the equation, i.e.,   − S11 . (2) = S12 S21 + S22 (  − S11 )

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 1. Effect on the reflection coefficient of different DUTs, of assuming an offset delay of 0 ps for the 50- calibration standard when its true value is 30 ps. These results were obtained through simulations. The observed error, expressed as a difference relative to the known true reflection, depends on frequency and on the reference reflection. The left and right columns of the figure represent magnitude and phase errors, respectively. From top to bottom, the panel rows represent reference magnitudes of (a) and (b) −3, (c) and (d) −10, and (e) and (f) −20 dB. The reference phase is represented along the horizontal axes and goes between 0° and 180°.

The S-parameters of the network can be computed by measuring the open, short, and load at its port 2, and then solving (1) in matrix form ⎤ ⎡ ⎤−1 ⎡  ⎤ ⎡ 1  O  O ·  O O S11 ⎣ S12 S21 − S11 S22 ⎦ = ⎣1  S  S ·  S ⎦ ⎣  S ⎦ (3) S22 1  L  L ·  L  L where  O ,  S , and  L are the reflections of the standards assumed as true, and  O ,  S , and  L are their values as viewed at port 1 of the network. In this representation, ports 1 and 2 are intrinsic to the network. In other words, in direct mode, port 1 is facing the measurement plane and port 2 is facing the DUT, while in reverse mode, port 2 is facing the measurement plane and port 1 is facing the DUT. For a passive two-port network, and under ideal conditions of repeatability and linearity, the S-parameters computed in direct and reverse modes should be identical as long as the reflections from the standards assumed as true [ O ,  S , and  L in (3)] are correct. If this is not the case, the S-parameters recovered in direct and reverse modes will differ. These properties of passive networks can be used in principle to solve for the model parameters of the reflection standards that minimize the difference between S-parameters in direct and reverse mode. An adequate FoM has to be defined to effectively constrain the free parameters through minimization. The one used in

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. MONSALVE et al.: ONE-PORT D/R METHOD FOR CHARACTERIZING VNA CALIBRATION STANDARDS

3

TABLE I N OMENCLATURE FOR THE D/R M ETHOD

this implementation is  (1k + 2k + 3k ) FoM =

(4)

k

where 1 = |S11D − S11R |

(5)

2 = |S12D S21D − S12R S21R | 3 = |S22D − S22R |

(6) (7)

 and k sums over frequency. This FoM quantifies in a single number the differences between the complex S-parameters in direct and reverse modes (subscripts D and R, respectively). In addition, it does not require the separate use of S12 and S21 and therefore their multiplication as provided by (3) can be used directly in the 2 term. An extra layer of correction is required by the D/R method. In (1)–(3), it has been implicitly assumed that the values recorded at the reference plane (the primed quantities) are calibrated. In practice, this calibration will be as good as the assumptions used for the calibration standards measured at this plane. The direct and reverse S-parameters of the test network will have a chance of matching only if the reference plane is calibrated. To account for this aspect, the D/R method also requires measuring the standards at the reference plane. The standards are assumed to have reflections modeled by M , with parameters p. The D/R method involves conducting three sets of measurements as follows.  . 1) Measure calibration standards at reference plane, RP 2) Connect test network in direct mode (port 1 facing reference plane).  . 3) Measure standards at port 2 of test network, D 4) Connect test network in reverse mode (port 2 facing reference plane). 5) Measure standards at port 1 of test network, R . The nomenclature is summarized in Table I, where the three-element quantities  represent the combined reflection coefficients of the open, short, and load standards, and the S quantities represent four-element S-parameter matrices. Both have an implicit dependence on frequency. Fig. 2 shows the three sets of measurements required by the method. With the measurements at hand and for a vector of estimates pi , the FoM is evaluated as follows.

Fig. 2. Measurements involved in the D/R method. The SOL standards are measured (a) at the reference plane, (b) at the end of the test network in direct mode, and (c) at the end of the test network in reverse mode.

1) Compute SRPi using (3), where Mi represents the assumed values for the standards evaluated at pi , and  represents their measurement at the reference plane. RP  and   2) De-embed SRPi from the measurements D R   . using (2). The new quantities are labeled Di and Ri 3) Compute SDi and SRi using (3), where Mi represents the assumed values for the standards evaluated at pi , and  and   represent the measurements of the standards Di Ri through the test network in direct and reverse mode after de-embedding SRPi . 4) Evaluate FoMi using (4). The minimum FoM in parameter space can be found using approaches such as grid search or iterative algorithms. III. S IMULATIONS Simulations are performed to demonstrate the method and understand its capabilities and limitations. The example in this section involves the simultaneous estimation of free parameters of the model for coaxial standards presented in the Appendix. Three parameters are estimated: 1) the offset loss of the short; 2) the offset delay of the load; and 3) the offset loss of the load. They are assigned nominal values of 2.4 Gs−1 , 30 ps, and 2.3 Gs−1 , respectively. The other (fixed) parameters take the fiducial values of the Keysight 85033E standards. Several alternatives were considered for the design of the test network. During the analyses, it was found that the free parameters are estimated with the lowest uncertainty when the difference between S11 and S22 is maximized, while keeping |S12 | = |S21 | as high as possible (close to 1). These conditions cannot be achieved simultaneously for a wide range of frequencies, and therefore some compromises have to be made considering practical aspects. The chosen network consists of a circuit with a capacitor between the two ports and an inductor between port 2 and ground. This network is easy to implement and its performance can be optimized at a specific frequency while remaining useful in a wider range.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

The S-parameters representing the test network are related to the impedances of the capacitor (Z C ) and inductor (Z L ) by S11 = S22 = S12

Z C Z L + Z C Z 0 − Z 02 Z C Z L + Z C Z 0 + 2Z L Z 0 + Z 02 Z C Z L − Z C Z 0 − Z 02

Z C Z L + Z C Z 0 + 2Z L Z 0 + Z 02 2Z L Z 0 = S21 = Z C Z L + Z C Z 0 + 2Z L Z 0 + Z 02

(8) (9) (10)

where Z 0 = 50 . The capacitance and inductance are chosen so that the S-parameters enable a precise estimation of the free parameters. This is discussed in more detail in Section IV in the context of estimation from actual measurements. Values of 5 pF and 17 nH are used in this simulation because they provide near-optimum performance. Synthetic noisy data are produced to represent the three sets of measurements. A 1σ noise level of 1 × 10−4 (linear) is assigned to the real and imaginary parts of the synthetic measurements. This value is realistic for the VNA settings used during actual measurements. The free parameters of the standards are estimated by following the recipe at the end of Section II and finding the minimum FoM through an iterative algorithm for unconstrained nonlinear optimization based on a quasiNewton method, available in MATLAB as the fminunc function. This alternative is preferred over a direct grid search, which for three parameters is significantly more intensive computationally. The effect of measurement uncertainty on the estimates is determined by repeating this process for N = 2000 realizations of noise. This number of repetitions keeps the standard deviation of the parameters stable to within 5%. Two scenarios are explored. In the first one, the parameters are estimated only from measurements at 1000 MHz, whereas the second case uses data between 50 and 1000 MHz in steps of 50 MHz. This is done in order to make evident the benefits of conducting measurements in a broader range. The results are shown in Fig. 3. The top plots present the covariance between parameters and the bottom plots show the marginalized distributions. The parameter estimated with the highest precision is the offset loss of the short, with a standard deviation of 0.023 Gs−1 for a measurement at 1000 MHz and 0.010 Gs−1 for the broader measurement. The offset delay of the load has a standard deviation of 5.2 (3) ps, and the offset loss of the load of 0.446 (0.241) Gs−1 for the single (multi) frequency case. These two parameters are not as well constrained as the offset loss of the short due to their strong ∼1/x correlation, and therefore significant improvement is possible if one of them were kept fixed during estimation. Although the simulation described focuses on estimating parameters of the standard offsets, the D/R method is equally applicable for improving the characterization of the termination elements. For example, using a similar strategy as above, simulations were performed to estimate the coefficients of the polynomials that model the capacitance and inductance of the open and short terminations, respectively [see (24) and (25)].

Fig. 3. Summary of the simulations conducted to demonstrate the D/R method assuming coaxial standards modeled as described in the Appendix. The free parameters are the offset loss of the short, the offset delay of the load, and the offset loss of the load. (a)–(c) Input values of the free parameters as white diamonds and the 2-D distributions recovered from the simulations due to measurement noise. (d)–(f) Marginalized distributions. Two cases are simulated. In the first case (gray), only a measurement at 1000 MHz is used to estimate the parameters. The second case (black) uses measurements in the range between 50 and 1000 MHz with the step of 50 MHz. The same two-port network and noise are used in both cases. The second case produces lower uncertainties in the estimates.

The estimations were done separately, first for the open and then for the short, with four free parameters at a time. As expected, it was necessary to increase the frequency range

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. MONSALVE et al.: ONE-PORT D/R METHOD FOR CHARACTERIZING VNA CALIBRATION STANDARDS

5

TABLE II E STIMATION OF C APACITANCE AND I NDUCTANCE C OEFFICIENTS FOR THE O PEN AND S HORT S TANDARDS F ROM S IMULATED D ATA

to 9 GHz (the highest allowed by this calibration kit) and to reduce the noise level below 1 × 10−5 to be able to constrain the frequency dependence properly and estimate the parameters robustly and with precision. Table II presents the estimates for the polynomial coefficients using simulated measurements between 500 MHz and 9 GHz with a step size of 500 MHz and noise of 1 × 10−6 . Clearly, the precision of the estimation decreases as the degree of the polynomial term increases, especially for the inductance coefficients. This type of estimation would be challenging in practice, but this example shows the flexibility of the method when the measurement setup is properly optimized for a specific application. IV. M EASUREMENTS AND R ESULTS Real measurements were conducted with the purpose of estimating the offset delay of the 50- load from a Keysight 85033E calibration kit modeled as described in the Appendix. The other parameters of the kit take their nominal values, with the exception of the termination impedance of the load which takes the value of its dc resistance measured with a 6.5-digit precision ohmmeter. In particular, this quantity is measured using a cable assembly with an SMA connector at its end. First, the resistance of the assembly itself is measured by connecting the short standard; then, the load is connected, and its resistance is obtained by subtracting from the reading assembly resistance. Uncertainty is estimated at 4 × 10−3 , dominated by fluctuations of the assembly resistance. The calibration kit has 3.5-mm connectors. This has implications for the gender of the connectors in the system, especially when considering that the test network has to be measured in direct and reverse modes. The connectors of the test network are female, and therefore, the following holds. 1) The connector at the reference plane has to be male. 2) The connector of the standards measured at the reference plane has to be female. 3) The connector of the standards measured at the end of the test network has to be male. Thus, it is necessary to use the two sets of standards of opposite genders available in the calibration kit. There are no good alternatives to this arrangement, other than inverting all the genders. Given that the physical characteristics of the male and female 50- loads are almost identical, they are

Fig. 4. Two-port networks 1 and 2, as implemented. They consist of lumped surface-mount elements on a double-layer FR4 board (ground layer on the bottom side). The PCBs are 20 × 20 mm2 . The connectors are female SMA and the metal enclosure has top and bottom covers, not shown here.

assumed to have the same offset delay. This is consistent with the other parameters of the calibration kit, which are also almost identical between genders, and helps to keep the number of free parameters and uncertainties to a minimum. The measured dc resistances of the female and male loads are 49.995 and 50.010 , respectively. The topology chosen for the test network is a circuit consisting of a capacitor and an inductor, as described in Section III. The capacitance and inductance are chosen so that they minimize the uncertainty of the load delay at a specific frequency within the measurement range. With this approach, it is possible to produce more than one network in the range of interest. This is useful for cross checking and validating the estimates even if they do not have the highest precision. Two networks are implemented. Network 1 is optimized at 600 MHz and network 2 at 1000 MHz. The optimization is conducted through simulations by sweeping over a range of values of capacitance and inductance until the combination that produces the lowest uncertainty in the load delay is found, for a given level of measurement noise. The values found for network 1 are 4.7 pF and 17 nH, and for network 2 they are 4 pF and 8 nH. The networks are implemented using lumped surface-mount capacitors and inductors, soldered on double layer 20 × 20 mm2 FR4 boards with a ground layer on the bottom side. The connectors are female SMA, and the enclosures are made out of aluminum, including their top and bottom covers. The networks are shown in Fig. 4. The three sets of measurements described in Section II were conducted with a Keysight E5072A VNA and the following settings: power of 0 dBm, frequency between 400 and 1000 MHz in steps of 50 MHz, bandwidth of 10 Hz, and averaging of ten traces. The measurement of each standard at the reference plane and through the test network is repeated manually ten times, following a disconnection and reconnection. This is done in order to account for potential

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 5. Measurement setup. The picture shows the Keysight (Agilent) E5072A VNA, one of the test networks connected to the VNA port 1, and one of the calibration standards connected to the test network. The reference plane is at the male 3.5-mm connector of the VNA port.

scatter due to limited connection repeatability, or instability in the performance of the test network or VNA. Fig. 5 shows the measurement setup. The sample average and standard deviation are computed for each repeated measurement. In particular, the largest scatter has a 1σ level of 5 × 10−4 and occurs for network 2. Most of this scatter has a systematic origin, since the VNA settings result in 1σ noise below 1 × 10−4 . The measurement uncertainty is modeled as Gaussian using the statistics computed from the repeated measurements. This uncertainty is propagated to the estimated load delay by processing N = 15 000 Monte Carlo realizations through the algorithm that identifies the lowest FoM. Since there is only one free parameter, the minimum FoM is found by sweeping over values of load delays. The resolution of the sweep is 0.1 ps, in the range between −60 and 60 ps. The range extends toward negative values in order to confirm that the routine does not yield unphysical estimates. Fig. 6 shows the results of the estimation. The top panel shows the distributions of the load delay from measurements through both test networks. The averages and 1σ uncertainties are 40.1 ± 2.4 and 35.3 ± 4.0 ps for networks 1 and 2, respectively. The averages are different by 4.8 ps with an 2 + σ 2 )1/2 = 4.7 ps, which corresponds to uncertainty of (σ N1 N2 a ∼1σ significance. The poorer performance of network 2 can be attributed to its higher measurement scatter. Although the uncertainties are not optimal, the consistency of the estimates serves as verification of the method and its implementation. The definitive estimate is calculated as the weighted average of the two results, which yields 38.8±2.1 ps (1σ uncertainty). Fig. 6(b) shows the distributions of the FoMs associated with the estimates of the load delay. The average FoMs are 0.037 and 0.060 for networks 1 and 2, respectively. In order to gain intuition about these results, a simulation was run in which noise, standards, VNA calibration, and test networks have realistic values. The two advantages of this simulation over the real case are: 1) the model used for the standards during the parameter estimation is correct, in the sense that it is the same as the one used to generate the synthetic data

Fig. 6. (a) Distributions for the offset delay of the 50- load obtained from measurements through both test networks. The weighted average of the two distributions is 38.8 ± 2.1 ps (1σ uncertainty). (b) FoM corresponding to the distributions presented in panel (a). (c) FoM produced by a simulation with characteristics similar to the real case, but with perfect modeling of the standards and VNA. On average, they are lower than those from measurements by 0.024.

and 2) the S-parameters of the networks in direct and reverse mode are identical, which is equivalent to assuming a perfectly linear VNA. The simulated FoMs are presented in the lower panel of Fig. 6. Their most important feature when compared with the FoMs from measurements corresponds to averages, which are lower by 0.024 for both networks. The presence of excess residuals in the real case relative to the simulation is an indication that there are the aspects of the measured setup that have not been modeled perfectly, such as the response of the standards or the performance of the VNA. Due to the excess residuals in the FoMs, the estimate for the load delay reported in this paper for this particular calibration kit can only be regarded as a first-order correction to the value provided by the manufacturer. Nonetheless, it still represents an improvement that helps mitigate inaccuracies in measurements of reflection coefficient and S-parameters. As future work, the D/R method could be improved by incorporating expectations from simulations into the optimization algorithm to help refine the measurement models and minimize systematics. In addition, a broader range of test network topologies could be considered, aiming at selecting that with the highest sensitivity to errors in the parameter estimates. V. V ERIFICATION We use an 8043S15 beadless airline from Maury Microwave (15-cm-long, 3.5-mm connectors) to verify the new estimate

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. MONSALVE et al.: ONE-PORT D/R METHOD FOR CHARACTERIZING VNA CALIBRATION STANDARDS

7

Fig. 7. Setup during measurements of the terminated airline. The black circular block at the end of the airline is a plastic holder for the three calibration standards.

for the load delay. The verification consists of comparing models for the reflection coefficient of the airline with measurements done after calibrating the VNA assuming both the nominal value (0 ps) and the new estimate (38.8 ps) for the load delay. Five measurements are conducted at the reference plane: the open, short, and load calibration standards, and the airline terminated at the open and short standards. The setup during measurements is shown in Fig. 7. The terminated airline impedance is modeled as Z ter + Z char tanh(γ ) (11) Z in = Z char Z ter tanh(γ ) + Z char where  = 14.99 cm is the electrical length of the airline and Z ter is the termination impedance, which in this case is given by (22) and (23). The characteristic impedance and propagation constant of the airline are given by  R + j ωL (12) Z char = G + j ωC γ = (R + j ωL)(G + j ωC) (13) with distributed parameters defined as

1 ωμ0 1 + R= (14) 2σ 2πri 2πro G=0 (15) 2π air (16) C =  ln rroi

ro μ0 2μ0 1 ln + . (17) L = 2L cond + L dielec = 2 ωσ 4πri 2π ri These expressions represent an approximation to the full theory of [7] valid for a large ratio of conductor radius to skin depth. The inductances-per-unit-length L cond and L dielec correspond to the conductor and air dielectric of the airline, respectively. In all these expressions, the fundamental quantities are the angular frequency, ω, the permeability of vacuum μ0 , the permittivity of air, air , and the conductivity of the airline conductor σ . The outer radius of the inner conductor is ri = 0.7595 mm and the inner radius of the outer conductor is ro = 1.7501 mm. The center and outer conductors of the airline are made out of beryllium copper and plated with copper and gold. The plating thicknesses are up to 0.25 and 0.5 μm, respectively. This is

Fig. 8. Verification of the new estimate for the load delay using a 15-cm airline terminated in the (a) open and (b) short standards. Gray line: difference in reflection magnitude between the terminated airline measured after calibrating the reference plane using 0 ps for the load delay, and the model. Black solid line: difference between the measurement calibrated using 38.8 ps and the model. Black dashed line: perfect match to the model. The better agreement between the dashed and solid black lines verifies that using 38.8 ps for the load delay produces more accurate reflection measurements.

significantly lower than the corresponding skin depth, which for copper (gold) is 6.6 (7.9) μm at 100 MHz and 2.1 (2.5) μm at 1 GHz. Thus, the airline conductivity primarily corresponds to that of beryllium copper and is obtained through four-wire measurements of the airline resistivity, resulting in a conductivity of 16.5 ± 1.5% relative to copper. Fig. 8 shows the results of the verification. Fig. 8(a) and (b) corresponds to the airline terminated in the open and short standards, respectively. Both panels show in gray the difference between the reflection measurements calibrated using 0 ps for the load delay, and the model. The solid black lines represent the difference between the measurement using 38.8 ps for the load delay and the model. The dashed black line corresponds to a reference for the case of perfect match to the model. The better agreement between the dashed and solid black lines indicates that a higher measurement accuracy is achieved when calibrating the reference plane using a value of 38.8 ps for the load delay, as estimated with the D/R method. To quantify the improvement, we compute the rms between the magnitude (in linear units) of the measurement calibrated with each delay value, and the model. The results are 12.0 (12.6) × 10−4 using 0 ps and 3.1 (3.8) × 10−4 using 38.8 ps for the airline terminated in the open (short) standard.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

A lower rms for both terminations verify that a load delay of 38.8 ps produces more accurate reflection measurements. Although the measurements do not match the model perfectly, the results of this verification are robust against realistic uncertainties in model parameters, such as the mechanical dimensions and conductivity of the airline. Better match and stronger verification could be achieved at these low frequencies using: 1) a longer airline, to produce more ripples over frequency and 2) conductors without plating, for a direct determination of their conductivity. VI. C ONCLUSION This paper introduced the one-port D/R method for improving the characterization of VNA reflection standards. The method was demonstrated through simulations and used to estimate the offset delay of the 50- load from a Keysight 85033E 3.5-mm calibration kit. For practical reasons, the male and female loads had to be measured during the procedure and it was assumed that both had the same delay. Measurements using two different test networks optimized for different frequencies produced consistent results for the delay, with a weighted average of 38.8 ± 2.1 ps (1σ uncertainty). This result was verified measuring the reflection coefficient of a 15-cm beadless airline terminated in an open and short standard after calibrating the reference plane using 0 and 38.8 ps for the load delay. The measurements calibrated with 38.8 ps agree better with theoretical models for the terminated airline. Future work could involve maximizing the sensitivity of the measurements to parameter errors by selecting the test network from a broad range of topologies. A PPENDIX The reflection coefficient seen at the input of the open, short, and load coaxial VNA standards is modeled as lumped termination elements at the end of transmission line sections, or offsets. This represents an approximation to transmission line theory [7], [8], and has been presented in [5] and [6]. In this model, the reflection coefficient of the standards is given by off (1 − e−2γ  − off ter ) + e−2γ  ter 1 − off [e−2γ  off + ter (1 − e−2γ  )] Z off − 50 Z ter − 50 ter = = Z off + 50 Z ter + 50

= off

(18) (19)

where Z ter Z off  γ

impedance of termination; lossy characteristic impedance of offset; length of offset; propagation constant of offset.

The offsets are described in terms of their one-way loss evaluated at 1 GHz (δ1 GHz ), one-way delay (τ ), and characteristic impedance assuming no loss (Z 0 ). Under the realistic assumption of zero conductance (G = 0) in the distributed parameter model of transmission lines, and after a first-order

approximation, the lossy characteristic impedance and the propagation constant of the offsets can be expressed in terms of the previous quantities as

δ1 GHz f (20) Z off = Z 0 + (1 − j ) 4π f 109

τ δ1 GHz f γ  = j 2π f τ + (1 + j ) (21) 2Z 0 109 where f represents frequency in hertz. The impedance of the terminations of the open and short is given by −j 2π f · Copen = j 2π f · L short

Z ter,open =

(22)

Z ter,short

(23)

with Copen = Cˆ 0 + Cˆ 1 f + Cˆ 2 f 2 + Cˆ 3 f 3 L short = Lˆ 0 + Lˆ 1 f + Lˆ 2 f 2 + Lˆ 3 f 3 .

(24) (25)

The Cˆ and Lˆ quantities are the coefficients of the third-degree frequency-dependent polynomials that model the capacitance and inductance, respectively. The termination impedance of the load is usually assumed to be real and equal to Z 0 , i.e., 50 . However, in this paper it takes the value of its dc resistance measured with a precision ohmmeter, as suggested in [14] and [17]. ACKNOWLEDGMENT The authors would like to thank H. Mani for his assistance with measurements at the ASU Low-frequency Cosmology Laboratory. R EFERENCES [1] K. H. Wong, “Characterization of calibration standards by physical measurements,” in 39th ARFTG Conf. Dig.–Spring, Jun. 1992, pp. 53–62. [2] P. Kirby, L. Dunleavy, and T. Weller, “Load models for CPW and microstrip SOLT standards on GaAs,” in 56th ARFTG Conf. Dig.–Fall, Nov. 2000, pp. 1–11. [3] D. K. Walker, D. F. Williams, and J. M. Morgan, “Planar resistors for probe station calibration,” in 40th ARFTG Conf. Dig.–Fall, Dec. 1992, pp. 1–9. [4] C. P. Eiø, S. J. Protheroe, and N. M. Ridler, “Characterising beadless air lines as reference artefacts for S-parameter measurements at RF and microwave frequencies,” Proc. Inst. Elect. Eng.—Sci., Meas. Technol., vol. 153, no. 6, pp. 229–234, Nov. 2006. [5] D. C. DeGroot, K. L. Reed, and J. A. Jargon, “Equivalent circuit models for coaxial OSLT standards,” in 54th ARFTG Conf. Dig.–Spring, Dec. 2000, pp. 1–13. [6] J. B. Scott, “Investigation of a method to improve VNA calibration in planar dispersive media through adding an asymmetrical reciprocal device,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 9, pp. 3007–3013, Sep. 2005. [7] S. Ramo and J. B. Whinnery, Fields and Waves in Modern Radio, 2nd ed. New York, NY, USA: Wiley, 1953. [8] R. B. Marks and D. F. Williams, “A general waveguide circuit theory,” J. Res. Nat. Inst. Standards Technol., vol. 97, no. 5, pp. 533–562, Oct. 1992. [9] D. Rytting, “Network analyzer error models and calibration methods,” in NIST Short Course Microw. Meas. Instrum., Boulder, CO, USA, Dec. 2003.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. MONSALVE et al.: ONE-PORT D/R METHOD FOR CHARACTERIZING VNA CALIBRATION STANDARDS

[10] A. Ferrero and U. Pisani, “Two-port network analyzer calibration using an unknown ‘thru,”’ IEEE Microw. Guided Wave Lett., vol. 2, no. 12, pp. 505–507, Dec. 1992. [11] A. Ferrero, U. Pisani, and F. Sanpietro, “Save the ‘thru’ in the A.N.A. calibration,” in 40th ARFTG Conf. Dig.–Fall, Dec. 1992, pp. 128–135. [12] Z. Na, Z. G. Hua, C. Ting, and L. Jie, “Study on the unknown thru calibration technique,” in Proc. 31st URSI GASS, Aug. 2014, pp. 1–4. [13] K. Wong, “The ‘unknown thru’ calibration advantage,” in 63rd ARFTG Conf. Dig.–Spring, Jun. 2004, pp. 73–81. [14] D. Blackham and K. Wong, “Latest advances in VNA accuracy enhancements,” Microw. J., vol. 48, no. 7, pp. 78–94, Jul. 2005. [15] T. Jamneala and M. Voo, “Precision calibration coefficients for the reciprocal procedure,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 5, pp. 406–408, May 2007. [16] T. Jamneala, D. A. Feld, D. Blackham, K. H. Wong, and B. Zaini, “Why reciprocal procedure works?” in Proc. RFIC Symp., vol. 1. Jun. 2006, p. 5. [17] N. M. Ridler and N. Nazoa, “Using simple calibration load models to improve accuracy of vector network analyzer measurements,” in Proc. 67th ARFTG Conf., Jun. 2006, pp. 104–110. [18] T. Roberts and J. Martens, “Characterizing calibration standards using one airline as a transfer standard,” in Proc. ARFTG Conf., Jun. 2014, pp. 1–8. [19] J. D. Bowman and A. E. E. Rogers, “A lower limit of z>0.06 for the duration of the reionization epoch,” Nature, vol. 468, pp. 796–798, Dec. 2010. [20] A. E. E. Rogers and J. D. Bowman, “Absolute calibration of a wideband antenna and spectrometer for accurate sky noise temperature measurements,” Radio Sci., vol. 47, no. 6, p. RS0K06, Jul. 2012.

Raul A. Monsalve (M’14) received the B.S. degree in electronics engineering (with a thesis concerning radio-frequency instrumentation) from the University of Concepcion, Concepción, Chile, in 2007, and the Ph.D. degree in physics (with a focus on measuring the polarization of the cosmic microwave background radiation) from the University of Miami, Coral Gables, FL, USA, in 2012. He is currently a Research Associate with Arizona State University, Tempe, AZ, USA, and the University of Colorado Boulder, Boulder, CO, USA, where he is involved in experimental efforts to detect the redshifted 21-cm spectral line emitted by neutral hydrogen in the early universe. Dr. Monsalve is a Member of the American Astronomical Society and the American Physical Society.

9

Alan E. E. Rogers (M’71–LM’07) received the Ph.D. degree in electrical engineering from the Massachusetts Institute of Technology (MIT), Cambridge, MA, USA, in 1967. In 1968, he joined the MIT Haystack Observatory as a Staff Member, where he carried out research in radio and radar interferometry. He aided in the development of very long baseline interferometry for geodesy and astronomy. From 1994 to 2002, he worked within industry, where he was involved in the development of radio location systems for cellular phones. He retired in 2006 to his current position of Research Affiliate with the MIT Haystack Observatory. His current research interests include radio arrays and spectrometers specializing in the detection and measurement of weak radio astronomy signals requiring very long integration times and accurate calibration. Dr. Rogers is a Member of the American Geophysical Union, the American Astronomical Society, and the American Association for the Advancement of Science. Thomas J. Mozdzen (M’78) received the B.S. degree in physics and the M.S. degree in electrical engineering from the University of Illinois at Urbana– Champaign, Champaign, IL, USA, in 1978 and 1980, respectively, and the M.S. degree in physics from the University of Texas at Dallas, Richardson, TX, USA, in 1985. He is currently pursuing the Ph.D. degree in astrophysics with Arizona State University, Tempe, AZ, USA, with a focus on the epoch of reionization detection via the global redshifted 21-cm signal. He joined Mostek Corporation as a Reliability Physics Engineer in 1980. He was a Digital Circuit Design Engineer with the Mostek Corporation, Siemens GmbH, and Intel Corporation until 2008. He holds 17 U.S. patents. Mr. Mozdzen is a Member of the American Physical Society.

Judd D. Bowman (M’14) received the Ph.D. degree in physics from the Massachusetts Institute of Technology, Cambridge, MA, USA, in 2007. In 2010, he joined the School of Earth and Space Exploration, Arizona State University, Tempe, AZ, USA, as a Faculty Member, after completing a Hubble Fellowship with the California Institute of Technology, Pasadena, CA, USA. He is currently an Associate Professor with Arizona State University. He has aided in the design and management of the Murchison Widefield Array and the Hydrogen Epoch of Reionization Array radio telescopes. He is a Principal Investigator of the Experiment to Detect the Global EoR Signal. His current research interests include precision radio astronomy instrumentation, the astrophysics of the early universe, and technologies for formal and informal STEM learning. Prof. Bowman is a Member of the International Union for Radio Science, the American Astronomical Society, and the American Association for the Advancement of Science.

2640

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

Extending the Characterization Bandwidth of Dynamic Nonlinear Transmitters With Application to Digital Predistortion Souheil Bensmida, Member, IEEE, Oualid Hammi, Member, IEEE, Andrew Kwan, Graduate Student Member, IEEE, Mohammad S. Sharawi, Senior Member, IEEE, Kevin A. Morris, Member, IEEE, and Fadhel M. Ghannouchi, Fellow, IEEE

Abstract— This paper reports a new measurement method for wideband radiofrequency power amplifier (PA) characterization and digital predistortion. The proposed measurement procedure significantly relaxes the sampling rate requirement on the analog-to-digital converters of the feedback path. Successful PA linearizations were achieved in the presence of 20, 40, and 60-MHz LTE-A signals using a vector signal analyzer with sampling speeds equal to only 24, 40.96, and 61.44 Ms/s, respectively. Despite these very low sampling rates, a quasiperfect cancellation of the PA distortions was achieved (more than 50 dBc in terms of ACLR), in all tests, over bandwidths including up to fifth-order intermodulation distortions. Such a correction bandwidth is much wider than the observation bandwidths associated with the receiver sampling rates. Index Terms— Digital predistortion (DPD), dynamic distortions, LTE-Advanced, memory effects, power amplifier (PA), vector signal analysis, wideband.

I. I NTRODUCTION ODERN and future wireless communication systems provide high data rate capability. For this reason, transmitter architectures evolved to accommodate complex modulation schemes with high spectral efficiency. These architectures are designed to ensure the transmission of wideband signals in a linear manner. Any nonlinear behavior in the transmitter will distort the transmitted signal and hence corrupt the transmitted data. Radio frequency power amplifiers (RFPAs)

M

Manuscript received July 27, 2015; revised December 5, 2015, February 8, 2016, and June 21, 2016; accepted June 21, 2016. Date of publication July 19, 2016; date of current version August 4, 2016. This work was supported by the University of Bristol and King Fahd University of Petroleum and Minerals (KFUPM). The work of the iRadio Laboratory was supported mainly by Alberta Innovates–Technology Futures (AITF), Canada Research Chair (CRC) Program, and Natural Science and Engineering Council of Canada (NSERC). S. Bensmida and K. A. Morris are with the Communications Systems and Networks Group, University of Bristol, Bristol BS8 1UB, U.K. (e-mail: [email protected]; [email protected]). O. Hammi is with the Department of Electrical Engineering, American University of Sharjah, Sharjah, United Arab Emirates (e-mail: [email protected]). A. Kwan and F. M. Ghannouchi are with the iRadio Laboratory, Department of Electrical and Computer Engineering, Schulich School of Engineering, University of Calgary, Calgary, AB T2N 1N4, Canada (e-mail: [email protected]; [email protected]). M. S. Sharawi is with the Department of Electrical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261, Saudi Arabia (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2585494

are the most nonlinear and power-consuming blocks in a transmitter’s architecture. Therefore, special measures are taken to increase an RFPA linearity and efficiency. Significant research efforts have led to highly efficient RFPA architectures [1]–[7]. These architectures require linearization procedures to ensure compliance with the mandatory and well-regulated linearity requirements of RFPAs. Digital predistortion (DPD) is one of the most used linearization methods for cancelling out RFPAs’ nonlinear behavior [8], [11], [21]. DPD requires the characterization of RFPAs by analyzing their output signal over a certain bandwidth. This bandwidth value is typically five times the input signal bandwidth. Therefore, in order to implement an effective DPD, the feedback path used to demodulate the PA output signal requires a baseband sampling rate several times faster than the actual original baseband signal to be transmitted. For example, in modern wireless communication systems, the required sampling speed of the feedback path receiver is expected to be in the range of 500 Ms/s for 100-MHz-wide LTE-advanced signals. In a research and development laboratory environment, PA distortions are characterized using modulated test signals. This requires the use of an arbitrary waveform generator (AWG) to feed the amplifier with the RF test signal and a vector signal analyzer (VSA) to demodulate the amplifier’s output signal. Only expensive VSAs might be able to offer the measurement capabilities required by LTE and LTE-A signals. Moreover, in several cases, the available instruments cannot meet the sampling rate and dynamic range needed for PA linearization applications. In addition to instrument-based PA characterization and predistortion test benches, a wide range of platforms were reported in the literature as summarized in [12]. Among others, dedicated self-developed PA characterization and DPD platforms using in-house designed signal generators and receivers were reported [13], [14]. In this case also, the sampling rate limitation of the feedback path is a serious challenge that needs to be addressed. Thus, it is essential to come up with experimental procedures that would allow for the extension of the observation bandwidth of vector signal analysis test and measurement equipment in particular, and the feedback path of experimental DPD systems in general. To address this, several signal acquisition techniques have been reported in the literature for various

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

BENSMIDA et al.: EXTENDING CHARACTERIZATION BANDWIDTH OF DYNAMIC NONLINEAR TRANSMITTERS

applications. Subsampling techniques were widely used in the presence of periodic signals with a relatively short time period value [15], as well as complex modulated signals [16]. In the case of modulated signals, the use of undersampling techniques requires spacing between the different carriers in order to avoid spectrum overlap. Accordingly, it was successfully applied to dual band applications [16], though it is not suitable for wideband systems involving contiguous carrier aggregation. The frequency stitching technique proposed by Wisell et al. [17] provides wideband PA characterization by manipulating measurement data in the frequency domain leading to relatively complex signal processing. Other approaches have been proposed to extend the bandwidth of DPD systems [18]–[21]. These include the band-limited Volterra series-based DPD approach in which the PA’s and predistorter’s outputs are filtered to reduce the sampling rate requirements [18]. In this prior work, it was demonstrated that the band-limited Volterra series approach outperforms conventional DPD systems operating at the same sampling rate, though a major limitation is that the correction bandwidth of this technique is equal to its observation bandwidth in the sense that the band-limited DPD is unable to compensate for PA distortions outside the observation bandwidth. Recently, DPD architectures with a correction bandwidth that exceeds the observation bandwidth have been reported. In [19], a spectral extrapolation technique was proposed to extend the correction bandwidth of DPD by means of computationally intensive signal processing algorithms. In [20], an undersampling restoration DPD (USR-DPD) was introduced. The USR-DPD uses an iterative approach to synthesize the predistortion function. Its ability to significantly reduce the required sampling rate in the feedback path was found to be conditional to the presence of an analog bandpass filter in the feedback path. Without such filter, distortion mitigation beyond the observation bandwidth is compromised. Moreover, the USR-DPD requires an iterative process, which might be perceived as a drawback in modern communication systems where fast adaptation is required. Hammi et al. [21] proposed a sequential two-step synthesis of a two-box digital predistorter that considerably reduces the required sampling rate in the feedback path. However, this technique is suitable for a specific type of DPD functions which are built using a two-box structure made of the cascade of a first dynamic predistortion function followed by a memoryless one. Even though these latter results are of great interest to the case of LTE-A PAs, there is a need for other alternative techniques for broadband behavioral modeling and DPD using low-speed (LS) analogto-digital converters (ADCs). In this paper, we propose an original measurement procedure that relaxes the sampling speed requirement for VSAs in order to characterize and linearize RFPAs driven by broadband test signals as required for current and future wireless communication systems. The proposed measurement procedure is inspired from the undersampling and time multiplexing techniques and is based on manipulating the test signals in the time domain to extend the observation and thus the correction bandwidth of the feedback path without increasing the ADCs’ sampling speed. The use of a synthetic signal during

2641

the characterization step enables wideband linearization capability for experimental setups, though it prevents the use of the proposed technique in field-deployed systems where the input signal manipulation needed to build the synthetic test signal is not feasible. The proposed technique is labeled MR-DAD for multiplexing-based reconstruction of delayed, appended, and downsampled waveforms. Experimental results demonstrate the ability of the proposed technique to virtually increase the vector signal analysis bandwidth of instrumentation systems and enable a significant increase in the correction bandwidth of DPD test beds. The remainder of this paper is organized as follows. The concept of the proposed approach and its theoretical background are discussed in Sections II and III, respectively. The experimental validation of the proposed technique is reported in Section IV. The conclusion is presented in Section V. II. T IME M ULTIPLEXING -BASED C HARACTERIZATION A PPROACH A. Overview of the Proposed MR-DAD Technique The main objective of this paper is to develop a characterization technique that can allow for extending the observation bandwidth of VSAs without having to increase their sampling speed. It is worth noting that, herein, VSAs are used to refer without loss of generality to the receiver used in the device under test (DUT) characterization experimental test bed. The proposed MR-DAD technique is implemented to virtually increase the speed of currently available VSAs, thus extending the life cycle of the test and measurement equipment, and reducing the cost of experimental setups. However, the adoption of the proposed technique is conditional to the availability of suitable system architecture, where undersampling-induced aliasing effects are not prevented through the use of band-limiting filters. In a PA characterization context, the testing signal is known and remains constant in terms of its statistics. This results in a stable PA response [17]. Exploiting this characteristic of PAs, the proposed technique is based on generating several copies of the input signal and concatenating them with appropriate delay in order to result in a synthetic test signal. This synthetic test signal has the same characteristics (including statistics and bandwidth) as the original test signal. Thus, it will not have any noticeable impact on the PA’s behavior. The synthetic test signal is built using N (where N is an integer) versions of the original input signal; each of these versions is generated by delaying and wrapping the original input signal waveform. The synthetic baseband waveform is then used to generate the RF signal that will drive the DUT. At the receiver side, the acquisition of the PA output waveform is performed at an LS, which is a function of the number (N) of copies of the original waveform used to build the synthetic test signal. This approach allows for decreasing the sampling rate required at the receiver by a factor of N without compromising its accuracy, as shown in the remainder of the paper. According to the description above, the proposed technique adapts and employs the undersampling concept to the case of

2642

Fig. 1.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

Block diagram of the proposed DPD system.

contiguous spectrums. Undersampling ADCs have been used to reduce the sampling rate requirement in the feedback path of PA characterization and predistortion systems [16], [22]. However, undersampling a signal implies that frequency components from high-order Nyquist zones will be folded back into the first Nyquist zone. The overlap between the frequency components coming from various Nyquist zones compromises the quality of the undersampled signal and introduces errors between the reconstructed high-speed signal and its original version. Thus, the use of undersampling was limited to multiband and widely spaced noncontiguous carriers in which it is possible to avoid the overlap between the aliased frequency components. Conversely, in this paper, digital signal pre- and postprocessing is adopted in order to enable the use of undersampling techniques in signals having contiguous spectrum. The aliasing due to undersampling is recovered through appropriate multiplexing of the LS sampled signal. In fact, multiplexing is commonly used in interleaved ADCs in order to reconstruct a high-speed signal from a plurality of undersampled versions of the same signal. However, it is important to distinguish here between interleaved ADC techniques in which a plurality of ADCs operating at LS is used to sample a single signal waveform with a controlled delay between the ADCs, and the proposed technique in which a single ADC operating at LS is used to sample multiple copies of a signal waveform delayed in such a way that it emulates the acquisition of interleaved ADCs. The advantages of the proposed technique over interleaved ADCs are twofold. First, in order to reduce the sampling rate by a factor N, it requires only a single ADC in contrast to N ADCs required in the case of interleaved ADCs. Moreover, the use of interleaved ADCs requires tedious calibrations as described in [23] and a perfect time alignment in order to precisely reconstruct the high-speed version of the undersampled signal following the multiplexing step. Conversely, in the proposed approach, the signal is preand postprocessed in the digital domain in order to ensure a perfect reconstruction of the high-speed waveform following the multiplexing step. A block diagram of the proposed DPD system is presented in Fig. 1. The original signal (x(n)) is generated by the signal source and then preprocessed by a digital signal processing module to build the corresponding test signal (x syn (n)). The detailed description of the signal preprocessing module functionality is provided below along with the equations relating the signals x(n) and x syn (n). The digital waveform at the

output of the signal preprocessing module is then fed into a vector signal generator (VSG) which will drive the DUT with the corresponding RF analog signal. The signal at the output of the DUT is undersampled by the VSA. Finally, the resulting digital waveform (yLS (n)) at the output of the VSA is properly multiplexed by the signal postprocessing unit in order to obtain the reconstructed signal (yrec (n)), which will be used along with the input signal (x(n)) to derive the DUT’s behavioral model and /or synthesize the DPD function. B. Detailed Description for N = 2 Fig. 2 depicts a graphical illustration of the signals involved in the proposed technique for N = 2. The original waveform is initially sampled at a rate f S . A second copy of the original signal is appended to the first copy of the original waveform after applying a one-sample delay to the second one. Accordingly, sampling the resulting synthetic signal at a rate of f S /2 will result in the acquisition of the samples having originally odd indices from the signal’s first copy and the samples having originally even indices from the signal’s second copy. Thus, all required samples are acquired but not in sequence. To circumvent this issue, a multiplexing of the samples acquired from the first and the second copies of the original signal is performed as illustrated in Fig. 2. At the end of this step, the reconstructed signal built from sampling, at a rate of f S /2, the output signal obtained by applying the synthetic input signal is ideally identical to that obtained by sampling at a rate of f S the PA’s output signal corresponding to the original input signal. Practically, some measurement errors will be present due to the fact that unavoidable noise measurement is obtained when the same signal is acquired several times. The impact of these measurement errors on the performance of the proposed technique is investigated in the next section. It is worth mentioning here that the synthetic test signal does not induce any noticeable timedomain discontinuity. In fact, the signal waveforms commonly used in PA characterization experiments have limited length (typically few milliseconds) and are played repeatedly by the signal generator during the characterization/linearization tests. Thus, these test signals are inherently continuous. In the proposed technique, a one-sample delay is introduced between a segment of the waveform and the next one. As such, a one-sample delay would not introduce any noticeable effect on the signal’s time-domain continuity and thus its bandwidth.

BENSMIDA et al.: EXTENDING CHARACTERIZATION BANDWIDTH OF DYNAMIC NONLINEAR TRANSMITTERS

2643

Fig. 2. Concept of synthetic test signal generation (N = 2). (a) Original input waveform. (b) Synthetic input waveform. (c) Output samples at LS. (d) Final output (after multiplexing).

It is worth noting that the very high degree of similarity between the baseband waveforms of the original and the synthetic signals ensures a quasi-identical behavior of the DUT including its static and dynamic distortions. This is expected to lead to quasiperfect cancellation of the PA distortion when a DPD function will be built using the synthetic test signal rather than the original signal. To better illustrate the proposed technique, let us consider the numerical example of a signal waveform having K = 6 samples. The original waveform sampled at f S is a K × 1 vector given by x = [ x(1)

x(2)

x(3)

x(4)

x(5)

x(6) ].

(1)

For N = 2, the synthetic test signal sampled at f S is an N K × 1 vector xsyn = [ x(1)

···

x(6)

x(2)

···

x(6)

x(1) ].

(2)

Accordingly, the undersampled signal operating at f S /N at the output of the PA (for N = 2) will be a K × 1 vector in which the samples of the signal appear in the following sequence: yLS = [ y(1)

y(3)

y(5)

y(2)

y(4)

y(6) ].

(3)

Postprocessing the undersampled output signal by multiplexing the first three (K /N = 3) samples and the last three samples leads to the reconstructed output signal (K ×1 vector) given by yrec = [ y(1)

y(2)

y(3)

y(4)

y(5)

y(6) ].

(4)

C. Generalization to Arbitrary Value of N The technique described above for the case of N = 2 can be generalized to any value of N provided that the signal length K is a multiple of N as will be shown through (9). Increasing the value of N will result in longer waveforms. However, memory

2644

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

the DUT. The output waveform of the DUT is acquired at a lower sampling rate, f S /N , compared with the sampling rate, f S , of the baseband input waveform. The resulting LS baseband output waveform, yLS , will be ⎤T ⎡ ysyn (1) ⎥ ⎢ ysyn (N + 1) ⎥ ⎢ ⎥ ⎢ y (2N + 1) syn ⎥ ⎢ (8) yLS = ⎢ ⎥ .. ⎥ ⎢ ⎦ ⎣ . ysyn (N(K − 1) + 1) where [ ]T denotes the matrix transpose operator, and ysyn corresponds to the baseband output waveform that would have been obtained if synchronous sampling rate of f S was used in the VSA to sample the output of the DUT driven by the synthetic baseband waveform, x syn . The baseband output waveform, yLS , acquired at an LS is then split into N signals of equal length given by ⎤T   ⎡ K y +1 (n − 1) × ⎢ LS ⎥ N ⎢ ⎥   ⎢ ⎥ K ⎢y +2 ⎥ ⎢ LS (n − 1) × ⎥ N (9) yLS,n = ⎢ ⎥ ⎢ ⎥ .. ⎢ ⎥ ⎢ ⎥ . ⎣ ⎦ K yLS n N

Fig. 3.

Flowchart of the proposed characterization technique.

limitations in the test measurements can be circumvented by reducing the length (K ) of the original waveform to ensure that the length of the synthetic signal can be handled by the VSA. The flowchart of the algorithm proposed for building the synthetic test signal and reconstructing the high-speed output signal from the waveform measured at a lower speed is presented in Fig. 3. First, the value of N is selected. Then, the synthetic waveform is built by appending N copies of the original signal. A one-sample delay is included between each copy of the signal and the preceding copy. The delayed samples are added at the end of the corresponding waveform copy. To better illustrate this key concept, let us consider the original input baseband waveform, x, which is given by x = [ x(1)

x(2)

···

x(l − 1)

x(l)

···

x(K ) ].

(5)

Then, the nth copy of the input signal (for n > 1) will be x n = [ x(n)

x(n +1)

···

x(K )

x(1)

···

x(n −1) ]. (6)

The signals x 1 through x N are then concatenated to build the synthetic input waveform x syn according to x syn = [ x 1

x2

···

x N ].

(7)

Next, the synthetic baseband waveform, x syn , is used to generate the RF test signal to be applied at the input of

where n is an integer varying from 1 to N. Equation (9) implies that the waveform length K is a multiple of N. This can be easily ensured in the experimental setup through appropriate selection of the length of the original baseband waveform x. The signals yLS,k are then interleaved to construct the final version, yrec , of the DUT’s baseband output signal according to ⎡ ⎤T yLS,1 (1) ⎢ yLS,2 (1) ⎥ ⎢ ⎥ ⎢ ⎥ .. ⎢ ⎥ . ⎢ ⎥ ⎢ ⎥ ⎢ yLS,N (1) ⎥ yrec = ⎢ (10) ⎥ . ⎢ yLS,1 (2) ⎥ ⎢ ⎥ ⎢ ⎥ .. ⎢ . ⎥ ⎢ ⎥ ⎣ K ⎦ yLS,N N The baseband output waveform, yrec , is then used along with the original baseband input waveform, x, to perform time-delay alignment and then extract the PA behavioral model and/or DPD function. Table I summarizes the characteristics of the different waveforms involved in the proposed characterization technique. This includes the length in terms of number of samples as well as the sampling rate of each of these signals. According to this table, the value of N will have two direct impacts on the measurements. First, it will reduce the required sampling rate at the receiver from f S to f S /N . Second, it will increase the length, in terms of the number of samples, of

BENSMIDA et al.: EXTENDING CHARACTERIZATION BANDWIDTH OF DYNAMIC NONLINEAR TRANSMITTERS

TABLE I C HARACTERISTICS OF THE WAVEFORMS U SED IN THE C HARACTERIZATION P ROCESS

the baseband waveforms applied at the input of the DUT. In fact, if the original input waveform, x, sampled at a rate f S includes K samples, then the synthetic waveform at the input of the DUT will have N × K samples at a rate of f S . Conversely, the waveform acquired at the output of the DUT will have K samples only since it will be sampled at f S /N . The use of LS ADCs at the receiver side is beneficial in terms of dynamic range capability and cost implementation. In addition to its key advantage resulting from extending the distortion characterization and mitigation bandwidths beyond the receiver observation bandwidth, the proposed method has the advantage of being reconfigurable in terms of required demodulation bandwidth for wideband PA characterization while benefiting from the high dynamic range of LS ADCs. III. T HEORETICAL BACKGROUND AND P ERFORMANCE A NALYSIS In this section, a theoretical comparison between the acquisition of the DUT output using the conventional and the proposed MR-DAD approaches is carried out. In the conventional approach, the K -samples-long baseband output waveform y is acquired at a sampling rate of fs . Conversely, in the proposed MR-DAD approach, the K -sample baseband output waveform yrec , sampled at f s , is obtained by time multiplexing the N fragments, yLS,k , of the baseband output waveform yLS measured at a low sampling rate of f s /N . First, the analysis is performed for N = 2, and then it is generalized to an arbitrary value of N. In this case, by comparing the data acquired using the high-speed and LS approaches, it appears that the samples of odd indices in the reconstructed waveform are identical to those acquired at the same instants with the conventional approach. Conversely, the samples of even indices in the reconstructed and highspeed waveforms are acquired at two different time instants. This is further clarified by (11).

2645

Combining (9) and (10) leads to   ⎧ k+1 k+1 ⎪ ⎪ = yLS , for k odd ⎨ yrec (k) = yLS,1 2  2  k K +k ⎪ ⎪ ⎩ yrec (k) = yLS,2 = yLS , for k even 2 2 (11) where k is an index that varies from 1 to k. In order to assess the accuracy of the proposed characterization technique, it is useful to examine the difference between acquiring the DUT output signal using the conventional approach and acquiring it using the proposed MR-DAD approach. In the conventional approach, the output signal is sampled at f S to obtain the baseband waveform y. Conversely, in the MR-DAD approach, the output is sampled at f S /2 to obtain the baseband waveform yLS . This latter waveform is then digitally processed to build the reconstructed signal yrec . Based on (8) and (11), it appears that odd-order samples of both output waveforms (y and yrec ) are the same, while evenorder samples are acquired at two different instants. Thus, it is expected that even-order samples of the output signals y and yrec will not be perfectly identical. Accordingly  for k odd yrec (k) = ysyn (k) = y(k), yrec (k) = ysyn (K + k − 1) = y(k) + ε(k), for k even (12) where k is an index that varies from 1 to K , and ε(k) represents the measurement error for the kth sample of the reconstructed output signal. The discrete Fourier transform (DFT) of the output waveforms y(k) and yrec (k) is, by definition, given by K 

Y( f ) =

y(k)e− j

2π f K

k

(13)

k=1

and Yrec ( f ) =

K 

yrec (k)e− j

2π f K

k

.

(14)

k=1

Transforming (12) in the frequency domain using (14) gives ⎤ ⎡ K −1 2π f ⎥ ⎢ Yrec ( f ) = ⎣ y(k)e− j K k ⎦ k=1 k odd

⎤ K  2π f ⎥ ⎢ +⎣ [y(k) + ε(k)]e− j K k ⎦. ⎡

(15)

k=2 k even

Equation (15) is rearranged as follows: ⎤ ⎡ ⎤ ⎡ K −1 K 2π f ⎥ 2π f ⎥ ⎢ ⎢  Yrec ( f ) = ⎣ y(k)e− j K k ⎦ + ⎣ y(k)e− j K k ⎦ k=1 k odd





K 2π f ⎥ ⎢  ε(k)e− j K k ⎦. +⎣ k=2 k even

k=2 k even

(16)

2646

Fig. 4.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

DFT of Y N for N = 2, 3, 4, and 5.

Fig. 5.

DFT of the simulated reconstructed signal for N = 2, 3, 4, and 5.

Fig. 6.

DFT of the measured reconstructed signal for N = 2, 3, 4, and 5.

Fig. 7.

DFT of the measured and predicted error signals for N = 5.

The relationship between the DFT of the original and the reconstructed output signals can then be written as ⎤ ⎡ K 2  2π f ⎥ ⎢ (17) ε(2k)e− j K (2k) ⎦. Yrec ( f ) = Y ( f ) + ⎣ k=1

Equation (17) describes the DFT of the reconstructed output baseband waveform with the proposed technique for N = 2. For any value of N, the generalized form of (17) can be written as ⎡ ⎤ K −N+n N 2   2π f ⎢ ⎥ Yrec ( f ) = Y ( f ) + εn (N · m)e− j K (N·m) ⎦ (18) ⎣ n=2

m=1

where εn is the measurement error vector corresponding to the nth segment of the baseband output waveform (yLS,n ). Then, by evaluating the last term of (18), it is possible to predict the effect that the proposed technique will have on the spectrum of the reconstructed signal. This can be done easily due to the fact that the error between the original signal and the reconstructed one is demonstrated to be a simple additive term given by ⎡ ⎤ K −N+n N 2   2π f ⎢ ⎥ εn (N · m)e− j K (N·m) ⎦. (19) Y N ( f ) = ⎣ n=2

m=1

Fig. 4 shows Y N versus frequency for several values of N. In this figure, εn had a normal distribution scaled to represent measurement errors. The impact of the proposed technique can be seen in specific frequency components that depend on the value of N. The reconstructed signal is likely to have, in addition to the original signal frequency components, the same predicted frequency components present in Fig. 4 which are due to the wrapping technique only. To confirm this, wrapping is implemented with a MATLAB simulation for several values of N, and the DFT of the reconstructed signal is calculated. An LTE signal with a bandwidth of 20 MHz is used with the same error distribution εn . Fig. 5 shows the spectrum of the reconstructed signal exhibiting identical frequency components to the ones predicted by (19) in Fig. 4. It is clear then that the effect of the proposed technique on the reconstructed signal characteristics can be predicted and

precisely calculated and is therefore easy to compensate for, if needed. In addition to the simulations, the proposed technique was implemented with a VSG connected directly to a VSA in order to investigate and confirm whether or not the predicted frequency components are present. Fig. 6 shows the spectrum of the reconstructed signal for several values of N and confirms what (19) predicts in terms of errors between the original signal and the reconstructed one. This is further demonstrated in Fig. 7, where the signal error due to the proposed technique is calculated, for N = 5, with (19) as follows: Y N ( f ) = Yrec ( f ) − Y ( f ).

(20)

It is clearly shown in Fig. 6 that the prediction of the effect of the proposed technique is accurately achieved with (19). At this stage, the effect of the wrapping technique has been assessed, formulated by (19), and calculated in the form of a

BENSMIDA et al.: EXTENDING CHARACTERIZATION BANDWIDTH OF DYNAMIC NONLINEAR TRANSMITTERS

2647

signal error in the frequency domain. The reconstructed signal resemblance to the original signal is also assessed in the time domain using the normalized mean-squared error (NMSE) figure of merit. The calculated NMSE values that correspond to the cases where N = 2, 3, 4, and 5 are −57, −60, −61, and −61 dB, respectively. This level of NMSE shows that the effect of the proposed technique can be neglected and not compensated for without any significant impact on the characterization accuracy for both behavioral modeling and DPD applications. IV. P OWER A MPLIFIER L INEARIZATION U SING THE P ROPOSED W RAPPING T ECHNIQUE Two experimental setups were used to validate the proposed wrapping technique. Both setups are conceptually similar to the system block diagram of Fig. 1. In fact, for both cases, the signal source, signal pre- and postprocessing, as well as the PA characterization and DPD synthesis algorithms were implemented in software mainly using MATLAB. The VSG and the VSA used are commercial instruments. During the tests, a trigger signal is sent from the signal generator to initiate the data acquisition at the VSA. K samples of the LS signal, yLS , are acquired by VSA at a sampling rate of f S /N . It is important to recall that the performance of the proposed wrapping technique is conditional to having an anti-aliasing filter with a bandwidth wide enough in order not to filter any frequency component of the signal that will be undersampled. This condition is indispensable for the perfect reconstruction of the signal in the postprocessing step. However, most of the commercial instruments include band-limited IF filters with bandwidths that are commensurate to the sampling rate of the ADC in order to avoid aliasing. In most of the cases, setting the sampling rate of the VSA will automatically select an IF filter which has narrower bandwidth than the sampling frequency entered in order to avoid aliasing. In these instruments, depending on the manufacturer, the ratio between the ADC sampling rate and the anti-aliasing filter bandwidth is equal to a predefined constant. In the first setup used in this paper, the sampling rate of the VSA (model RS-FSQ26 from Rohde and Schwarz) and the bandwidth of its antialiasing filter were locked, in the sense that they cannot be independently controlled. Thus, the first test was performed to evaluate the potential of the proposed concept while performing the undersampling in an artificial way by sampling the VSA input signal at a high speed and then deliberately subsampling the digital signal within the signal processing software. On the other hand, the VSA (model FSW26 signal and spectrum analyzer from Rohde and Schwarz) used in the second set of experiments allows for the independent control of the sampling rate and the anti-aliasing filter bandwidth. In this case, it was possible to assess the performance of the proposed technique in a fully realistic context by setting the bandwidth of the anti-aliasing filter to its widest value while successively reducing the sampling rate to undersample the test signal in the hardware. In the remainder of this section, the detailed description of the two experimental setups, the test conditions, and the results are presented.

Fig. 8. Photograph of the first experimental setup with software-implemented undersampling.

The first test focused on validating the signal decomposition and reconstruction. A photograph of the setup used is depicted in Fig. 8. This setup includes a VSG, SMATE200A from Rhode and Schwarz, followed by a high-output power linear driver, the DUT, and an output attenuator (10 dB) to accommodate the maximum power handling capabilities of the following VSA, model RS-FSQ-26 from Rhode and Schwarz. The PA architecture used in this first test is an inverse class-F PA operating at 900 MHz. A 20-MHz LTE signal was used to characterize this PA using a VSA sampling speed of 120 Ms/s and a filter bandwidth of 160 MHz. This is referred to as the conventional approach in which a high enough sampling rate is adopted at the receiver to ensure the inclusion of all significant adjacent channels and thus optimal DPD performance. This conventional approach is used as a benchmark to evaluate the performances of the proposed MR-DAD technique. For this purpose, several tests were performed using the MR-DAD technique in which N was successively increased from 2 up to 5. Accordingly, in these tests, the sampling frequency of the VSA was reduced from 60 Ms/s for N = 2 to 24 Ms/s for N = 5. As mentioned above, the VSA used in this first test automatically adjusted the anti-aliasing IF filter bandwidths depending on the sampling rate, which compromises the proposed approach. Therefore, to avoid this hardware limitation, the low sampling speeds quoted above were emulated by simply decimating the measurements sampled at 120 Ms/s. In all the cases tested in the first set of experiments, the DPD is implemented using a memory polynomial structure having a memory depth of 3 and a nonlinearity order of 9. Fig. 9 reports the measured spectrum at the output of the linearized DUT using the conventional technique and the proposed technique with N = 5. This figure clearly demonstrates the effectiveness of the proposed approach in perfectly linearizing the DUT even with an observation bandwidth that is as low as 1.2 times the bandwidth of the input signal. This evidently contrasts with common practice where the observation bandwidth is up to five times wider than the input signal bandwidth. Detailed results of the DPD performance

2648

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

Fig. 9. Output signal spectrum of the first PA without DPD (blue dashes), with DPD based on classic measurement method (red dots), and with DPD based on the MR-DAD technique with N = 5 (black solid line). TABLE II I NVERSE C LASS -F PA DPD P ERFORMANCES

as a function of the value of N are presented in Table II. This table shows that the linearization performance is independent of N and that despite a significant decrease in the VSA sampling rate, the performance of the DPD remains similar to that obtained with a high-speed sampling rate. Indeed, the proposed DPD approach maintains almost quasiunchanged adjacent channel leakage ratios of −50.6 dBc and −52.3 dBc in the adjacent and the alternate adjacent channels, respectively. Moreover, an EVM of less than 1% is maintained. Assessing the success of the DPD synthesized with the proposed technique is the ultimate way to prove that the nonlinearities’ extraction, including memory effects, is accurate. Furthermore, the successful PA linearization obtained with the MR-DAD technique here is achieved without any compensation of the signal error that can be predicted by (19). This means that the used measurement setup (VSG and VSA) is capable of performing vector signal acquisition with

Fig. 10. Photograph of the second experimental setup with hardwareimplemented undersampling.

a reliable level of repeatability, which corroborates our assumption according to which the signal error associated with the proposed acquisition technique can be neglected. Moreover, the successful implementation of the DPD using the proposed approach clearly demonstrates the ability of the signal pre- and postprocessing algorithms in compensating for the aliasing due to the undersampling process and reveals its effectiveness when signals having contiguous spectrum are handled. The second set of measurements was performed using a different hardware setup, which provides more flexibility in the control of the anti-aliasing filter bandwidth. The VSG used is an Agilent 81180A AWG connected to an Agilent E8267D for frequency up-conversion, and the VSA was a Rhode and Schwarz FSW26 signal and spectrum analyzer. The VSA option used is R&S FSW-B320, which provides up to 320 MHz analysis bandwidth. In this VSA, it is possible to maintain the bandwidth of the anti-aliasing filter to its widest value while decreasing the sampling rate at which the ADCs will operate. This allows for the implementation of the undersampling process within the hardware in contrast to the previous set of test where the undersampling was emulated in the signal processing software. A photograph of this setup is reported in Fig. 10. The DUT used in this second test is a 300-W Doherty architecture based on laterally diffused MOSFET devices. The test signal is an LTE-advanced signal composed of two contiguous 20-MHz LTE signals centered at 2.14 GHz. To allow for a fine tuning of the sampling frequency during the test, the sampling frequency of the original signal was intentionally set to 368.64 Ms/s. In these experiments, the sampling frequency at the VSA was set to (368.64/N) Ms/s, and N varied from 2 up to 9. For each value of the VSA sampling rate, two DPDs were derived. The first was synthesized using the conventional approach where the input signal is the original one which does not include any appended delayed replicas. The second DPD was constructed using the proposed MR-DAD technique in which the undersampling was implemented within the VSA hardware. In both approaches, the DPD function was

BENSMIDA et al.: EXTENDING CHARACTERIZATION BANDWIDTH OF DYNAMIC NONLINEAR TRANSMITTERS

2649

Fig. 11. ACLR at the output of the second PA as a function of the feedback ADC sampling rate measured with 40-MHz LTE-A input signal. (a) Conventional DPD. (b) Proposed MR-DAD DPD.

Fig. 12.

Measured spectra at the output of the linearized PA as a function of the ADC sampling rate. (a) Conventional DPD. (b) Proposed DPD.

a memory polynomial having a nonlinearity order of 10 and a memory depth of 6. The measurement results are summarized in Fig. 11, which presents the measured ACLR in the lower and upper adjacent and alternate adjacent channels. From this figure, it appears that the conventional approach requires a minimum sampling rate of 92.16 Ms/s to meet the ACLR threshold of the LTE standard. However, as expected from the previous results, the MR-DAD-based DPD technique maintains the distortion compensation even when a rate of 40.96 Ms/s is used to characterize the DUT driven by the 40-MHz LTE-A signal and synthesize its predistorter. Fig. 12 depicts the measured spectra at the output of the DUT as a function of the VSA sampling rate when the predistorter is built using each of the two approaches described above. Fig. 12(a) illustrates the performance degradation as a function

of the sampling rate in the case of the conventional approach. Conversely, Fig. 12(b) demonstrates the robustness of the proposed approach when the sampling rate is decreased. The second setup was also used to linearize the DUT when driven by a three-carrier LTE-A signal having a total bandwidth of 60 MHz. The first DPD was built using the conventional approach in which the output signal was sampled at 368.64 Ms/s. The second DPD was constructed with the proposed method while sampling the output signal at only 61.44 Ms/s, which corresponds to N = 6. The spectra measured at the output of the linearized amplifier are reported in Fig. 13. This further confirms the performance of the proposed DPD and its ability to lead to same linearity as the conventional one while requiring significantly less sampling speed in the feedback path.

2650

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

Fig. 13. Measured spectra at the output of the second DUT driven by a 60-MHz LTE-A signal.

V. C ONCLUSION In this paper, a novel characterization technique suitable for dynamic nonlinear PAs driven by wideband test signals was proposed. This technique uses a synthetic test signal along with a low-complexity digital signal processing algorithm to reduce the sampling rate required at the receiver in order to accurately model the DUT. Experimental validation was carried out using two PA prototypes driven by 20, 40, and 60 MHz wide LTE test signals. In all cases, it was shown that the proposed technique requires a sampling rate that is considerably lower than that of conventional DPD systems. Even though the use of the synthetic test signal makes this technique unsuitable for online use in field-deployed systems, its advantages in a laboratory environment are highly competitive as it virtually extends the correction bandwidth of receivers by up to five times. R EFERENCES [1] P. Wright, J. Lees, J. Benedikt, P. J. Tasker, and S. C. Cripps, “A methodology for realizing high efficiency class-J in a linear and broadband PA,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 12, pp. 3196–3204, Dec. 2009. [2] P. J. Tasker, V. Carrubba, P. Wright, J. Lees, J. Benedikt, and S. Cripps, “Wideband PA design: The ‘continuous’ mode of operation,” in Proc. IEEE Compound Semiconductor Integr. Circuit Symp. (CSICS), Oct. 2012, pp. 1–4. [3] K. Mimis, K. A. Morris, S. Bensmida, and J. P. McGeehan, “Multichannel and wideband power amplifier design methodology for 4G communication systems based on hybrid class-J operation,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 8, pp. 2562–2570, Aug. 2012. [4] M. N. A. Abadi, H. Golestaneh, H. Sarbishaei, and S. Boumaiza, “An extended bandwidth Doherty power amplifier using a novel output combiner,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2014, pp. 1–4. [5] J. Lee, J. Son, and B. Kim, “Optimised Doherty power amplifier with auxiliary peaking cell,” Electron. Lett., vol. 50, no. 18, pp. 1299–1301, Aug. 2014. [6] T. M. Hone et al., “Inverse active load-pull in an inverse Doherty amplifier,” in Proc. IEEE Topical Conf. Power Amplif. Wireless Radio Appl. (PAWR), Jan. 2013, pp. 4–6. [7] A. M. M. Mohamed, S. Boumaiza, and R. R. Mansour, “Electronically tunable Doherty power amplifier for multi-mode multi-band base stations,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 61, no. 4, pp. 1229–1240, Apr. 2014.

[8] S. Bensmida et al., “Overlapped segment piece-wise polynomial predistortion for the linearisation of power amplifiers in the presence of high PAPR OFDM signals,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2012, pp. 1–3. [9] O. Hammi, S. Bensmida, and K. Morris, “Behavioral modeling of class-J amplifier driven by 100 MHz LTE-advanced signal using dynamic nonlinearity reduction,” in Proc. IEEE Topical Meeting Power Modeling Wireless Radio Appl. (PAWR), Jan. 2014, pp. 67–69. [10] L. Ding et al., “A robust digital baseband predistorter constructed using memory polynomials,” IEEE Trans. Commun., vol. 52, no. 1, pp. 159–165, Jan. 2004. [11] S. Afsardoost, T. Eriksson, and C. Fager, “Digital predistortion using a vector-switched model,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 4, pp. 1166–1174, Apr. 2012. [12] L. Guan and A. Zhu, “Green communications,” IEEE Microw. Mag., vol. 15, no. 7, pp. 84–99, Nov. 2014. [13] H.-J. Wu et al., “A wideband digital pre-distortion platform with 100 MHz instantaneous bandwidth for LTE-Advanced applications,” in Proc. IEEE Workshop Integr. Nonlinear Microw. Millim.-Wave Circuits (INMMIC), Dublin, Ireland, Sep. 2012, pp. 1–3. [14] A. Kwan, O. Hammi, M. Helaoui, and F. M. Ghannouchi, “High performance wideband digital predistortion platform for 3G+ applications with better than 55 dBc over 40 MHz bandwidth,” in IEEE MTT-S Int. Microw. Symp. Dig., Anaheim, CA, USA, May 2010, pp. 1082–1085. [15] H. Choi, A. V. Gomes, and A. Chatterjee, “Signal acquisition of high-speed periodic signals using incoherent sub-sampling and backend signal reconstruction algorithms,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 19, no. 7, pp. 1125–1135, Jul. 2011. [16] S. A. Bassam, A. Kwan, W. Chen, M. Helaoui, and F. M. Ghannouchi, “Subsampling feedback loop applicable to concurrent dual-band linearization architecture,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 6, pp. 1990–1999, Jun. 2012. [17] D. Wisell, D. Rönnow, and P. Händel, “A technique to extend the bandwidth of an RF power amplifier test bed,” IEEE Trans. Instrum. Meas., vol. 56, no. 4, pp. 1488–1494, Aug. 2007. [18] C. Yu, L. Guan, E. Zhu, and A. Zhu, “Band-limited Volterra series-based digital predistortion for wideband RF power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 12, pp. 4198–4208, Dec. 2012. [19] Y. Ma, Y. Yamao, Y. Akaiwa, and K. Ishibashi, “Wideband digital predistortion using spectral extrapolation of band-limited feedback signal,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 61, no. 7, pp. 2088–2097, Jul. 2014. [20] Y. Liu, J. J. Yan, H. T. Dabag, and P. M. Asbeck, “Novel technique for wideband digital predistortion of power amplifiers with an undersampling ADC,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 11, pp. 2604–2617, Nov. 2014. [21] O. Hammi, A. Kwan, S. Bensmida, K. A. Morris, and F. M. Ghannouchi, “A digital predistortion system with extended correction bandwidth with application to LTE-A nonlinear power amplifiers,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 61, no. 12, pp. 3487–3495, Dec. 2014. [22] P. Cruz and N. B. Carvalho, “Modeling band-pass sampling receivers nonlinear behavior in different Nyquist zones,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2010, pp. 1684–1687. [23] M. El-Chammas and B. Murmann, Background Calibration of TimeInterleaved Data Converters (Analog Circuits and Signal Process.). New York, NY, USA: Springer, doi: 10.1007/978-1-4614-1511-4, 2012.

Souheil Bensmida (M’07) received the M.Sc. degree in electronics and instrumentation from the University of Pierre and Marie Curie Paris 6, Paris, France, in 2000, and the Ph.D. degree in electronics and communications from the Ecole Nationale Supérieure des Télécommunications, Paris, France, in 2005. He was a Post-Doctoral Fellow with the iRadio Laboratory, University of Calgary, Calgary, AB, Canada, from 2006 to 2008. He is currently a Lecturer of electrical and electronic engineering with the University of Bristol, Bristol, U.K. His current research interests include nonlinear characterization and linearization of power amplifiers for mobile and satellite applications and microwave instrumentation.

BENSMIDA et al.: EXTENDING CHARACTERIZATION BANDWIDTH OF DYNAMIC NONLINEAR TRANSMITTERS

Oualid Hammi (S’03–M’09) received the B.Eng. degree in electrical engineering from the École Nationale d’Ingénieurs de Tunis, Tunis, Tunisia, in 2001, the M.Sc. degree in electrical engineering from the École Polytechnique de Montréal, Montréal, QC, Canada, in 2004, and the Ph.D. degree in electrical engineering from the University of Calgary, Calgary, AB, Canada, in 2008. He was a Post-Doctoral Fellow with the Intelligent RF Radio Laboratory, Schulich School of Engineering, University of Calgary, from 2009 to 2010. From 2010 to 2015, he was a Faculty Member with the Department of Electrical Engineering, King Fahd University of Petroleum and Minerals, Dhahran, Saudi Arabia. He is currently an Associate Professor with the Department of Electrical Engineering, American University of Sharjah, Sharjah, United Arab Emirates. He is also an Adjunct Professor with the Electrical and Computer Engineering Department, University of Calgary. He has authored or co-authored 1 book, over 100 publications, and 11 U.S. patents (including five pending patents). His current research interests include the design of energy efficient linear transmitters for wireless communication systems and the characterization, behavioral modeling, and linearization of radiofrequency power amplifiers and transmitters. Dr. Hammi is a Reviewer for several IEEE T RANSACTIONS.

2651

Kevin A. Morris (S’02–M’03) received the B.Eng. and Ph.D. degrees in electronics and communications engineering from the University of Bristol, Bristol, U.K., in 1995 and 1999, respectively. He is currently a Reader in Radio Frequency Engineering with the Department of Electrical and Electronic Engineering, University of Bristol. He is involved in a number of research programs within the U.K. He has authored or co-authored 60 academic papers and is the joint author of 5 patents. His current research interests include looking at methods of reducing power consumption in communications systems, including the area of radio frequency hardware design with specific interest in the design of efficient linear broadband power amplifiers for use within future communications systems.

Andrew Kwan (S’07–GSM’07) received the B.Sc. degree in computer engineering, the M.Sc. degree in electrical engineering, and the Ph.D. degree in electrical engineering from the University of Calgary, Calgary, AB, Canada, in 2006, 2009, and 2016, respectively. His current research interests include signal processing for wireless communications systems, power efficiency enhancement for RF transceivers, embedded systems, and software defined radios.

Mohammad S. Sharawi (S’98–M’06–SM’10) received the Ph.D. degree in RF systems engineering from Oakland University, Oakland, MI, USA, in 2006. He was a Hardware Design Engineer with Silicon Graphics Inc., Fremont, CA, USA, from 2002 to 2003. He was a Faculty Member with the Computer Engineering Department, German Jordanian University, Amman, Jordan, and Philadelphia University, Amman, Jordan, from 2006 to 2008. He was a Research Scientist with the Applied Electromagnetics and Wireless Laboratory, Oakland University, from 2008 to 2009 and in 2013. He was a Visiting Research Professor with the iRadio Laboratory, University of Calgary, Calgary, AB, Canada, for six months from 2014 to 2015. He is currently a Professor of Electrical Engineering with the King Fahd University of Petroleum and Minerals (KFUPM), Dhahran, Saudi Arabia. He is the Founder and Director of the Antennas and Microwave Structure Design Laboratory. He authored Printed MIMO Antenna Engineering (Artech House, 2014). He has authored/ co-authored six book chapters in RF systems and antenna design, and over 150 refereed international journal and conference paper publications mostly with the IEEE. He holds 8 issued and 14 pending patents from the USPTO. His current research interests include printed multiple-inputmultiple-output antenna systems, miniaturized printed antennas and antenna arrays, active integrated antennas, reconfigurable antennas, microwave circuits and electronics, millimeter-wave antennas and antenna arrays, and applied electromagnetics. Dr. Sharawi is an IET Fellow. He was a recipient of the prestigious Excellence in Scientific Research Award from KFUPM from 2014 to 2015. He has served on the technical and organizational committees of several international IEEE conferences, especially EuCAP, APS, APWC, APCAP, and ICCE.

Fadhel M. Ghannouchi (S’84–M’88–SM’93–F’07) is currently a Professor and an AITF/CRC Chair with the Electrical and Computer Engineering Department, Schulich School of Engineering, University of Calgary, Calgary, AB, Canada, and the Director of the Intelligent RF Radio Laboratory. His research activities have led to over 600 publications and 15 U.S. patents (five pending patents) and 3 books. His current research interests include microwave instrumentation and measurements, nonlinear modeling of microwave devices and communications systems, design of power and spectrum efficient microwave amplification systems, and design of intelligent RF transceivers for wireless and satellite communications.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Optimization and Design of Small Circular Coils in a Magnetically Coupled Wireless Power Transfer System in the Megahertz Frequency Do-Hyeon Kim, Student Member, IEEE, Jinwook Kim, Member, IEEE, and Young-Jin Park, Member, IEEE Abstract— The methods of optimizing and designing compact circular coils for a higher quality factor ( Q-factor) and effective wireless power transfer (WPT) for an application of a WPT system in the megahertz frequency range to implantable medical devices are presented. For an optimal design, the ohmic resistance and inductance of the coils, including skin and proximity effects, are calculated by applying the volume filament model (VFM). In a calculation using the VFM, a circular coil is regarded as a concentric multiloop coil, and then, the resistance and inductance of the coil are derived by calculating the voltages of each loop obtained from the VFM. According to the results, the Q-factors of the coils are derived and analyzed. In addition, compact Rx and Tx coils for higher power transfer efficiency are properly designed at 6.78 MHz. For verification, theoretical calculation and analysis results are compared with the simulation and previous results. Coils properly designed are fabricated, and their resistance, inductance, Q-factor, and efficiency are measured. Measurement results have good agreement with the calculation. The fabricated system is compared with the previous results designed at a lower frequency from the viewpoint of size and efficiency. Index Terms— Circular coil, implantable medical device, inductance, magnetic coupling, ohmic resistance, optimization, volume filament model (VFM), wireless power transfer (WPT).

I. I NTRODUCTION

W

IRELESS power transfer (WPT) using magnetic coupling has been of interest in medical applications, as it is a convenient way to supply power to small implantable medical devices, such as pacemakers, retinal implants, and neurostimulators [1]–[10]. For the applications, the design of a compact coil is very important for a compact and effective WPT system. Until now, most WPT systems for implantable devices have been designed in the megahertz frequency range [1]–[7], especially ISM frequency bands, such as 6.78 and 13.56 MHz, as a compact coil of a high quality factor (Q-factor) in the frequency range can be designed. However, the proper design of a coil of a higher Q-factor is not easy, as the resistance of the coil is significantly affected and the inductance of the coil is slightly changed by the skin and proximity effects in the Manuscript received November 10, 2015; revised May 6, 2016; accepted June 4, 2016. D.-H. Kim and Y.-J. Park are with the Korea Electrotechnology Research Institute, University of Science and Technology, Ansan 15588, South Korea (e-mail: [email protected]; [email protected]). J. Kim is with 3M, Suwon 18449, South Korea (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2582874

megahertz frequency range [20]. Therefore, it is necessary that the skin and proximity effects caused by the eddy current in each metal wire and neighboring wires should be considered for the proper design of compact coils. In particular, the proximity effect can also be made by the reverse current of a return path on the opposite side when a coil is small. Until now, several optimization methods for coil design have been introduced [8]–[10]. In [8], multilayer helix coils are optimized for a high Q-factor. Coils were fabricated by Litz wire, and an operating frequency of 700 kHz was used. The optimization procedures of the printed coils are proposed in [9]. In [10], a design method of both the printed spiral coils and the wire-wound coils is presented. In the optimization methods of [9] and [10], the proximity effect is not considered. Therefore, previous optimization or design methods are not suitable for the compact WPT systems in the megahertz frequency range. There are several resistance calculation methods [12]–[14]. The proximity factor of parallel straight wires is derived in [12]. In [13], the proximity effect between unequally spaced straight wires is analyzed, and a closed formula for the resistance calculation of the multiloop circular coils, such as planar spiral coils and helix coils, is provided. An analysis of straight wires of the tubular cross sections is reported in [14]. However, in [12] and [13], the effect of coil curvature on the proximity factor is not considered in calculating the resistance of the coil. The volume filament model (VFM) is widely used to calculate the resistance and inductance of a conductor in a quasi-static state [15]–[18]. By dividing a finite conductor into many filament wires, the skin and proximity effects can be reflected precisely in a calculation. However, few references reported on the calculation methods and the analysis results of the circular or polygon coils usually used in the WPT systems. In this paper, the ohmic resistance and inductance of a circular planar multiloop coil are exactly calculated by applying the VFM. In the calculation, the effects of the finite thickness of the wire, as well as the skin and proximity effects are considered. In the case of a small coil, the proximity effects between neighboring wires with the same current direction, as well as neighboring wires with a reverse current direction at the opposite position are considered. By using the calculation methods, maximum Q-factors of small multiloop coils are calculated and evaluated at the operating frequency of 6.78 MHz. Furthermore, the optimization method of the small multiloop circular coils is proposed

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 1.

(a) Schematic and (b) equivalent circuit of a WPT system.

for a compact and effective WPT system at 6.78 MHz. For verification, compact Tx and Rx coils are designed and fabricated at 6.78 MHz for the application of a compact WPT system to implantable medical devices. The proposed calculation and optimization methods are verified with a simulation based on the finite-element method (FEM) and measurements. This paper is organized as follows. Section II explains the power transfer efficiency of a WPT system with the equivalent circuit. In Section III, the calculation method of coil impedance is suggested. The impedance and proximity effect of a small coil are examined in Section IV. The optimization method is proposed in Section V, and the verification and measurement results are shown in Section VI. II. P OWER T RANSFER E FFICIENCY OF A WPT S YSTEM Fig. 1(a) and (b) shows the schematic and equivalent circuit of a WPT system, respectively. In general, a WPT system consists of Tx coils, Rx coils, and matching networks. The Rx and Tx coils are circular coils, such as circular spiral coils. RTx and RRx represent the ohmic resistances of a Tx coil and an Rx coil, respectively. L Tx and L Rx are the selfinductances of the coils, respectively. M denotes the mutual inductance between the coils. Maximum achievable power transfer efficiency from the Tx coil to the Rx coil, ηmax , is given as [6], [19] ηmax =

α2 √ (1 + 1 + α 2 )2

where

 α=

(1)

(ωM)2 . RTx RRx

As seen in (1), the ohmic resistances of the Tx and Rx coils and the mutual inductance determine the maximum efficiency of a WPT system. Therefore, the resistance calculation is important to design and optimize the coils.

Fig. 2. Illustration of a concentric multiloop coil. (a) Cross section of the coil. (b) Cross section of the k th loop with rectangular discretization. (c) Two circular filamentary loops out of the rectangular discretization.

III. C ALCULATION OF C OIL R ESISTANCE AND I NDUCTANCE The procedure of calculating resistance and inductance using the VFM is comprised of three steps: discretization of the coil, construction of an equivalent circuit, and derivation of the coil resistance and inductance. A. Discretization of a Circular Multiloop Coil For the analysis, a multiloop circular coil, such as a spiral coil, is equivalently replaced with a concentric circular multiloop coil, as shown in Fig. 2 [21]. Fig. 2(a) shows the cross section of a coil of N loops, where rout and rin are the outer and inner radii of the coil, respectively, p is the pitch between loops, and N is the turn number. As well, Ik,m (k, m = 1, . . . , N and k = m) represents the total current in the k th and m th loops. In a magnetic coupled WPT system using a circular multiloop coil, the coil can be analyzed in a quasi-static state and the current phase is invariant along the loop path. Moreover, the current distribution is constant according to the loop path [22]. Fig. 2(b) shows the circular cross section of the k th loop with an identical square discretization to apply the VFM to the coil. As shown, each loop of the coil is divided into n smaller circular loops with a square cross section of the width and height d, called filament loops. For a uniform current distribution in each filament loop, d is set to be smaller than 0.5δ, where δ is the skin depth [17]. As well, r0 is the radius of each loop, and the black point is the center of each filament loop. The filament loops with black points in squares are considered in the calculation. In addition, to reduce the calculation time, the filamentary loops of the marked area

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KIM et al.: DESIGN OF SMALL CIRCULAR COILS IN MAGNETICALLY COUPLED WPT SYSTEM

Fig. 3. Equivalent circuit for the resistance and inductance calculations of a circular multiloop coil.

3

loop, respectively. Capacitances between branches are neglected for a simple calculation. All circular filamentary loops are coupled inductively, and they are replaced with mutual inductances between branches. For example, Mkf_k2 is the mutual inductance between the f th branch and the second branch at the k th loop. The mutual inductance between the k th and m th loops is represented with M k_m (equivalent branches of the m th loop are not shown in Fig. 3). These mutual inductances induce currents at every branch. The induced currents make the current distribution in a loop nonuniform and crowded. This phenomenon is the cause of the skin and proximity effects in loops. The mutual inductances between parallel-connected branches produce the skin effect in each loop. Similarly, the proximity effect is caused by the mutual inductances between unconnected branches. From the equivalent circuit in Fig. 3, the following matrix is given by applying Kirchhoff’s law as: V T = XI T

in the middle in Fig. 2(b) will not be considered in the calculation, because the electrical current in the marked area is nearly zero and has little effect on the resistance calculation, as most currents tend to crowd toward the surface of the loop. In the calculation, the distance of an effective calculation depth from the surface of a loop to the outer circle of the marked area, S D , is used, as shown in Fig. 2(b). The total number of filament loops in the analysis n T is given as nT = N × n where

(2)

   π r02 − S 2D ∼ n = round d2

where n is the number of discretized cells per loop and the round (·) is the nearest integer of (·). It can be said that as a depth S D becomes shorter, n T and the calculation time are decreased. However, the accuracy of the calculation will be lower with a shorter S D . Therefore, with a proper distance of S D , the calculation can be done fast and exactly. Fig. 2(c) shows two circular filamentary loops cmg and ckf , where cmg is the g th filamentary loop of the m th loop and ckf is the f th filamentary loop of the k th loop. In addition, smg and skf are the radii of cmg and ckf , respectively, and Tmg_kf is the distance from center to center of the two filamentary loops. B. Construction of an Equivalent Circuit In Fig. 3, an equivalent circuit for the resistance and inductance calculations of a concentric circular multiloop coil is presented. As shown, the f th filamentary loop in the k th loop is equivalent to a branch of resistance rkf and inductance L kf in a series ( f = 1, . . . , n). In addition, n filamentary loops of the k th loop are represented with n branches of resistance and inductance in parallel. Ik and Vk are the total current and voltage at the k th loop, while i kf and vkf are the current and voltage at the equivalent branch of the f th filamentary

(3)

where VT = [ V1 IT = [ I1

··· ···

Vk Ik

··· ···

V N ]T IN ]

T

(4) (5)

I k = [i k1 · · · i kf · · · i kn ] and V k = [vk1 · · · vkf · · · vkn ] (6) ⎤ ⎡ jωM 1k ··· jωM 1N R1 + jωL1 · · · .. .. .. ⎥ ⎢ .. ⎥ ⎢ . . ··· . . ⎥ ⎢ T ⎥ jωM · · · R + jωL · · · jωM X=⎢ k k kN ⎥ 1k ⎢ ⎥ ⎢ . .. .. .. . .. .. ⎦ ⎣ . . . T T jωM 1N ··· jωM kN · · · R N + jωL N (7) ⎡ ⎤ rk1 0 ··· 0 ··· 0 ⎢ 0 rk2 · · · 0 · · · 0 ⎥ ⎢ ⎥ ⎢ .. .. .. .. .. ⎥ .. ⎢ . ⎥ . . . . . ⎥ (8) Rk = ⎢ ⎢ 0 ⎥ 0 0 0 0 r kf ⎢ ⎥ ⎢ . .. .. .. .. ⎥ .. ⎣ .. . . . . . ⎦ 0 0 · · · 0 · · · rkn ⎡ ⎤ L k1 Mk1_k2 · · · Mk1_kf · · · Mk1_kn ⎢ Mk2_k1 L k2 · · · Mk2_kf · · · Mk2_kn ⎥ ⎢ ⎥ ⎢ .. .. .. .. .. ⎥ .. ⎢ . ⎥ . . . . . ⎥ Lk = ⎢ ⎢ Mkf_k1 Mkf_k2 · · · ⎥ L · · · M kf kf_kn ⎥ ⎢ ⎢ .. .. .. .. . . .. .. ⎥ ⎣ . ⎦ . . . L kn Mkn_k1 Mkn_k2 · · · Mkn_kf · · · (9) ⎡ ⎤ Mk1_m1 Mk1_m2 · · · Mk1_mf · · · Mk1_mn ⎢ Mk2_m1 Mk2_m2 · · · Mk2_mf · · · Mk2_mn ⎥ ⎢ ⎥ ⎢ .. .. .. .. .. ⎥ .. ⎢ . ⎥ . . . . . ⎥ M km = ⎢ ⎢ Mkf_m1 Mkf_m2 · · · Mkf_mf · · · Mkf_mn ⎥ ⎢ ⎥ ⎢ .. .. .. .. .. ⎥ .. ⎣ . . . . . . ⎦ Mkn_m1 Mkn_m2 · · · Mkn_mf · · · Mkn_mn (10) with k, m = 1, 2, . . . , N and k = m.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Here, ω is the angular frequency and X is the impedance matrix of the equivalent circuit in Fig. 3. Mkf_mg describes the mutual inductance of the f th circular filamentary loops in the k th loop and the g th circular filamentary loops in the m th loop, and [·]T is the transpose matrix of [·]. By assuming that the current distribution in each filamentary loop is uniform, the resistance of the f th filamentary loop (ckf ) of the k th loop, rkf , follows as 2πskf σ d2

rkf =

(11)

where σ is the conductivity. As the area of the square cross section of ckf is so small, the inductance of the filamentary loop (ckf ) can be computed by [11] 

8skf − 1.75 (12) L kf = μ0 skf ln (d/2) where μ0 is the permeability in a vacuum. The mutual inductance between ckf and cmg is given by √ Mkf_mg = μ0 skf smg



  2 2 − 2 K (κ) − E(κ) κ κ

(13)

(18) Ik = Fk X −1 V T = 1 ⎤ ⎡ ⎤−1 −1 T −1 T −1 T F1 X F1 · · · F 1 X F k · · · F1 X FN V1 ⎢ .. ⎥ ⎢ ⎥ .. .. .. .. .. ⎢ . ⎥ ⎢ ⎥ . . . . . ⎢ ⎥ ⎢ ⎥ ⎢ Vk ⎥ = ⎢F k X −1 F T · · · Fk X −1 FT · · · F k X −1 FT ⎥ 1 N⎥ ⎢ ⎥ ⎢ k ⎢ . ⎥ ⎢ ⎥ .. .. .. .. .. ⎣ .. ⎦ ⎣ ⎦ . . . . . −1 T −1 T −1 T VN FN X F1 · · · F N X Fk · · · FN X F N ⎡ ⎤ I1 ⎢ .. ⎥ ⎢ . ⎥ ⎢ ⎥ ⎥ (19) ×⎢ ⎢ Ik ⎥ . ⎢ . ⎥ ⎣ .. ⎦ IN ⎡

The total voltage across the coil VT is calculated by VT =

N 

Vk .

(20)

k=1

When a current of 1 A is flowing through a coil, the voltage across the coil is identical to the coil impedance. As a result, the total resistance and the total inductance of the coil are given by Im(VT ) (21) ω where Re(·) and Im(·) are the real and imaginary of the complex (·), respectively. R = Re(VT ) L =

where  κ=

By combining (3), (14), and (15)



2 skf

4skf smg  2 2 + smg + Tkf_mg

where K (κ) and E(κ) are the complete elliptic integrals of the first and second kind, respectively [8].

C. Derivation of Resistance and Inductance As the net current at a multiloop is identical at each loop, it is assumed for the calculation that I1 = I2 = · · · = Ik = · · · = I N = 1 A

(14)

where Ik =

n  f =1

In addition, V T can be expressed as (15)

where   Fk = 1Tn δ 1k 1Tn δ 2k · · · 1Tn δ pk · · · 1Tn δ Nk  1, for p = k δpk = 0, otherwise where 1n is the n × 1 vector of all the ones.

In the calculation, the multiloop coils of r0 = 0.3 mm and rout = 10 mm are considered for application to implantable medical devices by referring to [8] and [9]. The copper conductivity σ = 5.8 × 107 (S/m) and an operating frequency of 6.78 MHz are used. In addition, the radiation losses are not included in calculating the resistances. The number of turns and the pitches of the coils are varied. The calculation results are compared with the simulation based on the FEM, as well as on the previous results in [8] and [12]. A. Determination of Depth S D

i kf .

V T = F T1 V1 + FT2 V2 + · · · + F Tk Vk · · · + FTN VN

IV. C ALCULATION OF R ESISTANCE AND I NDUCTANCE OF S MALL C IRCULAR C OILS

(16) (17)

First, the optimal depth S D should be determined carefully for a fast computation and precise calculation. To find the optimal value at a calculation frequency, the resistance and the inductance of a coil are calculated according to S D , which is represented as the ratio of skin depth (δ). In the calculation, a coil of N = 4 is considered. The width and the height of a square cross section of each discretized filament loop d are 0.5δ and an effective calculation depth S D is varied from 0.5 to 4δ. The calculation is done using MATLAB, and a computer of six cores with a 3.5-GHz clock, and a 64 GB of RAM is used. Fig. 4 shows the normalized coil resistance and inductance according to p/2r0 . The calculation is in good agreement with the simulation. There is a minor difference in the inductance.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KIM et al.: DESIGN OF SMALL CIRCULAR COILS IN MAGNETICALLY COUPLED WPT SYSTEM

Fig. 4.

5

Normalized resistance and inductance according to S D .

TABLE I C OMPUTING T IME (nT ) AND E RROR R ATE A CCORDING TO S D

It should be noted that the resistance is minimized when S D is ∼1.5δ, which means the optimal thickness of a tubular conductor is ∼1.5δ for the lowest loss. It corresponds with the previous results in [14]. It shows that the error rates of the calculated inductance and resistance become 0% with 3δ ≤ S D . Table I shows the calculation time n T according to S D . From Fig. 4 and Table I, S D = 3δ is determined for a fast and precise calculation. B. Calculation of Resistance and Inductance According to N and p Fig. 5(a) shows the inductances calculated by the proposed method and simulated using Ansys Maxwell 2-D, denoted as FEM, respectively. In the simulation, the solution frequency is 6.78 MHz and the size of the boundary is 4000×8000 mm2 . For a 2-D analysis, the geometry mode of the cylindrical about z-axis is chosen. Moreover, the magnetic eddy current mode is selected for the calculation of ac resistance and inductance. In addition, the results are compared with the previous results obtained using [8, eq. (5)]. It can be observed that the calculation is consistent with the simulation. However, they differ from the previous results. Especially, the difference increases with more turn numbers and smaller pitches, because the effects of the finite thickness of each loop and the nonuniform current distribution caused by the skin and proximity effects are not considered in the previous calculation. In Fig. 5(b), the calculated and simulated FEM resistances of the coils with different p/2r0 are displayed according to the number of turns N. The result [12] is the resistance calculated by using the previous method in [12]. In addition, two calculated results of Calculation 1 and Calculation 2 are displayed. Calculation 1 is the resistance calculated using the proposed method, while Calculation 2 is calculated by including only the effect of the finite thickness of the coils, which is not considered in [12]. In Calculation 2, the effect of

Fig. 5. (a) Calculation and simulation (FEM) of the inductance. (b) Resistance of the coils according to N and p. References [8] and [12] refer to the previous methods in [8] and [12], respectively. (c) Proximity effect of the circular multiloop coil.

parallel wires with a reverse current on the proximity effect is not included. In Calculation 2 and [12], first, the proximity factors (G p ) are derived in the case of straight wires, and then, the resistance per meter Rm is obtained as follows [12]: Rm = Rskin (1 + G p ) where Rskin =

1 2πr0



ωμ0 ( /m). 2σ

(22)

(23)

Therefore, the total resistances of the coils are calculated by multiplying Rm by the total length of each coil.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE II D ESIGN S PECIFICATIONS

Fig. 5(b) shows that the calculation result (Calculation 1) using the proposed method coincides well with the simulation based on the FEM. Compared with the previous method in [12] and the result of Calculation 2, the result of Calculation 1 is higher. In particular, as the number of turns increases with a lower p/2r0 and as the inner loops become smaller, the difference is significant. That is, it shows that the effects of the finite thickness and reverse current at the opposite position should be considered for the exact design of a compact small circular coil. In Fig. 6(a) and (b), the current distributions of each wire for the cases of a small four-loop coil and four parallel straight wires with p = 1.2 mm and r0 = 0.3 mm are shown, respectively. In the calculation, a 1-A current is applied to the coil and each wire. The current distributions of the circular cross section of each loop and each straight wire for the two cases are shown in Fig. 6(a), respectively. The calculated and simulated current densities of each loop and straight wire, which are normalized by the maximum value along the surface are shown in Fig. 6(b), respectively. The calculation is consistent with the simulation. From Fig. 6(a) and (b), it can be observed that the current distributions of the circular coil differ from those of the parallel straight wires. The reason is that the proximity effect by the reverse current at the opposite side on the current distributions of the coil is given for the case of the small circular coil. Therefore, it should be noted that the finite thickness of each wire and the proximity effects by the same current, as well as the reverse current at the same loop, are considered to design a compact circular coil for an effective and compact WPT system. V. O PTIMIZATION OF C IRCULAR M ULTILOOP C OILS FOR A C OMPACT WPT S YSTEM From (1), the power transfer efficiency between the Tx and Rx coils is determined by the ohmic resistances of the Tx and Rx coils and the mutual inductance between the Tx and Rx coils with an operating frequency. As explained, the ohmic resistance of a multiloop coil is dependent on the dimensions of the coil, such as the loop thickness (2r0 ), pitch ( p), number of turns (N), and outer radius (rout ) of the coil. The mutual inductance is determined by the dimensions of the coils and the distance between the Tx and Rx coils. Therefore, the relation between the efficiency and the specifications of the coils is complex. In the proposed method, the operating frequency, the outer radii of the Tx and Rx coils, the wire thickness, and the target distance between the Tx and Rx coils are given for the optimization. By referring to [8] and [9], the outer radii of the Tx and Rx coils and the target distance are chosen. The specifications of the coils given for optimization are summarized in Table II.

Fig. 6. (a) Illustration of the current distributions at the cross section of a small four-loop coil and a set of four parallel straight wires. (b) Normalized current densities on the surface of each metal loop and wire.

The procedure of coil optimization for the maximum power transfer efficiency is as follows. First, the Q-factors (Q = ωL/R) of the Tx and Rx coils according to the pitches

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KIM et al.: DESIGN OF SMALL CIRCULAR COILS IN MAGNETICALLY COUPLED WPT SYSTEM

7

TABLE III PARAMETERS OF THE Tx AND Rx C OILS FOR Qmax

Fig. 7.

Calculated normalized Q-factors of (a) Tx and (b) Rx coils.

and number of turns are derived with the conditions in Table II using the proposed calculation methods, respectively. With the calculation results of the Rx and Tx coils, the ranges of the coil dimensions of higher Q-factors are selected. Second, the power transfer efficiency for the range of dimensions for higher Q-factors is calculated. Finally, the optimal dimensions of the coils for the maximum power transfer efficiency ηmax are decided. A. Q-Factor Calculation of the Tx and Rx Coils Fig. 7(a) and (b) shows the normalized Q-factors (Q n ) of the Tx and Rx coils according to the number of turns and pitches of the Tx and Rx coils, respectively. NTx and NRx are the number of turns of the Tx and Rx coils, respectively. The pitches of the Tx and Rx coils are denoted as pt and pr , respectively. The maximum Q-factors of the Tx and Rx coils Q max are 348 and 134, respectively. The maximum Q n is displayed as a small black point in Fig. 7. The null area means that the physical dimensions for coil fabrication are impossible. The dotted line in Fig. 7 is the boundary of Q n ≥ 0.95. The coil specifications for the maximum Q-factors of the Tx and Rx coils are summarized in Table III.

Fig. 8. Calculated resistances and inductances of (a) Tx and (b) Rx coils according to the number (when pt = pr = 1.2 mm).

Fig. 8(a) and (b) shows the total ohmic resistances and inductances of the Tx and Rx coils according to the turn number with pt = pr = 1.2 mm, where the Q-factors of the Tx and Rx coils are the maximum, respectively. The square-marked line denotes the resistances and inductances of the coils, as calculated by the proposed method, while the triangle-marked dotted line denotes those of the coils by the previous method in [12]. The difference between the proposed method and the previous method is increasing due to the proximity effect of the reverse current for the circular coils as NTx and NRx increase, as shown in Fig. 5. It can also be observed that the resistance increases linearly due to the proximity effect, while the inductances are growing slowly as NTx and NRx increase. As a result, the Q-factor is maximized at a specific turn number. Fig. 9(a) and (b) shows the total ohmic resistances and inductances of the Tx and Rx coils according to the pitches for NTx = 13 and NRx = 4, where the Q-factors of the Tx and Rx coils are the maximum, respectively. The square-marked line denotes the resistance of the coils calculated by the proposed method, while the triangle-marked dotted line denotes the calculated resistance by the previous method in [12]. It is

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

Fig. 9. Calculated resistances and inductances of (a) Tx and (b) Rx coils according to the pitches pt and pr , respectively (with NTx = 13 and NRx = 4).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 11. Calculated ηmax with different pitches of the Tx and Rx coils, pt and pr according to the number of turns of the Tx and Rx coils. (a) pt = 1.2 mm and pr = 1.2 mm. (b) pt = 1.2 mm and pr = 1.5 mm. (c) pt = 1.5 mm and pr = 1.2 mm. (d) pt = 1.5 mm and pr = 1.5 mm.

in Figs. 8 and 9. The square-marked line denotes the Q-factors of the coils derived by the proposed method, while the triangle-marked dotted line denotes the Q-factors derived by the previous method in [12]. It can be clearly shown that the Q-factors of the Tx and Rx coils derived by the proposed methods are maximum at NTx = 13 and NRx = 4, while those by the previous method are maximum at NTx = 19 and NRx = 6, respectively. In addition, the Q-factors by the proposed method are lower than those by the previous method, as the total resistances by considering the proximity effect using the proposed method are higher than those by the previous method, as shown in Fig. 8. It should be pointed out that by using the proposed method, a proper coil of the highest Q-factor with a specification given can be designed. B. Optimal Design of Small Coils for Maximum Power Transfer Efficiency

Fig. 10. Q-factors of the (a) Tx and (b) Rx coils according to the turn number (when pt = pr = 1.2 mm).

shown that with pt = pr < 1.2 mm ( pt /2r0 = pr /2r0 < 2), the resistances are becoming higher, that is, the proximity effect has a serious influence on the resistance. Fig. 10(a) and (b) shows the calculated Q-factors of the Tx and Rx coils according to the turn number, with pt = pr = 1.2 mm, respectively. The Q-factors are derived using the calculated resistances and inductances

The resistances of the Tx and Rx coils for the maximum achievable WPT efficiency are obtained in Section IV and by using (13), the mutual inductance between the two coils is obtained. In addition, the efficiency is directly related to the Q-factors of the Tx and Rx coils, so that the coil specifications for the maximum Q-factors in Table III are used to determine the Tx and Rx coils for the highest power transfer efficiency under the conditions in Table II. In Fig. 11, the calculated maximum achievable power transfer efficiencies are displayed according to NTx and NRx with different pitches of the Tx and Rx coils, pt and pr . The null area in Fig. 11(b) and (d) mean that the Rx coils cannot be fabricated for the number of turns. It can be shown that the highest efficiency is 88% for pt = 1.5 mm and pr = 1.2 mm

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KIM et al.: DESIGN OF SMALL CIRCULAR COILS IN MAGNETICALLY COUPLED WPT SYSTEM

9

Fig. 12. Fabricated Rx coil according to (a) turn number with pr /2r0 = 2 and (b) pitch with NRx = 4.

with NTx = 15, although the highest efficiencies for the four cases are slightly different. In Fig. 11(a), the efficiencies for pt = pr = 1.2 mm are shown. The efficiency between the Tx and Rx coils of the maximum Q-factors is 0.5% lower than the best efficiency. The reason is that when NTx = 13 and pt = 1.2 mm are changed into NTx = 15 and pt = 1.5 mm with NRx = 4 and pt = 1.2 mm, the resistance RTx decreases from 1.149 to 1.045 , and the mutual inductance is simultaneously varied from 0.236 to 0.266 μH. It should be pointed out that NRx = 4, while NTx is varied from 15 to 17 for the highest efficiencies, and the best efficiency for all numbers of the Tx coils exists. It can be noted that the optimized dimension of an Rx coil is the same as that of an Rx coil with the maximum Q-factor. In addition, the efficiency in all the cases for 5 ≤ NRx and 17 ≤ NTx at NRx = 4 decreases because of the increase in the resistance by the proximity effect, as explained previously in the Q-factor calculation. From Fig. 11, a Tx coil with NTx = 15 and pt = 1.5 mm and an Rx coil with NRx = 4 and pr = 1.2 mm are chosen for the highest efficiency. As shown in Fig. 11(c), the optimized dimensions of the Tx and Rx coils for efficiency exist within the range of Q n ≥ 0.95 in Fig. 7.

Fig. 13. Calculated and measured inductance and resistance of the fabricated Rx coils according to (a) turn number and (b) pitch with NRx = 4.

VI. FABRICATION AND M EASUREMENT A. Fabrication of a Small Rx Coil and Measurement For the verification of the calculation method and theoretical analysis, several Rx coils are fabricated by a solid wire with r0 = 0.3 mm. All the fabricated coils have the outer radii of 10 mm. Fig. 12 shows the photograph of the fabricated Rx coils. Fig. 13(a) shows the measured and calculated resistance and inductance of the coils at 6.78 MHz for the turn number NRx with pr = 1.2 mm ( pr /2r0 = 2). In Fig. 13(b), the measured and calculated resistance and inductance of the Rx coils according to pitch pr ( pr /2r0 ) are displayed for NRx = 4. The inductances and the Q-factors of the coils are measured using a vector network analyzer (Agilent 4395A). For the Q-factor of an Rx coil, lumped capacitors are loaded to the coil in a series for resonance at 6.78 MHz. The resistances of the Rx coils are extracted using the measured Q-factor and inductance. Fig 13 shows that the measured inductance

Fig. 14. Q-factors of the fabricated coils according to (a) NRx and (b) pr /2r0.

coincides well with the calculated one, while the measured resistance is slightly higher than that of the calculation due to the summation of the equivalent series resistance (ESR) of the loaded capacitors. In Fig. 14(a), the measured and calculated Q-factors of the Rx coils according to NRx with pr = 1.2 mm ( pr /2r0 = 2)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 16. Calculated and measured mutual inductance between the Tx and Rx coils according to D. Fig. 15.

Efficiency measurement setup.

TABLE IV S PECIFICATIONS AND PARAMETERS OF THE Tx AND Rx C OILS FOR THE H IGHEST E FFICIENCY

Fig. 17.

Equivalent circuit of the WPT including matching networks. TABLE V S PECIFICATIONS OF THE M ATCHING N ETWORKS

are displayed. The results show that the proper turn number for the maximum Q-factor is NRx = 4. In addition, compared with the calculation, the measurement is slightly lower because of the ESR loss, as shown in Fig. 13(a). Fig 14(b) shows the calculated and measured factors of the Rx coils for the pr /2r0 with NRx = 4. It is shown that the calculated and measured Q-factors in the measurement and calculation at pr /2r0 = 2 are maximum. It can be found that the difference between the calculation and measurement increases as the pitch increases, because the resistance of the coils decreases with a higher pitch, as shown in Fig. 13(b), and the small ESR loss of the capacitor load is not negligible. B. Efficiency and Mutual Inductance Measurement of the Fabricated WPT System The measurement setup of a compact and effective WPT system using the Tx and Rx coils optimized by the proposed method is shown in Fig. 15. The measured electric parameters and specifications of the Tx and Rx coils for the highest efficiency are summarized in Table IV. The fabricated Rx coils are displayed in Fig. 12. When the Tx and Rx coils are coaxially aligned, the mutual inductance is measured at 6.78 MHz by a vector network analyzer. The calculated and measured mutual inductances according to the distance (D) between the Tx and Rx coils are shown in Fig. 16. The mutual inductance is calculated using (12). The calculation coincides well with the measurement. The power transfer efficiencies between the two coils are obtained by measuring |S21 |2 using a vector network analyzer. The impedance matching networks for the Tx and Rx coils are used according to D for the impedance matching of a 50- port impedance. Fig. 17 shows the equivalent circuit of the WPT including matching networks. The capacitor of 67 pF is connected to the Tx coil in a series for resonance

at 6.78 MHz. Coupling coils and parallel–series (PS) capacitors are used for impedance matching at Tx and Rx units, respectively [23], [24]. Z in is the input impedance at the input port. Z Tx and Z Rx denote the reflected impedance looking into the output port from the Tx and Rx coils, respectively. The optimum condition of Z Rx = RRx (1 + α 2 )1/2 can be satisfied using the PS capacitors of C P and C S . To reduce the reflection loss at the input port, Z in should be close to the input port impedance. As Z in varies according to M S , impedance matching at the Tx unit can be done by the coupling coils. In addition, according to D, several coupling coils are designed to obtain the proper M S . The specifications of the matching networks are listed in Table V. In Fig. 18, the measured and calculated power transfer efficiencies of the designed WPT system are shown, where ηCal1 and ηCal2 are the calculated efficiencies obtained using the resistance and mutual inductance derived from the proposed method and the measured results in Table IV and Fig. 16, respectively. The measured efficiency is 2.8% lower than ηCal1 , while ηCal2 is 0.9% lower than Cal 1 at D = 1 cm. The measured efficiency is 4.7% lower than Cal 1 at D = 3 cm, while ηCal2 is 3% lower than ηCal1 . The reason is the increase in the measured resistance because of the summation of the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KIM et al.: DESIGN OF SMALL CIRCULAR COILS IN MAGNETICALLY COUPLED WPT SYSTEM

Fig. 18.

Comparison of the power transfer efficiencies. TABLE VI

S PECIFICATION OF O PTIMIZED C OILS IN T HIS PAPER AND [8]

ESR of the loaded capacitors. In addition, the measurement is lower than ηCal2 because of the losses in capacitors and coupling coil used for impedance matching. Finally, the optimized WPT system is compared with the previous result in [8]. The distance between the Tx and Rx coils is 2 cm (D = 2 cm). As shown in Table VI, the maximum power transfer efficiency of the proposed WPT system is 2% higher, and the sizes of the Tx and Rx coils, such as turn numbers and thicknesses, are significantly reduced. VII. C ONCLUSION In this paper, a method of calculating the ohmic resistance and inductance of a small multiloop coil is proposed for the optimal design of a compact effective WPT system in the megahertz frequency. For the calculation of the resistance and inductance of a coil, a VFM with the constant current of a coil is proposed, and the skin and proximity effects are analyzed to design a small multiloop coil. The calculation procedure is explained in detail. By using the calculation, a method of designing a coil of a maximum Q-factor and an optimization method for a compact effective WPT system design are presented. The analysis results show that for smaller circular coils, the ohmic resistance of the coils increases as the pitches and inner loops of the coils become smaller. Compared with the previous result in a lower frequency, the WPT system can be more compact, and the power transfer efficiency becomes higher. The proposed calculation and optimization method can be well applied to the design of a compact coil with the highest Q-factor for the applications of wireless charging systems, such as mobile, tablet, and wearable devices. R EFERENCES [1] M. Ghovanloo and S. Atluri, “A wide-band power-efficient inductive wireless link for implantable microelectronic devices using multiple carriers,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 54, no. 10, pp. 2211–2221, Oct. 2007.

11

[2] K. M. Silay, C. Dehollain, and M. Declercq, “A closed-loop remote powering link for wireless cortical implants,” IEEE Sensors J., vol. 13, no. 9, pp. 3226–3235, Sep. 2013. [3] X. Zhang, S. L. Ho, and W. N. Fu, “A hybrid optimal design strategy of wireless magnetic-resonant charger for deep brain stimulation devices,” IEEE Trans. Magn., vol. 49, no. 5, pp. 2145–2148, May 2013. [4] Y. Y. Ko, S. L. Ho, W. N. Fu, and X. Zhang, “A novel hybrid resonator for wireless power delivery in bio-implantable devices,” IEEE Trans. Magn., vol. 48, no. 11, pp. 4518–4521, Nov. 2012. [5] A. K. RamRakhyani and G. Lazzi, “On the design of efficient multicoil telemetry system for biomedical implants,” IEEE Trans. Biomed. Circuits Syst., vol. 7, no. 1, pp. 11–23, Feb. 2013. [6] M. Zargham and P. G. Gulak, “Maximum achievable efficiency in nearfield coupled power-transfer systems,” IEEE Trans. Biomed. Circuits Syst., vol. 6, no. 3, pp. 228–245, Jun. 2012. [7] R.-F. Xue, K.-W. Cheng, and M. Je, “High-efficiency wireless power transfer for biomedical implants by optimal resonant load transformation,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 60, no. 4, pp. 867–874, Apr. 2013. [8] A. K. RamRakhyani, S. Mirabbasi, and M. Chiao, “Design and optimization of resonance-based efficient wireless power delivery systems for biomedical implants,” IEEE Trans. Biomed. Circuits Syst., vol. 5, no. 1, pp. 48–63, Feb. 2011. [9] U.-M. Jow and M. Ghovanloo, “Design and optimization of printed spiral coils for efficient transcutaneous inductive power transmission,” IEEE Trans. Biomed. Circuits Syst., vol. 1, no. 3, pp. 193–202, Sep. 2007. [10] M. Kiani, U.-M. Jow, and M. Ghovanloo, “Design and optimization of a 3-coil inductive link for efficient wireless power transmission,” IEEE Trans. Biomed. Circuits Syst., vol. 5, no. 6, pp. 579–591, Dec. 2011. [11] J. D. Jackson, Classical Electrodynamics, 3rd ed. New York, NY, USA: Wiley, 1925, pp. 233–234. [12] G. Smith, “The proximity effect in systems of parallel conductors and electrically small multiturn loop antennas,” Dept. Div. Eng. Appl. Phys., Harvard Univ., Cambridge, MA, USA, Tech. Rep. 624, 1971. [13] J. Kim and Y. J. Park, “Approximate closed-form formula for calculating ohmic resistance in coils of parallel round wires with unequal pitches,” IEEE Trans. Ind. Electron., vol. 62, no. 6, pp. 3482–3489, Jun. 2015. [14] Z. Pantic and S. Lukic, “Computationally-efficient, generalized expressions for the proximity-effect in multi-layer, multi-turn tubular coils for wireless power transfer systems,” IEEE Trans. Magn., vol. 49, no. 11, pp. 5404–5416, Nov. 2013. [15] P. Silvester, “Modal network theory of skin effect in flat conductors,” Proc. IEEE, vol. 54, no. 9, pp. 1147–1151, Sep. 1966. [16] S. Mei and Y. I. Ismail, “Modeling skin and proximity effects with reduced realizable RL circuits,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 12, no. 4, pp. 437–447, Apr. 2004. [17] P. Qijun, M. Weiming, Z. Zhihua, M. Jin, Z. Lei, and T. Jian, “PEEL modeling and reduction studies based on nonuniform mesh and Arnoldi algorithm,” in Proc. Asia–Pacific Symp. Electromagn. Compat. (APEMC), 2008, pp. 714–717. [18] M. Kamon, F. Wang, and J. White, “Generating nearly optimally compact models from Krylov-subspace based reduced-order models,” IEEE Trans. Circuits Syst. II, Analog Digit. Signal Process., vol. 47, no. 4, pp. 239–248, Apr. 2000. [19] C.-J. Chen, T.-H. Chu, C.-L. Lin, and Z.-C. Jou, “A study of loosely coupled coils for wireless power transfer,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 57, no. 7, pp. 536–540, Jul. 2010. [20] C. Wang et al., “A wideband predictive ‘double-π ’ equivalent-circuit model for on-chip spiral inductors,” IEEE Trans. Electron. Devices, vol. 56, no. 4, pp. 609–619, Apr. 2009. [21] C. M. Zierhofer and E. S. Hochmair, “Geometric approach for coupling enhancement of magnetically coupled coils,” IEEE Trans. Biomed. Eng., vol. 43, no. 7, pp. 708–714, Jul. 1996. [22] J. R. Clem and K. K. Berggren, “Geometry-dependent critical currents in superconducting nanocircuits,” Phys. Rev. B, Condens. Matter, vol. 84, Nov. 2011, Art. no. 174510. [23] J. Kim, D.-H. Kim, and Y.-J. Park, “Analysis of capacitive impedance matching networks for simultaneous wireless power transfer to multiple devices,” IEEE Trans. Ind. Electron., vol. 62, no. 5, pp. 2807–2813, May 2015. [24] D.-H. Kim, J. Kim, and Y.-J. Park, “Free-positioning wireless power transfer using multiple coupling coils in a transmitter,” in Proc. IEEE-APS Topical Conf. Antennas Propag. Wireless Commun., Sep. 2015, pp. 1381–1384.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Do-Hyeon Kim (S’13) received the B.S. degree in electronic engineering from Yonsei University, Seoul, South Korea, in 2009. He is currently pursuing the Ph.D. degree at the Department of Power Electrical Equipment Information and Communications Engineering, University of Science and Technology, Ansan, South Korea. His current research interests include electromagnetic theory and wireless power transfer.

Jinwook Kim (S’11–M’14) received the B.S. degree in electronics engineering from Ajou University, Suwon, South Korea, in 2009, and the Ph.D. degree in power electrical equipment information and communications engineering from the University of Science and Technology, Ansan, South Korea, in 2014. From September 2014 to December 2014, he was with the Korea Electrotechnology Research Center (KERI), Korea, as a Postdoctoral Researcher. He was a Senior Researcher with KERI in 2015. He is currently a Product Development Engineer with 3M, Suwon, South Korea. His current research interests include electromagnetic theory and wireless power transfer. Dr. Kim was the recipient of the Best Paper Award from IEEE MTT-S IMWS-IWPT 2011.

Young-Jin Park (M’03) received the B.S. degree from Chung-Ang University, Seoul, South Korea, in 1997, the M.S. degree in electrical engineering from the Korea Advanced Institute of Science and Technology, Daejeon, South Korea, in 1999, and the Dr.Ing. (Ph.D.) degree in electrical engineering and information technology from the Karlsruhe Institute of Technology, Karlsruhe, Germany, in 2002. He was a Research Associate with the Institut fuer Hochfrequenztechnik und Elektronik, Karlsruhe, Germany, in 2002. Since 2002, he has been with the Korea Electrotechnology Research Institute, where he is currently a Principal Researcher and the Director of the Converged Medical Device Research Center. Since 2005, he has been an Adjunct Professor with the University of Science and Technology, Ansan, South Korea. His current research interests include high resolution impulse radio based-UWB sensors (UWB RTLS, GPR, and TDR), wireless power transfer based on magnetic resonance and microwave, and millimeter-wave antennas and propagation for automotive radar. Dr. Park was the recipient of Best Paper Awards at IEEE MTT-S IMWSIWPT 2011 and the 11th Paper Competition on Electromagnetic Wave and Broadcasting by the KIEE.

2664

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

A Compact 60-GHz Wireless Power Transfer System Med Nariman, Farid Shirinfar, Anna Papió Toda, Sudhakar Pamarti, Ahmadreza Rofougaran, Fellow, IEEE, and Franco De Flaviis, Fellow, IEEE Abstract— The first reported full-system 60-GHz wireless power transfer (WPT) solution that can power batteryless and charge coil-free compact WPT devices is presented. The system is fabricated in a 40-nm digital CMOS process and an inexpensive packaging material. In the rectenna (RX), a grid antenna is integrated with a complementary cross-coupled oscillator-like rectifier. At a 4-cm spacing from the transmitter (TX), the RX harvests energy at a rate of 1.22 mW with a 32.8% efficiency, which is significantly higher than the prior state of the art. A novel theoretical analysis of the rectifier operation is presented that formulates all key specifications. The TX is equipped with a quad-core PA that produces a saturated output power ( Psat ) of 24.6 dBm, which is the highest power delivery in digital CMOS at millimeter-wave bands. The TX peak power-added efficiency is 9.4%. In the TX, a 4 × 8-way differential power combining and a binary-tree architecture are implemented. The designed 2 × 2 grid array antenna helps the TX produce 35.3-dBm peak equivalent isotropically radiated power. The results of the performance characterizations of the full-system and all individually fabricated blocks are reported. The quadcore PA supports power control and beam steering. A four-port TX antenna is designed that shows a 70° steering range in simulations. Index Terms— Antenna arrays, CMOS, couplers, distributed amplifiers, energy harvesting, millimeter-waves, mm-wave silicon RFICs, NFC, NMOS, rectennas, RFID, sensors, wireless power transfer.

I. I NTRODUCTION HE cost, size, weight, and range-bound lifetime of batteries and the magnetic charging mechanism to provide energy to compact everyday rechargeable devices encumber different applications. The Internet of things (IoT) creates a new paradigm for the demand for energy by adding computational power to a plethora of ordinary objects. Potential

T

Manuscript received December 30, 2015; revised April 16, 2016 and May 20, 2016; accepted June 6, 2016. Date of publication July 13, 2016; date of current version August 4, 2016. M. Nariman is with the Qualcomm Corporation, San Diego, CA 92121 USA (e-mail: [email protected]). F. Shirinfar and S. Pamarti are with the Electrical Engineering (EE) Department, University of California at Los Angeles, Los Angeles, CA 90095-1594 USA (e-mail: [email protected]; [email protected]). A. Papió Toda was with the Electrical Engineering and Computer Science (EECS) Department, University of California at Irvine, Irvine, CA 926972625 USA. She is now with the Broadcom Corporation, Irvine, CA 92617 USA (e-mail: [email protected]). A. Rofougaran is with the Broadcom Corporation, Irvine, CA 92617 USA (e-mail: [email protected]). F. De Flaviis is with the Department of Electrical Engineering and Computer Science, University of California at Irvine, Irvine, CA 92697-2625 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2582168

applications are vast and vary in terms of maturity from sensors tracking energy usage to smart wearables and many more futuristic applications. Millimeter-wave (mm-wave) wireless power transfer (WPT) systems can offer practical solutions to power batteryless and charge coil-free small everyday objects, of the order of a few centimeters in length and width. The miniature sized 60-GHz wireless charging mechanism presented in this paper can be employed by game consuls, everyday wearable objects like hearing aid devices and rechargeable watches, solar remote controls, automobile keyless equipment, memory backup power cells, and practically any compact device that can be placed on a charging pad at its time of rest. Other applications for this 60-GHz WPT technology could be to supply energy to data streaming compact IoT devices, smart charge cards, business cards and IDs, smart posters, compact sensor fusion networks, and wireless flash memories. The data transfer in these cases can be done at 60-GHz frequency or any other band that is preferred by the host communication systems that adopt this wireless energy transfer solution. WPT systems have been traditionally implemented either at 125 kHz (LF band) or 13.56 MHz (HF band) using inductive or resonant couplers [1]–[3]. More recently, 900 MHz (UHF band) has hosted WPT systems to support RFID applications that utilize antennas in lieu of couplers and benefit from higher levels of integration [4]–[6]. The 60-GHz ISM band can be home to the WPT systems for IoT applications by offering the most inexpensive integrated solutions without having coexistence issues [7]. The use of mm-wave frequency bands for power harvesting has been suggested in recent years [8]–[11], but this paper is the first reported implementation of a fullsystem WPT system in mm-wave bands, which comprises the implementation of a high-power transmitter (TX) and high efficiency rectenna (RX), as well as the design and integration of RX and TX grid antennas, to produce milliwatt-scale dc output power and peak power conversion efficiency (PCE) rates in excess of 30%. These figures are significantly higher than those of the prior state of the art. The peak PCEs reported by [8]–[11] are, respectively, 2% (RX version), 8%, 7%, and 1.2%. In [8] and [11], multistage Dickson multipliers are used as rectifiers and in [9] and [10], multistage inductorpeaked rectifiers are presented. However, none of these works achieve a dc output power level larger than 0.25 mW. To achieve a significantly higher dc output power level and PCE rate a complementary cross-coupled rectifier, which was proposed in [12, p. 74, Fig. 3-3], is adopted for mm-wave operation by devising a balun at its input to produce a narrow-band frequency tuning and to create a supplyless

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

NARIMAN et al.: COMPACT 60-GHz WPT SYSTEM

60-GHz relaxation oscillator that performs rectification. A novel theoretical analysis of this unique architecture to formulate all key specifications and identify all design tradeoffs is presented. The aforementioned references for mm-wave energy harvesting do not cover the design of the high power mm-wave TX. As a result, we compare the performance of the quad-core power amplifier of this 60-GHz WPT solution with what has been achieved by the leading mm-wave PA design teams in digital CMOS technology, with the notion that the goal for this design is purely power transfer and not data transfer. Achieving a high Psat is the main objective of this design and a high peak power-added efficiency (PAE) is the second important specification. The Psat values reported in [13]–[18] are 20.9, 15.8, 22.6, 19.3, 18.6, and 16.6 dBm, among which the highest number, 22.6 dBm, has also been achieved by our group. To produce a power delivery level as high as 24.6 dBm at 60 GHz, which is achieved by this paper, without violating voltage reliability limits, a 4- × 8-way differential power combining is implemented using a quad-core PA. This is four times as high as the highest level of integration achieved by previous works, which is an 8-way differential by [15] and [18]. For each PA core, a binary-tree architecture is realized with the use of power-splitting transformers at the output of each stage. As such, the number of virtually parallel stages doubles from each stage to the next. The last stage of each PA core has eight pseudodifferential PA units. The peak PAE of the TX is 9.4%, which is competitive, considering the high power delivery. None of the referenced mm-wave PAs include the design of the antenna. However, in this paper using a 500-μm CCL-HL832MG antenna packaging material, we prove inexpensive miniature antennas with decent gains and directivity can be integrated with mm-wave WPT systems. The designs of the power amplifier and the rectifier are reported in [7]. In this paper, the first integrated 60-GHz WPT system is reported. The new additions to the project are the design and integration of the grid antennas of the RX and TX and the implementation of the RX and TX circuitry for the flip-chip packaging technology, which was necessitated by antenna integration. A novel and comprehensive theoretical analysis of the unique high-power high-efficiency energy harvester and more comprehensive technical details of the design and implementation of the 60-GHz WPT TX blocks and architecture are presented. Moreover, the design of a four-port grid antenna for the TX, which in simulation shows the capability of the system to produce a 70° beam-steering range, is presented as another new addition to this paper. II. S YSTEM S PECIFICATIONS In this paper, the goal is to achieve a range of about 40 mm for the power transfer. This is similar to the range of the proximity integrated circuit cards, which follow the ISO/IEC 14443-4 transmission protocol and support contactless communications. The link budget analysis for the wireless power transfer is performed in the same way as it is done for the data transfer systems. The coupling between the TX and RX antennas is the main factor in establishing a WPT link.

2665

Fig. 1. Simple block diagram of the 60-GHz WPT system with targeted TX and RX power delivery specifications.

The Friis transmission equation λ2 Prx = G tx G rx Ptx (4π R)2

(1)

determines the required TX output power Ptx (W) to ensure the sufficiency of the available power at the RX input Prx (W), when it is placed at a far-field distance of R (m) from the TX. The antenna coupling embeds the effects of several important factors for the WPT operation including the gains of the RX and TX antennas, the free-space path loss, and the near-field or far-field behaviors, as on the right side of (1). Within a 4-cm spacing between the designed grid antennas of the RX and TX, the measurements indicate coupling factors between −18.9 and −17.4 dB. The defining specification of the RX of this WPT system is the minimum required dc power delivery, which also dictates the minimum transmit power delivery specification based on (1). For this 60-GHz WPT system, a minimum power delivery or storage of 1 mW is chosen that could be consumed instantaneously or used to charge a battery or a capacitor. This power level is significantly higher than the levels targeted by the UHF WPT solutions [3]–[6] and mmwave energy harvesters [8]–[11]. A higher dc power delivery permits a multitude of wireless systems to employ this WPT solution. The design targets of this 60-GHz WPT system are to generate the highest output power at the TX and the highest RF-to-dc PCE at the RX. To produce 1-mW dc power at the RX output port, about 5.5-dBm mm-wave power is required at the RX input port, assuming a respectable 30% PCE rate at 60-GHz frequency. Considering a minimum coupling of −18.9 dB between the TX and RX antennas within a 4-cm range of the system, the TX needs to support an ambitious combined output power delivery of ∼25 dBm. This level of TX power delivery in digital CMOS necessitates a 4 × 8-way differential power combining at the end of the TX chain, based on what has been achieved by the references and as summarized in Table II. An array of 2 × 2 transmit chains requires an effective output power of 19 dBm from each TX chain. Fig. 1 shows a simple block diagram of this 60-GHz WPT system that indicates the expected power levels at different ports of the system and specifies the discussed targeted specifications. The generated power at the output port of the RX can be used to charge batteries that are utilized by compact smart devices. Coin-type titanium rechargeable lithium ion batteries,

2666

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

as a prime example of such batteries, can best benefit from this wireless charging mechanism. Panasonic’s MT-516 storage battery has a nominal capacity of 1.15 mA · h, a voltage level of 1.2–1.6 V, and a designated charge rate of ∼1 mW, which means our WPT system would require about an hour to fully charge this battery. A designated charging circuitry is specified by the manufacturer. This WPT system can also be used to supply communication systems for data transfer purposes at mm-wave bands or lower frequencies. Transceivers that use pulsed injected local oscillators (p-ILO) can benefit from the wide bandwidth available at the 60-GHz ISM band to produce low (pJ/b) energy efficient data transfer links. An example for such a system is presented in [23], which shows the feasibility of realizing high data-rate communications with the power level targeted by this WPT solution. III. A NTENNA A DVANTAGE AND A NTENNA D ESIGN Magnetically coupled wireless power transfer solutions suffer from a very limited range of operation. The coupling factor declines very rapidly with distance and misalignment. Furthermore, in close proximities, high magnetic coupling creates adverse detuning effects on the couplers. Another disadvantage of the magnetic coils is that placing them in the proximity of metal planes like those of the battery, the screen, and the circuit boards of cellular phones, heavily degenerates them and they lose efficiency. This is a serious challenge in implementing couplers, but in contrast, antennas, with their ground backplanes are not affected by such conditions. Another advantage of the mm-wave antennas is that high gain antenna arrays with high directivity can be realized and integrated in small footprints. Since the aperture of the antenna is proportional to the wavelength squared, the number of antenna elements that fit in a given area grows with the frequency squared. The following equation shows this relationship where Atx/rx(eff) is the effective area of the TX or RX antenna (m2 ), and λ is the free space wavelength (m): 4π Atx/rx(eff) . (2) λ2 The integration of the grid antenna for this 60-GHz WPT system has been done using a flip-chip technology. The patterned routings on the package substrate act as an antenna. Fig. 2(a)–(c) displays different views of the integrated grid antenna of the RX. Fig. 2(d) and (e) portrays the back and front views of the fabricated RX. The antennas are fabricated on a CCL-HL832MG package with a permittivity (εr ) of 3.36, a loss tangent (tan δ) of 0.012, and a thickness of 500 μm. The produced peak equivalent isotropically radiated power of the TX is 35.3 dBm. The connectors are devised to measure the dc output power of the 60-GHz WPT system at the output port of the rectenna. The TX antenna is a 2 × 2 grid array antenna that is portrayed from different views in Fig. 3. Different views of the way the TX grid array antenna is integrated with the TX chip are shown in Fig. 3. To characterize the coupling between the TX and RX antennas, a configuration of the TX antenna with a single feed is integrated with the energy harvester. In such a case, the RX antenna is used to transmit the mm-wave G tx/rx =

Fig. 2. Integration of the RX antenna using a flip-chip package technology from (a) top view, (b) zoomed-in version of the top view, and (c) side view. (d) Front and (e) back views of the fabricated RX.

power. The RX and TX antennas have been optimized for the maximum directivity at 60 GHz in the broadside direction. The RX and TX grid antennas occupy 1.2 cm × 1.3 cm and 1.3 cm × 1.7 cm, respectively. Fig. 4 shows the measurement setup for the TX to RX antenna coupling. The coupling is tested for different spacings between the antennas in both nearfield and far-field. Fig. 5(a) and (b) shows the results of the measurements and the simulations for the radiation pattern of the TX grid array antenna for E-plane and H -plane, respectively, at 57, 60, and 64 GHz frequencies. The measured far-field gains of the grid antennas of the RX and TX are, respectively, 8.9 dBi and 10.7 dBi at 60 GHz with a bandwidth of 2.5 GHz. The measured gains at 57 and 64 GHz are close to the simulation results, whereas at 60 GHz, the measurement shows ∼3-dB lower peak gain compared with the simulation. The reason for this discrepancy at the midband is not clear, but part of it could be related to the mismatch between the antenna elements and their feeds. Based on these results, a flatter frequency behavior from 57 to 64 GHz is expected from the measurements compared with the simulations. Fig. 6 summarizes the coupling results between the TX and the RX antennas at 60 GHz for simulations, measurements,

NARIMAN et al.: COMPACT 60-GHz WPT SYSTEM

2667

Fig. 5. Measured and simulated radiation patterns of the RX grid antenna in (a) E-plane and (b) H -plane at 57, 60, and 64 GHz.

Fig. 3. Integration of the TX antenna using a flip-chip package technology from (a) top view, (b) zoomed-in version of the top view, and (c) side view. (d) Front and (e) back views of fabricated 2 × 2 grid array antenna of the TX.

Fig. 6. Coupling between TX and RX antennas based on simulations, measurements, and Friis transmission equation.

Fig. 4.

Measurement setup for coupling between TX and RX antennas.

and theory based on Friis transmission equation. Within the 4-cm spacing, which is the targeted range of this WPT system, there is between −18.9 and −17.4 dB coupling between the two antennas and there is no significant difference between the simulation and the measurement results. IV. E NERGY H ARVESTER D ESIGN The energy harvester of this WPT system converts the 60-GHz input power that the RX receives into storable dc power that can be consumed instantaneously or be used to charge a capacitor or a battery. It is capable of producing a milliwatt-scale dc output power with peak PCE rates greater

than 30%, which are significantly higher than the figures produced by the prior state of the art. The mm-wave harvesters that have been reported previously, either use multistage Dickson multipliers, as in [8] and [11], or inductor-peaked which is proposed in [9] and [10]. The highest dc output power level and PCE rate generated by these energy harvesters are 0.25 mW and 8% achieved by [9]. The other references reported much lower numbers. In [10], the effort is to create the highest sensitivity and the lowest input power level to start the harvester, but the output power is extremely low. As shown in Fig. 7, a supplyless differentially driven complementary cross-coupled oscillator-like circuitry is chosen as a rectifier. This topology was proposed in [12, p. 74, Fig. 3-3]. By devising a balun at the input port and producing narrow tuning, we transformed it into a 60-GHz relaxation oscillator. This circuit is in fact a full-wave bridge rectifier in which the transistors are configured in a cross-coupled fashion instead of the diode-connected configuration as they appear traditionally. The advantage of the chosen topology is less voltage drop on the rectifying devices, which results in a higher dc output

2668

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

Fig. 7. Simplified schematics of the rectifier, showing the paths of the charging currents when (a) VOP > VOUT and VON < 0 and (b) VON > VOUT and VOP < 0.

power level and a higher PCE. The input balun closes the bridge of the rectifier through its differential ports while its single-ended port is connected to the RX input. The output capacitor CSTR is charged through M1 and M3 in half of the period and through M2 and M4 in the other half. The charging current flows in the reverse direction of the transistors from the ground toward the output node of the rectifier through the balun. The charging current produces and preserves the dc part of the voltages of the differential rectifier input nodes, OP and ON, and the ultimate output port of this 60-GHz WPT system, node OUT. The paths of the reverse charging currents in each half of the period are marked in Fig. 7(a) and (b). Similar to the TX blocks, the unit-cell counts are noted in the schematic of each block. The transient simulation results of VOP , VON , VOUT , and the currents of the M1 , M4 , the sum of these, and the current of resistive load (R L ) for the steady-state condition are shown in Fig. 8. The results are for the input power level of 5.7 dBm, the frequency of 60 GHz, and the R L of 1 k. CSTR is sized such that VOUT is entirely dc. The common mode voltage of the rectifier input nodes VDC is defined as (VOP + VON )/2, which is about VOUT /2. To make the rectifier balanced the conductance of the rectifier devices are matched. As labeled in Fig. 8, there are three regions for the rectifier operation in each period: switching, discharging, and charging. For M1 and M3 , the charging region is when VOP > VOUT and VON < 0 (ground voltage). The discharging region is when VOP < VOUT , but M1 and M3 are still in the triode region. The switching region is when all devices are in the saturation region and the current is switched from one side of the rectifier to the other. The single-ended input voltages VOP and VON can be written as VOUT /2 ± VPP /2 · cos (2π f 0 t), where VPP is the peak-to-peak voltage swing of VOP and VON and f 0 is the input frequency. We have VPP

 = 2(1 − L B )(1 −  2 )Pin Rin

(3)

where L B is the power loss of the balun (W/W),  is the input reflection coefficient, Pin is the RX input power, and Rin is the inverse of the real part of the differential input admittance of the rectifier. When the input and output are switched and the rectifier circuitry is biased in the form of an oscillator, the measurement shows that the oscillation frequency is 60.5 GHz. This verifies the success of the design in making the rectifier

Fig. 8. Transient simulation results of all node voltages (top) and all currents of the rectifier (bottom) at the steady-state condition.

tank operate close to the resonance condition where the tank parallel impedance is real and at its peak. In this condition  2(1 − L B )Pin Rin − R S = ⇒ VPP = 2(Rin ||R S ) Rin + R S RS

(4)

in which R S is the real part of the impedance seen through the differential side of the balun toward the antenna. R L is bypassed by CSTR and hence it is absent in (4) and does not affect Rin directly. However, the effect of R L on the input impedance, input matching, and input voltage swing is through its impact on the level of VOUT . In both the charging and discharging regions the rectifier devices are in the triode region. For M1 , with the current of IM1 , we have

−1 r ON =

∂ IM1 ∂ IM1 = = β(3VOP − 2VOUT − VT ) ∂ V D S1 ∂ VON

(5)

where VT is the threshold voltage and β = μ · COX · W/L of M1 which is chosen to be the same for all rectifier devices. If we neglect the narrow switching region of the rectifier operation, the average differential impedance of the parallel resonant tank at the input of the rectifier in each of the two symmetrical quarter-periods (T0 /4), in which M1 is

NARIMAN et al.: COMPACT 60-GHz WPT SYSTEM

2669

conducting, is Rin =

t



2

VOUT VPP 2 + 2   VOUT VT t VOP = 2 + 2

1 T0 /4

=





VOP =



V VPP t OUT 2 + 2   V VT t OUT 2 + 2





 −1 r ON dt

T0

3VPP 2

 cos(2π f 0 t) − 12 VOUT −VT dt (6)

in which the triode condition for M1 requires VOP > VOUT / 2 + VT /2. In the charging region, the real part of the impedance of the cross-coupled pair is positive due to the reverse direction of the current, whereas in the discharging region it is negative. By solving (6), Rin can be written in the following simplified closed form which depends on both VPP and VOUT : Rin =

β

3 π

2 VPP −

1 2 VOUT

− VT

.

(7)

Since this full-wave bridge rectifier is balanced, the balun does not carry any dc current and the dc output current IOUT is exclusively passing through the devices. As a result, the output current is equal to the mean of the current of each device in one of the two symmetrical quarter-periods that it is on. If we neglect the current in the switching region, for M1 we have ⎡  

t {VOUT } 2 VON dt IOUT = −4 f 0 β ⎣  V  (VOP − VT )VON − VT 2 t OUT 2 + 2    ⎤

t VOUT + VPP  2 2 2 V + (VOP − VON − VT )VON + ON dt ⎦ 2 t {VOUT } (8) in which the first term is related to the discharging region and the second term is for the charging region in which the M1 current is in the reverse direction. Since IOUT = VOUT /R L , VOUT can be found from (8) in the following simplified closed form as a function of both VPP and Rin : VOUT ≈

2VPP (R L ||2Rin ) . π Rin

(9)

By solving (4), (7), and (9) together, VPP , VOUT , and Rin can be determined. In this WPT solution, the measured combined TX output power is 24.6 dBm. Based on Fig. 6, the available power at the rectifier input is going to be between 5.7 and 7.95 dBm within the 4-cm spacing range between the TX and RX antennas. The specification for the dc output power delivery to prospective contactless applications is 1 mW. As (9) shows, to produce a larger VOUT /VPP , a smaller Rin /R L is required. However, in such cases, the ratio of IOUT to the internal current of the rectifier is small and hence PCE is low. The PCE can be formulated using (4) and (9) as follows: PCE =

2 VOUT 32(R L ||2Rin )2 (R S ||Rin )2 (1 − L B ) = . 2 R R R L Pin π 2 Rin L S

(10)

Fig. 9.

Measured, simulated, and calculated PCE and VOUT versus R L .

The maximum possible PCE irrespective of the relations between the different impedances and the matching can be derived from (10) as illustrated in the following equation: √ √ R S Rin 2R L Rin and R L ||2Rin ≤ R S ||Rin ≤ 2 2 4(1 − L B ) ⇒ PCEmax = . (11) π2 A high-input voltage swing is the most important factor in producing both a high dc output voltage and a high efficiency. To minimize the mismatch loss at the input, the impedance matching at the input has to be achieved by properly sizing the rectifier devices for the designated input power level. A turn ratio of 1:2 is chosen for the balun to increase the voltage swing at the rectifier differential inputs, which results in higher VOUT and PCE. This 1:2 balun introduces a 0.5-dB intrinsic loss at 60 GHz. Baluns with higher turn ratios suffer from higher losses and lower self-resonance frequencies. The standalone rectifier is fabricated in 40-nm digital CMOS technology and integrated with the RX antenna using a flip-chip package technology. The design considerations for this rectifier are similar to the 60-GHz oscillator that it resembles, and similar to those of the mm-wave VCO designs in [20]–[22]. The integrated RX is characterized by measuring its output power and PCE for different resistive loadings and different input power levels. Fig. 9 compares the results of the measurements, simulations, and calculations based on the theoretical analysis, (9) and (10), for the dc output voltage and PCE with respect to R L , for the condition that Pin = 5.7 dBm and f0 = 60 GHz. The maximum PCE of the measurements, simulations, and theory happen around a resistive loading of ∼ 550 . And the maximum PCE rates are 32.8%, 35.4%, and 34.5%, which happen at VOUT levels of 0.82, 0.86, and 0.85 V, respectively. The results of the theoretical analysis are fairly close to the simulations and measurements in the low R L and high IOUT region, but for the low IOUT region, the error grows since the neglected switching region is larger. In this

2670

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

TABLE I C OMPARISON OF THE CMOS mm-WAVE E NERGY H ARVESTING S OLUTIONS

Fig. 10. Measured, simulated, and calculated VOUT , POUT , and PCE versus Pin .

Fig. 11. Measured RX efficiency versus the input frequency for different input power levels when R L = 1 k.

design β = 16 mA/V2 , VT = 0.44 V, L B = 0.12 W/W, and R S = 190 . Fig. 10 shows the results of the measurements, simulations, and calculations based on the theoretical analysis for VOUT , POUT , and PCE with respect to the effective Pin at 60-GHz and 1-k resistive loading. The PCE shows a flat response for any input power level higher than 2.5 dBm. The measured, simulated, and calculated PCE of the RX for high input power levels are, respectively, 28.3%, 30%, and 32.1%. This 60GHz energy harvester is fabricated using 40-nm digital CMOS process in an area of 90 μm × 110 μm without pads. The amount of energy that can be stored at the RX output depends on the size of the storage capacitor. Larger capacitors store more energy from a given RF input power, but require longer times to charge. Hence, CSTR should be adjusted according to the timing and the power consumption specifications of the prospective wireless communication systems that employ this WPT solution. Fig. 11 shows the frequency behavior of the measured RX’s efficiency for different effective and de-embedded input power levels, for the output loading of 1 k. Table I compares the results of the mm-wave energy harvesters of the references with this design at the peak PCE conditions for all of them. For this paper, R L = 557  is

Fig. 12. Simplified TX block diagram demonstrating the binary-tree architecture and displaying two cores of the quad-core PA.

the condition for the peak PCE. In terms of the dc output power and PCE our results are remarkably higher than the ones reported in the references. For an R L of 1 k, we have POUT = 1.05 mW, VOUT = 1.02 V, and IOUT = 1.03 mA. V. TX A RCHITECTURE All the architectures that have been experienced for highpower mm-wave PAs in digital CMOS technology include power combiners at the end of their power amplifier chains. The voltage reliability limits are such that the voltage swing levels at the output port for high power transmissions are beyond what can be tolerated by the active devices.

NARIMAN et al.: COMPACT 60-GHz WPT SYSTEM

Without power combiners, the only alternative is to lower the impedance level at the output port of the PAs to lower the voltage swing, but the drawbacks are the low gain of such huge devices and the impracticality of the antenna matching network. The references for mm-wave PAs in digital CMOS technology for frequencies higher than 60 GHz [13]–[18], offer empirical data to know what is achievable with different counts of PA unit power combination. Table II shows the trend in the level of saturated output power (Psat ). The highest Psat with 2-way differential, 4-way differential, and 8-way differential power combining are, respectively, 18.6, 20.9, and 22.6 dBm. Based on these data, without an integration level of a 32-way differential for power combining, achieving about 25 dBm is not possible in a digital CMOS process with a feature size of 40 or 65 nm. On the other hand, increasing the number of parallel PA units at the final stages faces a limit when the additional loss of the power combining structure is of the order of the additional power of the added PA units. For this WPT TX, a 4 × 8-way differential power combining is implemented. The factor of four is achieved by combining the power over the air. This is a four times higher integration level than what has been previously achieved by any mm-wave CMOS PA design as given in Table II. As Fig. 12 shows, the 2 × 2 TX array consists of a quad-core PA with a power combiner to combine the power of the final eight virtually parallel differential stages. The second important decision for the mm-wave CMOS PA architecture design is how to distribute the power from the common source of power, which is an oscillator in this case. There are two main ways to do this: distributed PAs and virtually parallel PA chains. In distributed amplifiers as explained in [13], [15], and [19], the power first splits into diverging paths, but gathers back into a close proximity at the end of the chain to perform efficient power combining. To implement parallel PA chains, the power first splits into different parallel paths to feed different chains and at the end the power combiner combines the total power to be delivered to the load. This is the way in which PAs in [14] and [16]–[18] are implemented. The floorplan of [18, Fig. 23.8.6] best displays this method. In this paper, a binary-tree architecture is implemented using power-splitting transformers. At the output of each stage, the power splits between two parallel chains and, as a result, the number of parallel stages doubles from each stage to the next. A 60-GHz free-running oscillator (VCO) is located at the heart of the TX followed by two VCO buffers. Fig. 12 shows a simple block diagram of cores 1 and 2 of the quad-core amplifier. In each of the four PA cores there are one unit of stage 1, two units of stage 2, four units of stage 3, and eight units of stage 4 operating virtually in parallel, as expected from a binary-tree architecture. The floorplan of the TX can be seen in the micrograph of the quad-core PA (Fig. 22). VI. TX B LOCK D ESIGN The third important factor in the design of mm-wave CMOS power amplifiers is the choice of topology for the stages. The most common designs are presented in [13]–[18]. The designs in all the mentioned references use pseudodifferential NMOS-only tuned stages. Reference [16] uses transmission lines and passive components for intrastage matching, as

2671

Fig. 13.

Simplified schematics of (a) 60-GHz VCO and (b) VCO buffer.

shown in [16, Fig. 15.5.7]; while the other CMOS PAs in the references as well as the design in this paper use transformers for that purpose. References [14] and [18] use cascode devices. However, in this design, we found the loss at the source nodes of the cascode devices to be excessive and hence did not employ them. There are three main differentiations between this design and the designs in [13]–[18]: using neutralizing capacitors for better stability, using switched capacitors for accurate tuning, implementing a 4 × 8-way differential power combining, and having the advantage of a binary-tree floorplan. The main design objective of the 60-GHz VCO for WPT purposes is to produce a high output power, while the usual objective of low-phase noise performance is not a factor here. However, in order to build an efficient design, similar considerations as in [20]–[22] are applied for the VCO of this project. A cross-coupled NMOS pair with transformer loading and accumulation varactors is a proper topology for such a high-power VCO, as it benefits from the maximum possible voltage headroom for the cross-coupled devices [Fig. 13(a)]. A (1-μm/40-nm) NMOS transistor with a low threshold voltage is adopted as the unit-cell for all the devices in the entire design of the TX and also the energy harvesting receiver. For this technology, simulations and measurements show that devices with wider finger sizes suffer from larger series gate resistances and those with narrower finger sizes necessitate higher multiples that introduce higher parasitic capacitances. The counts of the unit-cell replicas are noted in the schematics of all the blocks. In the VCO, 64 multiples of the unit-cell NMOS devices and 16 unit-cells of the accumulation varactors are used. The power-splitting transformer of the VCO is shown in Fig. 14. The measurement of the standalone VCO shows that it produces 6.3 dBm at each of the two secondary outputs of its power-splitting transformer. All the stages following the VCO, including the VCO buffers, are tuned pseudodifferential common source NMOS pairs with neutralizing capacitors and power-splitting transformers. The neutralizing capacitors, which are floating-source transistors, have 85% of the multiple counts of the main common source devices and are connected between the input and the output nodes that are in-phased. They help the stability and strengthen the unilateral behavior of the devices

2672

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

Fig. 14. Power-splitting transformers with (a) intertwined single-turn secondary loops, with different layout variations for VCO, VCO buffers, and PA core stages 1 and 2 and (b) one-inside-the-other secondary loops for PA core stage 3.

of the 60-GHz power amplifier elements by canceling out the unwanted feedback through the CGD of the main devices. All the stages of the TX are tuned at 60 GHz and 2-b switched capacitors at the output nodes of each stage adjust the tuning. Fig. 13(b) shows the schematic of the VCO buffer. All the stages of the quad-core PA have similar designs, but different device counts. The VCO buffer and stages 1, 2, and 3 of each PA core have 56 units of the main transistors and stage 4 has 60 of them. The dc biases of these stages are 0.6, 0.55, 0.62, 0.85, and 0.92 V, respectively. The dc bias voltages are supplied by external voltages through local RC-filters and are adjusted to maximize Psat and peak PAE. To achieve the maximum Psat for the amplifier, no stage should saturate before its following stages. The design of the power-splitting transformers for the VCO, VCO buffer, and the PA core stages 1 and 2 (different variations) is shown in Fig. 14(a). The secondary loops (the darker traces) are two intertwined single-turn loops. The voltage ratio is 1:1, which is the same as the turn ratio, but the current ratio is 1:½, due to the virtually parallel operation of the two secondary coils. Therefore, the impedance ratio is 1:2. The transformer of the PA core stage 3, as shown in Fig. 14(b), has a pair of secondary loops such that one is placed inside the other. The same analysis is valid for the current and impedance ratios of this transformer. In the first stage of each PA core, as shown in Fig. 15, two pairs of switches are placed in the source nodes of the main and the neutralizing devices. By switching them in and out, the main and the neutralizing devices switch their roles and hence the polarity of the differential output changes. This feature offers certain distinct capabilities to this 60-GHz WPT system: beam steering and power control. Beam steering is achieved by creating different combinations of polarities for the four outputs of the quad-core PA, which will be discussed in Section VII. Power control is performed by combining different portions of the in-phase and out-of-phase inputs by switching IN and OUT different portions of the main and neutralizing devices. The 8-way differential power combiner, which is shown in Fig. 16, is placed at the end of each PA core. It combines the power of eight pseudodifferential PA units, which comprise stage 4 of each PA core, and delivers it to one of the four TX antenna inputs. The secondary of the power combiner (the dark colored trace in Fig. 16) consists of two similar sets of

Fig. 15. Simplified schematic of stage 1 of each PA core with switchable differential pair devices.

Fig. 16.

Power combiner of the last stage of each PA core.

Fig. 17.

Micrograph of the single PA core.

series loops that are placed in parallel. The primary side has eight single-turn loops in four pairs of two, one inside the other and sharing the center tap connection to the VDD. The turn ratio and the voltage ratio of each primary loop to its corresponding secondary loop is 1:1. Since the eight primary loops are virtually in parallel, at each single-ended input node on the primary side, the voltage and current swings are a quarter of those of the output port and the impedance level is 50 . Fig. 17 shows the micrograph of the single PA core, which is individually fabricated and characterized. The layout of the power-splitting transformers of stages 1, 2, and 3, the power combiner of stage 4, the ground and supply distribution grids, and the floorplan of the PA core are visible in Fig. 17. The area of the single PA core is 380 μm × 260 μm. The powersplitting transformers of stage 3 were modeled together to

NARIMAN et al.: COMPACT 60-GHz WPT SYSTEM

Fig. 18. Frequency behavior of the Psat , P1 dB , and the peak PAE of a PA core, based on simulations and measurements.

take into account any possible coupling between them. All the electromagnetic structures were modeled by HFSS. Fig. 18 shows the results of the simulations and the measurements of the Psat , P1 dB , and peak PAE for the single PA core with respect to frequency. At 60 GHz, each PA core consumes 625 mA and produces a saturated output power of 18.6 dBm. These figures stand for a peak PAE of 9.4%. The P1 dB is 15.6 dBm and the linear voltage gain of each PA core at 60 GHz is 29.5 dB. Psat and P1 dB are fairly flat with respect to frequency, whereas the small signal power gain shows a narrow-band behavior. Using switched capacitors, the tuning of five different stages of the TX are staggered to widen the overall bandwidth. This makes the 60-GHz WPT design more robust and less sensitive to random and systematic mismatches and misalignments between the TX and the RX antennas. These capacitors can also help to adjust minor phase differences between the different PA core outputs and the different amplifiers of each stage. The results of the S-parameter measurements and simulations are shown in Fig. 19. The S22 results indicate a −10-dB impedance match and the S21 results show a close agreement between the simulations and the measurements. The quadcore power amplifier consumes a current of 2.56 A, which makes the power distribution network throughout the TX of vital importance. As seen in Fig. 17, two enormous power distribution grids consisting of the top two thick metal layers are implemented to supply the TX with power and ground signals. By proper floorplanning and careful layout implementation, a total measured resistance of 20 m is achieved for the combined grids of the supply and ground. The TX produces 24.6 dBm of power at 60 GHz with a 620-mW/mm2 power generation density. The achieved power delivery is reasonably close to the 25-dBm targeted specification and the highest reported generated power in digital CMOS technology at 60 GHz based on our study. In Fig. 20 the measurement results of the output power, the small signal power gain, and the peak PAE of the single PA core at 60 GHz are summarized with respect to the input

2673

Fig. 19.

Measured and simulated S-parameter results of the PA core.

Fig. 20. Measurement results of the single PA core for the output power, small signal power gain, and PAE, at 60 GHz, with respect to the input power.

Fig. 21. Measurement results of the Psat and the peak PAE for the single PA core at 60 GHz with respect to the voltage level of the power supply.

power. As shown in Fig. 20, Psat , P1 dB , and peak PAE can be derived from these results, which are in agreement with the reported results in Fig. 18. The results of the simulations and the measurements are reasonably close in all the TX figures. Fig. 21 shows variations of the saturated output power and the peak PAE of a PA core at 60 GHz with respect to the supply voltage level. With a 1.05-V supply, Psat is 17.2 dBm and the

2674

Fig. 22.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

Micrograph of the quad-core PA at the 60-GHz probe station. Fig. 24. Radiation patterns of the TX grid antenna for three different combinations of polarities of the four antenna inputs. TABLE II C OMPARISON OF PAs IN D IGITAL CMOS FOR 60+ GHz F REQUENCY B ANDS

Fig. 23.

Four-port grid antenna of the TX to support beam steering.

peak PAE is 8.6%, whereas a 1.37-V supply level creates a Psat of 19.4 dBm and peak PAE of 9.5%. The standalone VCO, standalone PA core, standalone quadcore PA, and the full TX have been separately fabricated and individually measured at the mm-wave probe station. Fig. 22 shows the micrograph of the quad-core PA that occupies an area of 830 μm × 560 μm. The output of core 2 of the quad-core PA is measured, while the RF input signal is delivered through the pad on the right. The dc inputs for the supply, the ground, the bias voltages, and the tuning voltages are supplied through the top and bottom dc probe pads. The de-embedded measurement results of the standalone blocks and the full TX are in full agreement. As evidenced by Fig. 22, the full TX has 47 transformers and combiners in six cascading stages and four parallel chains in a binary-tree architecture. Table II compares the measurement results of the quadcore PA of this paper and other recently published PAs in the digital CMOS technology at 60-GHz frequency and higher. Psat and P1 dB of the TX of this 60-GHz WPT system are significantly higher than of those of the references. Their

levels of power combining integration vary from the 2-way to the 8-way differential. The power generation density of the quad-core PA and the PA in [13] are much higher than the PAs of other references. The peak PAE of the quad-core PA is competitive, considering that it is tough to maintain high efficiency and output power simultaneously, since the voltage drop on the power distribution network naturally increases with higher output power levels. VII. B EAM S TEERING As mentioned in Section V, the four TX outputs can independently change polarities by alternating the roles of the main and the neutralizing devices of the first stage of each PA core of the quad-core amplifier using two pairs of switches at their source nodes. This feature provides the TX

NARIMAN et al.: COMPACT 60-GHz WPT SYSTEM

Fig. 25. Full-system results of the dc output power of the RX for the measurements, simulations, and Friis equation with 1-k loading.

Fig. 26. RX dc output power for different frequencies with respect to the RX to TX antenna spacing, based on full-system measurements.

with the capability of beam steering with minimal RF frontend complexity. The four-port grid array antenna shown in Fig. 23 is designed to realize beam steering for the TX of this 60-GHz WPT system. Each combination of polarities for the four TX antenna inputs steers the beam in the broadside direction by a different angle. Fig. 24 proves the effectiveness of this feature via simulation. This 60-GHz WPT offers an angular range from −35° to 35° at the broadside direction with three different combinations of polarities for the four antenna inputs. The peak gain, however, changes by ∼3 dB in different scenarios and the directivity is less effective as the beam steers to the sides. VIII. F ULL -S YSTEM M EASUREMENTS The full-system measurements are done by measuring the dc voltage at the RX output, which is the ultimate output of the entire 60-GHz WPT system, for different RX to TX antenna spacings, different RF frequencies, and different loading resistors. In Fig. 25, the full-system measurements, simulations, and calculations based on the Friis equation, for the dc output power delivery to a 1-k resistor at the WPT output is reported with respect to the spacing between the

2675

Fig. 27. DC-to-dc efficiency rate based on full-system measurements of the 60-GHz WPT system.

TX antenna and the RX. At a 40-mm spacing, the rectified output power is 1.05 mW, which is beyond the 1-mW targeted specification for this 60-GHz WPT system. The measurement and simulation results are reasonably close within the 40-mm spacing range. The differences could be due to misalignment of the TX and RX antennas and mismatches of the TX antenna elements. Fig. 26 shows the dependency of the dc power delivery to a 1-k loading at the WPT output port to the spacing between the TX and RX antennas for different input frequencies. The highest and the lowest rectified dc output power levels of the WPT system occur at 59 and 64 GHz, respectively, based on the full-system measurements. Fig. 27 shows the dc-to-dc efficiency rate in dB for the entire 60-GHz WPT system with respect to the spacing between the grid array antenna of the TX and the RX, based on the fullsystem measurements and simulations. There is a maximum of 1.6 dB difference between the measurements and the simulations. The dc-to-dc efficiency rates from the power that is consumed from the supply of the TX to the dc output power of the energy harvester ranges between −34.8 and −33.3 dB within a 40-mm spacing between the TX and the integrated RX antennas. IX. C ONCLUSION In this paper, the entire system of a 60-GHz wireless power transfer solution to support compact smart everyday objects has been successfully built and characterized. There has not been any precedence in the literature for such level of integration for a WPT system at the mm-wave frequencies based on our study. Achieving the high output power at the TX, high RF-to-dc PCE rate at the RX, high gain, directivity, coupling between the TX and RX antennas, and the antenna integration have been accomplished to produce this 60-GHz WPT solution. The TX of this WPT solution achieves the highest reported saturated output power delivery of 24.6 dBm in the digital CMOS technology with a peak PAE of 9.4% and a power density of 620 mW/mm2 . In the implementation of the TX of this WPT system a 4- × 8-way

2676

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

differential power combining is achieved, which is the highest level of integration ever reported in digital CMOS. The RX achieves a high RF-to-dc PCE of 32.8% for 0.82 V, 1.48 mA, and 1.22 mW. The efficiency remains as high as 28.3% for 1.02 V, 1.03 mA, and 1.05 mW. A pair of compact grid antennas for the TX and RX have been implemented and integrated, using an inexpensive packaging material that makes it possible for this 60-GHz WPT system to support any size of smart device that consumes around 1 mW of power and operates within a proximity of 4 cm. The system can provide output power control and an effective 70° beam-steering range at the TX. The full-system measurement results of the proposed 60-GHz wireless power transfer solution demonstrate the suitability of this mm-wave WPT system for addressing the demand for wireless power of batteryless and coil-free devices with stringent size constraints of new applications, like the IoT. R EFERENCES [1] K. F. Warnick, R. B. Gottula, S. Shrestha, and J. Smith, “Optimizing power transfer efficiency and bandwidth for near field communication systems,” IEEE Trans. Antennas Propag., vol. 61, no. 2, pp. 927–933, Feb. 2013. [2] M. Wobak, M. Gebhart, and U. Muehlmann, “Physical limits of batteryless HF RFID transponders defined by system properties,” in Proc. IEEE Int. Conf. RFID-Technol. Appl., Nov. 2012, pp. 142–147. [3] K. Finkenzeller and D. Muller, RFID Handbook: Fundamentals and Applications in Contactless Smart Cards, Radio Frequency Identification and Near-Field Communication, 3rd ed. New York, NY, USA: Wiley, Aug. 2010. [4] A. Safarian, A. Shameli, R. Rofougaran, M. Rofougaran, and F. De Flaviis, “RF identification (RFID) reader front ends with active blocker rejection,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 5, pp. 1320–1329, May 2009. [5] J.-P. Curty, N. Joehl, C. Dehollaini, and M. J. Declercq, “Remotely powered addressable UHF RFID integrated system,” IEEE J. Solid-State Circuits, vol. 40, no. 11, pp. 2193–2202, Nov. 2005. [6] Z. Safarian and H. Hashemi, “A wirelessly-powered passive RF CMOS transponder with dynamic energy storage and sensitivity enhancement,” in IEEE RFIC Symp. Dig., Jun. 2011, pp. 1–4. [7] M. Nariman, F. Shirinfar, S. Pamarti, M. Rofougaran, R. Rofougaran, and F. De Flaviis, “A compact millimeter-wave energy transmission system for wireless applications,” in IEEE RFIC Symp. Dig., Jun. 2013, pp. 407–410. [8] N. Weissman, S. Jameson, and E. Socher, “W-band CMOS on-chip energy harvester and rectenna,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2014, pp. 1–3. [9] H. Gao et al., “A 71 GHz RF energy harvesting tag with 8% efficiency for wireless temperature sensors in 65 nm CMOS,” in IEEE RFIC Symp. Dig., Jun. 2013, pp. 403–406. [10] H. Gao, M. K. Matters-Kammerer, D. Milosevic, A. van Roermund, and P. Baltus, “A 62 GHz inductor-peaked rectifier with 7% efficiency,” in IEEE RFIC Symp. Dig., Jun. 2013, pp. 189–192. [11] S. Pellerano, J. Alvarado, and Y. Palaskas, “A mm-wave powerharvesting RFID tag in 90 nm CMOS,” IEEE J. Solid-State Circuits, vol. 45, no. 8, pp. 1627–1637, Aug. 2010. [12] S. Mandal, “Far field RF power extraction circuits and systems,” M.S. thesis, Dept. EECS, MIT, Boston, MA, USA, 2004, pp. 72–94. [13] D. Zhao and P. Reynaert, “14.1 A 0.9 V 20.9 dBm 22.3%-PAE E-band power amplifier with broadband parallel-series power combiner in 40 nm CMOS,” in ISSCC Dig. Tech. Papers, Feb. 2014, pp. 248–249. [14] J. Oh, B. Ku, and S. Hong, “A 77-GHz CMOS power amplifier with a parallel power combiner based on transmission-line transformer,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 7, pp. 2662–2669, Jul. 2013. [15] F. Shirinfar, M. Nariman, T. Sowlati, M. Rofougaran, R. Rofougaran, and S. Pamarti, “A fully integrated 22.6 dBm mm-wave PA in 40 nm CMOS,” in IEEE RFIC Symp. Dig., Jun. 2013, pp. 279–282. [16] K.-Y. Wang, T.-Y. Chang, and C.-K. Wang, “A 1 V 19.3 dBm 79 GHz power amplifier in 65 nm CMOS,” in ISSCC Dig. Tech. Papers, Feb. 2012, pp. 260–262.

[17] J. Chen and A. M. Niknejad, “A compact 1 V 18.6 dBm 60 GHz power amplifier in 65 nm CMOS,” in ISSCC Dig. Tech. Papers, Feb. 2011, pp. 432–433. [18] B. Martineau, V. Knopik, A. Siligaris, F. Gianesello, and D. Belot, “A 53-to-68 GHz 18 dBm power amplifier with an 8-way combiner in standard 65 nm CMOS,” in ISSCC Dig. Tech. Papers, Feb. 2010, pp. 428–429. [19] F. Shirinfar, M. Nariman, M. Rofougaran, and R. Rofougaran, “On-chip distributed power amplifier and on-chip or in-package antenna for performing chip-to-chip and other communications,” U.S. Patent 0 087 676 A1, Mar. 27, 2014. [20] J. W. M. Rogers, J. A. Macedo, and C. Plett, “The effect of varactor nonlinearity on the phase noise of completely integrated VCOs,” IEEE J. Solid-State Circuits, vol. 35, no. 9, pp. 1360–1367, Sep. 2000. [21] M. Nariman, R. Rofougaran, and F. De Flaviis, “A switched-capacitor mm-wave VCO in 65 nm digital CMOS,” in IEEE RFIC Symp. Dig., May 2010, pp. 157–160. [22] F. Shirinfar, M. Nariman, T. Sowlati, M. Rofougaran, R. Rofougaran, and S. Pamarti, “A multichannel, multicore mm-wave clustered VCO with phase noise, tuning range, and lifetime reliability enhancements,” in IEEE RFIC Symp. Dig., Jun. 2013, pp. 235–238. [23] N. Deparis, A. Siligaris, P. Vincent, and N. Rolland, “A 2 pJ/bit pulsed ILO UWB transmitter at 60 GHz in 65-nm CMOS-SOI,” in Proc. IEEE ICUWB, Sep. 2009, pp. 113–117. Med Nariman received the B.S. degree in electrical engineering, electronics from the Sharif University of Technology, Tehran, Iran, in 1997 and continued in the Master’s Program of Electronics until 1999. He received the M.S. degree in electrical engineering from the University of Southern California (USC), Los Angeles, CA, USA, and the Ph.D. degree in electrical engineering, integrated circuit design from the University of California at Irvine, Irvine, CA, USA. He has been an RFIC Design Engineer and a Group Lead in different companies and on different wireless transceiver products, including cellular 2G/3G/4G, WiGig, Bluetooth, WLAN 802.11a/b/g/n/ac/ad, UWB, GPS, FM, FRS, ZigBee, and 60 GHz. He was with Valence Semiconductor Inc., Irvine, CA, USA, from 2000 to 2003, as a Senior Staff RFIC Design Engineer. He was an RFIC Design Group Lead with Jaalaa Inc., San Diego, CA, USA, from 2003 to 2004, where he led the design of the new generation of their single-chip triple-band transceiver for wireless PC peripherals. He built two IC design teams for Jaalaa’s offices in Irvine, CA, USA, and in Malaysia. He was a Principle Staff RFIC Design Engineer with the Broadcom Corporation, Irvine, CA, USA, from 2004 to 2013, where he was part of the design of several very high volume wireless connectivity and cellular products. Since 2014, he has been a Senior Staff RFIC Design Engineer with the Qualcomm Corporation, San Diego, CA, USA. He leads analog/radio frequency design of a multimode multiband cellular transceiver in CMOS technology. He has authored or co-authored several technical papers in the IEEE journals and conferences. He holds a number of radio frequency integrated circuit design inventions. Mr. Nariman was a Technical Committee Member of the IEEE International Millennium Seminar in Electrical Engineering, Tehran, Iran, in 2000. He was nominated by the Sharif University of Technology for the Best Iranian Student Award in 1999. He received the Teaching Assistantship for ten different courses and laboratories at the Sharif University of Technology and USC from 1997 to 2000. He was a finalist of the nation-wide Mathematics Olympiad in 1993. He was a co-recipient of the IEEE J OURNAL OF S OLID -S TATE C IRCUITS Best Paper Award of 2014 at ISSCC 2016.

Farid Shirinfar received the B.S. and M.S. degrees in electrical engineering from the University of California at Los Angeles, Los Angeles, CA, USA, in 2010 and 2011, respectively, where he is currently pursuing the Ph.D. degree in electrical engineering. He was with Sony Research and Development, Tokyo, Japan, in 2009, where he developed MEMSbased magnetic structures for millimeter-wave systems. Since 2010, he has been with the RFIC Design Group, Broadcom Corporation, Irvine, CA, USA. He has authored several conference papers and holds a number of U.S. patents. His current research interests include high frequency circuits and system and short range wireless power and data transmission.

NARIMAN et al.: COMPACT 60-GHz WPT SYSTEM

Anna Papió Toda received the M.Sc. (Ing.) degree in electrical engineering (with a minor in telecommunications engineering) and the M.Sc. (Ing.) degree in computer sciences from the Universitat Politècnica de Catalunya (UPC), Barcelona, Spain, in 2009, and the Ph.D. degree in electrical engineering from the University of California at Irvine, Irvine, CA, USA, in 2015. She was a Research Assistant with the Department of Signal Theory and Communications, UPC, from 2006 to 2008, where she was involved in the topic of ultrawideband (UWB) imaging and location systems. In 2006, she was a Visiting Researcher with the Institut für Höchstfrequenztechnik und Elektronik, Universität Karsruhe, Karlsruhe, Germany, where she was involved in UWB antenna characterization by means of finite differences time domain simulations. In 2008, she was an Intern with the Broadcom Corporation, Irvine, CA, USA, where she was involved in 60-GHz antennas and characterization of the electrical properties of substrate materials at the millimeter-wave band. From 2009 to 2015, she was with the Electrical Engineering and Computer Sciences Department, University of California at Irvine. Her research interest included modeling and applications of dielectric substrates at millimeter-waves and realization of metamorphic materials. Since 2015, she has been a Senior Staff Scientist with the Broadcom Corporation, Irvine, CA, USA, where she had also been an Intern since 2011. Her current research interests include antennas, millimeter-waves, wireless systems, imaging, and scattering location techniques. Ms. Papió Toda was the recipient of an honorable mention for Propagation and Antenna Measurements at the Fourth European Conference on Antennas and Propagation, Barcelona, 2010. She is a Reviewer of the European Conference on Antennas and Propagation.

Sudhakar Pamarti received the B.Tech. degree in electronics and electrical communication engineering from IIT Kharagpur, Kharagpur, India, in 1995, and the M.S. and Ph.D. degrees in electrical engineering from the University of California at San Diego, San Diego, CA, USA, in 1999 and 2003, respectively. He was with Hughes Software Systems from 1995 to 1997 and Rambus Inc., Sunnyvale, CA, USA, from 2003 to 2005, developing high speed I/O circuits and embedded software and firmware for a wireless-in-local-loop communication system, respectively. He is currently an Associate Professor of Electrical Engineering with the University of California at Los Angeles, Los Angeles, CA, USA. Dr. Pamarti was a recipient of the National Science Foundation’s Career Award for developing digital signal conditioning techniques to improve analog, mixed-signal, and radio frequency integrated circuits.

2677

Ahmadreza Rofougaran (F’10) received the B.S.E.E., M.S.E.E., and Ph.D. degrees from the University of California at Los Angeles, Los Angeles, CA, USA, in 1986, 1988, and 1998, respectively. He joined the Broadcom Corporation, Irvine, CA, USA, in 2000 through Innovent System’s acquisition, which he founded in 1999. Since the acquisition of his company by Broadcom in 2000, he has been in charge of all of Broadcom’s RF CMOS radios for wireless and cellular products, such as Bluetooth, WLAN, and 2G, 3G, and 4G cellular radios. He also pioneered the integration of these radios into a single silicon chip and a single chip combo radio/wireless system, used in majority of smart phones and tablets. He has led Broadcom to be among the top market share holders in both Bluetooth and WLAN. His technical contributions in RF CMOS have been recognized worldwide by both industry and academia. He has authored over 60 technical papers and holds more than 670 issued U.S. patents. Dr. Rofougaran was the recipient of several premium international IEEE awards, such as the 1998 Design Automation Conference Best Paper Award, the 1997 ISSCC Jack Raper Award for Outstanding Technology Direction Paper, the 1996 ISSCC Jack Kilby Outstanding Student Paper Award, and the 1996 New Technology Award by Wireless Design and Development Magazine, and the 1995 Best Paper Award of the IEEE ESSCC. In 2006, he was recognized as Broadcom Fellow for his contribution to the development of CMOS RFICs.

Franco De Flaviis (F’14) was born in Teramo, Italy, in 1963. He received the Laurea degree in electronics engineering from the University of Ancona, Ancona, Italy, in 1990, and the M.S. and Ph.D. degrees in electrical engineering from the Department of Electrical Engineering, University of California at Los Angeles (UCLA), Los Angeles, CA, USA, in 1994 and 1997, respectively. He was with Alcatel as an engineer employee Researcher who specialized in the area of microwave mixer design in 1991. In 1992, he was a Visiting Researcher with UCLA, where he was involved in low intermodulation mixers. He is currently a Professor with the Department of Electrical Engineering and Computer Science, University of California at Irvine, Irvine, CA, USA. He is also active in the research field of highly integrated packaging for radio frequency (RF) and wireless applications. He has authored or co-authored over 100 papers in reference journals and conference proceedings and authored one book and three book chapters. He holds several international patents. His current research interest include the development of microelectromechanical systems for RF applications fabricated on unconventional substrates, such as printed circuit board and microwave laminates with a particular emphasis on reconfigurable antenna and front-end systems. Dr. De Flaviis is a Member of the URSI Commission B.

2678

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

Broadband Explicit Time Domain Model for Human Skin Permittivity Reza Mohammadi-Baghaee and Jalil Rashed-Mohassel, Senior Member, IEEE Abstract— Multiterm Debye models, working well in the frequency and time domains, are established to describe the frequency-dependent behavior of complex dielectric properties of dry and wet human skin. The forearm data measured from 10 Hz to 100 GHz, accompanied by the genetic algorithm, are used to find the best multiterm Debye parameters for the models. These broadband models are very helpful and straightforward to investigate the interaction of transient signals such as Gaussian pulses with human skin as a dispersive medium in the time domain. The direct finite difference time domain formulation is used to propagate a Gaussian pulse through each of these media. According to this time domain analysis, the optimized perfectly matched layer and skin depth versus frequency are calculated for every skin model. Good agreement is observed between the calculated and measurement data of skin depths. Index Terms— Debye model, genetic algorithm (GA), human skin permittivity, perfectly matched layer (PML), skin depth.

I. I NTRODUCTION HE investigation of the interaction of electromagnetic waves with human body tissue is indispensable to design, analyze, optimize, and fabricate components with body-centric communication applications, such as antennas, radars, and other passive sensors, specifically in the microwave and millimeter wave frequency ranges [1]. In addition, electromagnetic dosimetry has valuable information for researchers in bioelectromagnetics and medicine. The calculation of internal fields within the body tissue and the modeling of exposure states are dosimetry problems. Challenging these problems necessitates the use of appropriate numerical or analytical solutions of Maxwell’s equations for the general dispersive media. Thus, to find these solutions at all frequencies of interest, it is crucial to express the measured dispersive nature of dielectric data for every human body tissue with appropriate parametric models. Foster et al. [2] and Schepps and Foster [3] reported the models for brain and tumor tissues in 1979 and 1980, respectively. Using the wellknown Debye model, a wideband (10 Hz–100 GHz) analysis was established by Hurt [4] for the dielectric properties of muscle in 1985. Human skin permittivity was modeled by Gabriel from 10 Hz–100 GHz. He modeled dry and wet skin according to experimental data accompanied by those from [5]. The analysis in [5] was based on previous experimental data

T

Manuscript received December 15, 2015; revised May 7, 2016; accepted June 18, 2016. Date of publication July 11, 2016; date of current version August 4, 2016. The authors are with the Center of Excellence on Applied Electromagnetic Systems, School of Electrical and Computer Engineering, College of Engineering, University of Tehran, Tehran, Iran (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2016.2584607

found via open-ended coaxial probe method. Also, these data were completed and modified by other works in the literature obtained by the same method. The frequency dependence of human skin permittivity was expressed as four Cole–Cole terms. It must be noted that Gabriel et al.’s [6] measurements for skin were done based on the reflections from the outer layer of the volar forearm skin. In the millimeter wave frequency range, Gandhi and Riazi [7] modeled the complex permittivity of human skin via extrapolating the experimental data collected at microwave frequencies. Gabriel and Gandhi’s results are very similar to the in vivo direct measurements of [8]. Hwang et al. [9] and Alabaster [10] accomplished direct measurements of human skin permittivity according to in vivo and in vitro schemes, respectively. The dissimilarities observed in various measured data are related to the measurement techniques, temperature, and sample types. The data may be obtained from in vivo or in vitro measurements or various skins with different layer sizes. The human skin permittivity at various locations on the arm such as the palm, wrist, and forearm were measured up to 60 GHz. Using these measured data, Cole–Cole models of the palm and wrist/forearm were optimized for the millimeter wave range [11]. A genetic algorithm (GA) was used to optimize multiterm Debye models for tissue dielectric properties. In this paper, the Cole–Cole models developed for muscle, bone, and fat (not infiltrated) in [5] were fitted to multiterm Debye models. It has been shown that ten Debye terms for all of these tissues have the best matching with their Cole–Cole models [12]. In most cases, Debye and Cole–Cole models have been used to describe the dispersive nature of the permittivity of biological tissues. In modeling of complex biological tissues such as human body parts, multiterm Debye models are less accurate than Cole–Cole models with the same number of terms. Increasing the number of terms up to a definite value in Debye modeling improves the accuracy of the model [12]. This term increment exacerbates the complexity of time domain analyses of wave propagation through these media. Nevertheless, because of their explicit time domain form, it is preferable to use Debye modeling rather than Cole–Cole with a fewer number of terms. In this paper, dry and wet human skin complex permittivity are modeled based on the experimental results reported in [5]. These data are obtained experimentally at 37 °C and are accompanied with the literature data for the wideband frequency range. Thus, they seem to be more truthful and comprehensive than other available data. The human skin is comprised of three individual layers: the epidermis, dermis, and hypodermis. The outermost layer

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

MOHAMMADI-BAGHAEE AND RASHED-MOHASSEL: BROADBAND EXPLICIT TIME DOMAIN MODEL

of the skin, the epidermis, is the thinnest on the eyelids at 0.05 mm, about 0.1 on the forearm, and the thickest on the palms and soles at 1.5 mm. The dermis, beneath the epidermis, contains tough connective tissue, hair follicles, and sweat glands. The dermis thickness, according to the location, varies from 1 to 2 mm [13]–[16]. The hypodermis, also called subcutaneous tissue, is the lowermost part of the skin and is mainly composed of fat. The thickness of the hypodermis reaches 30 mm on the abdomen, but in the forearm region it fluctuates between 1 and 4.5 mm [17]. According to the mentioned biological information, it is clear that a multilayer media model is the best model for human skin, but based on traditional electromagnetic measurement schemes done on the outer layer of the skin, it is not possible to find a unique solution for unknown depths and for complex permittivity models of layers. The main reason is that in these cases, the unknown parameters are more than the independent measurement data. If the depth of each layer is resolved with another measurement scenario such as an ultrasound system [18], or some independent electromagnetic measurement data are collected on the skin, the complex permittivity of each layer according to the number of measured parameters can be recognized and modeled in a wideband frequency range. Therefore in this paper, using the experimental data of permittivity and conductivity without knowing the depth of each skin layer reported in [5], it is not possible to find a unique multilayer model for the human skin; hence every skin type is assumed as a semi-infinite medium. The multiterm Debye model for every skin type is assumed (Section II). The best parameters of the model are optimized by GA. According to the optimized parameters, the explicit time domain model for the permittivity of each human skin type is derived (Section III). Finally, using these time domain models, the direct finite difference time domain (FDTD) formulation is applied to propagate a Gaussian pulse through each of these media. According to this time domain analysis, the optimized perfectly matched layer (PML) and skin depth versus frequency are calculated for every skin model in Sections IV and V, respectively. Good agreement is observed between the analytical and measurement data. II. E XPLICIT T IME D OMAIN M ODEL The well-known Debye expression for the complex relative permittivity εr (ω) as a function of angular frequency ω is ε (1) 1 + j ωτ where ε∞ is the optical permittivity, ε is the magnitude of the dispersion, and τ is the relaxation time [19]. In wideband modeling, to obtain an explicit time domain model for biological tissue with good accuracy, summation of Debye terms with an expression for ionic conductivity is needed [4], [12]. Thus a comprehensive model for each type of human skin can be written as εr (ω) = ε∞ +

εr (ω) = ε∞ +

N  m=1

εm σi + 1 + j ωτm j ωε0

(2)

2679

Fig. 1. Experimental human skin permittivity and conductivity data (dry and wet) reported in [5].

where N is the total number of Debye terms, ε0 = 8.85 × 10−12 F/m, and σi (S/m) is the skin ionic conductivity. To investigate the wave propagation through the human skin in the time domain, it is important to use Maxwell’s equations integrated with the constitutive relations in the time domain. For the general dispersive media, the constitutive relations in the time domain can be written as [20], [21] D¯ = ε E¯ + ε1 (t) ∗ E¯ B¯ = μ H¯ + μ1 (t) ∗ H¯

(3.a) (3.b)

where ∗ indicates convolution, and ε1 (t) and μ1 (t) are time-dependent permittivity and permeability, respectively. In addition, ε and μ are constant permittivity and permeability, respectively. According to the assumed permittivity model presented in (2), it is possible to determine ε and ε1 (t) in (3.a) for each human skin layer using inverse Laplace transformation. Thus, the time domain permittivity used in the constitutive relation (3.a) can be written as  N   εm (−t /τm ) (4.a) e + σi · U (t) ε1 (t) = ε0 τm m=1

ε = ε0 ε∞

(4.b)

where U (t) is the unit step function. It should be noted that the permeability of the human skin tissue is considered as μ1 (t) = 0 and μ = μ0 . By substituting (4.a) and (4.b) into (3.a) and using Maxwell’s equations and optimized Debye parameters, it becomes possible to investigate wave propagation such as Gaussian plane wave through the human skin in the time domain. III. H UMAN S KIN P ERMITTIVITY M ODELS The experimental results of permittivity and conductivity for the human skin, measured from 10 Hz to 100 GHz presented

2680

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

Fig. 2. Variations of optimized cost function versus the number of Debye terms for three f s . (a) Dry skin (measured). (b) Wet skin (measured).

in [5], are shown in Fig. 1. According to these data, the complex relative permittivity, εˆ r exp can be found via εˆ r exp (ω) = εˆ r exp (ω) +

σexp (ω) = εˆ r exp (ω) − j εˆ rexp (ω). j ωε0 (5)

These data were obtained by measuring the reflection coefficient from the volar forearm skin and then comparing it with the reflection coefficient from the semi-infinite medium and finally choosing the best permittivity and conductivity for skin to match these two reflection coefficients [6]. GA optimization is used to find the best Debye parameters to obtain good fitting between the measurements and the multiterm Debye model [22], [23]. The following cost function (CFε ) is minimized to find the best model parameters:

⎫ ⎧ |real(ˆεr exp ( f n ) − εˆ r mod ( f n ))| ⎪ ⎪ ⎪ Nf ⎪ ⎬ ⎨ |real(ˆεr exp ( f n ))| . CFε = (1/2N f ) · ⎪ |imag(ˆεr exp ( f n ) − εˆ r mod ( fn ))| ⎪ ⎪ n=1 ⎪ ⎩+ ⎭ |imag(ˆεr exp ( f n ))| (6) In (6), N f is the total number of frequency points in the specific frequency range and εˆ r mod is the assumed model for every skin type according to (2). So the cost function in this paper is the mean of the relative errors of the real and imaginary parts between the measurements and the presented model. Three sets of GA optimization based on ring crossover have been done for various ranges of frequencies from fs up to 100 GHz ( f s < f < 100 GHz). To reach the best possible model, the number of Debye terms, N, is varied from 1 to 20 at each f s (Fig. 2). Each optimization scenario was handled

Fig. 3. Human skin complex relative permittivity models (N = 10) based on the experimental data [5]. (a) Dry skin. (b) Wet skin.

by running the GA for 2000 generations. According to Fig. 2, it can be concluded that modeling the experimental results of dry skin by the multiterm Debye (N > 6) with about 5% error for all f s is possible; however, this is not possible in the modeling of wet skin with f s = 10 Hz. The optimized multiterm Debye models (N = 10) for dry skin and wet skin are shown in Fig. 3. It can be seen that for dry skin, there is good agreement between the experimental and optimized data for f s = 10 Hz, but for wet skin, this fact is observed for f s = 1 MHz. In this case, the main error originates from the considerable mismatch between the real parts of the permittivity below 1 MHz. This mismatch causes some relative errors with the mean value of about 20% [Fig. 2(b)], because this value can be considered approximately as the difference between the mean values of the relative errors (cost function) of optimized models with ten terms (N = 10) for f s = 10 Hz and 1 MHz, which are about 24% and 4%, respectively. According to the considered model (2), the optimized parameters for every skin type are given in Table I. According to (4) and using the parameters

MOHAMMADI-BAGHAEE AND RASHED-MOHASSEL: BROADBAND EXPLICIT TIME DOMAIN MODEL

2681

TABLE I O PTIMIZED PARAMETERS OF P ERMITTIVITY M ODELS FOR D RY AND W ET H UMAN S KIN ( f s = 10 Hz)

Fig. 4.

Propagation medium with the human skin permittivity. TABLE II O PTIMIZED PARAMETERS OF THE H UMAN S KIN PML

in Table I, it will be possible to investigate a time domain analysis of the propagation of transient signals through human skin based on the Maxwell’s equations accompanied by the constitutive relations presented in (3) in the time domain. In the rest of this paper, this investigation is used to calculate the optimum PML and skin depth for the human skin tissue. IV. O PTIMIZED PML FOR THE H UMAN S KIN T ISSUE For the design and analysis of some body-centric communication devices such as on-body sensors and antennas in the time domain, investigation of electromagnetic wave interaction with skin tissue is indispensable. In this way, the size of analysis medium and computation resources can be considerably reduced using an optimized PML for human skin permittivity, and, consequently, it is possible to accelerate the analysis notably. To calculate an optimized PML for the human skin tissue, based on an anisotropic PML proposed for lossy dispersive media in [24], the following expressions are considered for a z-normal boundary interface: (z − z p )μ σz pμ (z) = μ j ωε0 Lp κz = 1 + (κz max − 1) · pμ (z) σz = σz max · pμ (z). Sz = κz +

(7)

In (7), z p is the location of the PML interface boundary, L p is the thickness of the PML layer, and μ is a real number. To find the optimized PML parameters, a 1-D (z-direction) propagation medium with the human skin permittivity is considered (Fig. 4). The time-dependent source located at z s is an unmodulated Gaussian pulse with a half bandwidth of 100 GHz. The TEM plane wave is propagated through the medium in two directions. The locations of the source (z s ) and the human skin length (L skin ) are considered such that

the Gaussian pulse after reflecting from nonoptimal PML interfaces does not affect the source in its on state. From this point of view, L skin and z s are selected as 10 mm (with Yee cells of size 50 μm) and (L skin +L p )/3. The PML medium is optimized for 10 and 5 cell thicknesses. To optimize the parameters of PML, μ, κzmax , and σzmax , the GA procedure is used similar to the previous one by minimizing the following cost function (CFPML ): ⎛ ⎞  NS  ˜   ˜  E x (z p , fm ) − E x (2z s − z p , f m ) ⎠ CFPML = 1/N S · ⎝     E˜ x (2z s − z p , f m ) m=1

(8) where N S is the total number of frequency samples and E˜ x (z, f m ) is the electric field at the location z and frequency sample f m . The optimized PML parameters are shown in Table II. It can be observed that the optimized PMLs of 10 cell thickness have better performance than those with 5 cells over the wideband frequency range. This fact is also shown in Fig. 5. It is shown that in the 10 cell cases, the reflection errors are below −30 dB for almost all the frequencies, but for the 5 cell cases, this occurs only above 50 GHz. Finally, the propagation of Gaussian pulse in dry human skin tissue and the performance of its proportional optimized PML of 10 cells thickness is shown in Fig. 6. Attenuation and widening are two dominant phenomena occurring for the propagating Gaussian pulse through the human skin tissue. In addition, the very weak signal after the time instant t4 confirms the good performance of optimized PMLs. V. S KIN D EPTH The penetration of electromagnetic waves in a standard measurement regime is defined as the skin depth. This standard measured depth is the thickness in a medium through which the amplitude of a plane wave is reduced by a factor of 1/e [25]. To calculate the skin depth of human skin tissue, a Gaussian plane wave such as that in the previous section is

2682

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

Fig. 5. Reflection error of optimized PML for two types of human skin with L p = 10 and 5 cells.

Fig. 7. Skin depth of human skin tissue versus frequency found via FDTD simulation.

radiation (30 GHz) is about 0.8 and 0.85 mm for dry and wet skin, respectively. The measurement of the skin depth [7] at the same frequency which is about 0.782 mm, agrees well with these time domain results. VI. C ONCLUSION

Fig. 6. Simulation of Gaussian pulse propagation through the dry human skin tissue with 10 cell optimized PMLs.

propagated through the human skin (Fig. 4). The propagation is in the normal direction and is accomplished by the FDTD formulation (with Yee cells of size 15 μm). At every depth (z > z s ), the electric field is calculated in the time domain, afterward this time domain signal is presented in the frequency domain E˜ x (z, f ) by applying the Fourier transformation and then at every frequency the ratio between the amplitudes of the later signal and the one at z = z s , is calculated. Finally, by satisfying the following standard condition for the ratios: | E˜ x (z SD , f )| = 1/e | E˜ x (z s , f )|

(9)

the skin depth (z SD ) can be obtained at every frequency. According to the mentioned procedure, the skin depths of dry and wet human skin in the microwave frequency are determined (Fig. 7). The skin depths of dry and wet skin are very close to each other. The reason for this fact arises from a good matching between their permittivities and conductivities in the microwave frequency (Fig. 1). Considering Fig. 7, it can be observed that the skin depth of a 10-mm wavelength

The experimental results for dry and wet human skin complex permittivity measured from 10 Hz to 100 GHz have been used to obtain an explicit time domain model. Every model contains the summation of well-known Debye terms whose parameters have been found by GA. Using these models in the time domain and according to the constitutive relations of dispersive media and Maxwell’s equations, the Gaussian pulse propagation through these tissues is investigated. Based on these wideband time domain analyses, the optimized PMLs and skin depths are determined for these biological tissues. Finally, it should be noted that the time domain analysis of transient pulse propagating through or reflecting from the human skin can help to detect any variation in its permittivity rigorously. This variation may be caused by changing the blood glucose level. R EFERENCES [1] M. Zhadobov, N. Chahat, R. Sauleau, C. Le Quement, and Y. Le Drean, “Millimeter-wave interactions with the human body: State of knowledge and recent advances,” Int. J. Microw. Wireless Technol., vol. 3, no. 2, pp. 237–247, 2011. [2] K. R. Foster, J. L. Schepps, R. D. Stoy, and H. P. Schwan, “Dielectric properties of brain tissue between 0.01 and 10 GHz,” Phys. Med. Biol., vol. 24, no. 6, pp. 1177–1187, Nov. 1979. [3] J. L. Schepps and K. R. Foster, “The UHF and microwave dielectric properties of normal and tumour tissues: Variation in dielectric properties with tissue water content,” Phys. Med. Biol., vol. 25, no. 6, pp. 1149–1159, 1980. [4] W. D. Hurt, “Multiterm Debye dispersion relations for permittivity of muscle,” IEEE Trans. Biomed. Eng., vol. BME-32, no. 1, pp. 60–64, Jan. 1985. [5] S. Gabriel, R. W. Lau, and C. Gabriel, “The dielectric properties of biological tissues: III. Parametric models for the dielectric spectrum of tissues,” Phys. Med. Biol., vol. 41, no. 11, pp. 2271–2293, 1996.

MOHAMMADI-BAGHAEE AND RASHED-MOHASSEL: BROADBAND EXPLICIT TIME DOMAIN MODEL

[6] S. Gabriel, R. W. Lau, and C. Gabriel, “The dielectric properties of biological tissues: II. Measurements in the frequency range 10 Hz to 20 GHz,” Phys. Med. Biol., vol. 41, no. 11, pp. 2251–2269, 1996. [7] O. P. Gandhi and A. Riazi, “Absorption of millimeter waves by human beings and its biological implications,” IEEE Trans. Microw. Theory Techn., vol. MTT-34, no. 2, pp. 228–235, Feb. 1986. [8] S. I. Alekseev and M. C. Ziskin, “Human skin permittivity determined by millimeter wave reflection measurements,” Bioelectromagnetics, vol. 28, no. 5, pp. 331–339, 2007. [9] H. Hwang, J. Yim, J.-W. Cho, C. Cheon, and Y. Kwon, “110 GHz broadband measurement of permittivity on human epidermis using 1 mm coaxial probe,” in IEEE MTT-S Int. Microw. Symp. Dig., vol. 1. Philadelphia, PA, USA, Jun. 2003, pp. 399–402. [10] C. M. Alabaster, “Permittivity of human skin in millimetre wave band,” Electron. Lett., vol. 39, no. 21, pp. 1521–1522, Oct. 2003. [11] N. Chahat, M. Zhadobov, R. Augustine, and R. Sauleau, “Human skin permittivity models for millimetre-wave range,” Electron. Lett., vol. 47, no. 7, pp. 427–428, Mar. 2011. [12] J. Clegg and M. P. Robinson, “A genetic algorithm for optimizing multipole Debye models of tissue dielectric properties,” Phys. Med. Biol., vol. 57, no. 19, pp. 6227–6243, Oct. 2012. [13] J. L. Bolognia, J. L. Jorizzo, and J. V. Schaffer, Dermatology. New York, NY, USA: Saunders, 2012. [14] J. Sandby-Moller, T. Poulsen, and H. C. Wulf, “Epidermal thickness at different body sites: Relationship to age, gender, pigmentation, blood content, skin type and smoking habits,” Acta Dermatol. Venereol., vol. 83, no. 6, pp. 410–413, 2003. [15] P. J. Caspers, G. W. Lucassen, and G. J. Puppels, “Combined in vivo confocal Raman spectroscopy and confocal microscopy of human skin,” Biophys. J., vol. 85, no. 1, pp. 572–580, 2003. [16] J. Welzel, C. Reinhardt, E. Lankenau, C. Winter, and H. H. Wolff, “Changes in function and morphology of normal human skin: Evaluation using optical coherence tomography,” Brit. J. Dermatol., vol. 150, pp. 220–225, Feb. 2004.

2683

[17] P. Sieg, S. G. Hakim, S. Bierwolf, and D. Hermes, “Subcutaneous fat layer in different donor regions used for harvesting microvascular soft tissue flaps in slender and adipose patients,” Int. J. Oral Maxillofacial Surgery, vol. 32, no. 5, pp. 544–547, 2003. [18] S. Diridollou et al., “In vivo model of the mechanical properties of the human skin under suction,” Skin Res. Technol., vol. 6, no. 4, pp. 214–221, Nov. 2000. [19] P. Debye, Polar Molecules. New York, NY, USA: Chemical Catalog Company, Inc., 1929, ch. 5. [20] W. S. Weiglhofer and A. Lakhtakia, Introduction to Complex Mediums for Optics and Electromagnetics. Bellingham, WA, USA: SPIE, 2000. [21] R. Leis, Initial Boundary Value Problems in Mathematical Physics. Chichester, U.K.: Wiley, 1986. [22] C. García-Martínez, M. Lozano, F. Herrera, D. Molina, and A. M. Sánchez, “Global and local real-coded genetic algorithms based on parent-centric crossover operators,” Eur. J. Oper. Res., vol. 185, pp. 1088–1113, Mar. 2008. [23] K. Deep and M. Thakur, “A new crossover operator for real coded genetic algorithms,” Appl. Math. Comput., vol. 188, pp. 895–911, May 2007. [24] S. D. Gedney, “An anisotropic PML absorbing media for the FDTD simulation of fields in lossy and dispersive media,” Electromagnetics, vol. 16, no. 4, pp. 399–415, 1996. [25] C. A. Balanis, Advanced Engineering Electromagnetics. New York, NY, USA: Wiley, 1989.

Reza Mohammadi-Baghaee, photograph and biography not available at the time of publication.

Jalil Rashed-Mohassel (S’73–M’75–SM’07), photograph and biography not available at the time of publication.

2684

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

Design of Pulse Characteristics for Near-Field UWB-SAR Imaging Daniel Oloumi, Student Member, IEEE, Jui-Wen Ting, and Karumudi Rambabu, Member, IEEE Abstract— In this paper, the design of pulse characteristics to achieve the desired image resolution for near-field synthetic aperture radar is presented. Gaussian and chirp pulses, which are the most commonly used pulses for ultra-wideband (UWB) radar applications, are considered in this paper. The effect of the pulse shape, bandwidth, integration angle, and signal-tonoise ratio (SNR) of the received pulse on the image resolution is comprehensively studied. To enhance the image resolution, preprocessing of the received pulses with envelope detection or match filtering are also studied. The range and cross-range resolutions achieved by Gaussian and chirp pulses with the same center frequency and bandwidth at various SNR values are compared. This paper shows that the Gaussian pulse with envelope detection provides better image resolution, whereas the chirp pulse with match filtering provides more resistance to noise. Closed-form equations and design guidelines are developed to design the input pulse characteristics to achieve the desired image resolution. The antennas’ effect on UWB pulses and the developed equation for cross-range resolution, are both validated using fullwave simulations and measurements. Index Terms— Radar image resolution, range and cross-range resolution, synthetic aperture radar (SAR), ultrawideband (UWB) radar.

I. I NTRODUCTION

U

LTRA-WIDEBAND (UWB) radar technology for imaging applications has been a very popular research topic in the industry and academia. UWB signals have an excellent spatial resolution and good penetration into dielectric materials, which makes them the best choice for imaging applications. UWB radars have a wide range of uses, not only in military applications but also in commercial tasks such as data and image acquisition of disaster areas, map building, buried object imaging, search and rescue, as well as in medical imaging [1]–[10]. A combination of UWB technology with synthetic aperture radar (SAR) principles is a highly soughtafter method for high-resolution imaging [11]–[14]. Extensive research has been conducted on the UWB and SAR technologies and their applications over the past decade [15], [16]. The research showed that UWB-SAR radar systems are capable of providing high-resolution images in both range and crossrange directions [17].

Manuscript received June 8, 2015; revised September 14, 2015, December 1, 2015, and June 16, 2016; accepted June 21, 2016. Date of publication July 13, 2016; date of current version August 4, 2016. This work was supported by the Natural Sciences and Engineering Research Council of Canada (NSERC), by Killam and AITF scholarships, and by the IEEE MTT-S Graduate Fellowship. The authors are with the Electrical and Computer Engineering Department, University of Alberta, Edmonton, AB T6G 2V4, Canada (e-mail: [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2585484

Radar resolution in range and cross-range directions have been defined in different ways [18]. However, the design guidelines for pulse characteristics to achieve the desired resolution or its bandwidth requirements, considering the antenna effect on the transmitted and received pulses, have not been discussed in the literature. Radar image resolution depends on various factors such as pulse shape, pulsewidth or frequency bandwidth, preprocessing of the raw data, and aperture length of the measurements. The effect of various UWB antennas on pulse characteristics, during transmission and reception, have been studied in [19]. It is shown that most of the antennas, especially aperture antennas, time differentiate the pulse in transmission mode, in addition to the pulse distortion due to the finite bandwidth and impedance mismatch of the antenna [20]. Similar effects are also found in antenna arrays of different polarizations [21], [22]. In general, the antenna impulse response in transmission mode is the time derivative of the antenna impulse response in the receiving mode [23]. Hence, for aperture antennas there is no effect of the antenna on the pulse in the receiving mode. However, the transmitted pulse shape and its frequency spectrum differ from that of the input pulse. Therefore, the antenna effect should be taken into account for radar resolution calculations. Moreover, it is found that the target also has an effect on the scattered pulse characteristics; however, it is negligible for near-distance measurements [24]. In this paper, different pulse characteristics for UWB-SAR near-field imaging and near-distance measurements are comprehensively studied. This paper is organized as follows. In Section II, the effect of preprocessing techniques such as envelope detection [25] and/or match filtering [26] on resolution enhancement is investigated. The most commonly used radar pulses such as Gaussian and chirp pulses are considered in this paper, and analyzed for the best possible resolution with respect to the frequency bandwidth and signal-to-noise ratio (SNR). Closed-form expressions for the resolution in the range and cross-range directions for both Gaussian and chirp pulses are presented in Section III. Section IV discusses the design guidelines to select the pulse characteristics to achieve the desired resolution. II. UWB R ADAR R ANGE R ESOLUTION Range resolution is one of the most important aspects of the radar system design, particularly for high-resolution imaging radars. Therefore in this paper, the effects of pulse shape, bandwidth, and preprocessing of the received pulses on the range resolution are considered. Furthermore, the effect of

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

OLOUMI et al.: DESIGN OF PULSE CHARACTERISTICS FOR NEAR-FIELD UWB-SAR IMAGING

2685

SNR on the received pulse is also studied. In this section, the best achievable range resolution using Gaussian and chirp pulses are investigated and compared. The criterion for range resolution is that peaks of the overlapped reflected pulses should be separated with at least half their peak values. Range resolution of radar for a square wave is defined as [18] c (1) R = 2 · BW where c and BW are the speed of light and the zerocrossing bandwidth of the pulse, respectively. In addition to the frequency bandwidth, the effect of the pulse shape on the range resolution is also considered in this paper. The first derivative Gaussian pulse is the most suited pulse for radar applications due to its zero dc content. The radiated pulse from the transmitting antenna for the first derivative Gaussian input pulse is the second derivative Gaussian pulse [19]. The radiated pulse bandwidth should be considered for all frequency specifications and spectral regulations. A. Gaussian and Chirp Pulses The mathematical representation of the first derivative Gaussian pulse is written as   −2t d 2 (sg (t)) = e−(t /τ ) (2) dt τ2 where sg (t) is the Gaussian pulse, and t and τ are the time and the time constant, respectively. The second derivative Gaussian pulse is written as  2    2 d2 −(t /τ )2 2t e (sg (t)) = −1 . (3) dt 2 τ2 τ2 The chirp pulse is the other commonly used pulse for radar applications. The mathematical representation of the chirp pulse is   t 2 sc (t) = rect (4) e j 2π f c t + j π K t Tp where sc (t) is the chirp pulse, K , rect, T p and fc are the chirp rate, the rectangular function of time, pulse duration, and center frequency, respectively. Unlike the Gaussian pulse, the radiated chirp pulse is again a chirp pulse without any change in its pulse shape. For the sake of comparison, the pulsewidth of the second derivative Gaussian pulse and the frequency band of the chirp pulse are adjusted such that they provide the same frequency bandwidth and center frequency. For instance, the second derivative Gaussian pulse and the chirp pulse with 10 GHz bandwidth, −10 dB crossing points, and their corresponding spectrum are shown in Fig. 1. For the study of range resolution, pulses with frequency bandwidths of 5, 10, and 20 GHz are considered. B. Preprocessing of the Received Pulses The range resolution can be improved by preprocessing the received pulses. Match filtering [26] and envelope detection [25] are applied to the received chirp and the second derivative Gaussian pulses, respectively. The match filtering

Fig. 1. EM pulses. (a) Gaussian pulse. (b) Chirp pulse. (c) Gaussian pulse spectrum. (d) Chirp pulse spectrum.

technique is also known as pulse compression. Match filtering is defined as sm (t) = sc (t) ⊗ sc (−t)∗

(5)

where sm (t) is the match filtered pulse, and ⊗ and ∗ represent time-domain convolution and conjugate operators, respectively. The compressed pulse of the original chirp pulse,

2686

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

TABLE I S ECOND D ERIVATIVE G AUSSIAN P ULSE R ANGE R ESOLUTION

TABLE II T HIRD D ERIVATIVE G AUSSIAN P ULSE R ANGE R ESOLUTION

It should be noted that using the 10 GHz second derivative Gaussian pulse, without a Hilbert transform, the two targets can only be resolved if they are separated at least by 9 mm. This shows that preprocessing of the received pulses improves the radar range resolution. C. Achievable Range Resolution Using Gaussian and Chirp Pulses

Fig. 2. Preprocessed pulses. (a) Autocorrelated chirp pulse. (b) Detected compressed chirp pulse. (c) Detected envelope Gaussian pulse.

shown in Fig. 1(b), is shown in Fig. 2(a). The pulsewidth of the compressed pulse is reduced from 1 ns to 130 ps. The match filtered chirp pulse reflected by two sequential point targets separated by 8.5 mm is shown in Fig. 2(b). For the chirp pulse of 10-GHz bandwidth, according to the defined condition for pulse resolution, the best achievable range resolution is 8.5 mm. The received Gaussian pulse is preprocessed using envelope detection. A Hilbert transform is applied to detect the envelope of the received Gaussian pulse [25]. The pulse envelope can be written as sev (t) = |ssdg (t) + j H {ssdg(t)}|

(6)

where sev (t) is the envelope of the pulse, and ssdg (t) is the second derivative Gaussian pulse. The received Gaussian pulse and its envelope reflected by two sequential point targets separated by 7.5 mm is shown in Fig. 2(c). As can be seen after envelope detection, the two targets are clearly resolved, whereas they were not in the received Gaussian pulse.

In this section, a comparison of the maximum achievable range resolution using chirp and second derivative Gaussian pulses is presented. The effect of the SNR on the range resolution is also investigated. The range resolution of Gaussian pulses with different frequency bandwidths for near and far-field measurements are summarized in Tables I and II. The best range resolution is achieved by envelope detection of the received pulse using the Hilbert transform. The relationship between the pulsewidth and the range resolution can be written as cX FWHM (7) 2 where X is the full width at half maximum (FWHM) of the Gaussian pulse. For far-field conditions, the radiated pulse undergoes another time differentiation due to the impulse response of the target [24]; hence the received pulse is the third derivative Gaussian pulse. However, the reflected pulse from a target in near-field measurements is an attenuated version of the incident pulse, or inverted version if the target is metal. The range resolutions in Tables I and II are comparable. Therefore, for the rest of this paper the second derivative Gaussian pulse, which is resulted from near-field measurements, is considered. The range resolution achieved by the chirp pulse using match filtering is given in Table III. It is a known fact that the range resolution improves with the bandwidth of the pulse. It is also R =

OLOUMI et al.: DESIGN OF PULSE CHARACTERISTICS FOR NEAR-FIELD UWB-SAR IMAGING

2687

TABLE III

TABLE V

C HIRP P ULSE R ANGE R ESOLUTION

R ANGE R ESOLUTION FOR VARIOUS SNR VALUES OF C HIRP P ULSE

TABLE IV R ANGE R ESOLUTION FOR VARIOUS SNR VALUES OF G AUSSIAN P ULSE

clear from Tables I and III that the Gaussian pulse provides a better range resolution than the chirp pulse. The SNR of the received pulse is another important factor that can affect the range resolution. Here, the effect of noise is studied by adding white Gaussian noise to the pulse with a specified SNR. The range resolution of the Gaussian and chirp pulses with various SNR values are summarized in Tables IV and V, respectively. All the range dimensions are in millimeters. Results show that the range resolution decreases with the SNR of the received pulse, and the chirp pulse has more resistance to the noise than the Gaussian pulse. Fig. 3(a) and (b) shows the second derivative Gaussian and the chirp pulse of the 10 GHz bandwidth with an SNR of −35 dB, reflected from targets separated by 8.5 mm, respectively. The received pulses are completely merged into noise, and are undetectable. However, the received signal can be recovered by reducing the noise through time averaging the received pulses [18]. Table IV shows the minimum number of pulses N, required for averaging to detect the second derivative Gaussian pulse from the noise with respect to the pulse bandwidth. Similarly, Table V shows the case of the chirp pulse. Furthermore, it is observed that increasing the number of pulses for averaging beyond the values specified in Tables IV and V does not provide additional improvements in the range resolution.

Fig. 3. Received pulses with and without noise for (a) second derivative Gaussian pulse with Hilbert transform and (b) matched filtered chirp pulse.

III. UWB-SAR I MAGE R ESOLUTION The quality of the radar image depends on both the range and cross-range resolution of the radar. To investigate the effect of the radiated pulse characteristics on the SAR image, the range and cross-range resolutions of both the Gaussian and the chirp pulses are considered. The raw data are generated using MATLAB simulations by scanning the targets as shown

2688

Fig. 4.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

SAR measurement procedure.

Gaussian pulse is able to resolve the targets. In Table I, it is shown that the reflected pulses of the same bandwidth can resolve the interpoint target distance of 7.5 mm; however, in the SAR image, the resolution achieved is 9 mm. This is due to image smearing. By applying the image processing techniques presented in [28] and [29] on the SAR image, it may be possible to improve the resolution to match that in Table I. The cross-range resolution is the minimum resolvable distance between two side-by-side targets by radar. In general, it depends on the antenna beamwidth, i.e., the sharpness of the main beam. Hence, to achieve high cross-range resolution, a physically large antenna is required. However, the SAR processing method has the ability to produce very high crossrange resolution using electrically small antennas. The achievable cross-range resolution by a narrow-band SAR (see Fig. 4) is expressed as [14] H ·λ (8) L where H , L, and λ are altitude, aperture length of the measurements, and wavelength of the radiated signal, respectively. It is clear that for narrow-band radars, the cross-range resolution depends on the integration angle, i.e., the ratio of the altitude to the aperture length of the measurements. However, the cross-range resolution of UWB-SAR does not strictly follow (8). For UWB radar systems, the cross-range resolution depends on the bandwidth of the radiated pulse, integration angle, and preprocessing of the received pulses. In this paper, the cross-range resolution is obtained from the reconstructed SAR images. The reconstructed image of two targets separated by 9 mm in the same slant range [18] is shown in Fig. 6. The second derivative Gaussian pulse with a 10-GHz bandwidth [see Fig. 1(a)] is used to generate the raw data. The targets are not resolved in the raw data; however, they are resolved in the reconstructed SAR image. The cross-range resolution of the SAR image for various pulse bandwidths and integration angles is also studied. Fig. 6(c) shows the maximum achievable cross-range resolution of the matched filtered chirp pulse and the second derivative Gaussian pulse with envelope detection. A closed-form expression (9) is empirically derived to establish a relationship among achievable cross-range resolution, radiated pulse bandwidth, and integration angle CR =

Fig. 5. SAR image reconstruction of compressed chirp, Gaussian, and envelope detected Gaussian pulse. (a) Raw data of compressed chirp. (b) Reconstructed image of (a). (c) Raw data of Gaussian. (d) Reconstructed image of (c). (e) Raw data of envelope detected Gaussian. (f) Reconstructed image of (e).

in Fig. 4 over the aperture length. The raw data are collected from two targets separated by 9 mm in the range direction using second derivative Gaussian and chirp pulses. The SAR images shown in Fig. 5 are generated using compressed chirp pulse and Gaussian pulse with and without envelope detection. The target signature appears as a hyperbola in the raw data; however, the radar image is reconstructed and focused using the time domain global back projection method [17], [27]. The reconstructed images are shown in the right column of Fig. 5. As can be noted from the images, neither the compressed chirp pulse nor the Gaussian pulse without envelope detection is able to resolve the targets. However, the envelope detected

f (ξ, θ ) = p00 + p10 ξ + p01 θ + p20 ξ 2 + p11 ξ θ + p02θ 2 + p30ξ 3 + p21 ξ 2 θ + p12ξ θ 2 + p03 θ 3

(9)

where ξ , θ , and p are the −10 dB bandwidth in gigahertz, the integration angle in degrees, and the coefficients described in Table VI, respectively. This relationship is valid for the pulse bandwidth between 5 and 20 GHz, and the integration angle between 50° and 175°. The coefficients for the chirp and second derivative Gaussian pulse are given in Table VI. As can be seen, a higher integration angle and a wider bandwidth provide a higher cross-range resolution. It is also observed that the radiated pulse shape has some effect on the range and cross-range resolutions. It is shown that the second derivative Gaussian pulse has better performance for image resolution, whereas the chirp pulse shows better resistance

OLOUMI et al.: DESIGN OF PULSE CHARACTERISTICS FOR NEAR-FIELD UWB-SAR IMAGING

2689

TABLE VI C ROSS -R ANGE R ESOLUTION E QUATION

Fig. 6. (a) Raw data. (b) Reconstructed image for two side-by-side targets at 9 mm distance. (c) UWB SAR cross-range resolution.

to noise at a given SNR value. The chirp pulse is a better choice for the case of a low SNR value. Nonetheless, generating a chirp pulse is more complicated than generating a Gaussian pulse, particularly for a wider frequency bandwidth. A Gaussian pulse can be generated using a simple circuit [30], and can provide a higher range and cross-range resolution than other practical pulses. To illustrate the range and crossrange resolution the raw data for four point targets separated by 9 mm using a 10-GHz bandwidth second derivative Gaussian pulse with envelope detection is generated and shown in Fig. 7(a). The reconstructed SAR image is shown in Fig. 7(b). As can be seen, all the four targets are resolved in both the directions, whereas they were merged in the raw data. IV. G UIDELINES FOR D ESIGN OF P ULSE C HARACTERISTICS Generally for imaging radars, the main design criteria are the image resolutions. The desired image resolution can

Fig. 7. (a) Raw data. (b) Reconstructed SAR of four targets separated by 9 mm.

be achieved by selecting the optimal pulse bandwidth and integration angle. A tradeoff can be made between the pulse bandwidth and the integration angle based on either spectral regulations or experimental restrictions. The range resolution depends on the radiated pulse bandwidth or its pulsewidth. Either a Gaussian or a chirp pulse can be chosen depending on the application. In the case for a Gaussian pulse, the FWHM of the pulse can be estimated. Using (9), it is possible to calculate the required radiated pulse bandwidth for a given integration angle to achieve the desired cross-range resolution or vice versa. Characteristics of the input pulse for

2690

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

the transmitting antenna to achieve the required bandwidth of the radiated pulse can be calculated. For a second derivative Gaussian radiated pulse, the transmitting antenna should be fed with the first derivative Gaussian pulse. For the second derivative Gaussian pulse, the FWHM of the pulse and the −10 dB frequency bandwidth are related through the following approximation: 0.83 (10) 2BW where χ is the FWHM pulsewidth and BW is the −10 dB bandwidth. The accuracy of this approximation is over 95% for all frequency ranges. The corresponding pulsewidth can be calculated for the radiated pulse. The time constant τ of the second derivative Gaussian pulse can be calculated using (3) and (10)   χ 2 χ2 −( 2τ ) 1 − 2 − 1 = 0. (11) 2e 2τ χ=

Solving (11), the τ value can be calculated. Characteristics of the input pulse, which is the first derivative Gaussian pulse, can be estimated using (2) by the value of τ . The peak value √ of the first derivative Gaussian pulse occurs at t = ±(τ/ 2). The FWHM pulsewidth of the first derivative Gaussian pulse can be calculated by solving the following for T1 and T2 :   τ − √ ±T1,2 2   4.66 τ τ2 − 1 = 0. (12) √ ± T1,2 e τ 2 The pulsewidth of the first derivative Gaussian input pulse is T1 + T2 . Unlike the Gaussian pulse design, obtaining the chirp pulse parameters is easier as the antenna does not change the shape of the radiated pulse. The radiated chirp pulse will be in the same shape except for some distortions at the pulse edges. The chirp pulse can be designed using (4). Based on the required bandwidth to obtain the desired resolution, f c and K can be calculated. In addition to the bandwidth, a higher center frequency provides a higher resolution as well, which has to be considered in the chirp pulse design. The pulsewidth T p does not have a direct effect on the resolution. V. E XPERIMENTAL V ERIFICATION OF THE C ALCULATED UWB SAR C ROSS -R ANGE R ESOLUTION A. Measurement Setup and UWB Pulse In this section, the proposed design procedure for UWB radar cross-range resolution, shown in Fig. 6(c), is verified using a set of systematic measurements. The UWB radar measurement setup is shown in Fig. 8. As can be seen, a UWB radar system contains a UWB transmitter (Tx) and receiver (Rx). The transmitter module contains a pulse generator, impulse forming network (IFNs), and Tx antenna, whereas the receiver module contains an Rx antenna and an oscilloscope. The pulse generator (AVTECH AVP-3SA-C) generates a train of 10 V, 50 ps rise-time steps at 1 MHz pulse repetition frequency. Two IFNs (PSPL 5210) shape the generated step pulse into a first derivative Gaussian pulse. The oscilloscope is an Agilent DCA 86100B that samples the

Fig. 8.

UWB radar system schematic.

signal at 40 GS/s. Time averaging is performed on the received pulses to improve the SNR. Here, the generated first derivative Gaussian pulse with a 10-GHz bandwidth, shown in Fig. 9, is used as the transmitted pulse. B. Imaging of Metal Strips Using UWB Radar The shape of the radiated pulse is affected by the radar antennas. These effects have to be considered for estimating the image resolution. As explained earlier, the Tx antenna differentiates the input pulse while radiating. Moreover, here the antenna’s return loss limits the bandwidth of the radiated pulse. In this experiment, a set of Vivaldi antennas with 10-dB return loss bandwidth from 3.2 to 12 GHz is used. One of the most popular UWB antennas is the antipodal Vivaldi antenna. It is an impedance transformer that matches the impedance of the feed line to the impedance of the medium of propagation over a broad range of frequencies. Therefore, an antipodal Vivaldi antenna is a very good candidate for UWB radar applications [31], [32]. To estimate the bandwidth and shape of the radiated pulse, full wave simulation using CST Microwave Studio is carried out. The simulated quasi-monostatic antenna configuration is shown in Fig. 10(a). In the simulation, the generated first derivative Gaussian pulse [Fig. 9(a)] is fed to the Tx antenna, and the output pulse is measured using

OLOUMI et al.: DESIGN OF PULSE CHARACTERISTICS FOR NEAR-FIELD UWB-SAR IMAGING

2691

Fig. 9. Measured first derivative Gaussian pulse. (a) Time domain. (b) Frequency spectrum.

voltage probes placed in front of the antenna aperture at 60, 90, 120, and 150 mm. The shape of the received pulses at various distances and their frequency spectrum are shown in Fig. 10(b) and (c), respectively. As can be seen, the shape of the received pulse is the second derivative Gaussian pulse and has 8 GHz of bandwidth, from 3 to 11 GHz. The SAR cross-range resolution depends on the characteristics of the radiated pulse and the antenna beamwidth. The Vivaldi antenna has about 70° of half-power beamwidth. Therefore, the cross-range resolution is calculated based on the integration angles up to a maximum of 90°. To verify (9), and error calculation cross-range resolutions for integration angles of 50°, 60°, 70°, 80°, and 90° for a Gaussian pulse with an 8-GHz bandwidth are experimentally investigated. The near-field radar imaging setup is shown in Fig. 11. Radar transceiver (TRx) is realized by bundling two Vivaldi antennas, separated by a 12.7-mm-thick foam. The copper metal strips dimensions are 4 mm × 15 mm. The distance between the metal strips and the first point of mutual coupling between the antennas is 5 cm. The aperture length is calculated based on the integration angle and distance to the metal strips as follows:    θint (13) L = 2h tan 2 where L, h, and θint are aperture length, distance to the target, and integration angle, respectively. The calculated aperture length and the minimum resolvable distance between the targets which satisfies (9) are summarized in Table VII. Raw data for different integration angles are measured by moving the TRx over the metal strips for different aperture lengths. The measured raw data and reconstructed SAR image

Fig. 10. (a) Simulated structure, antenna output pulses. (b) Time domain. (c) Frequency domain.

Fig. 11.

Near-field radar imaging setup.

for 50° integration angle is shown in Fig. 12(a) and (b). As can be seen, the merged targets in the raw data are resolved in the reconstructed image. By observing the intensity of the image, two metal strips can be identified. As can be seen, the edgeto-edge distance between the metal strips is about 31.3 mm, which is very close to the calculated value. The measurement results for the other integration angles are shown in Table VII. The measurement results confirmed the calculated values for the cross-range resolution with minimal error, which are also shown in Table VII.

2692

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 8, AUGUST 2016

R EFERENCES

Fig. 12. (a) Raw data for 50◦ integration angle. (b) Reconstructed image of metal strips. TABLE VII E XPERIMENT S CENARIOS , M EASUREMENT R ESULTS , AND E RRORS

VI. C ONCLUSION The antenna effect on the radiated pulse and its pulse characteristics on the SAR image resolution are studied. The radar range and cross-range resolution as a function of the radiated pulse characteristics, integration angle, and SNR are presented. Closed-form expressions for range and cross-range resolutions are developed. It is shown that a Gaussian pulse with envelope detection can provide a better resolution than a chirp pulse with match filtering at higher SNR values. However, the chirp pulse has more resistance to noise at the given SNR value. A design guideline for the radar image resolution for near-field SAR radars is provided. The designed guideline for the cross-range resolution is verified using fullwave simulations and measurements. ACKNOWLEDGMENT The authors would like to thank Dr. A. Tan and Dr. K. Chan for discussions on the various concepts of UWB radar design methods.

[1] J. Li, Z. Zeng, J. Sun, and F. Liu, “Through-wall detection of human being’s movement by UWB radar,” IEEE Geosci. Remote Sens. Lett., vol. 9, no. 6, pp. 1079–1083, Nov. 2012. [2] L. Li, A. E. C. Tan, K. Jhamb, and K. Rambabu, “Buried object characterization using ultra-wideband ground penetrating radar,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 8, pp. 2654–2664, Aug. 2012. [3] D. Oloumi, P. Mousavi, M. I. Pettersson, and D. G. Elliott, “A modified TEM horn antenna customized for oil well monitoring applications,” IEEE Trans. Antennas Propag., vol. 61, no. 12, pp. 5902–5909, Dec. 2013. [4] V. Venkatasubramanian, H. Leung, and X. Liu, “Chaos UWB radar for through-the-wall imaging,” IEEE Trans. Image Process., vol. 18, no. 6, pp. 1255–1265, Jun. 2009. [5] G. L. Charvat, L. C. Kempel, E. J. Rothwell, C. M. Coleman, and E. L. Mokole, “A through-dielectric radar imaging system,” IEEE Trans. Antennas Propag., vol. 58, no. 8, pp. 2594–2603, Aug. 2010. [6] D. Oloumi, M. I. Pettersson, P. Mousavi, and K. Rambabu, “Imaging of oil-well perforations using UWB synthetic aperture radar,” IEEE Trans. Geosci. Remote Sens., vol. 53, no. 8, pp. 4510–4520, Aug. 2015. [7] D. W. Winters, J. D. Shea, E. L. Madsen, G. R. Frank, B. D. Van Veen, and S. C. Hagness, “Estimating the breast surface using UWB microwave monostatic backscatter measurements,” IEEE Trans. Biomed. Eng., vol. 55, no. 1, pp. 247–256, Jan. 2008. [8] E. C. Fear, J. Bourqui, C. Curtis, D. Mew, B. Docktor, and C. Romano, “Microwave breast imaging with a monostatic radar-based system: A study of application to patients,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 5, pp. 2119–2128, May 2013. [9] E. M. Staderini, “UWB radars in medicine,” IEEE Aerosp. Electron. Syst. Mag., vol. 17, no. 1, pp. 13–18, Jan. 2002. [10] D. Oloumi, P. Boulanger, A. Kordzadeh, and K. Rambabu, “Breast tumor detection using UWB circular-SAR tomographic microwave imaging,” in Proc. 37th Annu. Int. Conf. IEEE Eng. Med. Biol. Soc. (EMBC), Aug. 2015, pp. 7063–7066. [11] I. Y. Immoreev and J. D. Taylor, “Ultrawideband radar special features & terminology,” IEEE Aerosp. Electron. Syst. Mag., vol. 20, no. 5, pp. 13–15, May 2005. [12] J. D. Taylor, Ed., Ultra-Wideband Radar Technology. New York, NY, USA: Taylor & Francis, 2000. [13] S. Azevedo and T. E. McEwan, “Micropower impulse radar,” IEEE Potentials, vol. 16, no. 2, pp. 15–20, Apr./May 1997. [14] M. Soumekh, Synthetic Aperture Radar Signal Processing With MATLAB Algorithms. New York, NY, USA: Wiley, 1999. [15] V. T. Vu, T. K. Sjögren, M. I. Pettersson, and A. Gustavsson, “Definition on SAR image quality measurements for UWB SAR,” Proc. SPIE, vol. 7109, pp. 71091A-1–71091A-9, Oct. 2008. [16] V. T. Vu, T. K. Sjögren, M. I. Pettersson, and H. Hellsten, “An impulse response function for evaluation of UWB SAR imaging,” IEEE Trans. Signal Process., vol. 58, no. 7, pp. 3927–3932, Jul. 2010. [17] D. Oloumi, “Oil well monitoring by ultra-wideband ground penetrating synthetic aperture radar,” M.S. thesis, Dept. Elect. Eng., Blekinge Inst. Technol., Karlskrona, Sweden, 2012. [18] M. I. Skolnik, Radar Handbook, 3rd ed. New York, NY, USA: McGraw-Hill, 2008. [19] K. Rambabu, A. E.-C. Tan, K. K.-M. Chan, and M. Y.-W. Chia, “Estimation of antenna effect on ultra-wideband pulse shape in transmission and reception,” IEEE Trans. Electromagn. Compat., vol. 51, no. 3, pp. 604–610, Aug. 2009. [20] A. E.-C. Tan, K. Jhamb, and K. Rambabu, “Design of transverse electromagnetic horn for concrete penetrating ultrawideband radar,” IEEE Trans. Antennas Propag., vol. 60, no. 4, pp. 1736–1743, Apr. 2012. [21] K. K.-M. Chan, A. E.-C. Tan, and K. Rambabu, “Decade bandwidth circularly polarized antenna array,” IEEE Trans. Antennas Propag., vol. 61, no. 11, pp. 5435–5443, Nov. 2013. [22] A. E.-C. Tan, M. Y.-W. Chia, and K. Rambabu, “Design of ultrawideband monopulse receiver,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 11, pp. 3821–3827, Nov. 2006. [23] M. Kanda, “Time domain sensors for radiated impulsive measurements,” IEEE Trans. Antennas Propag., vol. AP-31, no. 3, pp. 438–444, May 1983. [24] L. Li, A. E.-C. Tan, K. Jhamb, and K. Rambabu, “Characteristics of ultra-wideband pulse scattered from metal planar objects,” IEEE Trans. Antennas Propag., vol. 61, no. 6, pp. 3197–3206, Jun. 2013.

OLOUMI et al.: DESIGN OF PULSE CHARACTERISTICS FOR NEAR-FIELD UWB-SAR IMAGING

[25] S. A. Tretter, Communication System Design Using DSP Algorithms: With Laboratory Experiments for the TMS320C6713 DSK (Infor. Technol.: Transmission, Processing and Storage). New York, NY, USA: Springer Science+Business Media LLC, 2008. [26] B. R. Mahafza, Radar Systems Analysis and Design Using MATLAB, 2nd ed. New York, NY, USA: Taylor & Francis, 2005. [27] L.-E. Andersson, “On the determination of a function from spherical averages,” SIAM J. Math. Anal., vol. 19, no. 1, pp. 214–232, 1988. [28] N. Pena, G. Garza, Y. Cao, and Z. Qiao, “Edge detection of real synthetic aperture radar images through filtered back projection,” in Proc. Int. Conf. Syst. Inform. (ICSAI), May 2012, pp. 1910–1913. [29] A. C. Kak and M. Slaney, Principles of Computerized Tomographic Imaging (Classics in Appl. Math.). Philadelphia, PA, USA: SIAM, 2001. [30] K. K.-M. Chan, K. Rambabu, A. E.-C. Tan, and M. Y.-W. Chia, “Efficient passive low-rate pulse generator for ultra-wideband radar,” IET Microw., Antennas Propag., vol. 4, no. 12, pp. 2196–2199, Dec. 2010. [31] J. Bai, S. Shi, and D. W. Prather, “Modified compact antipodal Vivaldi antenna for 4–50-GHz UWB application,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 4, pp. 1051–1057, Apr. 2011. [32] P. Fei, Y.-C. Jiao, W. Hu, and F.-S. Zhang, “A miniaturized antipodal Vivaldi antenna with improved radiation characteristics,” IEEE Antennas Wireless Propag. Lett., vol. 10, pp. 127–130, 2011.

Daniel Oloumi (S’09) received the M.Sc. degree in electrical engineering (with an emphasis on radio communications) from the Blekinge Institute of Technology, Karlskrona, Sweden. He is currently pursuing the Ph.D. degree with the Department of Electrical and Computer Engineering, University of Alberta, Edmonton, AB, Canada. He has been involved in the design, fabrication, and measurement of microwave and antenna components since 2007. His current research interests include ultra-wideband (UWB) radar systems and radar imaging and signal processing techniques for industrial and biomedical applications. Mr. Oloumi was a recipient of the Andrew Steward Memorial Graduate Prize and the Izaak Walton Killam Award, which is the most prestigious award administered by the University of Alberta. He was also a recipient of the AITF and NSERC IPS2 scholarships, the Best M.Sc. Thesis, and the MTT graduate fellowship awards for his work on oil well monitoring using UWB radar technology.

2693

Jui-Wen Ting received the B.Eng. degree in electrical and computer engineering from the University of Alberta, Edmonton, AB, Canada, in 2012, where she is currently pursuing the M.Sc. degree with the Department of Electrical and Computer Engineering. Her current research interests include antenna, microwave circuits, frequency-modulated continuous wave radar systems, and radar imaging. Ms. Ting was a recipient of the Queen Elizabeth Graduate Student Award.

Karumudi Rambabu (M’14) received the Ph.D. degree in electrical and computer engineering from the University of Victoria, Victoria, BC, Canada, in 2005. He was a Research Member with the Institute for Infocomm Research, Singapore, from 2005 to 2007. Since 2007, he has been an Assistant Professor with the Department of Electrical and Computer Engineering, University of Alberta, Edmonton, AB, Canada, where he is currently an Associate Professor. He is currently involved in oil well monitoring, pipeline inspection, through wall imaging, vital sign monitoring, and biopsy needle guiding using ultra-wideband (UWB) radar systems. His current research interests include design and development of UWB technology, and components and systems for various applications. Dr. Rambabu was the recipient of the Andy Farquharson Award for excellence in graduate student teaching from the University of Victoria in 2003 and the Governor Generals Gold Medal for the Ph.D. research in 2005. He served as an Associate Editor for the International Journal of Electronics and Communications.

Digital Object Identifier 10.1109/TMTT.2016.2593934

Digital Object Identifier 10.1109/TMTT.2016.2593951

Digital Object Identifier 10.1109/TMTT.2016.2593935

Editors-in-Chief Dominique Schreurs c/o Mrs. Enas Kandil, Editorial Assistant KU Leuven, Div. ESAT-TELEMIC Kasteelpark Arenberg 10 B-3000 Leuven Belgium E-mail:[email protected] or [email protected]

Jenshan Lin c/o Mrs. Marcia Hensley, Editorial Assistant University of Florida 1064 Center Drive, NEB 559 Gainesville, FL 32611 USA E-mail: [email protected] or [email protected]

Information for Authors The IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES focuses on that part of engineering and theory associated with microwave/millimeter-wave components, devices, circuits, and systems involving the generation, modulation, demodulation, control, transmission, and detection of microwave signals. This includes scientific, technical, and industrial, activities. Microwave theory and techniques relates to electromagnetic waves usually in the frequency region between a few MHz and a THz; other spectral regions and wave types are included within the scope of the Society whenever basic microwave theory and techniques can yield useful results. Generally, this occurs in the theory of wave propagation in structures with dimensions comparable to a wavelength, and in the related techniques for analysis and design. I. Paper Submission in Electronic Form Authors need to visit the website http://www.mtt.org/transactions/34-author-information-transactions.html for the author instructions. To reduce time from submission to publication of papers, the editorial office accepts manuscripts only in electronic form as .pdf files and all communications with authors will be via email. The files must not be larger than 1MB and no *.zip files are accepted. Submissions should be submitted through the ScholarOne Manuscripts site at: http://mc.manuscriptcentral.com/tmtt-ieee and use the templates provided under http://www.ieee.org/publications standards/publications/authors/authors journals.html (Template for all Transactions (except IEEE Transactions on Magnetics), two-column template; can also be requested from the editorial office). Figures, graphs and all other necessary information for reviewing the manuscript must be included in this file (as opposed to being attached to it as separate files) and placed at appropriate locations within the text rather than at the end: • The abstract must be self-contained, without abbreviations, footnotes, or references. It should be no more than 250 words. It must be written as one paragraph, and should not contain displayed mathematical equations or tabular material. • IEEE supports the publication of author names in the native language alongside the English versions of the names in the author list of an article. For more information, please visit the IEEE Author Digital Tool Box at: http://www.ieee.org/publications standards/publications/authors/auth names native lang.pdf • Figures should be large enough to be easily readable on a computer screen and on paper when printed out. • A photograph of any component or circuit presented must be included. • If, at the decision of the Editor, the component or circuit can be fabricated, measured characteristics must be included. • All papers with theoretical contributions must have independent verification with measurement-based validation strongly preferred. • Instrument screen captures are not suitable for publication and the data should be replotted. • The print version of the paper will be in black and white, but color figures may be used in the electronic version of the paper. • Axes should be labeled with large lettering. • Whenever possible, theory and corresponding experimental results should be printed on the same graph for easy comparison. • Follow the Guidelines for Author-Supplied Electronic Text and Graphics available for download at the above website. • The minimum paper length is 4 pages, excluding the authors’ photos and biographies. Short papers of three pages or less should be sent to the IEEE M ICROWAVE AND W IRELESS C OMPONENTS L ETTERS. The font size is specified in the templates. TeX and LaTeX users must use scalable fonts rather than bitmapped fonts to allow easy reading of .pdf files on the computer screen. • This publication accepts graphical abstracts, which must be peer reviewed. For more information about graphical abstracts and their specifications, please visit: http://www.ieee.org/publications standards/publications/graphical abstract.pdf • ORCID Required: All IEEE journals require an Open Researcher and Contributor ID (ORCID) for all authors. To create an ORCID, please visit: https://orcid.org/register. The author will need a registered ORCID in order to submit a manuscript or review a proof in this journal. Note: Manuscripts that are related to material submitted to or published at conferences are considered only if the content is significantly updated or contains material of substantially complementary nature. Authors must reference all of their previous papers that are similar. Please attach .pdf files of previous papers and clearly state (on a separate page) the difference with respect to the current submission. Failure to disclose prior papers by the authors that are similar will be rejected. II. Final Submission Format After a manuscript has been accepted for publication, the author will be requested to provide an electronic copy of the final version of the manuscript in pdf format; Microsoft Word is the preferred format for this final submission, although TEX and LATEX formats are also acceptable. Note: Although we require a .pdf file of the manuscript for the review process, this format is not acceptable (neither is .ps) for the final submission. Some additional guidelines must, however, be followed for the submission of the final manuscript in electronic form: • Include all macros (/def) that are required to produce your manuscript (TEX and LATEX). • IEEE Transaction/Journal style dictates a 21-pica (3.5 inch) column width. If mathematical expressions are produced with this in mind, they are more aesthetically pleasing in the final version. • Figures and tables must be submitted as separate files in .ps, .eps, .doc or .tiff format III. Open Access This publication is a hybrid journal, allowing either Traditional manuscript submission or Open Access (author-pays OA) manuscript submission. Upon submission, if you choose to have your manuscript be an Open Access article, you commit to pay the discounted $1,750 OA fee if your manuscript is accepted for publication in order to enable unrestricted public access. If you would like your manuscript to be a Traditional submission, your article will be available to qualified subscribers and purchasers via IEEE Xplore. No OA payment is required for Traditional submission. IV. Page Charges for Traditional Submissions Papers will be reviewed for their technical merit, and decisions to publish will be made independently of an author’s ability to pay page charges. Page charges of $110 (U.S.) per printed page will be requested on papers of seven printed pages or less. Overlength page charges of $200 per page are mandatory for each page in excess of seven pages. This is effective for any paper published after August 1, 2014 onward. If the author’s organization agrees to honor the total page charge, which includes the page charges on the first seven pages plus the mandatory overlength charge, the author will receive 100 reprints. If the supporting organization honors only the mandatory charge, no free reprints will be sent. Digital Object Identifier 10.1109/TMTT.2016.2586980